repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
rqou/yavhdl
parser_tests/subtype_indication25.vhd
1
53
entity test is subtype t is foo(open)(bar); end;
bsd-2-clause
rqou/yavhdl
parser_tests/string_lit2.vhd
1
78
architecture test of test2 is constant foo : bar := "hel""lo"; begin end;
bsd-2-clause
rqou/yavhdl
parser_tests/subtype_indication26.vhd
1
55
entity test is subtype t is foo(0 to 2)(bar); end;
bsd-2-clause
rqou/yavhdl
parser_tests/subtype_indication_generic_map_arrow10.vhd
1
74
entity test is package a is new b generic map(c => ((bar)) foo); end;
bsd-2-clause
rqou/yavhdl
parser_tests/subtype_indication8.vhd
1
67
entity test is subtype t is bar foo'subtype range 0 to 2; end;
bsd-2-clause
rqou/yavhdl
parser_tests/sig_decl3.vhd
1
76
architecture test of test2 is signal foo, foo2 : bar := baz; begin end;
bsd-2-clause
rqou/yavhdl
parser_tests/basic_id2.vhd
1
21
entity _test is end;
bsd-2-clause
rqou/yavhdl
parser_tests/subtype_indication_generic_map_arrow19.vhd
1
84
entity test is package a is new b generic map(c => foo(bar (open)(open))); end;
bsd-2-clause
rqou/yavhdl
parser_tests/type_decl2.vhd
1
48
entity test is type t is range 0 to 2; end;
bsd-2-clause
morelab/weblabdeusto
server/launch/sample/main_machine/main_instance/experiment_fpga/files/base.vhd
4
1972
-- @@@CLOCK:WEBLAB@@@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity base is Port ( inicio : in std_logic; clk : in std_logic; led0 : inout std_logic; led1 : inout std_logic; led2 : inout std_logic; led3 : inout std_logic; led4 : inout std_logic; led5 : inout std_logic; led6 : inout std_logic; led7 : inout std_logic; ena0 : inout std_logic; ena1 : inout std_logic; ena2 : inout std_logic; ena3 : inout std_logic; seg0 : inout std_logic; seg1 : inout std_logic; seg2 : inout std_logic; seg3 : inout std_logic; seg4 : inout std_logic; seg5 : inout std_logic; seg6 : inout std_logic; dot : inout std_logic; but0 : in std_logic; but1 : in std_logic; but2 : in std_logic; but3 : in std_logic; swi0 : in std_logic; swi1 : in std_logic; swi2 : in std_logic; swi3 : in std_logic; swi4 : in std_logic; swi5 : in std_logic; swi6 : in std_logic; swi7 : in std_logic; swi8 : in std_logic; swi9 : in std_logic; vleds : out std_logic_vector (7 downto 0) ); end base; architecture behavioral of base is begin led0 <= swi6; led1 <= swi5; led2 <= swi4; led3 <= swi3; vleds(0) <= led7; vleds(1) <= led6; vleds(2) <= led5; vleds(3) <= led4; vleds(4) <= led3; vleds(5) <= led2; vleds(6) <= led1; vleds(7) <= led0; end behavioral ;
bsd-2-clause
porduna/weblabdeusto
server/src/experiments/xilinxc/files_cpld/base.vhd
4
1158
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity base is Port ( inicio : in std_logic; clk : in std_logic; led0 : inout std_logic; led1 : inout std_logic; led2 : inout std_logic; led3 : inout std_logic; led4 : inout std_logic; led5 : inout std_logic; led6 : inout std_logic; led7 : inout std_logic; ena0 : inout std_logic; ena1 : inout std_logic; ena2 : inout std_logic; ena3 : inout std_logic; seg0 : inout std_logic; seg1 : inout std_logic; seg2 : inout std_logic; seg3 : inout std_logic; seg4 : inout std_logic; seg5 : inout std_logic; seg6 : inout std_logic; dot : inout std_logic; but0 : in std_logic; but1 : in std_logic; but2 : in std_logic; but3 : in std_logic; swi0 : in std_logic; swi1 : in std_logic; swi2 : in std_logic; swi3 : in std_logic; swi4 : in std_logic; swi5 : in std_logic; swi6 : in std_logic; swi7 : in std_logic; swi8 : in std_logic; swi9 : in std_logic ); end base; architecture behavioral of base is begin led0 <= swi6; led1 <= swi5; led2 <= swi4; led3 <= swi3; end behavioral;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/blk_mem_gen_v8_0/simulation/blk_mem_gen_v8_0.vhd
2
211841
------------------------------------------------------------------------------- -- (c) Copyright 2006 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- -- Filename: BLK_MEM_GEN_v8_0.vhd -- -- Description: -- This file is the VHDL behvarial model for the -- Block Memory Generator Core. -- ------------------------------------------------------------------------------- -- Author: Xilinx -- -- History: January 11, 2006: Initial revision -- June 11, 2007 : Added independent register stages for -- Port A and Port B (IP1_Jm/v2.5) -- August 28, 2007 : Added mux pipeline stages feature (IP2_Jm/v2.6) -- April 07, 2009 : Added support for Spartan-6 and Virtex-6 -- features, including the following: -- (i) error injection, detection and/or correction -- (ii) reset priority -- (iii) special reset behavior -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY STD; USE STD.TEXTIO.ALL; ENTITY blk_mem_axi_regs_fwd_v8_0 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END ENTITY blk_mem_axi_regs_fwd_v8_0; ARCHITECTURE axi_regs_fwd_arch OF blk_mem_axi_regs_fwd_v8_0 IS SIGNAL STORAGE_DATA : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL S_READY_I : STD_LOGIC := '0'; SIGNAL M_VALID_I : STD_LOGIC := '0'; SIGNAL ARESET_D : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');-- Reset delay register BEGIN --assign local signal to its output signal S_READY <= S_READY_I; M_VALID <= M_VALID_I; PROCESS(ACLK) BEGIN IF(ACLK'event AND ACLK = '1') THEN ARESET_D <= ARESET_D(0) & ARESET; END IF; END PROCESS; --Save payload data whenever we have a transaction on the slave side PROCESS(ACLK, ARESET) BEGIN IF (ARESET = '1') THEN STORAGE_DATA <= (OTHERS => '0'); ELSIF(ACLK'event AND ACLK = '1') THEN IF(S_VALID = '1' AND S_READY_I = '1') THEN STORAGE_DATA <= S_PAYLOAD_DATA; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= STORAGE_DATA; -- M_Valid set to high when we have a completed transfer on slave side -- Is removed on a M_READY except if we have a new transfer on the slave side PROCESS(ACLK,ARESET) BEGIN IF (ARESET_D /= "00") THEN M_VALID_I <= '0'; ELSIF(ACLK'event AND ACLK = '1') THEN IF (S_VALID = '1') THEN --Always set M_VALID_I when slave side is valid M_VALID_I <= '1'; ELSIF (M_READY = '1') THEN --Clear (or keep) when no slave side is valid but master side is ready M_VALID_I <= '0'; END IF; END IF; END PROCESS; --Slave Ready is either when Master side drives M_READY or we have space in our storage data S_READY_I <= (M_READY OR (NOT M_VALID_I)) AND NOT(OR_REDUCE(ARESET_D)); END axi_regs_fwd_arch; ------------------------------------------------------------------------------- -- Description: -- This is the behavioral model of write_wrapper for the -- Block Memory Generator Core. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_axi_write_wrapper_beh IS GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END blk_mem_axi_write_wrapper_beh; ARCHITECTURE axi_write_wrap_arch OF blk_mem_axi_write_wrapper_beh IS ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_AXI_WDATA_WIDTH=8,0, if_then_else((C_AXI_WDATA_WIDTH=16),1, if_then_else((C_AXI_WDATA_WIDTH=32),2, if_then_else((C_AXI_WDATA_WIDTH=64),3, if_then_else((C_AXI_WDATA_WIDTH=128),4, if_then_else((C_AXI_WDATA_WIDTH=256),5,0)))))); SIGNAL bvalid_c : std_logic := '0'; SIGNAL bready_timeout_c : std_logic := '0'; SIGNAL bvalid_rd_cnt_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_r : std_logic := '0'; SIGNAL bvalid_count_r : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL awaddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), C_AXI_AWADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL bvalid_wr_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_rd_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL w_last_c : std_logic := '0'; SIGNAL addr_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL aw_ready_r : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL awlen_cntr_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '1'); SIGNAL awlen_int : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL awburst_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes : integer := 0; SIGNAL wrap_boundary : integer := 0; SIGNAL wrap_base_addr : integer := 0; SIGNAL num_of_bytes_c : integer := 0; SIGNAL num_of_bytes_r : integer := 0; -- Array to store BIDs TYPE id_array IS ARRAY (3 DOWNTO 0) OF std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); SIGNAL axi_bid_array : id_array := (others => (others => '0')); COMPONENT write_netlist GENERIC( C_AXI_TYPE : integer ); PORT( S_ACLK : IN std_logic; S_ARESETN : IN std_logic; S_AXI_AWVALID : IN std_logic; aw_ready_r : OUT std_logic; S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN std_logic; S_AXI_WR_EN : OUT std_logic; w_last_c : IN std_logic; bready_timeout_c : IN std_logic; addr_en_c : OUT std_logic; incr_addr_c : OUT std_logic; bvalid_c : OUT std_logic ); END COMPONENT write_netlist; BEGIN --------------------------------------- --AXI WRITE FSM COMPONENT INSTANTIATION --------------------------------------- axi_wr_fsm : write_netlist GENERIC MAP ( C_AXI_TYPE => C_AXI_TYPE ) PORT MAP ( S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, S_AXI_AWVALID => S_AXI_AWVALID, aw_ready_r => aw_ready_r, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BVALID => OPEN, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BREADY => S_AXI_BREADY, S_AXI_WR_EN => S_AXI_WR_EN, w_last_c => w_last_c, bready_timeout_c => bready_timeout_c, addr_en_c => addr_en_c, incr_addr_c => incr_addr_c, bvalid_c => bvalid_c ); --Wrap Address boundary calculation num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWSIZE,"000")); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(awlen_int)+1); wrap_base_addr <= (conv_integer(awaddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary <= wrap_base_addr+total_bytes; --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awaddr_reg <= (OTHERS => '0'); num_of_bytes_r <= 0; awburst_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awaddr_reg <= S_AXI_AWADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; awburst_int <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWBURST,"01"); ELSIF (incr_addr_c = '1') THEN IF (awburst_int = "10") THEN IF(conv_integer(awaddr_reg) = (wrap_boundary-num_of_bytes_r)) THEN awaddr_reg <= conv_std_logic_vector(wrap_base_addr,C_AXI_AWADDR_WIDTH); ELSE awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; ELSIF (awburst_int = "01" OR awburst_int = "11") THEN awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; S_AXI_AWADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), awaddr_reg(C_AXI_AWADDR_WIDTH-1 DOWNTO C_RANGE),awaddr_reg); --------------------------------------------------------------------------- -- AXI wlast generation --------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awlen_cntr_r <= (OTHERS => '1'); awlen_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awlen_int <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; awlen_cntr_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; ELSIF (dec_alen_c = '1') THEN awlen_cntr_r <= awlen_cntr_r - ONE AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; w_last_c <= '1' WHEN (awlen_cntr_r = "00000000" AND S_AXI_WVALID = '1') ELSE '0'; dec_alen_c <= (incr_addr_c OR w_last_c); --------------------------------------------------------------------------- -- Generation of bvalid counter for outstanding transactions --------------------------------------------------------------------------- P_b_valid_os_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_count_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- bvalid_count_r generation IF (bvalid_c = '1' AND bvalid_r = '1' AND S_AXI_BREADY = '1') THEN bvalid_count_r <= bvalid_count_r AFTER FLOP_DELAY; ELSIF (bvalid_c = '1') THEN bvalid_count_r <= bvalid_count_r + "01" AFTER FLOP_DELAY; ELSIF (bvalid_r = '1' AND S_AXI_BREADY = '1' AND bvalid_count_r /= "0") THEN bvalid_count_r <= bvalid_count_r - "01" AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_os_r ; --------------------------------------------------------------------------- -- Generation of bvalid when BID is used --------------------------------------------------------------------------- gaxi_bvalid_id_r:IF (C_HAS_AXI_ID = 1) GENERATE SIGNAL bvalid_d1_c : std_logic := '0'; BEGIN P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; bvalid_d1_c <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- Delay the generation o bvalid_r for generation for BID bvalid_d1_c <= bvalid_c; --external bvalid signal generation IF (bvalid_d1_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_id_r; --------------------------------------------------------------------------- -- Generation of bvalid when BID is not used --------------------------------------------------------------------------- gaxi_bvalid_noid_r:IF (C_HAS_AXI_ID = 0) GENERATE P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN --external bvalid signal generation IF (bvalid_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_noid_r; --------------------------------------------------------------------------- -- Generation of Bready timeout --------------------------------------------------------------------------- P_brdy_tout_c: PROCESS (bvalid_count_r) BEGIN -- bready_timeout_c generation IF(conv_integer(bvalid_count_r) = C_AXI_OS_WR-1) THEN bready_timeout_c <= '1'; ELSE bready_timeout_c <= '0'; END IF; END PROCESS P_brdy_tout_c; --------------------------------------------------------------------------- -- Generation of BID --------------------------------------------------------------------------- gaxi_bid_gen:IF (C_HAS_AXI_ID = 1) GENERATE P_bid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN bvalid_wr_cnt_r <= (OTHERS => '0'); bvalid_rd_cnt_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- STORE AWID IN AN ARRAY IF(bvalid_c = '1') THEN bvalid_wr_cnt_r <= bvalid_wr_cnt_r + "01"; END IF; -- GENERATE BID FROM AWID ARRAY bvalid_rd_cnt_r <= bvalid_rd_cnt_c AFTER FLOP_DELAY; S_AXI_BID <= axi_bid_array(conv_integer(bvalid_rd_cnt_c)); END IF; END PROCESS P_bid_gen; bvalid_rd_cnt_c <= bvalid_rd_cnt_r + "01" WHEN (bvalid_r = '1' AND S_AXI_BREADY = '1') ELSE bvalid_rd_cnt_r; --------------------------------------------------------------------------- -- Storing AWID for generation of BID --------------------------------------------------------------------------- P_awid_reg:PROCESS (S_ACLK) BEGIN IF (S_ACLK'event AND S_ACLK='1') THEN IF(aw_ready_r = '1' AND S_AXI_AWVALID = '1') THEN axi_bid_array(conv_integer(bvalid_wr_cnt_r)) <= S_AXI_AWID; END IF; END IF; END PROCESS P_awid_reg; END GENERATE gaxi_bid_gen; S_AXI_BVALID <= bvalid_r; S_AXI_AWREADY <= aw_ready_r; END axi_write_wrap_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity write_netlist is GENERIC( C_AXI_TYPE : integer ); port ( S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_AWVALID : in STD_LOGIC := '0'; S_AXI_WVALID : in STD_LOGIC := '0'; S_AXI_BREADY : in STD_LOGIC := '0'; w_last_c : in STD_LOGIC := '0'; bready_timeout_c : in STD_LOGIC := '0'; aw_ready_r : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; S_AXI_WR_EN : out STD_LOGIC; addr_en_c : out STD_LOGIC; incr_addr_c : out STD_LOGIC; bvalid_c : out STD_LOGIC ); end write_netlist; architecture STRUCTURE of write_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; BEGIN --------------------------------------------------------------------------- -- AXI LITE --------------------------------------------------------------------------- gbeh_axi_lite_sm: IF (C_AXI_TYPE = 0 ) GENERATE signal w_ready_r_7 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSignal_bvalid_c : STD_LOGIC; signal NlwRenamedSignal_incr_addr_c : STD_LOGIC; signal present_state_FSM_FFd3_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal present_state_FSM_FFd1_15 : STD_LOGIC; signal present_state_FSM_FFd4_16 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd4_In1_21 : STD_LOGIC; signal Mmux_aw_ready_c : STD_LOGIC_VECTOR ( 0 downto 0 ); begin S_AXI_WREADY <= w_ready_r_7; S_AXI_BVALID <= NlwRenamedSignal_incr_addr_c; S_AXI_WR_EN <= NlwRenamedSignal_bvalid_c; incr_addr_c <= NlwRenamedSignal_incr_addr_c; bvalid_c <= NlwRenamedSignal_bvalid_c; NlwRenamedSignal_incr_addr_c <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_7 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_16 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_13 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_15 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000055554440" ) port map ( I0 => S_AXI_WVALID, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => '0', O => present_state_FSM_FFd3_In ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"0000000088880800" ) port map ( I0 => S_AXI_AWVALID, I1 => S_AXI_WVALID, I2 => bready_timeout_c, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd4_16, I5 => '0', O => present_state_FSM_FFd2_In ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"00000000AAAA2000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_WVALID, I4 => present_state_FSM_FFd4_16, I5 => '0', O => addr_en_c ); Mmux_w_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"F5F07570F5F05500" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => w_ready_c ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd3_13, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd1_15, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_14, I2 => present_state_FSM_FFd3_13, I3 => '0', I4 => '0', I5 => '0', O => NlwRenamedSignal_bvalid_c ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"2F0F27072F0F2200" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => present_state_FSM_FFd4_In1_21 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_In1_21, I3 => '0', I4 => '0', I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_aw_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"7535753575305500" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => S_AXI_WVALID, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => present_state_FSM_FFd2_14, O => Mmux_aw_ready_c(0) ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => Mmux_aw_ready_c(0), I3 => '0', I4 => '0', I5 => '0', O => aw_ready_c ); END GENERATE gbeh_axi_lite_sm; --------------------------------------------------------------------------- -- AXI FULL --------------------------------------------------------------------------- gbeh_axi_full_sm: IF (C_AXI_TYPE = 1 ) GENERATE signal w_ready_r_8 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSig_OI_bvalid_c : STD_LOGIC; signal present_state_FSM_FFd1_16 : STD_LOGIC; signal present_state_FSM_FFd4_17 : STD_LOGIC; signal present_state_FSM_FFd3_18 : STD_LOGIC; signal present_state_FSM_FFd2_19 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd2_In1_24 : STD_LOGIC; signal present_state_FSM_FFd4_In1_25 : STD_LOGIC; signal N2 : STD_LOGIC; signal N4 : STD_LOGIC; begin S_AXI_WREADY <= w_ready_r_8; bvalid_c <= NlwRenamedSig_OI_bvalid_c; S_AXI_BVALID <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_8 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_17 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_18 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_19 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_16 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000000005540" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd4_17, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd3_In ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"BF3FBB33AF0FAA00" ) port map ( I0 => S_AXI_BREADY, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd1_16, I4 => present_state_FSM_FFd4_17, I5 => NlwRenamedSig_OI_bvalid_c, O => aw_ready_c ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"AAAAAAAA20000000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_19, I3 => S_AXI_WVALID, I4 => w_last_c, I5 => present_state_FSM_FFd4_17, O => addr_en_c ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_19, I2 => present_state_FSM_FFd3_18, I3 => '0', I4 => '0', I5 => '0', O => S_AXI_WR_EN ); Mmux_incr_addr_c_0_1 : STATE_LOGIC generic map( INIT => X"0000000000002220" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => incr_addr_c ); Mmux_aw_ready_c_0_11 : STATE_LOGIC generic map( INIT => X"0000000000008880" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => NlwRenamedSig_OI_bvalid_c ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"000000000000D5C0" ) port map ( I0 => w_last_c, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd4_17, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd2_In1_24 ); present_state_FSM_FFd2_In2 : STATE_LOGIC generic map( INIT => X"FFFFAAAA08AAAAAA" ) port map ( I0 => present_state_FSM_FFd2_19, I1 => S_AXI_AWVALID, I2 => bready_timeout_c, I3 => w_last_c, I4 => S_AXI_WVALID, I5 => present_state_FSM_FFd2_In1_24, O => present_state_FSM_FFd2_In ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"00C0004000C00000" ) port map ( I0 => S_AXI_AWVALID, I1 => w_last_c, I2 => S_AXI_WVALID, I3 => bready_timeout_c, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => present_state_FSM_FFd4_In1_25 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000FFFF88F8" ) port map ( I0 => present_state_FSM_FFd1_16, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_17, I3 => S_AXI_AWVALID, I4 => present_state_FSM_FFd4_In1_25, I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_w_ready_c_0_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => w_last_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_w_ready_c_0_Q : STATE_LOGIC generic map( INIT => X"FABAFABAFAAAF000" ) port map ( I0 => N2, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd4_17, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => w_ready_c ); Mmux_aw_ready_c_0_11_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => bready_timeout_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N4 ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => w_last_c, I1 => N4, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => present_state_FSM_FFd1_16, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); END GENERATE gbeh_axi_full_sm; end STRUCTURE; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --AXI Behavioral Model entities ENTITY blk_mem_axi_read_wrapper_beh is GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); port ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END blk_mem_axi_read_wrapper_beh; architecture blk_mem_axi_read_wrapper_beh_arch of blk_mem_axi_read_wrapper_beh is ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_WRITE_WIDTH_A=8,0, if_then_else((C_WRITE_WIDTH_A=16),1, if_then_else((C_WRITE_WIDTH_A=32),2, if_then_else((C_WRITE_WIDTH_A=64),3, if_then_else((C_WRITE_WIDTH_A=128),4, if_then_else((C_WRITE_WIDTH_A=256),5,0)))))); SIGNAL ar_id_r : std_logic_vector (C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); SIGNAL addr_en_c : std_logic := '0'; SIGNAL rd_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL single_trans_c : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL mux_sel_c : std_logic := '0'; SIGNAL r_last_c : std_logic := '0'; SIGNAL r_last_int_c : std_logic := '0'; SIGNAL arlen_int_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL arlen_cntr : std_logic_vector(7 DOWNTO 0) := ONE; SIGNAL arburst_int_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL arburst_int_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL araddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),C_AXI_ARADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL num_of_bytes_c : integer := 0; SIGNAL total_bytes : integer := 0; SIGNAL num_of_bytes_r : integer := 0; SIGNAL wrap_base_addr_r : integer := 0; SIGNAL wrap_boundary_r : integer := 0; SIGNAL arlen_int_c : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes_c : integer := 0; SIGNAL wrap_base_addr_c : integer := 0; SIGNAL wrap_boundary_c : integer := 0; SIGNAL araddr_out : std_logic_vector(C_ADDRB_WIDTH-1 downto 0) := (OTHERS => '0'); COMPONENT read_netlist GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_INCR_ADDR : OUT std_logic := '0'; S_AXI_ADDR_EN : OUT std_logic := '0'; S_AXI_SINGLE_TRANS : OUT std_logic := '0'; S_AXI_MUX_SEL : OUT std_logic := '0'; S_AXI_R_LAST : OUT std_logic := '0'; S_AXI_R_LAST_INT : IN std_logic := '0'; -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN : OUT std_logic ); END COMPONENT read_netlist; BEGIN dec_alen_c <= incr_addr_c OR r_last_int_c; axi_read_fsm : read_netlist GENERIC MAP( C_AXI_TYPE => 1, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( S_AXI_INCR_ADDR => incr_addr_c, S_AXI_ADDR_EN => addr_en_c, S_AXI_SINGLE_TRANS => single_trans_c, S_AXI_MUX_SEL => mux_sel_c, S_AXI_R_LAST => r_last_c, S_AXI_R_LAST_INT => r_last_int_c, -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => S_AXI_RLAST, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN => rd_en_c ); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(arlen_int_r)+1); wrap_base_addr_r <= (conv_integer(araddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary_r <= wrap_base_addr_r+total_bytes; ---- combinatorial from interface num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARSIZE,"000")); arlen_int_c <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); total_bytes_c <= conv_integer(num_of_bytes_c)*(conv_integer(arlen_int_c)+1); wrap_base_addr_c <= (conv_integer(S_AXI_ARADDR)/if_then_else(total_bytes_c=0,1,total_bytes_c))*(total_bytes_c); wrap_boundary_c <= wrap_base_addr_c+total_bytes_c; arburst_int_c <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARBURST,"01"); --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN araddr_reg <= (OTHERS => '0'); arburst_int_r <= (OTHERS => '0'); num_of_bytes_r <= 0; ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (incr_addr_c = '1' AND addr_en_c = '1' AND single_trans_c = '0') THEN arburst_int_r <= arburst_int_c; num_of_bytes_r <= num_of_bytes_c; IF (arburst_int_c = "10") THEN IF(conv_integer(S_AXI_ARADDR) = (wrap_boundary_c-num_of_bytes_c)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_c,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (arburst_int_c = "01" OR arburst_int_c = "11") THEN araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (addr_en_c = '1') THEN araddr_reg <= S_AXI_ARADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; arburst_int_r <= arburst_int_c; ELSIF (incr_addr_c = '1') THEN IF (arburst_int_r = "10") THEN IF(conv_integer(araddr_reg) = (wrap_boundary_r-num_of_bytes_r)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_r,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= araddr_reg + num_of_bytes_r; END IF; ELSIF (arburst_int_r = "01" OR arburst_int_r = "11") THEN araddr_reg <= araddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; araddr_out <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),araddr_reg(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),araddr_reg); -------------------------------------------------------------------------- -- Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM -------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF S_ARESETN = '1' THEN arlen_cntr <= ONE; arlen_int_r <= (OTHERS => '0'); ELSIF S_ACLK'event AND S_ACLK = '1' THEN IF (addr_en_c = '1' AND dec_alen_c = '1' AND single_trans_c = '0') THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= S_AXI_ARLEN - ONE AFTER FLOP_DELAY; ELSIF addr_en_c = '1' THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); ELSIF dec_alen_c = '1' THEN arlen_cntr <= arlen_cntr - ONE AFTER FLOP_DELAY; ELSE arlen_cntr <= arlen_cntr AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; r_last_int_c <= '1' WHEN (arlen_cntr = "00000000" AND S_AXI_RREADY = '1') ELSE '0' ; -------------------------------------------------------------------------- -- AXI FULL FSM -- Mux Selection of ARADDR -- ARADDR is driven out from the read fsm based on the mux_sel_c -- Based on mux_sel either ARADDR is given out or the latched ARADDR is -- given out to BRAM -------------------------------------------------------------------------- P_araddr_mux: PROCESS (mux_sel_c,S_AXI_ARADDR,araddr_out) BEGIN IF (mux_sel_c = '0') THEN S_AXI_ARADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARADDR(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),S_AXI_ARADDR); ELSE S_AXI_ARADDR_OUT <= araddr_out; END IF; END PROCESS P_araddr_mux; -------------------------------------------------------------------------- -- Assign output signals - AXI FULL FSM -------------------------------------------------------------------------- S_AXI_RD_EN <= rd_en_c; grid: IF (C_HAS_AXI_ID = 1) GENERATE P_rid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN S_AXI_RID <= (OTHERS => '0'); ar_id_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN IF (addr_en_c = '1' AND rd_en_c = '1') THEN S_AXI_RID <= S_AXI_ARID; ar_id_r <= S_AXI_ARID; ELSIF (addr_en_c = '1' AND rd_en_c = '0') THEN ar_id_r <= S_AXI_ARID; ELSIF (rd_en_c = '1') THEN S_AXI_RID <= ar_id_r; END IF; END IF; END PROCESS P_rid_gen; END GENERATE grid; END blk_mem_axi_read_wrapper_beh_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity read_netlist is GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_R_LAST_INT : in STD_LOGIC := '0'; S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_ARVALID : in STD_LOGIC := '0'; S_AXI_RREADY : in STD_LOGIC := '0'; S_AXI_INCR_ADDR : out STD_LOGIC; S_AXI_ADDR_EN : out STD_LOGIC; S_AXI_SINGLE_TRANS : out STD_LOGIC; S_AXI_MUX_SEL : out STD_LOGIC; S_AXI_R_LAST : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_RLAST : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_RD_EN : out STD_LOGIC; S_AXI_ARLEN : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end read_netlist; architecture STRUCTURE of read_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; signal present_state_FSM_FFd1_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal gaxi_full_sm_outstanding_read_r_15 : STD_LOGIC; signal gaxi_full_sm_ar_ready_r_16 : STD_LOGIC; signal gaxi_full_sm_r_last_r_17 : STD_LOGIC; signal NlwRenamedSig_OI_gaxi_full_sm_r_valid_r : STD_LOGIC; signal gaxi_full_sm_r_valid_c : STD_LOGIC; signal S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o : STD_LOGIC; signal gaxi_full_sm_ar_ready_c : STD_LOGIC; signal gaxi_full_sm_outstanding_read_c : STD_LOGIC; signal NlwRenamedSig_OI_S_AXI_R_LAST : STD_LOGIC; signal S_AXI_ARLEN_7_GND_8_o_equal_1_o : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal Mmux_S_AXI_R_LAST13 : STD_LOGIC; signal N01 : STD_LOGIC; signal N2 : STD_LOGIC; signal Mmux_gaxi_full_sm_ar_ready_c11 : STD_LOGIC; signal N4 : STD_LOGIC; signal N8 : STD_LOGIC; signal N9 : STD_LOGIC; signal N10 : STD_LOGIC; signal N11 : STD_LOGIC; signal N12 : STD_LOGIC; signal N13 : STD_LOGIC; begin S_AXI_R_LAST <= NlwRenamedSig_OI_S_AXI_R_LAST; S_AXI_ARREADY <= gaxi_full_sm_ar_ready_r_16; S_AXI_RLAST <= gaxi_full_sm_r_last_r_17; S_AXI_RVALID <= NlwRenamedSig_OI_gaxi_full_sm_r_valid_r; gaxi_full_sm_outstanding_read_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_outstanding_read_c, Q => gaxi_full_sm_outstanding_read_r_15 ); gaxi_full_sm_r_valid_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => gaxi_full_sm_r_valid_c, Q => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r ); gaxi_full_sm_ar_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_ar_ready_c, Q => gaxi_full_sm_ar_ready_r_16 ); gaxi_full_sm_r_last_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => NlwRenamedSig_OI_S_AXI_R_LAST, Q => gaxi_full_sm_r_last_r_17 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_13 ); S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 : STATE_LOGIC generic map( INIT => X"000000000000000B" ) port map ( I0 => S_AXI_RREADY, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o ); Mmux_S_AXI_SINGLE_TRANS11 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_SINGLE_TRANS ); Mmux_S_AXI_ADDR_EN11 : STATE_LOGIC generic map( INIT => X"0000000000000004" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_ADDR_EN ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"ECEE2022EEEE2022" ) port map ( I0 => S_AXI_ARVALID, I1 => present_state_FSM_FFd1_13, I2 => S_AXI_RREADY, I3 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I4 => present_state_FSM_FFd2_14, I5 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, O => present_state_FSM_FFd2_In ); Mmux_S_AXI_R_LAST131 : STATE_LOGIC generic map( INIT => X"0000000044440444" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_RREADY, I5 => '0', O => Mmux_S_AXI_R_LAST13 ); Mmux_S_AXI_INCR_ADDR11 : STATE_LOGIC generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => S_AXI_R_LAST_INT, I1 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => Mmux_S_AXI_R_LAST13, O => S_AXI_INCR_ADDR ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000FE" ) port map ( I0 => S_AXI_ARLEN(2), I1 => S_AXI_ARLEN(1), I2 => S_AXI_ARLEN(0), I3 => '0', I4 => '0', I5 => '0', O => N01 ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q : STATE_LOGIC generic map( INIT => X"0000000000000001" ) port map ( I0 => S_AXI_ARLEN(7), I1 => S_AXI_ARLEN(6), I2 => S_AXI_ARLEN(5), I3 => S_AXI_ARLEN(4), I4 => S_AXI_ARLEN(3), I5 => N01, O => S_AXI_ARLEN_7_GND_8_o_equal_1_o ); Mmux_gaxi_full_sm_outstanding_read_c1_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_gaxi_full_sm_outstanding_read_c1 : STATE_LOGIC generic map( INIT => X"0020000002200200" ) port map ( I0 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd1_13, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => N2, O => gaxi_full_sm_outstanding_read_c ); Mmux_gaxi_full_sm_ar_ready_c12 : STATE_LOGIC generic map( INIT => X"0000000000004555" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => '0', I5 => '0', O => Mmux_gaxi_full_sm_ar_ready_c11 ); Mmux_S_AXI_R_LAST11_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000EF" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I3 => '0', I4 => '0', I5 => '0', O => N4 ); Mmux_S_AXI_R_LAST11 : STATE_LOGIC generic map( INIT => X"FCAAFC0A00AA000A" ) port map ( I0 => S_AXI_ARVALID, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => N4, I5 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, O => gaxi_full_sm_r_valid_c ); S_AXI_MUX_SEL1 : STATE_LOGIC generic map( INIT => X"00000000AAAAAA08" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => '0', O => S_AXI_MUX_SEL ); Mmux_S_AXI_RD_EN11 : STATE_LOGIC generic map( INIT => X"F3F3F755A2A2A200" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => gaxi_full_sm_outstanding_read_r_15, I4 => present_state_FSM_FFd2_14, I5 => S_AXI_ARVALID, O => S_AXI_RD_EN ); present_state_FSM_FFd1_In3 : beh_muxf7 port map ( I0 => N8, I1 => N9, S => present_state_FSM_FFd1_13, O => present_state_FSM_FFd1_In ); present_state_FSM_FFd1_In3_F : STATE_LOGIC generic map( INIT => X"000000005410F4F0" ) port map ( I0 => S_AXI_RREADY, I1 => present_state_FSM_FFd2_14, I2 => S_AXI_ARVALID, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => '0', O => N8 ); present_state_FSM_FFd1_In3_G : STATE_LOGIC generic map( INIT => X"0000000072FF7272" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N9 ); Mmux_gaxi_full_sm_ar_ready_c14 : beh_muxf7 port map ( I0 => N10, I1 => N11, S => present_state_FSM_FFd1_13, O => gaxi_full_sm_ar_ready_c ); Mmux_gaxi_full_sm_ar_ready_c14_F : STATE_LOGIC generic map( INIT => X"00000000FFFF88A8" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => Mmux_gaxi_full_sm_ar_ready_c11, I5 => '0', O => N10 ); Mmux_gaxi_full_sm_ar_ready_c14_G : STATE_LOGIC generic map( INIT => X"000000008D008D8D" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N11 ); Mmux_S_AXI_R_LAST1 : beh_muxf7 port map ( I0 => N12, I1 => N13, S => present_state_FSM_FFd1_13, O => NlwRenamedSig_OI_S_AXI_R_LAST ); Mmux_S_AXI_R_LAST1_F : STATE_LOGIC generic map( INIT => X"0000000088088888" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N12 ); Mmux_S_AXI_R_LAST1_G : STATE_LOGIC generic map( INIT => X"00000000E400E4E4" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => S_AXI_R_LAST_INT, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N13 ); end STRUCTURE; --###################################################################################################### --###################################################################################################### --###################################################################################################### --###################################################################################################### --###################################################################################################### --###################################################################################################### ------------------------------------------------------------------------------- -- Output Register Stage Entity -- -- This module builds the output register stages of the memory. This module is -- instantiated in the main memory module (BLK_MEM_GEN_v8_0) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_0_output_stage IS GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; REGCE : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_0_output_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6" and "virtex6l". -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- C_HAS_RST : Determines the presence of the RST port -- C_RSTRAM : Determines if special reset behavior is used -- C_RST_PRIORITY : Determines the priority between CE and SR -- C_INIT_VAL : Initialization value -- C_HAS_EN : Determines the presence of the EN port -- C_HAS_REGCE : Determines the presence of the REGCE port -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output -- of the RAM primitive -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- NUM_STAGES : Determines the number of output stages -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE output_stage_behavioral OF BLK_MEM_GEN_v8_0_output_stage IS --******************************************************* -- Functions used in the output stage ARCHITECTURE --******************************************************* -- Calculate num_reg_stages FUNCTION get_num_reg_stages(NUM_STAGES: INTEGER) RETURN INTEGER IS VARIABLE num_reg_stages : INTEGER := 0; BEGIN IF (NUM_STAGES = 0) THEN num_reg_stages := 0; ELSE num_reg_stages := NUM_STAGES - 1; END IF; RETURN num_reg_stages; END get_num_reg_stages; -- Check if the INTEGER is zero or non-zero FUNCTION int_to_bit(input: INTEGER) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = 0) THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END int_to_bit; -- Constants CONSTANT HAS_EN : STD_LOGIC := int_to_bit(C_HAS_EN); CONSTANT HAS_REGCE : STD_LOGIC := int_to_bit(C_HAS_REGCE); CONSTANT HAS_RST : STD_LOGIC := int_to_bit(C_HAS_RST); CONSTANT REG_STAGES : INTEGER := get_num_reg_stages(NUM_STAGES); -- Pipeline array TYPE reg_data_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); TYPE reg_ecc_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC; TYPE reg_eccaddr_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); CONSTANT REG_INIT : reg_data_array := (OTHERS => init_val); SIGNAL out_regs : reg_data_array := REG_INIT; SIGNAL sbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL dbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL rdaddrecc_regs: reg_eccaddr_array := (OTHERS => (OTHERS => '0')); -- Internal signals SIGNAL en_i : STD_LOGIC; SIGNAL regce_i : STD_LOGIC; SIGNAL rst_i : STD_LOGIC; SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := init_val; SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*********************************************************************** -- Assign internal signals. This effectively wires off optional inputs. --*********************************************************************** -- Internal enable for output registers is tied to user EN or '1' depending -- on parameters en_i <= EN OR (NOT HAS_EN); -- Internal register enable for output registers is tied to user REGCE, EN -- or '1' depending on parameters regce_i <= (HAS_REGCE AND REGCE) OR ((NOT HAS_REGCE) AND en_i); -- Internal SRR is tied to user RST or '0' depending on parameters rst_i <= RST AND HAS_RST; --*************************************************************************** -- NUM_STAGES = 0 (No output registers. RAM only) --*************************************************************************** zero_stages: IF (NUM_STAGES = 0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE zero_stages; --*************************************************************************** -- NUM_STAGES = 1 -- (Mem Output Reg only or Mux Output Reg only) --*************************************************************************** -- Possible valid combinations: -- Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1) -- +-----------------------------------------+ -- | C_RSTRAM_* | Reset Behavior | -- +----------------+------------------------+ -- | 0 | Normal Behavior | -- +----------------+------------------------+ -- | 1 | Special Behavior | -- +----------------+------------------------+ -- -- Normal = REGCE gates reset, as in the case of all Virtex families and all -- spartan families with the exception of S3ADSP and S6. -- Special = EN gates reset, as in the case of S3ADSP and S6. one_stage_norm: IF (NUM_STAGES = 1 AND (C_RSTRAM=0 OR (C_RSTRAM=1 AND (C_XDEVICEFAMILY/="spartan3adsp" AND C_XDEVICEFAMILY/="aspartan3adsp")) OR C_HAS_MEM_OUTPUT_REGS=0 OR C_HAS_RST=0)) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i = '1' AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END IF;--Priority conditions END IF;--CLK END PROCESS; END GENERATE one_stage_norm; -- Special Reset Behavior for S6 and S3ADSP one_stage_splbhv: IF (NUM_STAGES=1 AND C_RSTRAM=1 AND (C_XDEVICEFAMILY ="spartan3adsp" OR C_XDEVICEFAMILY ="aspartan3adsp")) GENERATE DOUT <= dout_i; SBITERR <= '0'; DBITERR <= '0'; RDADDRECC <= (OTHERS => '0'); PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (rst_i='1' AND en_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; ELSIF (regce_i='1' AND rst_i/='1') THEN dout_i <= DIN AFTER FLOP_DELAY; END IF; END IF;--CLK END PROCESS; END GENERATE one_stage_splbhv; --**************************************************************************** -- NUM_STAGES > 1 -- Mem Output Reg + Mux Output Reg -- or -- Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg -- or -- Mux Pipeline Stages (>0) + Mux Output Reg --**************************************************************************** multi_stage: IF (NUM_STAGES > 1) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i='1'AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; END IF;--Priority conditions IF (en_i='1') THEN -- Shift the data through the output stages FOR i IN 1 TO REG_STAGES-1 LOOP out_regs(i) <= out_regs(i-1) AFTER FLOP_DELAY; sbiterr_regs(i) <= sbiterr_regs(i-1) AFTER FLOP_DELAY; dbiterr_regs(i) <= dbiterr_regs(i-1) AFTER FLOP_DELAY; rdaddrecc_regs(i) <= rdaddrecc_regs(i-1) AFTER FLOP_DELAY; END LOOP; out_regs(0) <= DIN; sbiterr_regs(0) <= SBITERR_IN; dbiterr_regs(0) <= DBITERR_IN; rdaddrecc_regs(0) <= RDADDRECC_IN; END IF; END IF;--CLK END PROCESS; END GENERATE multi_stage; END output_stage_behavioral; ------------------------------------------------------------------------------- -- SoftECC Output Register Stage Entity -- This module builds the softecc output register stages. This module is -- instantiated in the memory module (BLK_MEM_GEN_v8_0_mem_module) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_0_softecc_output_reg_stage IS GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ; DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_0_softecc_output_reg_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- of the RAM primitive -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE softecc_output_reg_stage_behavioral OF BLK_MEM_GEN_v8_0_softecc_output_reg_stage IS -- Internal signals SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*************************************************************************** -- NO OUTPUT STAGES --*************************************************************************** no_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE no_output_stage; --**************************************************************************** -- WITH OUTPUT STAGE --**************************************************************************** has_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END PROCESS; DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; END GENERATE has_output_stage; END softecc_output_reg_stage_behavioral; --****************************************************************************** -- Main Memory module -- -- This module is the behavioral model which implements the RAM --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_MISC.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_textio.all; ENTITY BLK_MEM_GEN_v8_0_mem_module IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_0"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_0_mem_module; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE mem_module_behavioral OF BLK_MEM_GEN_v8_0_mem_module IS --**************************************** -- min/max constant functions --**************************************** -- get_max ---------- function SLV_TO_INT(SLV: in std_logic_vector ) return integer is variable int : integer; begin int := 0; for i in SLV'high downto SLV'low loop int := int * 2; if SLV(i) = '1' then int := int + 1; end if; end loop; return int; end; FUNCTION get_max(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a > b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; -- get_min ---------- FUNCTION get_min(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; --*************************************************************** -- convert write_mode from STRING type for use in case statement --*************************************************************** FUNCTION write_mode_to_vector(mode: STRING) RETURN STD_LOGIC_VECTOR IS BEGIN IF (mode = "NO_CHANGE") THEN RETURN "10"; ELSIF (mode = "READ_FIRST") THEN RETURN "01"; ELSE RETURN "00"; -- WRITE_FIRST END IF; END FUNCTION; --*************************************************************** -- convert hex STRING to STD_LOGIC_VECTOR --*************************************************************** FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; --*************************************************************** -- locally derived constants to determine memory shape --*************************************************************** CONSTANT MIN_WIDTH_A : INTEGER := get_min(C_WRITE_WIDTH_A, C_READ_WIDTH_A); CONSTANT MIN_WIDTH_B : INTEGER := get_min(C_WRITE_WIDTH_B,C_READ_WIDTH_B); CONSTANT MIN_WIDTH : INTEGER := get_min(MIN_WIDTH_A, MIN_WIDTH_B); CONSTANT MAX_DEPTH_A : INTEGER := get_max(C_WRITE_DEPTH_A, C_READ_DEPTH_A); CONSTANT MAX_DEPTH_B : INTEGER := get_max(C_WRITE_DEPTH_B, C_READ_DEPTH_B); CONSTANT MAX_DEPTH : INTEGER := get_max(MAX_DEPTH_A, MAX_DEPTH_B); TYPE int_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF std_logic_vector(C_WRITE_WIDTH_A-1 DOWNTO 0); TYPE mem_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC_VECTOR(MIN_WIDTH-1 DOWNTO 0); TYPE ecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; TYPE softecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; --*************************************************************** -- memory initialization function --*************************************************************** IMPURE FUNCTION init_memory(DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); write_width_a : INTEGER; depth : INTEGER; width : INTEGER) RETURN mem_array IS VARIABLE init_return : mem_array := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(write_width_a-1 DOWNTO 0); VARIABLE int_mem_vector : int_array:= (OTHERS => (OTHERS => '0')); VARIABLE file_buffer : LINE; VARIABLE i : INTEGER := 0; VARIABLE j : INTEGER; VARIABLE k : INTEGER; VARIABLE ignore_line : BOOLEAN := false; VARIABLE good_data : BOOLEAN := false; VARIABLE char_tmp : CHARACTER; VARIABLE index : INTEGER; variable init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable data : std_logic_vector(255 downto 0) := (others => '0'); variable inside_init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable k_slv : std_logic_vector(31 downto 0) := (others => '0'); variable i_slv : std_logic_vector(31 downto 0) := (others => '0'); VARIABLE disp_line : line := null; variable open_status : file_open_status; variable input_initf_tmp : mem_array ; variable input_initf : mem_array := (others => (others => '0')); file int_infile : text; variable data_line, data_line_tmp, out_data_line : line; variable slv_width : integer; VARIABLE d_l : LINE; BEGIN --Display output message indicating that the behavioral model is being --initialized -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN index := 0; FOR i IN 0 TO depth-1 LOOP FOR j IN 0 TO width-1 LOOP init_return(i)(j) := DEFAULT_DATA(index); index := (index + 1) MOD C_WRITE_WIDTH_A; END LOOP; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, file_buffer); read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO write_width_a-1 LOOP IF (j MOD width = 0 AND j /= 0) THEN i := i + 1; END IF; init_return(i)(j MOD width) := bit_to_sl(mem_vector(j)); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; --Display output message indicating that the behavioral model is done --initializing ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator data initialization complete." SEVERITY NOTE; if (C_USE_BRAM_BLOCK = 1) then --Display output message indicating that the behavioral model is being --initialized -- Read in the .mem file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_INIT_FILE /= "NONE") then file_open(open_status, int_infile, C_INIT_FILE, read_mode); while not endfile(int_infile) loop readline(int_infile, data_line); while (data_line /= null and data_line'length > 0) loop if (data_line(data_line'low to data_line'low + 1) = "//") then deallocate(data_line); elsif ((data_line(data_line'low to data_line'low + 1) = "/*") and (data_line(data_line'high-1 to data_line'high) = "*/")) then deallocate(data_line); elsif (data_line(data_line'low to data_line'low + 1) = "/*") then deallocate(data_line); ignore_line := true; elsif (ignore_line = true and data_line(data_line'high-1 to data_line'high) = "*/") then deallocate(data_line); ignore_line := false; elsif (ignore_line = false and data_line(data_line'low) = '@') then read(data_line, char_tmp); hread(data_line, init_addr_slv, good_data); i := SLV_TO_INT(init_addr_slv); elsif (ignore_line = false) then hread(data_line, input_initf_tmp(i), good_data); init_return(i)(write_width_a - 1 downto 0) := input_initf_tmp(i)(write_width_a - 1 downto 0); if (good_data = true) then i := i + 1; end if; else deallocate(data_line); end if; end loop; end loop; file_close(int_infile); END IF; END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- memory type constants --*************************************************************** CONSTANT MEM_TYPE_SP_RAM : INTEGER := 0; CONSTANT MEM_TYPE_SDP_RAM : INTEGER := 1; CONSTANT MEM_TYPE_TDP_RAM : INTEGER := 2; CONSTANT MEM_TYPE_SP_ROM : INTEGER := 3; CONSTANT MEM_TYPE_DP_ROM : INTEGER := 4; --*************************************************************** -- memory configuration constant functions --*************************************************************** --get_single_port ----------------- FUNCTION get_single_port(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_RAM OR mem_type=MEM_TYPE_SP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_single_port; --get_is_rom -------------- FUNCTION get_is_rom(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_ROM OR mem_type=MEM_TYPE_DP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_is_rom; --get_has_a_write ------------------ FUNCTION get_has_a_write(IS_ROM : INTEGER) RETURN INTEGER IS BEGIN IF (IS_ROM=0) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_a_write; --get_has_b_write ------------------ FUNCTION get_has_b_write(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_TDP_RAM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_write; --get_has_a_read ------------------ FUNCTION get_has_a_read(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SDP_RAM) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_a_read; --get_has_b_read ------------------ FUNCTION get_has_b_read(SINGLE_PORT : INTEGER) RETURN INTEGER IS BEGIN IF (SINGLE_PORT=1) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_b_read; --get_has_b_port ------------------ FUNCTION get_has_b_port(HAS_B_READ : INTEGER; HAS_B_WRITE : INTEGER) RETURN INTEGER IS BEGIN IF (HAS_B_READ=1 OR HAS_B_WRITE=1) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_port; --get_num_output_stages ----------------------- FUNCTION get_num_output_stages(has_mem_output_regs : INTEGER; has_mux_output_regs : INTEGER; mux_pipeline_stages : INTEGER) RETURN INTEGER IS VARIABLE actual_mux_pipeline_stages : INTEGER; BEGIN -- Mux pipeline stages can be non-zero only when there is a mux -- output register. IF (has_mux_output_regs=1) THEN actual_mux_pipeline_stages := mux_pipeline_stages; ELSE actual_mux_pipeline_stages := 0; END IF; RETURN has_mem_output_regs+actual_mux_pipeline_stages+has_mux_output_regs; END get_num_output_stages; --*************************************************************************** -- Component declaration of the VARIABLE depth output register stage --*************************************************************************** COMPONENT BLK_MEM_GEN_v8_0_output_stage GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; FLOP_DELAY : TIME := 100 ps); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; REGCE : IN STD_LOGIC; EN : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_0_output_stage; COMPONENT BLK_MEM_GEN_v8_0_softecc_output_reg_stage GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_0_softecc_output_reg_stage; --****************************************************** -- locally derived constants to assist memory access --****************************************************** CONSTANT WRITE_WIDTH_RATIO_A : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_A : INTEGER := C_READ_WIDTH_A/MIN_WIDTH; CONSTANT WRITE_WIDTH_RATIO_B : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_B : INTEGER := C_READ_WIDTH_B/MIN_WIDTH; --****************************************************** -- To modify the LSBs of the 'wider' data to the actual -- address value --****************************************************** CONSTANT WRITE_ADDR_A_DIV : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH_A; CONSTANT READ_ADDR_A_DIV : INTEGER := C_READ_WIDTH_A/MIN_WIDTH_A; CONSTANT WRITE_ADDR_B_DIV : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH_B; CONSTANT READ_ADDR_B_DIV : INTEGER := C_READ_WIDTH_B/MIN_WIDTH_B; --****************************************************** -- FUNCTION : log2roundup --****************************************************** FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --****************************************************** -- Other constants and signals --****************************************************** CONSTANT COLL_DELAY : TIME := 2 ns; -- default data vector CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_DEFAULT_DATA, C_WRITE_WIDTH_A); CONSTANT CHKBIT_WIDTH : INTEGER := if_then_else(C_WRITE_WIDTH_A>57,8,if_then_else(C_WRITE_WIDTH_A>26,7,if_then_else(C_WRITE_WIDTH_A>11,6,if_then_else(C_WRITE_WIDTH_A>4,5,if_then_else(C_WRITE_WIDTH_A<5,4,0))))); -- the init memory SIGNAL SIGNAL memory_i : mem_array; SIGNAL doublebit_error_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0); SIGNAL current_contents_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); -- write mode constants CONSTANT WRITE_MODE_A : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_A); CONSTANT WRITE_MODE_B : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_B); CONSTANT WRITE_MODES : STD_LOGIC_VECTOR(3 DOWNTO 0) := WRITE_MODE_A & WRITE_MODE_B; -- reset values CONSTANT INITA_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITA_VAL, C_READ_WIDTH_A); CONSTANT INITB_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITB_VAL, C_READ_WIDTH_B); -- memory output 'latches' SIGNAL memory_out_a : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := INITA_VAL; SIGNAL memory_out_b : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := INITB_VAL; SIGNAL sbiterr_in : STD_LOGIC := '0'; SIGNAL sbiterr_sdp : STD_LOGIC := '0'; SIGNAL dbiterr_in : STD_LOGIC := '0'; SIGNAL dbiterr_sdp : STD_LOGIC := '0'; SIGNAL rdaddrecc_in : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_sdp : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL doutb_i : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i : STD_LOGIC := '0'; SIGNAL dbiterr_i : STD_LOGIC := '0'; -- memory configuration constants ----------------------------------------------- CONSTANT SINGLE_PORT : INTEGER := get_single_port(C_MEM_TYPE); CONSTANT IS_ROM : INTEGER := get_is_rom(C_MEM_TYPE); CONSTANT HAS_A_WRITE : INTEGER := get_has_a_write(IS_ROM); CONSTANT HAS_B_WRITE : INTEGER := get_has_b_write(C_MEM_TYPE); CONSTANT HAS_A_READ : INTEGER := get_has_a_read(C_MEM_TYPE); CONSTANT HAS_B_READ : INTEGER := get_has_b_read(SINGLE_PORT); CONSTANT HAS_B_PORT : INTEGER := get_has_b_port(HAS_B_READ, HAS_B_WRITE); CONSTANT NUM_OUTPUT_STAGES_A : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_A, C_MUX_PIPELINE_STAGES); CONSTANT NUM_OUTPUT_STAGES_B : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES); CONSTANT WEA0 : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT WEB0 : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ----------------------------------------------------------------------------- -- DEBUG CONTROL -- DEBUG=0 : Debug output OFF -- DEBUG=1 : Some debug info printed ----------------------------------------------------------------------------- CONSTANT DEBUG : INTEGER := 0; -- internal signals ----------------------------------------------- SIGNAL ena_i : STD_LOGIC; SIGNAL enb_i : STD_LOGIC; SIGNAL reseta_i : STD_LOGIC; SIGNAL resetb_i : STD_LOGIC; SIGNAL wea_i : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL web_i : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL rea_i : STD_LOGIC; SIGNAL reb_i : STD_LOGIC; SIGNAL message_complete : BOOLEAN := false; --********************************************************* --FUNCTION : Collision check --********************************************************* FUNCTION collision_check (addr_a : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); iswrite_a : BOOLEAN; addr_b : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); iswrite_b : BOOLEAN) RETURN BOOLEAN IS VARIABLE c_aw_bw : INTEGER; VARIABLE c_aw_br : INTEGER; VARIABLE c_ar_bw : INTEGER; VARIABLE write_addr_a_width : INTEGER; VARIABLE read_addr_a_width : INTEGER; VARIABLE write_addr_b_width : INTEGER; VARIABLE read_addr_b_width : INTEGER; BEGIN c_aw_bw := 0; c_aw_br := 0; c_ar_bw := 0; -- Determine the effective address widths FOR each of the 4 ports write_addr_a_width := C_ADDRA_WIDTH-log2roundup(WRITE_ADDR_A_DIV); read_addr_a_width := C_ADDRA_WIDTH-log2roundup(READ_ADDR_A_DIV); write_addr_b_width := C_ADDRB_WIDTH-log2roundup(WRITE_ADDR_B_DIV); read_addr_b_width := C_ADDRB_WIDTH-log2roundup(READ_ADDR_B_DIV); --Look FOR a write-write collision. In order FOR a write-write --collision to exist, both ports must have a write transaction. IF (iswrite_a AND iswrite_b) THEN IF (write_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; END IF; --width END IF; --iswrite_a and iswrite_b --If the B port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_a) THEN IF (write_addr_a_width > read_addr_b_width) THEN --read_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_b_width --Once both are scaled to read_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_b_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; END IF; --width END IF; --iswrite_a --If the A port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_b) THEN IF (read_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; ELSE --read_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_a_width --Once both are scaled to read_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_a_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; END IF; --width END IF; --iswrite_b RETURN (c_aw_bw=1 OR c_aw_br=1 OR c_ar_bw=1); END FUNCTION collision_check; BEGIN -- Architecture ----------------------------------------------------------------------------- -- SOFTECC and ECC SBITERR/DBITERR Outputs -- The ECC Behavior is modeled by the behavioral models only for Virtex-6. -- The SOFTECC Behavior is modeled by the behavioral models for Spartan-6. -- For Virtex-5, these outputs will be tied to 0. ----------------------------------------------------------------------------- SBITERR <= sbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_sdp WHEN (((C_FAMILY="virtex7") AND C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); ----------------------------------------------- -- This effectively wires off optional inputs ----------------------------------------------- ena_i <= ENA WHEN (C_HAS_ENA=1) ELSE '1'; enb_i <= ENB WHEN (C_HAS_ENB=1 AND HAS_B_PORT=1) ELSE '1'; wea_i <= WEA WHEN (HAS_A_WRITE=1 AND ena_i='1') ELSE WEA0; web_i <= WEB WHEN (HAS_B_WRITE=1 AND enb_i='1') ELSE WEB0; rea_i <= ena_i WHEN (HAS_A_READ=1) ELSE '0'; reb_i <= enb_i WHEN (HAS_B_READ=1) ELSE '0'; -- these signals reset the memory latches -- For the special reset behaviors in some of the families, the C_RSTRAM -- attribute of the corresponding port is used to indicate if the latch is -- reset or not. reseta_i <= RSTA WHEN ((C_HAS_RSTA=1 AND NUM_OUTPUT_STAGES_A=0) OR (C_HAS_RSTA=1 AND C_RSTRAM_A=1)) ELSE '0'; resetb_i <= RSTB WHEN ((C_HAS_RSTB=1 AND NUM_OUTPUT_STAGES_B=0) OR (C_HAS_RSTB=1 AND C_RSTRAM_B=1) ) ELSE '0'; --*************************************************************************** -- This is the main PROCESS which includes the memory VARIABLE and the read -- and write procedures. It also schedules read and write operations --*************************************************************************** PROCESS (CLKA, CLKB,rea_i,reb_i,reseta_i,resetb_i) -- Initialize the init memory array ------------------------------------ VARIABLE memory : mem_array := init_memory(DEFAULT_DATA, C_WRITE_WIDTH_A, MAX_DEPTH, MIN_WIDTH); -- Initialize the mem memory array ------------------------------------ VARIABLE softecc_sbiterr_arr : softecc_err_array; VARIABLE softecc_dbiterr_arr : softecc_err_array; VARIABLE sbiterr_arr : ecc_err_array; VARIABLE dbiterr_arr : ecc_err_array; CONSTANT doublebit_lsb : STD_LOGIC_VECTOR (1 DOWNTO 0):="11"; CONSTANT doublebit_msb : STD_LOGIC_VECTOR (C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 DOWNTO 0):= (OTHERS => '0'); VARIABLE doublebit_error : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0) := doublebit_msb & doublebit_lsb ; VARIABLE current_contents_var : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); --*********************************** -- procedures to access the memory --*********************************** -- write_a ---------- PROCEDURE write_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); inj_sbiterr : IN STD_LOGIC; inj_dbiterr : IN STD_LOGIC) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; VARIABLE message : LINE; VARIABLE errbit_current_contents : STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN -- Block Memory Generator non-cycle-accurate message ASSERT (message_complete) REPORT "Block Memory Generator module is using a behavioral model FOR simulation which will not precisely model memory collision behavior." SEVERITY NOTE; message_complete <= true; -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_A_DIV); IF (address_i >= C_WRITE_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range FOR A Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEA = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_A + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEA_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Insert double bit errors: IF (C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN current_contents(0) := NOT(current_contents(0)); current_contents(1) := NOT(current_contents(1)); END IF; END IF; -- Insert double bit errors: IF (C_USE_SOFTECC=1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 downto 2) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 downto 0); doublebit_error(0) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1); doublebit_error(1) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-2); current_contents := current_contents XOR doublebit_error(C_WRITE_WIDTH_A-1 DOWNTO 0); END IF; END IF; IF(DEBUG=1) THEN current_contents_var := current_contents; --for debugging current END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_A + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; -- Store address at which error is injected: IF ((C_FAMILY = "virtex7") AND C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN sbiterr_arr(address_i) := '1'; ELSE sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN dbiterr_arr(address_i) := '1'; ELSE dbiterr_arr(address_i) := '0'; END IF; END IF; -- Store address at which softecc error is injected: IF (C_USE_SOFTECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN softecc_sbiterr_arr(address_i) := '1'; ELSE softecc_sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN softecc_dbiterr_arr(address_i) := '1'; ELSE softecc_dbiterr_arr(address_i) := '0'; END IF; END IF; END IF; END PROCEDURE; -- write_b ---------- PROCEDURE write_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_B_DIV); IF (address_i >= C_WRITE_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEB = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_B + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEB_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_B + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; END IF; END PROCEDURE; -- read_a ---------- PROCEDURE read_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_A_DIV); IF (address_i >= C_READ_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for A Read" SEVERITY WARNING; END IF; memory_out_a <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_A-1 LOOP memory_out_a(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_A + i) AFTER FLOP_DELAY; END LOOP; END IF; END IF; END PROCEDURE; -- read_b ---------- PROCEDURE read_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_B_DIV); IF (address_i >= C_READ_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Read" SEVERITY WARNING; END IF; memory_out_b <= (OTHERS => 'X') AFTER FLOP_DELAY; sbiterr_in <= 'X' AFTER FLOP_DELAY; dbiterr_in <= 'X' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_B-1 LOOP memory_out_b(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_B + i) AFTER FLOP_DELAY; END LOOP; --assert sbiterr and dbiterr signals IF ((C_FAMILY="virtex7") AND C_USE_ECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; --assert softecc sbiterr and dbiterr signals ELSIF (C_USE_SOFTECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (softecc_sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (softecc_dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; END IF; END IF; END IF; END PROCEDURE; -- reset_a ---------- PROCEDURE reset_a (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; -- reset_b ---------- PROCEDURE reset_b (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; BEGIN -- begin the main PROCESS --*************************************************************************** -- These are the main blocks which schedule read and write operations -- Note that the reset priority feature at the latch stage is only supported -- for Spartan-6. For other families, the default priority at the latch stage -- is "CE" --*************************************************************************** -- Synchronous clocks: schedule port operations with respect to both -- write operating modes IF (C_COMMON_CLK=1) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODES IS WHEN "0000" => -- write_first write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "0100" => -- read_first write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "0001" => -- write_first read_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0101" => --read_first read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0010" => -- write_first no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0110" => -- read_first no_change --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1000" => -- no_change write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "1001" => -- no_change read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1010" => -- no_change no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Synchronous clocks -- Asynchronous clocks: port operation is independent IF (C_COMMON_CLK=0) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODE_A IS WHEN "00" => -- write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; WHEN "01" => -- read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "10" => -- no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; IF (CLKB='1' AND CLKB'EVENT) THEN CASE WRITE_MODE_B IS WHEN "00" => -- write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "01" => -- read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "10" => -- no_change --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Asynchronous clocks -- Assign the memory VARIABLE to the user_visible memory_i SIGNAL IF(DEBUG=1) THEN memory_i <= memory; doublebit_error_i <= doublebit_error; current_contents_i <= current_contents_var; END IF; END PROCESS; --******************************************************************** -- Instantiate the VARIABLE depth output stage --******************************************************************** -- Port A reg_a : BLK_MEM_GEN_v8_0_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => C_RST_TYPE, C_HAS_RST => C_HAS_RSTA, C_RSTRAM => C_RSTRAM_A, C_RST_PRIORITY => C_RST_PRIORITY_A, init_val => INITA_VAL, C_HAS_EN => C_HAS_ENA, C_HAS_REGCE => C_HAS_REGCEA, C_DATA_WIDTH => C_READ_WIDTH_A, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_A, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_A, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => ENA, REGCE => REGCEA, DIN => memory_out_a, DOUT => DOUTA, SBITERR_IN => '0', DBITERR_IN => '0', SBITERR => OPEN, DBITERR => OPEN, RDADDRECC_IN => (OTHERS => '0'), RDADDRECC => OPEN ); -- Port B reg_b : BLK_MEM_GEN_v8_0_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => C_RST_TYPE, C_HAS_RST => C_HAS_RSTB, C_RSTRAM => C_RSTRAM_B, C_RST_PRIORITY => C_RST_PRIORITY_B, init_val => INITB_VAL, C_HAS_EN => C_HAS_ENB, C_HAS_REGCE => C_HAS_REGCEB, C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_B, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKB, RST => RSTB, EN => ENB, REGCE => REGCEB, DIN => memory_out_b, DOUT => doutb_i, SBITERR_IN => sbiterr_in, DBITERR_IN => dbiterr_in, SBITERR => sbiterr_i, DBITERR => dbiterr_i, RDADDRECC_IN => rdaddrecc_in, RDADDRECC => rdaddrecc_i ); --******************************************************************** -- Instantiate the input / Output Register stages --******************************************************************** output_reg_stage: BLK_MEM_GEN_v8_0_softecc_output_reg_stage GENERIC MAP( C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, FLOP_DELAY => FLOP_DELAY ) PORT MAP( CLK => CLKB, DIN => doutb_i, DOUT => DOUTB, SBITERR_IN => sbiterr_i, DBITERR_IN => dbiterr_i, SBITERR => sbiterr_sdp, DBITERR => dbiterr_sdp, RDADDRECC_IN => rdaddrecc_i, RDADDRECC => rdaddrecc_sdp ); --********************************* -- Synchronous collision checks --********************************* sync_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=1) GENERATE PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; -- collision detect VARIABLE is_collision : BOOLEAN; VARIABLE message : LINE; BEGIN IF (CLKA='1' AND CLKA'EVENT) THEN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision := false; END IF; -- If the write port is in READ_FIRST mode, there is no collision IF (C_WRITE_MODE_A="READ_FIRST" AND wea_i/=WEA0 AND web_i=WEB0) THEN is_collision := false; END IF; IF (C_WRITE_MODE_B="READ_FIRST" AND web_i/=WEB0 AND wea_i=WEA0) THEN is_collision := false; END IF; -- Only flag if one of the accesses is a write IF (is_collision AND (wea_i/=WEA0 OR web_i/=WEB0)) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END IF; END PROCESS; END GENERATE; --********************************* -- Asynchronous collision checks --********************************* async_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=0) GENERATE SIGNAL addra_delay : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL wea_delay : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL ena_delay : STD_LOGIC; SIGNAL addrb_delay : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); SIGNAL web_delay : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL enb_delay : STD_LOGIC; BEGIN -- Delay A and B addresses in order to mimic setup/hold times PROCESS (ADDRA, wea_i, ena_i, ADDRB, web_i, enb_i) BEGIN addra_delay <= ADDRA AFTER COLL_DELAY; wea_delay <= wea_i AFTER COLL_DELAY; ena_delay <= ena_i AFTER COLL_DELAY; addrb_delay <= ADDRB AFTER COLL_DELAY; web_delay <= web_i AFTER COLL_DELAY; enb_delay <= enb_i AFTER COLL_DELAY; END PROCESS; -- Do the checks w/rt A PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_a : BOOLEAN; VARIABLE is_collision_delay_a : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_a := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_a := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_delay_a := collision_check(ADDRA, wea_i/=WEA0, addrb_delay, web_delay/=WEB0); ELSE is_collision_delay_a := false; END IF; -- Only flag if B access is a write IF (is_collision_a AND web_i/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_a AND web_delay/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, addrb_delay); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; -- Do the checks w/rt B PROCESS (CLKB) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_b : BOOLEAN; VARIABLE is_collision_delay_b : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA) /= 'X') THEN is_collision_b := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_b := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(addra_delay) /= 'X') THEN is_collision_delay_b := collision_check(addra_delay, wea_delay/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_delay_b := false; END IF; -- Only flag if A access is a write -- Modified condition checking (is_collision_b AND WEA0_i=/WEA0) to fix CR526228 IF (is_collision_b AND wea_i/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_b AND wea_delay/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, addra_delay); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; END GENERATE; END mem_module_behavioral; --****************************************************************************** -- Top module that wraps SoftECC Input register stage and the main memory module -- -- This module is the top-level of behavioral model --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_0 IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_0"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_CTRL_ECC_ALGO : STRING := "NONE"; C_AXI_TYPE : INTEGER := 0; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( clka : IN STD_LOGIC := '0'; rsta : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '1'; regcea : IN STD_LOGIC := '1'; wea : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addra : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); dina : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); douta : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); clkb : IN STD_LOGIC := '0'; rstb : IN STD_LOGIC := '0'; enb : IN STD_LOGIC := '1'; regceb : IN STD_LOGIC := '1'; web : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addrb : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); dinb : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); doutb : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); injectsbiterr : IN STD_LOGIC := '0'; injectdbiterr : IN STD_LOGIC := '0'; sbiterr : OUT STD_LOGIC := '0'; dbiterr : OUT STD_LOGIC := '0'; rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); -- AXI BMG Input and Output Port Declarations -- AXI Global Signals s_aclk : IN STD_LOGIC := '0'; s_aresetn : IN STD_LOGIC := '0'; -- axi full/lite slave Write (write side) s_axi_awid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid : IN STD_LOGIC := '0'; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast : IN STD_LOGIC := '0'; s_axi_wvalid : IN STD_LOGIC := '0'; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC := '0'; -- axi full/lite slave Read (Write side) s_axi_arid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid : IN STD_LOGIC := '0'; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_rdata : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC := '0'; -- axi full/lite sideband Signals s_axi_injectsbiterr : IN STD_LOGIC := '0'; s_axi_injectdbiterr : IN STD_LOGIC := '0'; s_axi_sbiterr : OUT STD_LOGIC := '0'; s_axi_dbiterr : OUT STD_LOGIC := '0'; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END blk_mem_gen_v8_0; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE behavioral OF BLK_MEM_GEN_v8_0 IS COMPONENT BLK_MEM_GEN_v8_0_mem_module GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_0"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_0_mem_module; COMPONENT blk_mem_axi_regs_fwd_v8_0 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_axi_regs_fwd_v8_0; COMPONENT blk_mem_axi_read_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END COMPONENT blk_mem_axi_read_wrapper_beh; COMPONENT blk_mem_axi_write_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END COMPONENT blk_mem_axi_write_wrapper_beh; CONSTANT FLOP_DELAY : TIME := 100 ps; SIGNAL rsta_in : STD_LOGIC := '1'; SIGNAL ena_in : STD_LOGIC := '1'; SIGNAL regcea_in : STD_LOGIC := '1'; SIGNAL wea_in : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL addra_in : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL dina_in : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL injectsbiterr_in : STD_LOGIC := '0'; SIGNAL injectdbiterr_in : STD_LOGIC := '0'; ----------------------------------------------------------------------------- -- FUNCTION: toLowerCaseChar -- Returns the lower case form of char if char is an upper case letter. -- Otherwise char is returned. ----------------------------------------------------------------------------- FUNCTION toLowerCaseChar( char : character ) RETURN character IS BEGIN -- If char is not an upper case letter then return char IF char<'A' OR char>'Z' THEN RETURN char; END IF; -- Otherwise map char to its corresponding lower case character and -- RETURN that CASE char IS WHEN 'A' => RETURN 'a'; WHEN 'B' => RETURN 'b'; WHEN 'C' => RETURN 'c'; WHEN 'D' => RETURN 'd'; WHEN 'E' => RETURN 'e'; WHEN 'F' => RETURN 'f'; WHEN 'G' => RETURN 'g'; WHEN 'H' => RETURN 'h'; WHEN 'I' => RETURN 'i'; WHEN 'J' => RETURN 'j'; WHEN 'K' => RETURN 'k'; WHEN 'L' => RETURN 'l'; WHEN 'M' => RETURN 'm'; WHEN 'N' => RETURN 'n'; WHEN 'O' => RETURN 'o'; WHEN 'P' => RETURN 'p'; WHEN 'Q' => RETURN 'q'; WHEN 'R' => RETURN 'r'; WHEN 'S' => RETURN 's'; WHEN 'T' => RETURN 't'; WHEN 'U' => RETURN 'u'; WHEN 'V' => RETURN 'v'; WHEN 'W' => RETURN 'w'; WHEN 'X' => RETURN 'x'; WHEN 'Y' => RETURN 'y'; WHEN 'Z' => RETURN 'z'; WHEN OTHERS => RETURN char; END CASE; END toLowerCaseChar; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal FUNCTION equalIgnoreCase( str1 : STRING; str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str2'left TO str1'right LOOP IF NOT (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equalIgnoreCase; ----------------------------------------------------------------------------- -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ---------------------------------------------------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; ---------------------------------------------------------------------------- -- FUNCTION : log2roundup ---------------------------------------------------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; CONSTANT lower_limit : INTEGER := 1; CONSTANT upper_limit : INTEGER := 8; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ----------------------------------------------------------------------------- -- FUNCTION : divroundup -- Returns the ceiling value of the division -- Data_value - the quantity to be divided, dividend -- Divisor - the value to divide the data_value by ----------------------------------------------------------------------------- FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; SIGNAL s_axi_awaddr_out_c : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_araddr_out_c : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_wr_en_c : STD_LOGIC := '0'; SIGNAL s_axi_rd_en_c : STD_LOGIC := '0'; SIGNAL s_aresetn_a_c : STD_LOGIC := '0'; --************************************************************************** -- AXI PARAMETERS CONSTANT AXI_FULL_MEMORY_SLAVE : integer := if_then_else((C_AXI_SLAVE_TYPE = 0 AND C_AXI_TYPE = 1),1,0); CONSTANT C_AXI_ADDR_WIDTH_MSB : integer := C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8); CONSTANT C_AXI_ADDR_WIDTH : integer := C_AXI_ADDR_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT LOWER_BOUND_VAL : integer := if_then_else((log2roundup(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2roundup(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT C_AXI_ADDR_WIDTH_LSB : integer := if_then_else((AXI_FULL_MEMORY_SLAVE = 1),0,LOWER_BOUND_VAL); CONSTANT C_AXI_OS_WR : integer := 2; --************************************************************************** BEGIN -- Architecture --************************************************************************* -- NO INPUT STAGE --************************************************************************* no_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=0) GENERATE rsta_in <= RSTA; ena_in <= ENA; regcea_in <= REGCEA; wea_in <= WEA; addra_in <= ADDRA; dina_in <= DINA; injectsbiterr_in <= INJECTSBITERR; injectdbiterr_in <= INJECTDBITERR; END GENERATE no_input_stage; --************************************************************************** -- WITH INPUT STAGE --************************************************************************** has_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=1) GENERATE PROCESS (CLKA) BEGIN IF (CLKA'EVENT AND CLKA = '1') THEN rsta_in <= RSTA AFTER FLOP_DELAY; ena_in <= ENA AFTER FLOP_DELAY; regcea_in <= REGCEA AFTER FLOP_DELAY; wea_in <= WEA AFTER FLOP_DELAY; addra_in <= ADDRA AFTER FLOP_DELAY; dina_in <= DINA AFTER FLOP_DELAY; injectsbiterr_in <= INJECTSBITERR AFTER FLOP_DELAY; injectdbiterr_in <= INJECTDBITERR AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE has_input_stage; --************************************************************************** -- NATIVE MEMORY MODULE INSTANCE --************************************************************************** native_mem_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 0) GENERATE mem_module: BLK_MEM_GEN_v8_0_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in, DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => RDADDRECC ); END GENERATE native_mem_module; --************************************************************************** -- NATIVE MEMORY MAPPED MODULE INSTANCE --************************************************************************** native_mem_map_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 1) GENERATE --************************************************************************** -- NATIVE MEMORY MAPPED PARAMETERS CONSTANT C_ADDRA_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_A); CONSTANT C_ADDRB_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_B); CONSTANT C_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8); CONSTANT C_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8); CONSTANT C_MEM_MAP_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_MSB; CONSTANT C_MEM_MAP_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT MEM_MAP_LOWER_BOUND_VAL_A : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT MEM_MAP_LOWER_BOUND_VAL_B : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_B,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_B,8))); CONSTANT C_MEM_MAP_ADDRA_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_A; CONSTANT C_MEM_MAP_ADDRB_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_B; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH_ACTUAL-1 DOWNTO 0) := (OTHERS => '0'); --************************************************************************** BEGIN RDADDRECC(C_ADDRB_WIDTH-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_MSB) <= (OTHERS => '0'); RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB) <= rdaddrecc_i; RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_LSB-1 DOWNTO 0) <= (OTHERS => '0'); mem_map_module: BLK_MEM_GEN_v8_0_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH_ACTUAL, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH_ACTUAL, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in(C_MEM_MAP_ADDRA_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRA_WIDTH_LSB), DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB), DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => rdaddrecc_i ); END GENERATE native_mem_map_module; --**************************************************************************** -- AXI MEMORY MODULE INSTANCE --**************************************************************************** axi_mem_module: IF (C_INTERFACE_TYPE = 1) GENERATE SIGNAL s_axi_rid_c : STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rdata_c : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rresp_c : STD_LOGIC_VECTOR(2-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rlast_c : STD_LOGIC := '0'; SIGNAL s_axi_rvalid_c : STD_LOGIC := '0'; SIGNAL s_axi_rready_c : STD_LOGIC := '0'; SIGNAL regceb_c : STD_LOGIC := '0'; BEGIN s_aresetn_a_c <= NOT S_ARESETN; S_AXI_BRESP <= (OTHERS => '0'); s_axi_rresp_c <= (OTHERS => '0'); no_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 0 AND C_HAS_MUX_OUTPUT_REGS_B = 0 ) GENERATE S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RLAST <= s_axi_rlast_c; S_AXI_RVALID <= s_axi_rvalid_c; S_AXI_RID <= s_axi_rid_c; S_AXI_RRESP <= s_axi_rresp_c; s_axi_rready_c <= S_AXI_RREADY; END GENERATE no_regs; has_regs_fwd: IF (C_HAS_MUX_OUTPUT_REGS_B = 1 OR C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE CONSTANT C_AXI_PAYLOAD : INTEGER := if_then_else((C_HAS_MUX_OUTPUT_REGS_B = 1),C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3,C_AXI_ID_WIDTH+3); SIGNAL s_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL m_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); BEGIN has_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE regceb_c <= s_axi_rvalid_c AND s_axi_rready_c; END GENERATE has_regceb; no_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 0) GENERATE regceb_c <= REGCEB; END GENERATE no_regceb; only_core_op_regs: IF (C_HAS_MUX_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rdata_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RDATA <= m_axi_payload_c(C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_core_op_regs; only_emb_op_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_emb_op_regs; axi_regs_inst : blk_mem_axi_regs_fwd_v8_0 GENERIC MAP( C_DATA_WIDTH => C_AXI_PAYLOAD ) PORT MAP ( ACLK => S_ACLK, ARESET => s_aresetn_a_c, S_VALID => s_axi_rvalid_c, S_READY => s_axi_rready_c, S_PAYLOAD_DATA => s_axi_payload_c, M_VALID => S_AXI_RVALID, M_READY => S_AXI_RREADY, M_PAYLOAD_DATA => m_axi_payload_c ); END GENERATE has_regs_fwd; axi_wr_fsm : blk_mem_axi_write_wrapper_beh GENERIC MAP( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_AXI_AWADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_WDATA_WIDTH => C_WRITE_WIDTH_A, C_AXI_OS_WR => C_AXI_OS_WR ) PORT MAP( -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Slave Write Interface S_AXI_AWADDR => S_AXI_AWADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_AWLEN => S_AXI_AWLEN, S_AXI_AWID => S_AXI_AWID, S_AXI_AWSIZE => S_AXI_AWSIZE, S_AXI_AWBURST => S_AXI_AWBURST, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BID => S_AXI_BID, -- Signals for BRAM interface S_AXI_AWADDR_OUT =>s_axi_awaddr_out_c, S_AXI_WR_EN =>s_axi_wr_en_c ); mem_module: BLK_MEM_GEN_v8_0_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => 1, -- For AXI, Read Enable is always C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => 1, -- For AXI C_USE_BYTE_WEA is always 1, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => 1, -- For AXI, Read Enable is always C_HAS_ENB, C_HAS_REGCEB => C_HAS_MEM_OUTPUT_REGS_B, C_USE_BYTE_WEB => 1, -- For AXI C_USE_BYTE_WEB is always 1, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => 0, --For AXI, Primitive Registers A is not supported C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( --Port A: CLKA => S_AClk, RSTA => s_aresetn_a_c, ENA => s_axi_wr_en_c, REGCEA => regcea_in, WEA => S_AXI_WSTRB, ADDRA => s_axi_awaddr_out_c, DINA => S_AXI_WDATA, DOUTA => DOUTA, --Port B: CLKB => S_AClk, RSTB => s_aresetn_a_c, ENB => s_axi_rd_en_c, REGCEB => regceb_c, WEB => (OTHERS => '0'), ADDRB => s_axi_araddr_out_c, DINB => DINB, DOUTB => s_axi_rdata_c, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => RDADDRECC ); axi_rd_sm : blk_mem_axi_read_wrapper_beh GENERIC MAP ( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_PIPELINE_STAGES => 1, C_AXI_ARADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( -- AXI Global Signals S_ACLK => S_AClk, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Read Side S_AXI_ARADDR => S_AXI_ARADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARSIZE => S_AXI_ARSIZE, S_AXI_ARBURST => S_AXI_ARBURST, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => s_axi_rlast_c, S_AXI_RVALID => s_axi_rvalid_c, S_AXI_RREADY => s_axi_rready_c, S_AXI_ARID => S_AXI_ARID, S_AXI_RID => s_axi_rid_c, -- AXI Full/Lite Read FSM Outputs S_AXI_ARADDR_OUT => s_axi_araddr_out_c, S_AXI_RD_EN => s_axi_rd_en_c ); END GENERATE axi_mem_module; END behavioral; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_clr is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_clr; architecture beh_ff_clr_arch of beh_ff_clr is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(CLR, C) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then q_o <= D after 100 ps; end if; end process; end beh_ff_clr_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_ce is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_ce; architecture beh_ff_ce_arch of beh_ff_ce is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, CLR) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then if (CE = '1') then q_o <= D after 100 ps; end if; end if; end process; end beh_ff_ce_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_pre is generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end beh_ff_pre; architecture beh_ff_pre_arch of beh_ff_pre is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, PRE) begin if (PRE = '1') then q_o <= '1'; elsif (C' event and C = '1') then q_o <= D after 100 ps; end if; end process; end beh_ff_pre_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_muxf7 is port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end beh_muxf7; architecture beh_muxf7_arch of beh_muxf7 is begin VITALBehavior : process (I0, I1, S) begin if (S = '0') then O <= I0; else O <= I1; end if; end process; end beh_muxf7_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity STATE_LOGIC is generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic := '0'; I0 : in std_logic := '0'; I1 : in std_logic := '0'; I2 : in std_logic := '0'; I3 : in std_logic := '0'; I4 : in std_logic := '0'; I5 : in std_logic := '0' ); end STATE_LOGIC; architecture STATE_LOGIC_arch of STATE_LOGIC is constant INIT_reg : std_logic_vector(63 downto 0) := INIT; begin LUT_beh:process (I0, I1, I2, I3, I4, I5) variable I_reg : std_logic_vector(5 downto 0); begin I_reg := I5 & I4 & I3 & I2 & I1 & I0; O <= INIT_reg(conv_integer(I_reg)); end process; end STATE_LOGIC_arch;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_ecc_decoder.vhd
2
24873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ghVMkFjIL0GYAeVXGsR/AYSi3dpIyHIi42C3Jf2+mwEBTZoMB5e9bevdUQbbYk20mGqXOhBsrI6h QayAk7iMBQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OCspzzjYW534uS9OCWGrHD+n3dRgJAgnjpADV6kGDIRUR/+mSj8PCMerUAhJ1eX/70UQwM1ZudBE 3jKTmN177sUSh+gAAaQ5V7kopAV+rtOvh0sSsQGacQWrtGdgFNelYAyUEAO3A0zPYHJ81lVfE8jl ZriMBxYgxKds8qliuzg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0ITT8JLaL8Vq3ik268Ct/CcW2/YtXurKDkfmg1S7vdm2u1AeBGfbzuS3TcmbWjptJ5HdfxgfBiC1 4cwp7+Zzlfky9me8IzG5TJJ2EX51Cta5s4abwMSO/SD64O3ZvO+detjN8L6eS6CdaZCW2G4GLo27 JPPhpeuMEvyTP4bZbkHntUAQ3zYf0nwoon+XdAH5+L0gdpTbdh/ITUXpLl3h6hgHCyvKz54+UwaD KOLg/iThr3HtyJTr88/QlrsjvSgrMcAgG5Mzjg5Q8mxkGlGT591b9w9YZIIFRtzXMYgyrpFFbqnO flQzPiPcbG6/FBsRmRYHXeev/H5yU0LixY8pyw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2sCeaBJ5JM6FKKLqzDivhHRt0We8iHvt6RC0kFpJYh0bHNA2j7la/9d+7Pw7P3HfXpqw/Y3ZUSWt JzNwgUkFamnGBaIdKQg4afVoA6ranqFCgRjr6u9jmqtJd8EfegVjSq0isr4g5z3xipnbma/BmWFV i2kXV1Vd1Q1j97dnQK4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lQRUwycj6hQt53m6Nkq6y4c8bVve74lboF14wS1DnXEz1cPzMqVYPCoAVfkL3bCJR+TEHQ8niKn8 VBK+GSDAZ7oCjpbXciZHfNHnWJPAAa0NR6ppJSUICz7s3YWjCGuA/M1tAhMwdzsIf6IKMo9E+wuv tRU+ErOrDUTcXCs8O/Hcclnj2uyt8aCvA06tOvMFWbuObHD5rtOzzwGBgsoN2EGOAgVmB17d4Z/4 CBI6Hke60CjAKreq8Jy9blG2wdyvfe9Pj5Q/R2Ur+lGaynEhEEmAR+4xdlSs6lC8xh+kkbeFK2QI RBRwVR5+5Qy9mZ4LYze/v1+45RvrCwuUTVbmeA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block cYhVE887W12FpgjXaJ55TQOAtJa8fNkR16snu3dLxV5784rD7Hy3spj/069Mx7JznlyF9WKhsKyZ B6mxOfaR7BjcUHywfPBTjk+7yhEfmIZp4LttZTb6GU4pjujJ9KIcbE7j5gWHn76qVba3Xby9JUBM S7uq31EcK2Hle9lhNPAs4UWm/LvPVva3KiivAy1nM4z17TWDGwTRH5Kboce3P6JG0peq9qs29o0E Dx3vkM+v7SUF9wtFfbpo7wB6NS7c2A2tWk6MDEqT7JrRunuFm0ki8c33RC554sfhOVuyv19ZpF6r uKBVRt0agIy1OtyAjgdHxOKY14wLVJqyAPHMnQGeW2bhoMrjuo/EPKPftCG5KueZPlZcZGj0fBTt Sf5sRA11zlasFvvTnYPACkTar4jpf/lmQVZSpE53mNxw3KxD++KwUuvCwVi+Bi/O/04Ddexscewv +unRHb4pn69QQL6T+qrlKcLXQaUUvwoj9uF/gy8XhMtQZxhX3Eo9IcSZjLQmZQkfb1efOPl8LdkB hqjTP+7QmIKyFkbYdlj9BFNZp1Ki1r9QUO0YqK1R/I5ke+6N777Qv6jcPb2RNtXqTot/7nXB9QYH 9dU7lD12U7H5YtEjrn/VD2bO+PD9sXn7PafCjax9wZpj3mDoZlbe5Qp85EKwKoELlWjVQ1n4UQyD AoTJzOz39S70GtkNWhGOF5qMFvFVwUaHSbwTzC2ydaoLQ6/3X9QPUS5yD2BfqfIknVdVnVT1ahWc M45hqW9KL9qKZwc450b8y3FCeiqzrTZGWzv8E/dDjLp74QMY1fOii0gkw6ANA7LDOMlYRlNg/t7r 0jaOKOF3LxjZgAE4IK5qHFIlYgldkaU7gr8AWkxUYGa5T+VFvfehilprUki5AKJhSgLPORZSkz7V EGBmauDs1tFreqAJTYR8JVK3neW4vfBiZTckd76iB2/7SVWgtMGKMwt3MMk8rckFeM3qbxO2pUZQ TxUG6L0UUiX/4LbQXyXUqpoUwV51Fm/5Dru9Y3Gv81d83rpLPm+qSuSn6cDyAjGSOnfGcskNdRWa Ckd0IBcr4PKm0hHhc1DujJIuaHevVayMN6+Caj3eFsP8Sjcsln+x8yciuqMsuN7riA8X6ijfAYWE zJfpsgA7KfeuFk2x1kUvBUgz2xusUN4l4KwXfUVr50B+g4AQ+A9KMTKI+1ebMBZwx6rUEBD0NoOt 2bXoSpOYEMZU3+f7OzobiDARAIX1c63Qort68rU29OD95P32c5oI1qqq/MZ67KDN+cWtBj/KFP16 TDIwEp3krheU5/1Fg6oBFZ2HTtusCR9w1vukE8y16kPbUxYJJxR/CIQiFXduzLCEDBkr/mYOnYCv CTi5w7v2YESsGdQ1cBCpR4iVkyQy54zNiFYVnDqWjUS320LeDWDNvW/QB9+rsPNU0rCvRFZHiWzA iKZz0a+mqrO+atbEfGC+CUdukJmsQUes3eXOzacfV4JmbfvsEcxO6Y/jE7xqKgcX16NaN9SW52tZ gEBXj/wLNTTlmWCbHEeKJ3i6LG3+rjCEMrHgM1g29Q+bJBr5m/qEpb1DAxNYJ2azJlBVwK+LzfZR BqdWv4K6W5Gqs0eOra6d+48WibQJZ7XmCi8PDyYbP4LqIjUV20uo3a+knV4cACbCVjrhSk4E9nAM rXj/deNCMCJmnFTfwKbuWPzu11068XlwNZd6opdLGNaYeT5pXAl4PRvFegV+W/ReWOBaHTPIl08f 1kCm0SUN/aNdSQ5fd0PpryNFON9w/KdWWXOfdWFzwSUtokBwVyTsxaxyrZ84nbILt7BJWiprT6tN AGVqz9bNQFineTxVCf7wNykb0T/h6y1oRAGYzhEv+Tl1OF5LQ65qreAtSFbtdeOrypptfxRVgTXA 4pOYuZucQEm2eCVc4jMRp80FbBQ3+AsHbHw/5+BJ6htSXMACbJftfq0THWJMHsW5OAM99JhqZZzr UeEHluF180rKTgxOVXzKRC9HGn+tURDp6be4XqNaNJTcrzGIpzTraAWrb0RjEM/isJJuHF7RrpGC Ywrq25/sc6QMiJ3jQyHFsGJeQ9C9QSFuwzYg4V9vs2u2SEu+iFvEdvSd9A0ArKRT/ZANuKGnuOtH m/V9T6PLKcQJIQpvJyq17XIyOP4TCe74XwUhJVRvkeZNro6Km0jW0WNkkdhDmoyu0XHKynYkI3IB IsDkEla4FEb9/ZB0LIWL+txeioJmwqdguX3/4iwu4aJINiVD+6ep6Oo2XW/lBpFNiR0BUzAnaa+D A5k9JPIRbmGdJCtSsthfOtSl0UpiUop4dHFi69KuILBnmV9bRYSAof+tldsoHLeLyqrC8MUTJVRx 8tlsHGsyYBnvYssdbElUO8H3+G7fI/Pu2hOqRg8pkDaF5sJcYS8mfBrqqVh5EtGFqDISqBcL/VEG a7voc/di2jh/jzAou5JuNdEkn7wWAn3Fw7L0vVKlVUBDvL4Wnd9636I8JRD/QoFryi0WSz27jRzw Qxhjr8EoafR2jZP0NzqdWuF4KVU6NptcolqSxx2XqXd0zdxERpZsCLXCK69iL0hup3KdGnfRPl+V 7ojgEnu7eefgGViS6wjDw/Zyp/SBlhSlazVNQzGJBIfNshgJI6DZmTa83t5XDDZPpxtt+ii8LwW7 oiam5cutv6X1Ea/+hpTm8EQWwddwQQ56Le+l4FNyfzmL3hIFFPmyhrerkYwqFPM72DdqVuLoMrTk VHxd87YjQOnxwOVokXvXe1Op3/3mTYy3sj7F7+FLtw6hBfvdxBtdd/Lj5VfV565XgV/lMmZnmjHG ri1rpcT/im2H6NYIaZpNLYq7hjWqJhmO6Mcm0CO8EHX4eKXfajuPSak4QA4TzkPAt9mTAr1yy7jE OuZYmSrMgTm+kfx0lmCYVKQXzP0sgRF95JdmvA+Giat+4W8LnII+edrDehe4EH4yL12eazDRmJju bNQ3qRzUK5CJ67A8SX1Ugpl1DVue7ELjo5pMbW9vjW3kYvI0+/PRG3/gINYLzoE8zimq9CuAR3vE PGRgTqDkeHhLT0ji9EN6DI/piMW3Z+xYILykE8fJx6A4pcbAm0fsyOq/Dkk57tl3Y6HmNxTl8rKW bOQr1TauFc86N57NhokFDooilCvf9sQxAniRI3ibNXltWaktvAmxBLuBosyfwDVQMTgOf5rwtZ0r WOZk3rUIMbIh70y+nJezIdjmu3NZB1sl9vqVcr/MUtP9b/twNfJmyg6hA6hu1LVBjaeFUBiNowoP SsMUwaBVSuSmndejjrKN+77vcIgO885ulfON3aVYcntOttDFforGyEauKBSuJSsM0wQ4dzqI0JVi 68BarjABzXMQRY5MRYmizXl0A4iRY0QAaxw/YQ3+xJ8nVpTQ/7Dtqlw3SGYmrrk5HZsyB3sBr70Z zo26ul3VIqsqaJXrHrdwBZUilH1j3KmjS7SHgJ5ToqDDWK7czP1WhRRYUKAMsE9bnggfFknY3Btm p2iGpYsVhqFpN7VJ5a0n/vrcs0KfffYP9yCPtyR+ii871wodiTlgGCtN9ZZh7vIwHQ9Cbj54YzHL 1wY1Lfd/8F3OmZH2wbphJHiZ2Ib0X3C6tH5iHFgdssPNmPYAeFJjC4xYJ63tpNMa7K6y5rtvP/qy EvU+fe1WvbJMUTxTi0ddZAZkbWxqfG6cNuLbKq3Zy5dSn8SjPwBYC6dJLbvtMCAaxbrwbtd2b4Oj GeZqDiVEbqVLiVVs9u6ek29JnTq/yGHthXxNhl89Uw9KVIx1QtEVf/tzRgj0pdMuLBYA869gVts8 WpmYqUwxP0745HciutF+pQwXJLq6sApYsT6PqwhPdMrOdPLFpGMsw82fdhWk/7pKqeYJKf+B0NG4 5ABBxEpiyksC81yt74OsjO31OnduGFfIE3o412/bVJeeK6nCc9Ff3EYnqvauwWMZ5tGljrNar6Ov SKZj4Qb1wEguNPhUXuotb+ECTnm+5ayMaHrMMZ/yCk+8VL0lJW8ZViFXR0y/llxcpH9e5+IlsU8W v3udV58f/SCknbuUuBAJxPgNJeEyTvRnvXqedS+zDivLMBysf0EejwzavUuURRC7OwCG/K6l6NJo 09O7+Ly0f+YsAqu3tKx5WOfaj8Qf0yH8DI1j6wM7vTIUaEeoTl3OoUjSI0VejCwvzhf6b0LL6sje +b8QbVgxSO1wKVMq2EQG5iI7JgQeggQiBbyFqrpC4bk0j4k0/qN5PbodxZQrCx4OwPva5zOdfb+z qsGy8jYo+Vqg7X4PnJwMCmGzB4MrPetzTnNhHrABMM0AITz3XIsHt4DRF7fs9zzP6C/JDqWHunr/ 4PBvOnl2ydNuz001XMHkM4WPi0q2/SVqsZyGSHiMG8gkn7DXZ6Vvg9lAP17pEsO1AwfXrLedmlJG lFO841FJ13q4PySh3V4aVmDHLT1RWWUl91Q8nkmHTR8SzS0jVUh3LI4ELUdDDHx7hFzPUYpdQP7U DlNzF4K03gayknzzuFradhY/V2rJ6pDBP0NgodatXmYqxkMkzLXnyAt81cz8mASZ1Qg9Ytd0sRQF ssUm5QwUPzdnMAkbbKElQkaj0hjqSmIgPsFgomAzhQ2BeNfAAhWvTtl6Z5lo2tSYLOrrmTGYuPz/ Iw4SQs/LUcCjmxg/a0fDdJ1ZxZ2rT20+nSyLISz9fNwJsNCE/d6PaFLA3xlbieruJsjLlAVnd91k zHpaR4+kzQzxMM+oryPFiTNcfMClDHFCKReoq2M8QYLSvN5rwj1z1FnDOtX6wv2/Pas4itvTY6EP f2q7W5+FoUY0hzYuY2FSPk8VSBSZVmz6RuUfZyFyS3BXKut8M8S3sNHd4lv/t/pUvK4s3Z9taoDW B9UZyMsdXi2g32u2iCZYy7+432MEHSfRFmlNGzrzy9MOqK3/zkZFtaTp/3+lMkgFZRglDxnYkr8c 1//vt6PeJvdcZBg84aNu8YGtLU+mWuht7y/bkSixavyTsjrG9vq6R4wht55F7QmLQIOW11+kdTUe cNvftmiweDE0vW+QFW0v45HcLgtN5T0wk1Dtwx0gHIVkPd0KhbCD5gmfaipkFPSM+kKgT3Edp4+G r8dQHlvnM2JwREFYAbFYr/x9udcETJ0SAc26ElYmMHoyCCWT8Pwo03zFNDo21s88QoC+UO00d608 JJZGwNlAUrTjAPpbH0MR7BwLedxIfAZI3uTMX9Az+FNWer9v6526AEt6TgrkVu9pMGhEEBefL7HG +D9F/CT7loKlisLa0WqdV2J3lycFOO4coNHNZK2DYMr+YsM4HH7EX//hwVU7mm+0X2OSe3Fk+uga 77cN/JbPCgelQC4ugwlxKog0pJ6tvyDTwx/vIBn8yM+oSW7bcdgVL3qHF+EqOu7S+GJBk/CyZ4Ca MFCoihmD5AfwqZ+E3oQQs0Q5ObXXJ6kduRW10IMqMWZ9WRvKGvgLflH2lT1sm0AghMR3V2oUCy4K bqqDrLtAn0KKxKycADae7AIgYF8CN2b7nrUB71vvM83Dt11DmN19iAPkqW7X63fotlsxLzND/5AJ ysYSk+LOCgkWv6jku01F5N8ktOOlugKGy8W34DkvbE6bsd/y/LI3b9Fb08AQBj3TF4gm9OXnObwH SDCXjrfotnEJcSXzoiz4MBBJ8w5qbqxzgbFf7aGoSAkBCOxisshSgCswQsbKKPiFbhqHniSmONXM QFh2iM6T4sq9HirgjgEJe2Tf007o1cysYXlwhCUYXRgp77KBNvS6D3TMYnruXVmE+5QXbxGLNLDz yQQ/QU4o9Xsh1+LwyrwlO9v7Sldu+yfp9FCrn1hysU9D7/MUmUba60N1TtUyhw1uN+38vPrwJ8TP 2sIc4lkFWhiz+mB2AomURyZz2WqBOtvSZm5JK/CYc31LOI4KRroMYxN9gKg8TueOjFTtUjygj4hS mY6JppMrn9pphj0XdBqxID9EPWo1Wc/k6J+qUE9/dIV4EFDMU8nd3SgfhIZQIYmCihKadMeeEFJa RSUK41IW0xMcsnP1gSupsi4RmEIkfJMiCradYZWDKLuqwYlFVNPb5jTNbTeeoJ245EgZxIGkMYjk DyApZ9hnk36Gdy76IxwT1+SQPyJtjmJn1orLcSuQmm3vw8MZr6QFGxIru0w1yLgkyXvn21Nk7FnH exyWgA2FF3mC6gNjuhauKF7aW4OjqYki1dY8ky3byPD6C5yuldLWZPxtovqdCE16Bv3urGntzoZF aWiggI7sDkYvXskWP5PmPvgoyegHKvBOElDXEhhwl9vP0kEgk3/7bjPqQDZtJzU132YTuOXugW5U eD0x3GspJs49p/H+FLrZBH1MbLQIXKEkYjA02ws7SdWDD3ewg9vzaS2LCtX6Nnu3MNVqc9NoaTmF 8wNw95yq8taP6pa4hvUFZsr8laZW+mNcuNh+jmxdH95OgKC1cDnbfr+7xOi/2BAn0N2HE3M5EXpG DzJ6IXPAVNqy6JC6DRpKQXLa7TujkSK7PyNj8z00NRWtkujJ6AIy+LB9GK+jZnjxsNshw+a7dff0 BxVB1IXes815RZlNcYZOim9P+YNc4x+J8lLIDLEPyN1yGQ2/CWCIT4IWCrR1dfVCEq3mCXKGD/lp ZI+j2DPgn6R0v55GeBN2r7haTiJmtwSnKKcKE9Qgs0MpSyC9D6Z2ISSRFsx6te9lKUn8NC0YBTSg OPQzACxJ4baKsdHJ9UI5VCJ6k2CrPyyuuanBNUSGBTv75/YT3Pdur3qGyap3xErm3U/ItEUy97y2 gBPEYO20xUP4Zxh/pZVroMNJUB1kLU+QK4p1AgzPZxT91v4I1qGupvPZoSzaHFojM+fiVDBeDQXQ BiS0S5PrDmwL8NjBrmqL/KFkT9epQU3536OLzqxV4DKJ9+FLZkCExLyKkN5P68uEPuXQo3kcqMfp 6NlFxZi0cxi3aZ6EYNgHUvaGfHK4d8dAF3n/fZZBc3Uu75xPACPAO14Hog9wCC8kkGELjSDIJVMO oEY12hbO+I9y1Qq4JWok6v6DHIjrBEFORw3XpSJgMZcgZrUHZW0yep7j6Ix26bDi2Qu+HVfHkvGe sjhp5mpIKKjwPMaTZY2Lh41494H8OdCQa5h4+UP6e5AJPA9wty+Y66YXIP/S+3H/HopzfJwgxdhp 1tBlUf13h+sHf5JULizaeWN1anJephTJg7kyVdkYnjdb77En4TZhdkmMc0p79f/ZaVWhc6BIOl3B Irp9qnFVORtr2Anld58Y8Vk2OdLuIfbzlJxa1+g2vrXesKaa5BXFxfbDkaXY5yHLg7tWyfUsr8Gb OkNnvrgewyeKrJfxmobQhp55K14IyRMhGiSHM6RYju753Q6fFyg5ExW4yGdnuvOkLXWyQqUr+P40 9ul7oUzqpAjy5JnVdVwcikvd8QMUqAzy7qZjOm1DFofDkWfWdyqmX6lyrCj8k1lsSG3N/SsORcBn jj1nX/agrIKGsRDZJ7mW0hbiDyqV10g7TX/4SYcwSN1/SAQSUDXYeA/YdX8nqtyJbBc4e5ejemaG L0SAs7scpdX0VZtUP2zw0itGp+AUFXSb9bqN3N559It24ieUp/4KCaJNzMmy76v6sjv0oshr3Q9m +LzTj6+ggspeKyD+h3DHQkeeEE1X40v+ky43HhdqQYbqVBUOXlVtUEl8l1wisSXrXrnsoAQ9ZUCO MBUCgsmklQ8mCms/QlGfU3betCRmL2WUx2jiOg5/++EGXpMBmT/B/BVxrEh19MoH4NElnb9yPwix G9cqWLf2VdzDA0Z8pyZNnSt9Y46j+H8OX7G4AqXcIUp5c9vae9r2BKCtaQu6tcSMpBRqe2eCKzTr yRJdtgw192zYWf53baGVSSV2OMzCn3AdGr7VWVdLtNjeoJexggld7SjnyIGy/ltZhfjvp1VhVgcI 1tOYHW71T/pOf08zqLJRnzzGDFZDSORCEDC2CDYtkwjqx1wlP5fL7VJBvXRJmcIDMPbrVOpmvHI/ pFjVil36fMQ1EzNjkkgGl3g1kSwqed9ZtRayzEAonrgDgnhWhSd2/iWj6WLsTPrESw0bSE+xswb3 R3vHLfTY24FKP5dxdOJnu0BYGbwE7sDyIBrUD94q25g5B+tAG4G6arUPhlxwul73ebTAoxI38i+y nmy8PR4vcLHE234ZibQWkXPQrTiueIZC2RlNB6oPHJu7oIZiT89nJi8Pje7XcGJGInwU+3TB4147 q/uow+1sVcNx6taUA/g03pv0o50/jkbGePJEJqMUDEkNxj1uwEzbdgfwg7fbIq2POBYdKy5LLl6L SAbfPPv1rH0c4KUJjpooKW6eu6DSXa4gFoOQWBS/Fb5ZkqroU0tjcKl+/6o7jg8ub9yNghE79Zup LP2CJnQkKB0QDcjsn+7k58z15i49NsD2L7YLezDw2jmEfrDtAh9UmzFu7F8ltHw2baH33z71NScR YC2ESrqrO7wbwAQIHuX0MRhUKrO45L1j+sYCYzXoOaBaUjtIJo3QKay3UrjmSvpOI+x4DhwbOT7W AhnCSBSR5BWJDnDs1opDGKupowtGXD/JVbGjw1rSfXLODkjZqw7TsWkAxmIZ2A1rY7TrC10JkdMP zym8hH12Mnfze8MqPMnMpy8TElW2X1W2Ils1+rlbg7Yavaa+NwZsfG4fh7kwXMfZYSpmXW6LfQ62 eqJJS2cpCsW6oHWeRLIGxfnq8Wl8Opf6gf+IuX+PNkPYOjW1pQAEjV9KmxwM8C6mEak5eg3eZleE BtTbBalMyP9qQYT1KZ9i1cP1Ie7tb1jte/2ZJgwaHs28BeCbsAc87rwh2o9mU3F3ix4UHin1JD4h 49nZ/OiqOPiL+5rTNkJkG2tsIIXHaUSSxNKly+pSdUpr3k0duXibbtj/VhkpGf6ZcLnTEeK5436j tBcQgdA2XO3y4UvmrkbUVD8uOmH+D0DJO1d7PugXMIoXHzkIPLlQRHVo/CL0ou0Hn3vpqepazdxq yddnQwDEWpvPtJZ5edTFn27Qx9/WP9BB2i0RtmXKin8nsh8iAQ/2LHB99lk98Qrw4KdyvV+70pa/ y3S0PilEw0LdPaP9NjEqWp6oqqHMoxbQeGaAyUOSpm6DiqSO8/vAnfAxOZ2mTJlKDd+yDRJx90F8 j7yFHP2vxZJwULDqhQ+Qb96DL5/HH+NWtEEWfhz6qyquP5NTPOFC7wyUS/wYrQgheNWd5TYpmJ3M RpImgA2Ito8pSqrFQyWftDF3GBSS70sCLCAHaFxGZmVff3PeiVhKuGTVdxOcleP5Ik618oE8kx2y h5d6gj6nAE36+yyxKLyYnsVuhRapDZR1mFBCVJidjnUDCm2Kz8a11aBe/kJ65AkmBUtJVR3HokZt +rTrtC6nfq8CqNVmKXw90DLvv5LS0o9nqhciPfTj6KYCl08JH93gmD100u7VkoaNH5VCzd/yN0+Z 4IglROdoOMNJP/vskqFV61J5YSzExe5jLT89XVBdnTX0Cz1rbmQ9rmDd6XEddJk8SDu1AI102Sjw QZyORI548CkKRdmuVvihemN9EtPRWLQGINbjR5O5L3Uwsb3cBjPq+VMF87aMjYVnZ+Ua7bB8DhhO pJD4gOb59cuHzLnwqWSPkEnBhAEk9aUcLaD+7aVpbvIcL+p2Gn61eHmkMD4Hq4usjtNsC/F0HPUi 0YnmDZMNRQEKWFdjv3sJKEK1BXgUVq1c2TCx7qm+vQga7FOpjgSZGK/JSkIecQPSwj7d8qI7dZfq voXEz1ho/66FJiIg6lbOXKUmcU6ftjT9zIzlhDueA3G32AeX5l1swhRUlYULJAajcgdNDfW+Ndmv fgf+x4U/189/RHhMjb9XE760YUFYIvY+GXyjFyj6pgsbAR/Ptl+hZZt9dezOuAqIXBO5pA+5vFLY ZtZCNMEozNLRbKMIlPUW46dfpKOsjQhNukahSVHEsSy1ilCyp+oeqL9k0d3nAVrtWqbVWTAJ00S1 a3u2lt1x1pm9VlB5uqyfIJHtOVsbcYwKpVv122g6Tnq74mOIYKS6X7R3WHjQphvyDozZTssYdYmT xkO1aeAOuLwvVcqsMJw72PMSzckl6VgnUDvBZa2NG7lBX5CdxU7btjtaHavZY++2vYt0r4Am1PDe NnDJt+hV7KeXLkA58Duposvm2wHDe0K5ryWGNt53/6/MRng7T6FAZktu8Q63JNRbF9T8RRJq0OrH SRH8cr0LzaeA9LC9tIZ6ajkVwmTcWrVTmMGvC9+hvX/8on9sfDn+BsHpC2ebgQmQ/o+1G17roVK2 LjPHtyNueRxBCn2iX2Zb7v+YG2BVccEmvou6aBJyyQXXNAdpnG/Kif1Uo4Yr1y+2YLeY4s/Vu/Zk FvZaK1xgHOiIYx6y9wC+wqn/Sq1L3i1QQWMBl24g8/d2hgjYCef70G2adyi3Mg/8pk4D54ONFvb2 hb5stXwS5f9yiFD8nUvp4GBdps0fznnK/+8EtiNzc/eoX3kc6ScRwDoXdtGIIthweK9330I0FG/u jssNGKDqzM0W9yQvtBV2oBXQb9E4+0EArv7i35eNLXeUOCH+Fbsow7QiCl8kIKHHzdD38a4x8lF6 HUx/UtHMNxdnnzT9xC/RuaqyaDlAzFQh9+L5yQVNGi0E7/WlaR8prf1hmV1b1Byu3y/K8JgU1a20 OyTZaKWIllxeytUMorFz3zjEESITjPG6wRPTUNunhKkZNQfkbI5sW8qYE/JGXi3zKWia9NTjrWFX EaU10fxVt+iAM3lyTVePcO1BTAs6wwmKlNkuTOpKT6WoKUEi+THMg2igepKFqXVqrApEgOGocF+M bpDto1gJVeJ202J5G4zzSWBEgvR5vmWKVZ4tLwUqweasY02aR7VOl92rEaEEfUxUDlRfCE+v85Bt vMgUpywWUmRvFD9LK01ScKUa1PnZYRya2St0KSNuuF2OQqtWFq2nUj8fH77aYRi7d+hVvfLnZegj VsQNVCCfhUJEUlfsbAMgXCcG9qF0jEsDBaReKp0qeQLNY0C+EDXdEHRdTA8gKTtL0AJlv6MQY7yl 56CQew3Mk1sdCZWpuBtzw0oisleU2pWP7B/bq0QLMiCq51qNNEX+h10xew57aqholPP6xznEp3Ig VmZx0yCWM0dKEXS7B8CsgsN1ufWoeCAwrnCyBEYR1EZ4UFiF5djI89Jqyy3xz2wLhLa/sO18qYlA R3MpY75NL5WYsWXugkCcXfjS5aT3bX3WlN5RNZ4l0UUxhZrOH5dTFy4BhyVi/kWtGtoJTR9D5K8z LS74xd0fBY2NTxhb0J4xvZX0DaWCDB+MZNvgYuBEh887JF8tfWoFbZnZLZpQBYWQnG8mVh02Wb55 ymYsjx9kuYGAOgQIMQUEcq2yt8jYnSH7agjiP9Oc22NqBj0D7fZE6RI3RjqjIc8uBEpLFxx/9Y88 oGfxY9XYm+8H1UkE4FVzhgQWdFuuUQRvQ02y1W743QswoyuQ/jzG8oiI9RxvOLTEmAEAIDff8mPG +zegDrH1JI7kuMko7j2hlEvADrsLomSMa5WjPCoyjEZdtuPEW2YQ+8/zr2MShHLMj6rfBXi4LVj9 fUzrXHvWs2GAJW6TwydY3Ab3Y+zGn7sPV3fXkvH4YtRVyH6yFnYln6pVBoJBL8RyrBQwx2t+13xZ ilN/W6Ho834lBqUgy0efck8UbHxf7tsCr1s+vni6jnLnNqU4k6KNbXK0VBEfI39FY9vS+xCN7nDl HbF8g7y6A7XM6mmmKbG2jo/pDHiDj43fYQYsnITHhTpNHm72QNBi21tOCh1Ij6fPitXDE01H9Wys ZHXHZrNe18OD2ou6GfLnz4TQkLxHoJxZ/bD693H+KR9oQyr8jZqB9t27eAvAi9ySEhh4uTlnzilV g32GgdCS7VJyYaNqynBqAj5IGaGuF5FYN0TsLS/3VgmniV8vVi+nbpR+VnCa+mHTse70r/0n/rWU UZPxS5YmhjrIQbrQZN1yxRI4nEGW34Kk/nO3ErOG4YGnD4CDdle+mQIg28iTIwJqaE1DoAi/VDNc p5dt1kGRuzRL2MQeg3bqL3SOruUrcl+tEjDUQmmPjOC0+iGV2tPh1PkdiuyfLwdCPyryA47/sRUN ml0VShGIj7uy6z3XsGGamQxXxLAJDsrc+kHGFZY/a7P3ENd9kVs/aLO1kjCMMLV6Yh5MHebP0Gmw VrTWtzwM8waUZHWSdpjp9vMI3I0AZ8LEurczlKarY0gUCProB9eJzy8yGmcoaPXvGiraU9OzB6MK fMlQacYjIzGR7HPlZXRCeXzCn+xN0Qg8SXNy5t4xdmgbDRCf2KIWd3C+Y+wFk+MnmVYiV8FABaLU hBU7tqhmvvZmw5WXrQ/zenoMW+AGEXORbWpaKZ05WHyPzjcUWxxcZd0329xYjSjfeC2HkJ0nuIMR rGYJr/5KBVCcXFLEqUcIjHcK3VTDpyC5zRQRErfcv9fHNalXMP94CUM9ZSBfPYCulJbgCvqIDMvB sjO3mEZEzJ7NPJ3SzDlw/RUdjkq8uYNS6vzmijafY/IUbTlF0Gc+gtPe+jFjg5OfKMTd7hQ6CNE7 FmtW6XDyTUvmJBTIA6dMSPpsSl1PRZzT065FrXwhQuiPFYLKJspuVm8RvUKY6XPDYWvXSGtCM6uM mUFfgNwxm9uU7YJovdqQjoLc/I/7WnUaa1WtmK3pkRmLGSUvkCySH8rcW5H8AOrmN1tBclV5OssS VWExksC18fIs0aESllo/gcpwz/t9cawPGNTmteqtSRUrmTpM3mmiYXGTd1ZwExuGA+v7WDoEVsBT Zh+kv5ucNDJSooQzHab6b8Vznwq9mWKB67nOlEohxXnTmGNOwanSU7UZsPABag4CfUri1wWkRQvP 5DUtVMtHj4FcbFptwxmbe+5dXimZ7gR+6km+rXwq5r/pQ8gY/SxtEzJeMFFsVuMnWdojBYS6pOL/ 25zohZrl9q2siywS2ljKc+V24QbQ0kzGELrk4I+HlNZw+15NUULaH47jq268BFpXIzRD2zWWRKf/ ocaE9rRJI561J27qj964icmBk6YCH2vyWy5IV1G5fMrEdNsAlbeZrBYkBfm/f5nBSFO4fuwNqFD8 iRtWdySicTzqciP126KqYPgL5YEjWttZAPVVrymr2NJo4uWmDAlN6jEfr0B5kPfeg+9tMmLlInon Ff4nKJ4uOvs2udXLvUxPMD5WY5HpxcHNkJopfTiPMckEQ28fTbkv89TSlROARk4rdbNZhbbgU6tN AROecJ1fSiqdphegbXi7E0KNOQPEvJqPLxcOwA3GeV6NXDsBs2LBY9Cg8r5FYmOyy91JlteK+8Lf H9nOTQhJApbmkJuuwLPxN22K/AsTREEpgWqhQ7D7shAalF9hvgmgVyufsav98sB+6nHDrvZ52GHn +Dhy5Y2klB6GovXIlTMcD3llh0q/P15Lq6OV/CSuT0yc4pYo0Oepr0erswyfpQh7dqcqx1GsIdLw 93e9dap8aNYqAHMW7VwdXCPjybrorP2qpVwokRET+hFj4jfW3c0d82ro4cq1Ad8EkkaUvf4CMUn0 uO0c+bEJIr+xyqoEN5K72djDvTv/iuDNA0Hji5aJoN9Po27C87/w/lpv1E7I84UsLxMhsSwwKGGh ItzsL3tqCwRehhm+S95khvgeO8ieJfN5hnRXXN9xeiPveXSTf6gjicbglQIAmNrgTh4ooQlgpin6 G8ECX7gHRJdPAWE2bCh+VRUPzxvB02jMhGQgAH3nPHBtDe1XQwKAMV5Bn2FNblNLqji7K+ZdLENH 4D2bFaGNvz6srm8mMH9MLVRH9Gj0kSCqHym/PqY4Ekp6KRTIlR6IjOivNPoy2w8FSGppW6FFvZud nLWSX/n/aAM3mYOert7H2TKZLPIsiGe0rlIcjJH3eyJoaZGyo9bK0wf3inoRvES8Nq4gzfojCIF3 hNUAvllhAIh06yKnCl5r6n70zjuwqCtxK5d4bZhiB+ZZOyPr6qRGO3x2VxvANC0FIwX92a3odciy LSMAEc1sE2WYbK3VrLG1fDm5X2e/EQPIyseCr9VE0QCaKbzsTKObph9fP6rPB2S7CcqVcCxx4NdK gWii7dhlVaKAU1GMKnrzP6sqp7jraRsR43Q5Ca9so4x7a/qB0j8J3Os1I2E5xqG1T3Ad8SIoOr0f GBEjquFuCc7fAHT/yK0sZBSLmMT1fVa1vsTrzyA08iBRIzzMihGhrtvtFt8DQpa56WgnrVPnA+az 24EmEdtSQZn1yT6JyIO9Nvr1jm2y0nP9SX1igKPQy22IeAuIaDhBTl9eh1OdX5kKDh0ptiK77M2s bfUa4MeLEQ1MwXKns2bESGV5zBgxqu2M2Tq/KE7YB3nKjVpGVm+s9yTHxw+bpUr9+ng6l0RUbua4 wRKqoHioBrLdoID1swfNCNV3yaesmLSnzlVD2i9UKDPTrR/eiSbsWD94NvO7WJVULdrEqySqPxnh 7vleY63VrqTFQ1SK5lCOjwt4crpIlMDjpRcpThvAbYrgcA41eN+2B4Jl2kAvqddwOM56lSgYgwEG 79aGIaFM04Jp24HkBxD4onpbfIlHJqKXSNpThl15/+Q4jWN0UARa6vry2RjJEBQZo/GKt7QTMSb8 LsdbT7d4vh7YtmepebWcKG0aKSHbNtcN31SuLrmDx2ZtdhAaVCpWd/D2ZhFZYf8YWE1pCK1t5vNk 1kH+b0Jop4H5qxYGVUyB6q312a+nDD4t5/jhdm9eH8yzlYdUkRqtLuV4GEixYh6DWqIWCClU7v8a t90xCZIQntvf70wPsITRdWacO+25eytapHT5FQTHU/+ud7drPKI/RRzmkDYDFs1ycSwPJj1kM6X9 WbAITQNW90kE1DMa8LveuYpj/++FMA6KDB9zvOoccqJxram9Kee9++wBPgOAqzmcvhe4kthiS03C eBY0k/+IJhw/yy0mKPbNSb0VFDF572dfKboHCnBQ6LL0GnxBl9bHRbDxOzyJmmC+zRpMLGUf2O49 i1EQEM6U9vWjMdtsKoWwBYBlpucHVWuLxCGfhkjmlCf68ixFiuizMHTUxRwPrxQvtMBTInCxkCpg FiOqx29O8VOevflDiuPXfkXrySDdIn9SQZYOM0kgSG/yvWbB4WlgQXPlKw9seEt3DKhy9iO+MNof rx7Lt2bqfKxey/RF6kMLxSgUdUYO4AzD77VM7MoXf5TPOZ9x0HGdV09w4opiy79jP6UBGMMYoTW2 L8OVnBdoj/Mk6AWJXFybd1eS5hRNgrpJvFRIM4PYndKYcum/rSrGodZgQadomIGqSKvtOStJSeGd 6nrBhX/UC1kQbS/riuofFOga0dD+6UsMBN3eUKFLraT60tB40VRM6d/1vDNdO85ztpaQeENl2U7H 70ui4rCY1P+wbArOeXfk9awshmSHSEHF5VUd+eWgaGHi5E6qlgQTsH1xLSKW+I5xfqd2lHqKv4wP Z41vRjliA+kdOJSEHsE2cpK+RrzzogSVAtwUyIjckgQ25QRRM2m2MV9kzKg5AzJaCka5aveyiEE1 nwpEkCTygEFBxPbnaPaYEDrjGQ9sEICi3qve4rBQd16aNnZDIxI9AoQWela1IV4iVFxpzK/IKwpT 2Q8wmkaPak5pk+bumkk6WCBnYhyMtEa2goTOB8G28oOm9KWz1O+w7MaegUXhNK1pBhXX+jnd6iti SuxuLesqh9Md16DHm9WfPBz6sC9tYUeH6hIIcRUvuvYL8RUxUtLfFgF2XG70U6cHN2y/BDotaEbp 4Wy4P8G/0FvlM9HlPZiOQAhZ2O2nzJ+fs+1IzP2FmZNoyB7/mU+LlBITYIuKqx4Qy1+U+A1C7AZB 2+N342V/Zqd3rLBu4xPGR1gGNEKex2Zuy3Op06gAdLrp1LPATLW5vDtjVrhCSt1jc5FlAmY5sRky jlZ9X72j9chBf/M3pNjKm0peAqxuutW1bTb5B6qsWJkdaXvTv3BQRJQVdqvf+9e5QN+gkUdSPOXK 3rK9JCdZOj0EmNSzvhK4i6I+8p7MeHNv/sDeVm4tWIVn1c3uelo2O2Kb4HIUe7wcOBjtJxMoHHdj +gdYuZ/LA8CN9FFuR8hcsDMVRAzf1cTxYjy8uVyNxguWQPA3Mi0Z+tyr2QXvo00c0cYhE1gpOHAo c7remQ4hRPmnjorqB8gNnlQpZKu+mUfw9EJtrMxkxZpiuQkn6QsNyeRSp3J17exYc9L4L4I2EDeO A63xfeSOBXnPe/vNAJ5QGuVxeYHF5F0Jf6AVxbhsvLtHDFGY0J9VuvSwtsFfw0I2q4aJZApjU26X Gx11jX5iiujXyuTnxicd5HolUrUJDO+9uJSnFsmMok/kyPHVzHOMF9wgUVhcsN7o0iQJlBwVCbz4 cvwBg6aAyOG9fRfbg02u3UoitWurXUoq9ZID37bGC5qfWFUaqoYnSkMiOblg8WwgxGLUrU2L6PPd puRGK5fZU8ICAN12D3g+ZFcx71yHlzgSFjAq0K2TQeDnpcC7P6uXFHBJSmr9MoysVyV9GFYtZDXx 8PqdqmA8UlCGqffl5fSsJGsjU8ZucgnR9smHmljIhw92xrb64UuVylcsxIcM1QTPTXepXYIlM/2X KtTn6BLXSzN0kNZR//PT8MYHLa2rmEQgzsLJ/nEBv3ySX1YCXsPgrnc2NLmOY8Yzrpcf/8hVkv8K szIit6BhP6FEJihboMnWOPJuvhHr7PEdfao4IgrpXTeCJzpN/VMmnH3ZUO7v3WNGtax8Zcls1wVV /haPc16JDzNUpwXkWNlOuaQZOULaunFMG3ObwdrxPqJDZTVDqS5vIZK3UpWDgmwN6ruWinjsXpsj kPQ0XIlMAAbSpllWQQwkmaocjZWezL6ZuHRx2v4htJVqygm9ABXzjO2/2LRymr5iKVo1Yn38PfFw XIbkAXAx2FXs6Ct3nO4xtpqB7HOpIQSC5CLI0juLpK+Xkz9KPhea3uhCnq/mi14atVwRTbI18Ad8 /vBhrgyzvjDlWCk2CF2Bg2vy7oU9w34WJZhLf0Y2pNNI+g9CwliLkD7cV02nhfPBXhYvcxPCEn8/ 2MQo8+P7sB/KZxSla36djnf0F1nZUeolytwRNFoHOqr8Tc/trbf/pI2VJixQqPrB333e6eITnRtv w0GDBaL98WovaO1/G9zJ0URjqDLnEpnohZJkjnIWX2IMyf+4yqoEXkWE+xz+Nw7/AjbMouuyxnMd MwzxAoG1ecA6iSB9CWTyW0gIw6IjYe3TWoZQiu89/FchDfrJefVJTQadrycYK6j4TjhvJ+gsjkV4 MAoXEkL/uoCeBtDCVi9Mz1F/b8FMNMniemc2ZTvJ64zhvoM8LQNoIwqIOXzmQz5dg4tDMjHvxK56 wUForej4B48w+FGJTFI5gE2JCBntAJKbVWMb+w+N9w9Zec0o7ildsVeJkmdwsZoe7nWL/LFeQsHb 8swPym8r6TZcc1uoK8qKXqOKcBvYZCauROmA8zcpIJofXhrcBdJryD/tvino5d3aooyglfTK1Y+S Vwx3W6beGuLYDFzY9SjSGi66hrMYVKy12EGu9HzegckXAJ8qFPgT2PuUKZDqTTdnWxYLeZiP4O3c 5JORU/IbFpoV/RMWHXEXTKeWKe991jBSgmnBbnK2LbYj1msOUDihoJ4WYEjToOdSAfT/x0mWi9vD rH6a7gn2nRCEZ5KKGX8+gCMq2KwnzAwM6Jtqq1uxHy2zmLps3IMGU8aO25KVxWbYa3296Z8whS8Q SJ3GqHvVo84+K/oNjExZl566KdZ6Ghl0jHFPtuhhVcyeowwHpPpTxFwfthlGmCT8wghjEuTL53AO mUT870UMlW55bw8df2IU7j4ZboHe3lBrjjEVSE/UsaZo1+3dTJLk0oX/ATxr8JYsaTMHjLZDdMV6 zW5Xp9z1S7RrGOY2SySDoHc7zXawn+h0q+2gcQzG5F57A+h1GjD2iwzwFJyWeNpoq9PAdhl/Lzad ITNou4QT+m6YJa5FbjWUWmVawex1/pdxzmwnGrPI2hrOxJNjCsaBNGYgf1OQqSBUaitX61/6MwwI RJf88E/eniyZIMiyNFgi59tI7hRIUW45CuSRydmBJvroom/vxFJoXRyyAtzVq0LYzgBZHEUSdkIu jBZTWMBlhXYE/Dek0LSy10z1r/ealHIjvOazcifQvbQl07nibhfk1HZBsxLAWZW2nw88eKQL1174 hE2sTagC8E67CMSjXXK2eQfVNvOgObLt2PCs97O4wRt6BuP2CnZLWJxvPfWfE9pWW75JE9ZSbypk pPkKdTKxt2LZFyj+wAehKZLyKX6cB53hOy+fQazXSZBf0uCmEmFqPfNQxukNzBztjlbLKtlnMzB6 /odw6UA/kYBaB/UXjFmzOs/y+K5jHbh/YPOyL+d4w4cdmrFmLm8JLkQf5bZmBJOutXVSnJOsPIKB wTMn2RwBIqyq2a9skx4dS89Dse70FGQ/M0CD9ZEpNBUqRgtyykXGGMDQ9zgt7Rd+rdMuVGz1Nk8y zyqFDzoekPYuBvppw6ji7FRHS1VTpX4bMZy5MDS8HZy9fyn7sDNQ81QujhSzX5vIKFDxQkS+Zfu8 BLJwbwokm9ng3PygfCSNShE1hhn6WkIMTe6/NvXZ4NivNgw4d2gUDnhTfmx5rzZPo2GNvmixlC+M e6qS+HgyBTBq+W2lKg0pypd+PYiFSJYAC0SpcJ64vpttcjmalR5OPRQcdgm2MCEekFcwWKA+EAfW jncjX/IiN65GWJCV/KZtm0N0JHrsObkDddm0mhLI6ZBuBStPN1uH8R6GnlwYbutQGct8PJrnyB0J GHhgLNQ+iDEiR4tSJipNodWTPInI2Uc0npRO/ti2VZWr7uir3uTgmPxZjuHfo4Oekc6ddHbhDYYD Ywskofj8w3FVCtTtfJGPujFSKj+zJrU+W52XdtjP6bKMwo8TODdWntODemIMorFQ0dsD7ozGFiQk IW3zXQVG0ZnGeDnoejR3oSZGnZGbqt2T+6K3HQOXGLqQofSO62pI6+7JzFQjB/ol78G1ZVe1ToO2 hflMT25JB3Qvx2iqVCndwdNSs334+1k8Sm9ejbPdxi1lpp0eEsp9uZo4szyX8HzNZKB6SRCQXDlC oZYoVanATlJuk2f8okIksh64VsBIVld1XfIA+wS1Lrb6EyIBbb8oNzVEdOvCgOB6wsGwm2A+L9ya PUmtdVwgRdYRZL8hjI6LByZwClYKwJNjmUsFB0UV4Hx4capp6Ij7RVFCWNvyQWRdxaUQc3O9ygyQ 816MfnYSjg4dZbx4sV7NAJspJCwXY7uD3GPw29fZIVG8U5/GAvnDe35n3jTi0XQZLACU/f7Eh77l wT0V8s0hMal8YnAuphPuawA7CHC8FWCUS3bcYrHPBNiMbjwuGUZeG6gOVUhTJ9+D+2l55BET1DNA zaCiyhRZ42Bxs2jxSPL57rqJEZ9d5lNKjIHaknpqX/T4AVtgKrZl5qdk61LYYFBdfrPGrhCoUhRs lBD5p9x8UkkXsUfdTKFFEvNvLSbZewG6fft2XC5QDklCoXcCDrYi4JdBvkY3Eum4SJyOj5aDdezd VsX+//5/XSll/4RdjWgCU3Tv/R0iM1leIqMhtWyQxKiyPIngdOxh0jcY1QDbQKYznSpJFIFjCEtZ 088z78/IyOTR8jLkAI4xkb8YjF3U+vBW+FHkn7S5unQlQllRSbR0hGjWCjFWzZfGCq4xWBagYhmW NCMkIQSxeZtCjGyYw2EwY9Oj86hReWpY6HOTN6gJaWm9XToPm3PD2tjY3MsXaxVQgDFDkuhC7nfW cBLJ0GigDaW8I14lfduFxOLmpi5/JKnrRMEKbq5VN2ASancSTSzas4RrodSpDyAWVuGCvSi+yizR UtS6xzMcwmauYAYNxj16avdLnpR6CCGAzWX4iWbxEuGrTLlqJZZNx4b1WVtXCSgEKWrBbWohgOou rmXpLBCiEEUuKbiP5L12OdjW837B2JmJ0mas60asDMgeD5UcYTnP1lA/h2RxHe1EtsSWijbVH9rg tZ/H0StfZSdIkTd7YnyI+6xYdZLPwoENXYJszkG7S8UmkbbcDCZEsoa1MeCvHpKTiqnetOiWAIxf gQjkdjF1kmGUJ6gEFwG9Ub/3JfSXYg8m5pLh9c5PAFUHs/zY0492N53oalCGdP/8AttEaoynXF9b iTTi6izO0psJSVEMPoXku+qf3L+KDMuh1Yjk/CLKBUERqMCmh//+Ccm2iZpZkMXwAOsrv1SZnJ0H IjDtsYi0EoTqbsBtYaAUGShDUWsMNoQAKIy2thEDY94JeNgKFpe7QXV030XwipPLOoIzPMjCVvro KTGkrTjMyYsRhv46F+8gNEf1rePxE1cVWbAoWhPaXxt6W4fYGIjBf7TePoOxgf3EBS9kbH1vzJ6U WITeGSmfIXkOyWmnwDtRWcqO2A0wbQU0FK8l292gIiKe5sJK2RNKOHuTNEYYtcPEtEE3uFwug9i3 mGVXB6VQrqNeLaPDopOgK/kqro7ICFYl+J8x7ROWHn7h46WKF9XiuKe/EmCySpr8WnfoadRuEnP5 pJUBHvR9R19lUHzSSQDQvvnRH8yIZ18zS8iuidENVy2tMS1GM1k19kpgYfyoj6nHVy0KjSINfZXb U90oMfkw8VHYhH/pi5mWEpP0gTYDkvI+wMMoflUVD08a0tCXrH6qp3cKlnQh7DSlxhv8y3xXgEHY LOmTIqDNphcd/VXnn9bS6uhMNcD2Rzq7NKj2K27CkIBoSXx0sPn/Ipo6N89qO+YEOMXQyYYzSiDn pCZv1RsUCCt/Rpv7/dCuM8HUderBN3vN8GKJZxyW8V/5vnmRKVsrMJGofJwX6oQliCcj8nUDMCiD +HrESX0e5Urgsp5CTxpzyuNVwqfIDWBvmMjzErYczaShGe3NSvbxPkB5kEo9dNAfrWPVTaRg4wRV CrrTCTiGsv2IHYqjmtWYSM/tBKf1kTjSTTevmo4iWnWdEu3QxjtCOnCC1/oKAXZYew5RCxfpvrpU f3mOMXA6PhU/jeFBil0CdRk2wOVr47TwbbfGsBqqtUKjI308jPeC7vLbbcK7hUrnFn1o+glK4EZ1 kVaMJYgt0l5yzdjoDhayyscQbSwqq075fl2uKL8fGhMFV55cIUHzWZ1tejqxxMJgaBygHk5rP/0r 4vGRqe3HJTOOt4UxryEC/5MSKW0fLft0ObZ+ENUSAu7GbaBZeDfR6UiPbdexuYFuyT3z4CF8kylJ pa/ovUMegj0ppkH3J1qA4/F2M8NzUQc//tTEyHeK+Ipvp3pq8iX8Y90TpcUtfAmDNyLFagpxs/oM KdVLnU5jA8elOTqluu8bwG7N8/NxzCH+BMbmFGm6EsTfzIofuEftJyhJ4x4hERPRjyD/mhorKw+p pbtN3N60xV4Qma6zWtr+EQTN8wPD8+IhA5HiqiQIXdKKivmHTbUx3ff2c6XGOsQzK3jRHwr4y+Eg nBS4aXYrcL1/FUKX+KsUOx0NPTQXSY0feCmzhRnnHDV12xXOFBxptbfaCcKED1AfcmBNT0OEk3Kz ptnSCiDD03eT0W3w0TouC6d89NMfkhHbMwJ/gJd3pooxvC2mc2CbT+X0ebjJuabQZt9s01aBt5Ob vUo1Er7jPyokdT71W4GBfPswl/NMKnh5TN99wgDL3GlR28ZEc6NWsOt44n4vwRcThQSqt5I81dpD Bb1umHuvLy8rmYgoTl2i3ExrnYDClnAgl/42SGruIr8HSyIX7kZ2AtdQGJqlCugnj/NXSMhruOAL BCvv7NkEl4AAZ6oqNx6wZo/U9lLt+vba1k5HwKK+7jHYQFU5t+/GMDA/YQCbCpS0a+3KmtH+o0J1 B6xQMTnGg2y36mozDx6a8qWHBu67WRq0NmpmU/RaJJKm8HEx2QR7Rfn0DTpbgbYK0wjpnmPPFSw4 iSSP05kgOeWGFlhBatngCeZ8UuAUb4aReTEEwUjNbTvzwdhG/TYWQc7C5Ivy7/M2V5iMmZJomH1r Ahwt7pW00hQyxfYvxdK5zZhJH9rPj6RAUNH1flVWTadzBOJi3dQaaMALRxWEcOVf5/vzRTmWzaQc IJ+ZVrn/a4PRX1l94FrYDXpraCu++6M1cj3sJYILhmYzVgNlEbxsdkr8y1WwQudzFffT7gQyk/gG G5blXVtLkrBxKihPPKlCHbVaUPWn6lZp5pYprYsnnGLPGTgeVeHAH2MZ4VPm0+1GuULm7p1q5t5I ocihMyqYKoZrZ6xOP85l8ON7UbL9WZgEKQJH6g== `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_updt_noqueue.vhd
1
30565
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_noqueue.vhd -- Description: This entity provides the descriptor update for the No Queue mode -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library proc_common_v4_0; use proc_common_v4_0.sync_fifo_fg; use proc_common_v4_0.proc_common_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_noqueue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 -- 1 IOC bit + 32 Update Status Bits ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control -- updt_curdesc_wren : out std_logic ; -- updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_active : in std_logic ; -- updt_queue_empty : out std_logic ; -- updt_ioc : out std_logic ; -- updt_ioc_irq_set : in std_logic ; -- -- dma_interr : out std_logic ; -- dma_slverr : out std_logic ; -- dma_decerr : out std_logic ; -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- updt2_active : in std_logic ; -- updt2_queue_empty : out std_logic ; -- updt2_ioc : out std_logic ; -- updt2_ioc_irq_set : in std_logic ; -- -- dma2_interr : out std_logic ; -- dma2_slverr : out std_logic ; -- dma2_decerr : out std_logic ; -- dma2_interr_set : in std_logic ; -- dma2_slverr_set : in std_logic ; -- dma2_decerr_set : in std_logic ; -- -- --*********************************-- -- --** Channel Update Interface In **-- -- --*********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; -- s_axis_updtptr_tvalid : in std_logic ; -- s_axis_updtptr_tready : out std_logic ; -- s_axis_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_updtsts_tvalid : in std_logic ; -- s_axis_updtsts_tready : out std_logic ; -- s_axis_updtsts_tlast : in std_logic ; -- -- Update Pointer Stream -- s_axis2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; -- s_axis2_updtptr_tvalid : in std_logic ; -- s_axis2_updtptr_tready : out std_logic ; -- s_axis2_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis2_updtsts_tvalid : in std_logic ; -- s_axis2_updtsts_tready : out std_logic ; -- s_axis2_updtsts_tlast : in std_logic ; -- -- --*********************************-- -- --** Channel Update Interface Out**-- -- --*********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata : out std_logic_vector -- (C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); -- m_axis_updt_tlast : out std_logic ; -- m_axis_updt_tvalid : out std_logic ; -- m_axis_updt_tready : in std_logic -- ); end axi_sg_updt_noqueue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_noqueue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Contstants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel signals signal writing_curdesc : std_logic := '0'; signal write_curdesc_lsb : std_logic := '0'; signal write_curdesc_msb : std_logic := '0'; signal updt_active_d1 : std_logic := '0'; signal updt_active_re : std_logic := '0'; type PNTR_STATE_TYPE is (IDLE, READ_CURDESC_LSB, READ_CURDESC_MSB, WRITE_STATUS ); signal pntr_cs : PNTR_STATE_TYPE; signal pntr_ns : PNTR_STATE_TYPE; signal writing_status : std_logic := '0'; signal curdesc_tready : std_logic := '0'; signal writing_status_d1 : std_logic := '0'; signal writing_status_re : std_logic := '0'; signal writing_status_re_ch1 : std_logic := '0'; signal writing_status_re_ch2 : std_logic := '0'; signal updt_active_int : std_logic := '0'; signal s_axis_updtptr_tvalid_int : std_logic := '0'; signal s_axis_updtsts_tvalid_int : std_logic := '0'; signal s_axis_updtsts_tlast_int : std_logic := '0'; signal s_axis_updtptr_tdata_int : std_logic_vector (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_qual : std_logic := '0'; signal s_axis2_qual : std_logic := '0'; signal m_axis_updt_tdata_mm2s : std_logic_vector (31 downto 0); -- signal m_axis_updt_tlast_mm2s : std_logic ; -- signal m_axis_updt_tvalid_mm2s : std_logic ; signal m_axis_updt_tdata_s2mm : std_logic_vector (31 downto 0); -- signal m_axis_updt_tlast_s2mm : std_logic ; -- signal m_axis_updt_tvalid_s2mm : std_logic ; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin m_axis_updt_tdata <= m_axis_updt_tdata_mm2s when updt_active = '1' else m_axis_updt_tdata_s2mm; m_axis_updt_tvalid <= m_axis_updt_tvalid_mm2s when updt_active = '1' else m_axis_updt_tvalid_s2mm; m_axis_updt_tlast <= m_axis_updt_tlast_mm2s when updt_active = '1' else m_axis_updt_tlast_s2mm; updt_active_int <= updt_active or updt2_active; s_axis_updtptr_tvalid_int <= s_axis_updtptr_tvalid or s_axis2_updtptr_tvalid; s_axis_updtsts_tvalid_int <= s_axis_updtsts_tvalid or s_axis2_updtsts_tvalid; s_axis_updtsts_tlast_int <= s_axis_updtsts_tlast or s_axis2_updtsts_tlast; s_axis_qual <= s_axis_updtsts_tvalid and s_axis_updtsts_tlast and updt_active; s_axis2_qual <= s_axis2_updtsts_tvalid and s_axis2_updtsts_tlast and updt2_active; -- Asset active strobe on rising edge of update active -- asertion. This kicks off the update process for -- the channel REG_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_active_d1 <= '0'; else updt_active_d1 <= updt_active or updt2_active; end if; end if; end process REG_ACTIVE; updt_active_re <= (updt_active or updt2_active) and not updt_active_d1; -- Current Descriptor Pointer Fetch. This state machine controls -- reading out the current pointer from the Queue or channel port -- and writing it to the update manager for use in command -- generation to the DataMover for Descriptor update. CURDESC_PNTR_STATE : process(pntr_cs, updt_active_int, s_axis_updtptr_tvalid_int, updt_active, updt2_active, s_axis_qual, s_axis2_qual, s_axis_updtptr_tvalid, s_axis2_updtptr_tvalid, s_axis_updtsts_tvalid_int, m_axis_updt_tready) begin write_curdesc_lsb <= '0'; write_curdesc_msb <= '0'; writing_status <= '0'; writing_curdesc <= '0'; curdesc_tready <= '0'; pntr_ns <= pntr_cs; case pntr_cs is when IDLE => if((s_axis_updtptr_tvalid = '1' and updt_active = '1') or (s_axis2_updtptr_tvalid = '1' and updt2_active = '1')) then writing_curdesc <= '1'; pntr_ns <= READ_CURDESC_LSB; else pntr_ns <= IDLE; end if; --------------------------------------------------------------- -- Get lower current descriptor when READ_CURDESC_LSB => curdesc_tready <= '1'; writing_curdesc <= '1'; -- on tvalid from Queue or channel port then register -- lsb curdesc and setup to register msb curdesc if(s_axis_updtptr_tvalid_int = '1' and updt_active_int = '1')then write_curdesc_lsb <= '1'; -- pntr_ns <= READ_CURDESC_MSB; pntr_ns <= WRITE_STATUS; else -- coverage off pntr_ns <= READ_CURDESC_LSB; -- coverage on end if; -- coverage off --------------------------------------------------------------- -- Get upper current descriptor when READ_CURDESC_MSB => curdesc_tready <= '1'; writing_curdesc <= '1'; -- On tvalid from Queue or channel port then register -- msb. This will also write curdesc out to update -- manager. if(s_axis_updtptr_tvalid_int = '1')then write_curdesc_msb <= '1'; pntr_ns <= WRITE_STATUS; else pntr_ns <= READ_CURDESC_MSB; end if; -- coverage on --------------------------------------------------------------- -- Hold in this state until remainder of descriptor is -- written out. when WRITE_STATUS => writing_status <= '1'; --s_axis_updtsts_tvalid_int; if((s_axis_qual = '1' and m_axis_updt_tready = '1') or (s_axis2_qual = '1' and m_axis_updt_tready = '1')) then pntr_ns <= IDLE; else pntr_ns <= WRITE_STATUS; end if; -- coverage off when others => pntr_ns <= IDLE; -- coverage on end case; end process CURDESC_PNTR_STATE; --------------------------------------------------------------------------- -- Register for CURDESC Pointer state machine --------------------------------------------------------------------------- REG_PNTR_STATES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then pntr_cs <= IDLE; else pntr_cs <= pntr_ns; end if; end if; end process REG_PNTR_STATES; -- Status stream signals m_axis_updt_tdata_mm2s <= s_axis_updtsts_tdata(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0); m_axis_updt_tvalid_mm2s <= s_axis_updtsts_tvalid and writing_status; m_axis_updt_tlast_mm2s <= s_axis_updtsts_tlast and writing_status; s_axis_updtsts_tready <= m_axis_updt_tready and writing_status and updt_active; -- Pointer stream signals s_axis_updtptr_tready <= curdesc_tready and updt_active; -- Indicate need for channel service for update state machine updt_queue_empty <= not (s_axis_updtsts_tvalid); -- and writing_status); m_axis_updt_tdata_s2mm <= s_axis2_updtsts_tdata(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0); m_axis_updt_tvalid_s2mm <= s_axis2_updtsts_tvalid and writing_status; m_axis_updt_tlast_s2mm <= s_axis2_updtsts_tlast and writing_status; s_axis2_updtsts_tready <= m_axis_updt_tready and writing_status and updt2_active; -- Pointer stream signals s_axis2_updtptr_tready <= curdesc_tready and updt2_active; -- Indicate need for channel service for update state machine updt2_queue_empty <= not (s_axis2_updtsts_tvalid); -- and writing_status); --********************************************************************* --** POINTER CAPTURE LOGIC --********************************************************************* s_axis_updtptr_tdata_int <= s_axis_updtptr_tdata when (updt_active = '1') else s_axis2_updtptr_tdata; --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_LSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(31 downto 0) <= (others => '0'); -- Capture lower pointer from FIFO or channel port elsif(write_curdesc_lsb = '1')then updt_curdesc(31 downto 0) <= s_axis_updtptr_tdata_int(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0); end if; end if; end process REG_LSB_CURPNTR; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(63 downto 32) <= (others => '0'); updt_curdesc_wren <= '0'; -- Capture upper pointer from FIFO or channel port -- and also write curdesc out elsif(write_curdesc_msb = '1')then updt_curdesc(63 downto 32) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0); updt_curdesc_wren <= '1'; -- Assert tready/wren for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_UPPER_MSB_CURDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_NO_UPR_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc_wren <= '0'; -- Throw away second word, only write curdesc out with msb -- set to zero elsif(write_curdesc_lsb = '1')then -- elsif(write_curdesc_msb = '1')then updt_curdesc_wren <= '1'; -- Assert for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_NO_UPR_MSB_CURDESC; --********************************************************************* --** ERROR CAPTURE LOGIC --********************************************************************* ----------------------------------------------------------------------- -- Generate rising edge pulse on writing status signal. This will -- assert at the beginning of the status write. Coupled with status -- fifo set to first word fall through status will be on dout -- regardless of target ready. ----------------------------------------------------------------------- REG_WRITE_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_status_d1 <= '0'; else writing_status_d1 <= writing_status; end if; end if; end process REG_WRITE_STATUS; writing_status_re <= writing_status and not writing_status_d1; writing_status_re_ch1 <= writing_status_re and updt_active; writing_status_re_ch2 <= writing_status_re and updt2_active; --------------------------------------------------------------------------- -- Caputure IOC begin set --------------------------------------------------------------------------- REG_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then updt_ioc <= '0'; elsif(writing_status_re_ch1 = '1')then updt_ioc <= s_axis_updtsts_tdata(DESC_IOC_TAG_BIT); end if; end if; end process REG_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then dma_interr <= '0'; elsif(writing_status_re_ch1 = '1')then dma_interr <= s_axis_updtsts_tdata(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then dma_slverr <= '0'; elsif(writing_status_re_ch1 = '1')then dma_slverr <= s_axis_updtsts_tdata(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then dma_decerr <= '0'; elsif(writing_status_re_ch1 = '1')then dma_decerr <= s_axis_updtsts_tdata(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE_DMADEC_ERROR; --------------------------------------------------------------------------- -- Caputure IOC begin set --------------------------------------------------------------------------- REG2_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then updt2_ioc <= '0'; elsif(writing_status_re_ch2 = '1')then updt2_ioc <= s_axis2_updtsts_tdata(DESC_IOC_TAG_BIT); end if; end if; end process REG2_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE2_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then dma2_interr <= '0'; elsif(writing_status_re_ch2 = '1')then dma2_interr <= s_axis2_updtsts_tdata(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE2_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE2_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then dma2_slverr <= '0'; elsif(writing_status_re_ch2 = '1')then dma2_slverr <= s_axis2_updtsts_tdata(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE2_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE2_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then dma2_decerr <= '0'; elsif(writing_status_re_ch2 = '1')then dma2_decerr <= s_axis2_updtsts_tdata(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE2_DMADEC_ERROR; end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_proc_sys_reset_0/proc_sys_reset_v5_0/hdl/src/vhdl/lpf.vhd
7
15991
------------------------------------------------------------------------------- -- lpf - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: lpf.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/08/01 -- First Release -- -- KC 02/25/2002 -- Added Dcm_locked as an input -- -- Added Power on reset srl_time_out -- -- KC 08/26/2003 -- Added attribute statements for power on -- reset SRL -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- -- Definition of Ports: -- Slowest_sync_clk -- Clock -- External_System_Reset -- External Reset Input -- Auxiliary_System_Reset -- Auxiliary Reset Input -- Dcm_locked -- DCM Locked, hold system in reset until 1 -- Lpf_reset -- Low Pass Filtered Output -- ------------------------------------------------------------------------------- entity lpf is generic( C_EXT_RST_WIDTH : Integer; C_AUX_RST_WIDTH : Integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic ); port( MB_Debug_Sys_Rst : in std_logic; Dcm_locked : in std_logic; External_System_Reset : in std_logic; Auxiliary_System_Reset : in std_logic; Slowest_Sync_Clk : in std_logic; Lpf_reset : out std_logic ); end lpf; architecture imp of lpf is component SRL16 is -- synthesis translate_off generic ( INIT : bit_vector ); -- synthesis translate_on port (D : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16; constant CLEAR : std_logic := '0'; signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal exr_and : std_logic := '0'; -- varible input width "and" gate signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate signal asr_and : std_logic := '0'; -- varible input width "and" gate signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate signal lpf_int : std_logic := '0'; -- internal Lpf_reset signal lpf_exr : std_logic := '0'; signal lpf_asr : std_logic := '0'; signal srl_time_out : std_logic; attribute INIT : string; attribute INIT of POR_SRL_I: label is "FFFF"; begin Lpf_reset <= lpf_int; ------------------------------------------------------------------------------- -- Power On Reset Generation ------------------------------------------------------------------------------- -- This generates a reset for the first 16 clocks after a power up ------------------------------------------------------------------------------- POR_SRL_I: SRL16 -- synthesis translate_off generic map ( INIT => X"FFFF") -- synthesis translate_on port map ( D => '0', CLK => Slowest_sync_clk, A0 => '1', A1 => '1', A2 => '1', A3 => '1', Q => srl_time_out); ------------------------------------------------------------------------------- -- LPF_OUTPUT_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- --ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate --begin LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked; end if; end process LPF_OUTPUT_PROCESS; --end generate ACTIVE_HIGH_LPF_EXT; --ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate --begin --LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- lpf_int <= not (lpf_exr or -- lpf_asr or -- srl_time_out)or -- not Dcm_locked; -- end if; -- end process; --end generate ACTIVE_LOW_LPF_EXT; EXR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if exr_and = '1' then lpf_exr <= '1'; elsif (exr_and = '0' and exr_nand = '1') then lpf_exr <= '0'; end if; end if; end process EXR_OUTPUT_PROCESS; ASR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if asr_and = '1' then lpf_asr <= '1'; elsif (asr_and = '0' and asr_nand = '1') then lpf_asr <= '0'; end if; end if; end process ASR_OUTPUT_PROCESS; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for External System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate begin ----------------------------------- ACT_HI_EXT:process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event and Slowest_Sync_Clk = '1') then exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst; exr_lpf(0) <= exr_d1; end if; end process; ----------------------------------- end generate ACTIVE_HIGH_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for External System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate begin ------------------------------------- ACT_LO_EXT: process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst; exr_lpf(0) <= exr_d1; end if; end process; ------------------------------------- end generate ACTIVE_LOW_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate begin ------------------------------------- ACT_HI_AUX: process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_d1 <= Auxiliary_System_Reset; asr_lpf(0) <= asr_d1; end if; end process; ------------------------------------- end generate ACTIVE_HIGH_AUX; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate begin ------------------------------------- ACT_LO_AUX: process(Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_d1 <= not Auxiliary_System_Reset; asr_lpf(0) <= asr_d1; end if; end process; ------------------------------------- end generate ACTIVE_LOW_AUX; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate begin ---------------------------------------- EXT_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_lpf(i) <= exr_lpf(i-1); end if; end process; ---------------------------------------- end generate EXT_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ EXT_LPF_AND : process (exr_lpf) Variable loop_and : std_logic; Variable loop_nand : std_logic; Begin loop_and := '1'; loop_nand := '1'; for j in 0 to C_EXT_RST_WIDTH - 1 loop loop_and := loop_and and exr_lpf(j); loop_nand := loop_nand and not exr_lpf(j); End loop; exr_and <= loop_and; exr_nand <= loop_nand; end process; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate begin ---------------------------------------- AUX_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_lpf(k) <= asr_lpf(k-1); end if; end process; ---------------------------------------- end generate AUX_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ AUX_LPF_AND : process (asr_lpf) Variable aux_loop_and : std_logic; Variable aux_loop_nand : std_logic; Begin aux_loop_and := '1'; aux_loop_nand := '1'; for m in 0 to C_AUX_RST_WIDTH - 1 loop aux_loop_and := aux_loop_and and asr_lpf(m); aux_loop_nand := aux_loop_nand and not asr_lpf(m); End loop; asr_and <= aux_loop_and; asr_nand <= aux_loop_nand; end process; end imp;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/mux_onehot_f.vhd
15
12692
------------------------------------------------------------------------------- -- $Id: mux_onehot_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- mux_onehot_f - arch and entity ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: mux_onehot_f.vhd -- -- Description: Parameterizable multiplexer with one hot select lines. -- -- Please refer to the entity interface while reading the -- remainder of this description. -- -- If n is the index of the single select line of S(0 to C_NB-1) -- that is asserted, then -- -- Y(0 to C_DW-1) <= D(n*C_DW to n*C_DW + C_DW -1) -- -- That is, Y selects the nth group of C_DW consecutive -- bits of D. -- -- Note that C_NB = 1 is handled as a special case in which -- Y <= D, without regard to the select line, S. -- -- The Implementation depends on the C_FAMILY parameter. -- If the target family supports the needed primitives, -- a carry-chain structure will be implemented. Otherwise, -- an implementation dependent on synthesis inferral will -- be generated. -- ------------------------------------------------------------------------------- -- Structure: -- mux_onehot_f -- family_support -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 11/30/05 -- First version derived from mux_onehot.vhd -- -- by BLT and ALS. -- -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- --------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- -- Generic and Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics and Ports -- -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- input D -- input data bus -- input S -- input select bus -- output Y -- output bus -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- Y = (Bus0Data0, Bus0Data1) if S(0)=1 else -- (Bus1Data0, Bus1Data1) if S(1)=1 else -- (Bus2Data0, Bus2Data1) if S(2)=1 else -- (Bus3Data0, Bus3Data1) if S(3)=1 -- -- Only one bit of S should be asserted at a time. -- ------------------------------------------------------------------------------- library proc_common_v4_0; use proc_common_v4_0.family_support.all; -- 'supported' function, etc. -- entity mux_onehot_f is generic( C_DW: integer := 32; C_NB: integer := 5; C_FAMILY : string := "virtexe"); port( D: in std_logic_vector(0 to C_DW*C_NB-1); S: in std_logic_vector(0 to C_NB-1); Y: out std_logic_vector(0 to C_DW-1)); end mux_onehot_f; library unisim; use unisim.all; -- Make unisim entities available for default binding. architecture imp of mux_onehot_f is constant NLS : natural := native_lut_size(fam_as_string => C_FAMILY, no_lut_return_val => 2*C_NB); function lut_val(D, S : std_logic_vector) return std_logic is variable rn : std_logic := '0'; begin for i in D'range loop rn := rn or (S(i) and D(i)); end loop; return not rn; end; function min(i, j : integer) return integer is begin if i < j then return i; else return j; end if; end; ----------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal Dreord: std_logic_vector(0 to C_DW*C_NB-1); signal sel: std_logic_vector(0 to C_DW*C_NB-1); ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; begin -- Reorder data buses WA_GEN : if C_DW > 0 generate -- XST WA REORD: process( D ) variable m,n: integer; begin for m in 0 to C_DW-1 loop for n in 0 to C_NB-1 loop Dreord( m*C_NB+n) <= D( n*C_DW+m ); end loop; end loop; end process REORD; end generate; ------------------------------------------------------------------------------- -- REPSELS_PROCESS ------------------------------------------------------------------------------- -- The one-hot select bus contains 1-bit for each bus. To more easily -- parameterize the carry chains and reduce loading on the select bus, these -- signals are replicated into a bus that replicates the select bits for the -- data width of the busses ------------------------------------------------------------------------------- REPSELS_PROCESS : process ( S ) variable i, j : integer; begin -- loop through all data bits and busses for i in 0 to C_DW-1 loop for j in 0 to C_NB-1 loop sel(i*C_NB+j) <= S(j); end loop; end loop; end process REPSELS_PROCESS; GEN: if C_NB > 1 generate constant BPL : positive := NLS / 2; -- Buses per LUT is the native lut -- size divided by two.signals per bus. constant NUMLUTS : positive := (C_NB+(BPL-1))/BPL; begin DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate signal cyout : std_logic_vector(0 to NUMLUTS); signal lutout : std_logic_vector(0 to NUMLUTS-1); begin cyout(0) <= '0'; NUM_BUSES_GEN: for j in 0 to NUMLUTS - 1 generate constant BTL : positive := min(BPL, C_NB - j*BPL); -- Number of Buses This Lut (for last LUT this may be less than BPL) begin lutout(j) <= lut_val(D => Dreord(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1), S => sel(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1) ); MUXCY_GEN : if NUMLUTS > 1 generate MUXCY_I : component MUXCY port map (CI=>cyout(j), DI=> '1', S=>lutout(j), O=>cyout(j+1)); end generate; end generate; Y(i) <= cyout(NUMLUTS) when NUMLUTS > 1 else not lutout(0); -- If just one -- LUT, then take value from -- lutout rather than cyout. end generate; end generate; ONE_GEN: if C_NB = 1 generate Y <= D; end generate; end imp;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_logic_pkt_fifo.vhd
2
44022
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block S37EjkT5lXzV9dESAdP9L4jyHRPN37wricWunjDlrnbK9XnIagPKnzlrEF+FwMa9YtXcWT8BIeoN +8bImuVlgA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ppTxrVvlMmLAGMpzsA9fy+9VBiIgPaMr9F2GZ+HQISJGLHmdyWfu8gnbz1Lec5lg0NU0YTqmtznP Qvo0A9VAOhSFPn3d+8vhZuxl95rCtyjASFF1fhTEf70b0tBFTxwi92Kw8je4UtoA24NSzsuNDCD6 nTkqTha/9f4ttm5NG6M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lCvG+onDTB7eG54HeP3kywwaJLvD21q0v+dJ8u4nfmB/y11vhZ8OcroMa9my6nEToKpAiR5mmQQp QN7AZZ/b+xcuUYUGf5nHD7a9rA5NFLMYXcuPcO0yqclmfEgyY81lNrbCnq6Ucb/HFG9sYznTO8MM i7nocUwM20LNrT6n5p6DxbgUrZXltQ+Alpt22fEsId/Fu2SPi5aHMACVNWvChcfD72297AeNVXzw 1hY8BadV+7L8sEUEgLeAdeiH9Gik0PMKoQ/OA8Ew7Lx9+JodupqMN8Bt2ygbaEsiXdVyj9JsKpE6 7dKLQdPGUoIrp/MRw3/6KPhXDfPBZWnDyXpUcw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GhWtKQ1xwcpro6VFYz0DSmMEoma2rxlfu8f/mv5SfKI6znnu7YBIRxWD65XmWA59zFROSy457dvN 06UX81NhM1VoBnQvwLg+MIo9ysw0ZRkTZd4kEsapweFijkVwsc3EA2+giIjoJKNZAZ3Y7h75fDoK 4kwFDJljRf7OBP7+uz8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b3hwv1mXG8W049uc/IVamTk9gOca+ObIB4hsxoMK6lkxqLRvPrsmxoeoTeIz7fYlI9fa0dd4uL8/ 03v3Y0hmNvb74W3hM/WvLtq4OOXtSjMV0d1Ex9eocM+Agdq0J9J1nc8kKIF8U5N+lDt8o/IfR0nx R2zaIy5ZTtBXcurRAG0F9hQ+sYu9sdtY62V0GDnnnXY5+Hr43AnNXks9EMqGP2GGIZxie0Ql7+LV rSb9yzb44P6CARNj3xOz11K7BuAOXVD7HxJpTsrmjO7BUKmrpFFxcLQy4VID8G4n9Bvdt8bS/hQs S8HiQ8m2g3gW5gJU6KeZOMpy6MPgiGqmoMBhaQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block ZwA7nlrvTsDd9J44esDCxndcvC6MHipzq7qYNndgH7NlWhPN1Eh4uFbEhKlMMx8tvOkdIQ9DLqfr ObN5hdPoVJQDII1brc9z/91wfictPRx7+WR+hk4kbl90+UFcaAhqJc+K3sPN8nFJ67PjyKLmpyvp cMhkcjDmoumwEA6SQr9CA26QZ7ur51WggZb6xEmmghEo+LZ2QpxVZlV0F/fx+qXCIWOHjt6pPr5V 3W+yxc+EbrlZqwlhiIwGIrXOA0ZGf4p/AW/Os5UYG1fyxBk5EUikxAW9S2oxbcd20hLLr3j3N7sx qwH0uEjzSpmj31EelByEhgdN6KurcnplOVrooVTHeC+zY3zKGT8wfvOlDbJr+3MyK2vxf2yOuWLZ QYzkCfIWpbXL0tKgg1kjPA3A2PeAPL6H40qcOa7FedXjxmUXQT1QesmehMu0N7EMxqaQ8Ru/R6Mq enkGZL1bMiCzIJKAopujFsCAwrVBkm0b5Rbica3SNw/3dGiLWou42HKio1tUXvR3PfwvwPH4TWJF dlMhM3WI+1ITezTMytM35yEg7O0h2LKeuDLXxlPnK8Bq/eCv19iGomjeFxmlWVLJwx10twT0p3XO VfWQBwZXqSGkb5ILVAkxorEFP3hUuCeJvAIMGGJ60Vd0mdRh8KuEtWLENzsI9N1Z0oNpuZnsA/lZ nVQpYJD9BC5MmauamdXxy5SHoEHk70oihRRdNldHOzO7haQkIGGL2u0/wbfGdUJ0PZfbW3bLdf7T kKOZvWUAsq+289eKXZYCD6fc210Rw//oA7sxBMiJ7fK481+fYySUV2zt+tc1R+ilUAW3v1X8xILx DxkmTzNcQp2RNisII2zT/9Qkhjnwf3yNBXhMyXC/iEaOb7V1XD74KefYIM6dz+PnpaVtsKLLYgyh TJirev3S9G5lfIXpWgHpjUh1Y5HV/hR+Q0HU0Xdiid79Ni1Pr9BsOys6Lqx5DIZFmXmxxYsvLnaV PtMZGDdR/7SmmUonxOjs3zZ6p0SvTu24CajsNY0TEORP8aI1+FN9e8q9NGQXsb+gX5YZ6Z+jkXa5 9mIcCmsFb5zhXMrA8g6exV7TdaKax5T0anaNltlWrZaSMUlsyRSSp3OEB8hBywaFsMninlF9Amp7 r8CSCGi0s5iGWC6t8KFZPcHBpM2rApI5lKtZE8Xm+LPVF4m5l0pAbkejujUpvdmcwDBEp6qK5y80 e5Lyk40IJiPuuvYbD5OOY/ljJvamlHr3idoxKy344ghrkxZIoBWnvSC4AVp04DJ7untQffFlCATy 3qtpnKLTRtJzW6mCJCfLWV10Y4ggvIY6stb4PghH/GNSWnOBX/PMT/dSR1l4u8sQCVPZ3FnSPRBT MHIQacEUqZ6XLon+AR01oVDzyVZpOYK1Qx+4X49oaW+e/Ow776Ywja9csZdtIIZiHNasaQ6R0emE NwiN1/SCOIg7n74zGRhqZb7swo8JGsALO+/ddacXG9P9lA5CuDSqES+d2lx3VwsHIAV0IiEBwwnv rdvJ9XrxeNb5+6Kwk+aCD3zUVYk7staiNBI2SrYn//4xjZe1K3bTNw5YDHopr6Bbi3q+Xf9lymA0 G0p9obImAjoir77ddWc/DaJ86VmoLmeKxuOcYpwcqqV5AHH/ekJmYU9MBMsNuN1ixLZDMJ1Ln6eC ej0LtrPfFjPbtlMRYTxGb1UX7dhR789vZdcU0DTipXfytVSZBJzOONRxXXOeJVa14yVbgxvS2B+d 8MrLZ5OWRhJanH9NfWuxf3ELxs7xPzU/cbUgTYhsyncXsLXz7k86TUIba4PplgnDmbanN+uF5zs/ +UY3wOv8VnTd96GSVYP7pimC7JIjmKM54GXwOn1boHjuaJMiQCKnXqbhSPD4wtJDCDFr3wjISEva zVlDXQm3IYKgWGBHppKhfZJQYnu7BKgF8ciXPNzECBhrh8QE51sTg3aHFLLXlPDSwI0WlMiYWcij dqitYsUGrqYbFoTBrAlBUqig1gKK7MGlfhVVQ7wkhuoUitpaIcTjs8pl643iXVF2KikMyqdW8VvL Aj5FFZitWDvQLi6yXWHHmwHR5XMNHb2Pu/CqXG7owo4TVHCdrKSueFRn+qGaLLW+pItWwRPBRcPw Z3TEwlRIHw8YyixiFJBmRow2DQNRTpGLzIdlbor1so2v954NYPdowrlg0CgkfQEzCL9gT0JWm9uI QAVP//pAh2uwBvJuAM6S0yDm2V+tSMXuhHGHJBOWqvyiYy8vCxWwDS2Ae1QEzuzdEkA6tTKyx8gj cAf9mMA0bjI1nPG4v3dfbAWgrYSRXILux8uMAhPsSMJEAG9h1WNV5o4uHPvrl2ZjolD0udazHxh5 FI3evwiYejfRMZMwPRcZH0nPt4YHv8K38J5D3zQsyZlBXAytfEsA+D8n+ysxZb6thcyVP4ryGJal CsOzRWwrbVCjJNm8wUHUWzkWUaH1BKVjFssrCHRQbdN3uuZPo4DcF1uk5+ocDu/AX4DCo8svVLzL tC2uShq5oL9YIzcgU0XfJup8mqxnDc6AjL2N4lgdXQF+X5afsddesZpzQybbmWDRoII8wHOog823 8fsX1jC2obV2joLcJzPHWjad70oqTF9gSG1QfM43+CyKY+MMwskcQ3/FITHUllhZVdAhV8GR634f oCcU/+J+DHfrtbRGZbU//MHE9PU/51lrjqJGQEW2g3V6J0XXRfDIBRwlH1yzBAHCgya3fmYpbUqG Rxc1yrfVGvB8r9O8Se4ynmMIE8pWvGcKQFUO8Ov+U2ozPqsG/5FJ1X4rSv2Ug2Dhr07HqYEavD2V pVswNQPGpML+U9sS33eMa6wJG+T/wjZqfi7zJtGQedMVdDQ4ysDloTINmeo9jyOZmbpZ1yhGbUO0 ifAhHkuQyWz0aEz8R3757YrBWGDYKlL3No5dtSGJWoIjpD3Pb2SbmjQoNaf0G/2zWY4nc8uV9uEQ Y1pepMzfjB1vu4LoP8HqXU/d9SIJgvKwQEo0qjk3PkW8yU+KPVBmr7R/zQoVEZ0bHGr28Xig6eUC b3Aak1SrzqSH8FYtzQy8AuwwOANesDcJ2kXPvA33fBoRK/MWtYr/1r0sJyho3a48DpaygXz6Kg3b vRarOvQwHEgbXLMcF0KoI8jcUl78vKmF7GvwzhNUjR6IcDTvsWE/6VyKU00mFqW1fCtTP6Z6WKEN iZJmg378bTRxh9p1K4cHS9Z4Bo9OVlZKNGEG9HCDTi5KqiPfpf/ESF6fveczn8ZzNqTRyRK6G2yW Y5r1kDJjI1zWuM438oRwTCeAAFQyYnN79/fWBv0495Wy1lNTalWXiZC+bNBdSSX8Xv8sBe1ebE8E ABAp7nkFcyPa7wgzmHTC/TWkaPoxhYwyr4+FP1qzCNyYcc5R4LIzq5mGHV4gGC8D3DzNfMr4zSNe ewFT9GUNC4w+gw6RfkKlCFicTPtrnGjI/Xuwj/aDwsmcxg6uO+fB1B2623Xn8XDQa+A1kuZsO1w+ hXsZXarnNZkflhpFlcmjiFQAJQT5tXRnTVp95dyKW66lvjyo1JQLODw+3lcbJ09dJ2KSMTprOD+0 3T/ZT9D7TU2ocIVRYCeXAvPWtbX3SD1DpDZFDcyiHQLfmVlqmXXKSOC1xLY3TIdyoP/aIyARZipC NtlgL5X8MZD5V5LVS9apfHF48LP3AW9MQ5C0jSUq9BaqQceKlsNLqV3PJsWTuecnrcfaL9MWzppo 0B4bgZQgUP+luyJvS+wGsVbD/ldsMXhpD4MRaExALdvmhVYp1zBqS+S5rhtQlyzEJ2cEPgTy+4RP UT3MONM8irB78IvH77ZGvufFof10rTZPU2055tDFv0JAGS85lixIW4YHPD/i+ruKlSOBYYMeCwa6 9H8m/PFTmlWWg+JT6zhsOWm6pRSvM4Bfkrn63vuGoCecDMZbYhjpATfEYOhP3JY3sZ+rYhxgJh/5 WNa6FIBGJ6eeIFT5GjFqiF2WIyyf1h2GzW8bq5u0wRjarJqoW55XcC4cP7YkKnxAp5+elUrfafWv EzV1tw/UyO/QqrHJRowxUEB55QK006WWZJHYkAtbzN3kGOKw/gDC5TkAgOlKdthQasgA8wfON+Q/ Lg6jrM0zZwrgqezSJTeNdmR2PfeAhIS0jbyPyR7duidLZ2NPBIDkffGGoVLq7OKTvOtQCV6Suosk KROIaWtv5t+Jjb6CB3838nZIoadMbDuJApNEtgl7pk13PxFQnpy3f1xQ+NPCZxCYulnBbzDOALyC SP/iaOOofVvEgK1k7Oo3bc6tYPyMzvB6RPcRplAOVOVGa3PMM5aE4keHXNdnEW5cCGrskqNbfqqJ 3fobdPLkl/rKRmw+H/Qe43iD0ZNI6JCNvYp4mcWTskyr0v1TkwNAMCCM9o4V6ie18nOdw5EaNlIg z/gBK2iA3kkoQ4HH+lJhvKpJvSKycc5iYfmEvESGvC4HZc9D21mb7Oa+LYG505Yh9x+YkJxpA+0I ICYVIKLquBWjzWvfTQalutaUdtJYKMfAfIBTKeWJQ7W0ua3XFOqYMSoq/U6d6E3QqizI9QNpa/p/ +iWfTKF4odZy77Yz/JMzvdYbu2hF3hDIss+hswVtzN1mdmC95HpBqMC8zX8drXRl+gFb4wvqvTOl NnAXx6qo+2SCU48qRBH3/oVO0kW0Ynss1xcelL4dIgC4UapLc67llTXV0QPd0WDFcJeeSDVyDWud QyuBEP8W6z/WTSGGi7lm2zGHQH6nipx227JjYNRUa3OVxPz7KR1Bd6Ms91vkF4UF+WZQds7qCtJS Q94WUW5MgnU+lUSLIecQie+9Xu6FqzxphtwqZQTiQcKx6kvL84hdppPsDrIzh6SCQ+wOXC5QZrg3 igFSDoPufI65WDtAn4azbbEc/Uca95CuEckzh9aVh4yWxhc+3DiWxfhPl5UgjlCDA3ZwixspNcDW XsaXYGROgH8ScOcV7j/L1u1dyFfAAHVgSUvpo/ADwvtnJgLR82Gb8Ps61Oc46K41CJro/lyjdlLf 1FBuITw7pgIsTMHikcoIaV25RMD6K4RVBntwkq5SbKJwpHIYb3vINIiU5L9bJ7e7o3RbWspgnMSl OXgyJzUTIPk+ocgacS3LbiZtOuCKBesNlUYZ+WVkg9WQcKlUJ2ZL5hRIoloqtr7SgVWzyXzPEm38 s5OXpW7f2pmgzkPE73TMw7miudVI4JlXIHRSN0OcOOnLF/VoteWMLyDND1CjZ5IccrUy3W5LXnxg cNGRKW0TI9nQ86g2XGP5zuo9dpITFK1qOmVtWa77QNLcWG7NATUh9J3gpIqHNf/L1cEuRerdwJRQ seGcldzpwHColID9Y5X0XspQ7379Xvylk0FCaMBBSWJ4jL6LyLKhXuB/g5Bq93hliWMrDkN9/Kyn Jr7pAd16/81o16+Jd60/d6jgQozY+PtVenK84bxlYR5kel8hyEsM2a26fLfrMMsrng0gBYgbNlGr 4bGjRjsyk1YFA2fzblA0iI/N/qsT+FYRJkW/71CYN8B6XAohmuUTT24HyKWunN4ceuS1M3Rj9VZ+ pAd6teNawJwn6AtprJu7RaBFtdav5A06II0+PaPYSXilzSkBWxaGw7j6uW8QHt4iSghJP8y6lW0A i4QH4hgyTXkxC3njDC/0lPXweFFPXptSBENdoT/S+ZtLKt9Rlz8OvWl0frac2AUX4abMvpm3oi0H KHx4jcwZdwepgsLBtV3HjQujBV9cLgOcqM74cTSIoS6Fewf4r2gVj786Mq28fF2dB89006TpsRS0 xjSy48boJvTnKDnGPBg/Ui+KyW798cFf1sSNxDvyhknjCt9ffx5VMvX+qSYvueNV6TnWk/75q0tJ gF7co0n34nspE93PN9qUNeNNKWjF7MDvhH4qZhoPxxyUnq5NRNYNhI0LJXj9Y9InpCPOi7bbG6rZ EgSu+0hirnR7xSJKE2ZIR1IqEezRpXEcEGO9jIdWnW3K2RcoYWCgq3WRnNgIomocuRCpkeSQCt9/ dbMBz9fvadvMhxv7gBCWhOL7FDS45VOpwiLYKpILK3hkNJxU1gEBuLGlDTKX8Q7d8kpFQyrefxhq 1RQqDdNvV8mjRq60EkVpOj/PSFu96qmWipw5vynLaKI/KtVQDDan5SfTBJzedXfjOSVwbjmB2qYg YLIjK5rQ1aVcNhIKoD8p/p5AcpndEsL/c9P0K0JCXyBmZwSCCvuU6U/OOnMa/5fttKgPgr6/KRXy BCTj/zP3gaX4kjG5xe9hBclfCoRNq35d4YsO4pb2JWisPZNTMqjF2hNqGFTz/2saYd3/KwON1JNX JVLmmLD27iMgir4uiLYNRvzmOuZiNz9+SXXp8Vf0fi+QwC7YY+QzDN77J14KVHhRnlxvrt2PCXVY sqWEoalzZEZUDVBwNq6tP+e8NKUkJDjDvLaTp/YnKF0zBuhXnDnsuBVxWjp5XzXzGsomjgt/5iqM wcYwVPJPMNwFPeOekEucgqyfOfKGf7V/z2zzP1aXj5m1YBR53qhBnIlDT21YmvuGHRSD5DmydhXp l2IWCc+eh/ERbXFOsDk5x1Q0tLvY3gE8Fx8LNdZs9EpcDqfRgcgW9FLllHNbl/lSOUELwIWqgnEf MEI5gOULsG68W2Ia7TPpwhFhHh5hGVcjYeXJF9DroFmDArPExn1NSteMINZzEJK8e2eC0LQnuIxO wFEdIA443WuBNfKvpzr/PsobzvBh3OFsIcs+fY6JAYt9bP0ZzjK3RSfOy+asKnXUhsd8IsiQhfGH qa2CWWWv5wmolQiSGJ1jiQc1GWdocSxstJHLVTxHBwUnBRKRVKdKCXD2smKlMPnUFS6YEIPmbDgh EoO0CVhpE2GcFPw06DwzHrsFmuAS3DlirEM7CofEw7QZitku1Lbbmazv1Xt3f9iDtAcfnm1KNuJ5 KSc4gEUOdGUWm1Dqu5Zt/R5F8covgov9eNzUz6/l57NmGb9e6eWTpCEOwJD7QbvMYtAAVFyHZKwM QMy78Yc0La5KdAGPZr78KqMS6ToIy8k5WGzWnwXcouDy8LCZMHH5xqdyqLmV0ySU477CzFWVqg9S rQHnaBqoVYod9Jl2PhaiookPev9LXB+VY9jdLEqs6oL8gPyhx+9hwjwU6lBY02ZHI51esrznTz3K RVV3pQi6l6Dc8AIZfCbv+n4pM9QtF7hQZ+SWYuVqI6LXNeFlpKNC+VsU0I025Y/DjoqPNzUDhBWh 20UZPx14r0gUMZvMmlVRb0N/fA9B5Ze79LXgcUKuWdOKXw8TokUszxLwaCOjD5jjg37fuj/ZGg2j +t5Oa6l6xDtjBYwvYuYbLLbQsFdATHRJNI3GZKhpJY2Pszmxi242pfg++aMnvb4BE2CHbXxIkact CycUn1Th7vCDiQRO59lliRVNjumCsxT5bCUYycKEkwyOaOFpwbpEC1V0Belxxia7znfTu7GAP6lj 8FtpgKa9Ekyv6s0YEnSZ1LRxLmwCTQbPQQJ6Aop/2m42XqlS/VtoQLVbnx4uttSmTmLnhG+ZkH/u gEQiaAaDBkMwjfC6Ad1SDJzz5Ywx7BWwHnUwdw8w6IiChca3fHDbtAkAEu+6whBfQV80krtq0kMa lgfedqJO6rQ4P4O+vIQLJ0D9CSeYqy2WQdbJiQEtKKnL6xuMvfkSwPaW2jLutZyjgHSHrB48hwSh DiqgRW7RxV1F72hS4FTwOBCtIs7kQM482INXt2zloGGF+Pp8yDDyZnl+w+TpAGfntzdTd37Kmpym eSG38VQOleMcF7otElbuNbjCSzEXhtD8JaN3EJB04kRhclWKvj1ZssJAAWHjXi4p9Rt1BHW98maE nHPyTNbWUOy854Bu3WrN8vks0aQokRnyRoUtBBPVdhluTW8A3A0GuRi70IGmyokOBH40eGXz3aWZ ZC0pA6SIXBMImuuGZg+AC8RErNJz1vIKREluu9hsZPwB78VkX+WedJe5zrnLoeqctYgkWkwokxuk uLvEPnv8z4uHyu+RAiDindn4UIYMkuHq/wkZvq40bzOS2KFcoEE6b7R4jGpwC9/2k/fjsgtpKpuv OCHGzONb2UPDASdiTeFL1CQpwaYilYH5zJDGWTJYR1KuFLZ6ZVDaK72zuj6Kfwj+xD2Yc0Prjybj maD96S3UgFKL8MLk1BUZOF3pdh/prLj3zJ8n6qAkTh9u1nUJI7zXYXcoXAHIwzI4wIdEzC4eySsU CoIsl65V12Fe/zoe0PTfi2iZnbyM9pWT9oK0Ye/2px/EG4dipK579mH9ZLZHOj8endQqMua8/Y7a k0oAxnoIuRDfQ11hkoUMju1+Wc1TlPEAMjUXj8LWLxqV9eirMRSCZv2VjNRUwc8UJ2sUYIYr44QN IiMbeR/uRuQiqbiQsRrXD27o3W1ofei03u3jBFvrCIe+5O+WrTtX4gEYoHXaN474Lks1ZIBLqKhc L+6rlBD8N9rQv8iEyzEvHWFxNBwZUC7hyZq8lV3qU234sqcagpyH6wxC/9JsCi1RMb3FBtxQu5sF l9xB9BmCCTS3fwm1HVzt20d0zQNOeZdikqjMhHDwCuf0bYk+fPIAuliLuncsrJXmaheBrEdd1KSh GUEcEixIJ0WEYOiAmSy/ipeYIvFavLxSZ52NUqvHaMWo+8VqSNMMaegihqETFGj+ETsQBlW/pa6S PwPiU5G01UUE6Et3l/MSr5rYLitBMy3tB7Dg7CWK3qRguYwDt00ISbnJDhq7A62HymFW/XKV74nu 1/CkpFiP2Kg5pFwhHqnUZLpoIWlvco1l3EUCpwOuJubp8sHhnzBTa1KM6EMZt7TWZqSs1GZ5dcq2 YzU5TQH7zVF+7PH1yukzony5+FIMQ+6rEtRIBF/ZKk5muc9N3kMjJ3MECfkxJl/BHy0CCmYp8GHU 1uM693LV+kalO3uqLy+pGqrnTgMzMabjg2FirtQx4Lqv8g7VzEkOubqr4oHR62sTyYN7NsOiAzhZ WOpa9ly8dM0i2RIqo+g0ZJTgxCDM4kxknyLLNgsVLYHHnZW4ypvXwo32Ti/+7L3XxvCm6AL135l3 JyyMSljiknAbry3PfDjt5dqknW/Y4oZS4C3UsKE/Ev4taKZXz1jAVDTkcHylHxWsnkE9CXIPqqIU 3+xdV2IQn0z8xfm12rHjSoBHJnWRuQVCNhb4eg/BMfglYXx3a107rU3Qguz4yddGbbNFgSWaH3zF big8mkjk12qL7vESr4qxXB7SKHqO2GurhEXrmwKsOPd4sXABngyeR1m+fR8N6AOFIf4wiASyCreE CJU8o4HOXMEnpNC/2ziiiis3m5HZtC+cZTEuEFtY/Yn3fQlSQx8Fw/Gwx0zoYs1Ofs+t97Yexxu5 0DBNcBzaVJ9DYC9p5Dm8e4ve8qwape8ywhuOI94CRfTAra1P5eUANu5rQhHPP04wz4DWd/DpILl2 KeOPS6mXyq6FDIYflIhdQ6PR3xkq63JfyeYDbjh7KxC4LjtmI1n2tuiL5ZQ1ngL/nFmwnDd5KUdg rfAamz/KxQD34G2gMlgPo7amWx5Xy8etjzPEWbotCZwtqZEKUuS6w+/WvrzBeHbJ4SzoAnts6v2m DR/zgKdjA3DEv6dTT+hyKiNLm0lgFC5GmEEg3Gb8Gmkbv/m6Aer2Mnw6CnbPYRd2x1hyo8L6hHRM yS6+987Nm5BY96Q36ft0rNcDvhQ9xGf+m1QPVLF2sTg8GQ+i7waT6zRPIRE5t2So91axLxArWr0b +xg3IBBXv/MTXhl6oY3q5qACEM+UX1TdHsPfelBoYnqz3p/xJvFSRiLfIduY+afmgzVV5F7lGw16 oteaJ6pgFLGCYnPsuVf55T4Am+Zdiw4c/9VjtfFB1epl7Qc6lUgD/xH86qJzrQarEORzuJcnmoeE U80yYQoXr741fS9Tr48QVkgrgzKQiYGQMYNIArUYH4MEUGDRQTmV1wMHqd11CpThN/ElpyGLuNJY DW9shsH+jE93YrO9zWvSrDNjMPXQ/Q8Y04/afTfVWQbjbK4WugIaeuPFrKrdsddwKDGBwoxB8JQJ 1GpLoAnCiDHLF8tX0a5Gix94nqjlTY+HgrjhYrcqr7YHkFMzjyA8mBtY6Gbb5zgp/91ZhfQ6ZXkW pzRlHF4Wa6lzQ0YwAPV48c+K3ikolKamY6fSjFyW7BkAehTXQ78JxVA3cEnXvnP2opgd4jMyJHK4 kOzNC60td4fBdByXQHM905EPZdwftCucVzIGWexYEsvUl+Dg6szoFw5oiOqXPqbHTsrYmP8oYSwu ijzbkFbFQqoff4E72gJtgVKwMIsF3TwHeCJkRFARU7ajAHZt6YolEHIzI/E5KEzF0Bx6QgTaYi3m JNc1tJwggQcS8hTteJjjL1BjCR3kE8rerNAeQC6wbBMCeF0Qke4KL+GKUX27VfYtf5oH1gW58TbE evS2P2UU5qbGNVCh18hTItm9OPPHFKXhcCYkBdf42h/0OoqXmHtFA7FRm4O27wWAwxKeIHh6QeC9 RYJeuS+qWlyKwiK0rUfD33TLMUE3seYTGTDJxA64JjR9DVMbB78/rlFdK9B/kNi3dFaIZ4v8xWXH cdBFGSrlzuGEsovKBS6bEY1iQM9KsoRsDnM3zb5k+blrtPZLJHqHMkAPCLRLOCrLrkofrmX8GmTS VQaI4tfImN1pK1zAURVWypELHe42y/1nJgsX58pu2X4vksO5RZLpgrb+aY8hRHurSTdNpXXSfclA 8Y/rx6BskQryz2H8jEksOGoAHgPAagquoon5M+irr/gxXjKw4vc3ehl7wkMeB4kPBy8cPABzjP5+ AAbIQcM/+QExMuWWsJQ3rGDG1z1Vd7hkBG9FsKqgttsD5GEQLS85T0cyRyK+DyFs1y+0X594bjKv ZmeyD9kRd34JQ6S+PpdG76yNvSjKacL1LLbcUZzbryWEdeQoRGBGxSR/BM0oR1lppyXNrMs6PxXg 7s3tszHEr/2FQKzVqIkT2KgQgnGpuFFC0VI7YYDb5cq7NVAUEAEZCO2arbdka1agJ5RHt02exql6 wpn7NPtM6P5LveQqqgmV9eycuC7s9+rGfbNwamZdCoH6aQcQIeKoKyLdXOPk1uykBvxT0HyQMiN1 X4okP+LdZrA/WMnLVsQOx2t7KmbK4TboOxxzN604/089uZpBYFNLU0+UEfJpSnsnJsKmXGXo3e4c lHVKy1a+swqps8RoTDDW6k5mgv6/u3hxlGi3P7pqOLtY3v1oqk6xYHPqb6W1Abvvb4wNijtq/aPI dod3V7Uzeng4SnaaEzBfmKRMw2pIWyH7XROnONkXCjDkB6F+RiQXfQPO3XA5GHfQtxy37PI2m37i GOF8HdgyAFKBxrbm3QXiixsvzEB2voeTdNfXXMGgk87D7jxamXTxRyo0HITnP4TGhhk/+b+3mG1k t1vpKchYa6VWzaNtoT7zLGgUyHAArAytYuaqgiosmA8y/yF9gNu0iTAp1vHXaWzZTaDQ/eXMQuzj mku1U+0+72wthJGfZYaQ8RYx1JEXWGIkx4hghqFXRk+xNGJ09DCC1Dy4rxxj6NHJmhYn+YnVyFvb j98iUIRFadkm09hp/V5FWPqQWhpWJG5YNxtlYfzXlHNva97Lj+EOvMSpHorGSwmS8hY6+AUj8CKb OHlhccE/VyHKkpDhp/BKNSxQaSFcQIBXaBou3exNwrBd01cVPlU5y5ocE7RmoxOuWw8Bbrvzq9z7 V9dxTCpWCMKBy/n4/Yxaji3UVEcN5lJSMoOyA9sioN90buANfMdvLa0HxTHhE740+Kj4AWQvbRjy TPh2OxaVI8dYSroNqo7dxZIACzRNYAOZeqbJ+KB3whPqrQdT3+2NbwIvk/H0Mng+fMnypWMQeQjC NtDFZc4EKyehgm5vZb6Z18q/my9ed2zOgxR3Euit/zQ4+hrJU2PabFHZrq5kZAS96OhhcZaP6Dcb pZ13kjDIxWg6z4iq4u6uwwaryjXilYzB4MOqfrI3zH/1vooxlOFHW3hqruwb0rC4rL3d87Uy7ilW 7eDST1WaZiFYNlNvPI043AmrUNPU/4MoR/u8pWhts8CCpoSg6WvQp1iILmsqQpBDin6NHJmqrBnm lVGih72xkNDWsmGYhpFX/m/eausi6oLoQ8JBrWYNLWYN+YX+Ul50kCiTmjZDfQlvVwhYS78Ij7n4 e3bRkgql3PDb3/x3VwKTDNOxmPqnz6UJfHkjXhWf8tEdHW7BbdWClA9NXoswhf5xm9aQSD2kHoOU HVcv3Z4/euc9cXJY3opGVRdJtlFbjLkZ6r63f4LPt0ZOxvWEBWet6FS3DDp2mBI5bDODmR291Ig1 VyeQqr0M03uISo3Xt6GoJugNcai7eTbRq8K91n0bUCLsKBcq5PNcVWavh/efA/MO3Y1yZV6DHxIZ VpMigAP7gbVHCoKWYGq/iuA2m+mnBYALsB4Jg+aS7lqfvX7UR5A3uXF+yxpQcEnfphJddaqp9wUd hCE0AB+9vnitPl2/Bw9gwZKM8oH4rqQZ7qOFY0CZIWrpDIXPeFE7V1KUt0cN7eT/3J6akk4Z79P8 qM2jx4CB9fRduXtbWfKkGzCc/KMmdrritsc8m/6lBrTViPevTA1dFabKAy6FUKG+br8KQJOXs9JS UAVySrmgWfbvYmWblznaqUB+dMksdhyUNL9Kj91ziIz6AFhr1dhe8Z6bM46XAjjht11vzObNGE2I Mezv4mzKuSIK8gMGD4UzqJaXSVPYwjgYfOpew0jhpHc/KWj6M68qVQrOSHyiYW9kLkuCgGuK8YLS XJ9BFESxVBPnfS/dxQssNx8IN2obczuCSBW1MRPo5+9m8tzklNehoKgloo6yyce1nP8H/xg7ciJB InfVoC9mSx+XDZb5GzZwg1s37w0T4a9ozGu3ZXl9E7t6gu1gOU1mvpaH7ZucfGkDk/+wcdZZqm0Q 7IbRj4G9FE/+rPPO9Zl6LZysJlZkXNtDfiF4xu06O23nOomLS1EpJ6RnC/zNiyN4r1sceTorO1lk WMz79pgcZQmyFYiqOljHIezrrdc/2i2a02y0jecy/G3hoDTKgIMYGIj4efEVuieUxqCQehH98USI O+V212JoAozR796ajear+6a7kH2cakRO1VxkKkzaoQdM6YAmnth1a5TaKgRrvSuT1AMeO+4i+rkv BvPrnIgV9Fhuf1u8V3NNRuFEloUszbbS3HFEmRRy5u2bQmH0wQ+zxnh7qUSys4yMjRYHZJ5geM1o 5RDP81A5gH7xQ2v6KBYi4Zp+sINu4NBIOjXUCQBOSbYC0sLWQHR5G2Isip3anMGnHJLLsyoM+dpC DhUGY4EKLini7n5+5Okm81jkx1o7ID0RoopReoVo01hu6LjI/XnqMAHYT+BTWhFKYU4ZQmtMw1vO XlgVLBANAsgxC71rjavF+hDF8hYj199gRk7JZPHXqzhObtP1G6NFHWw2Xwj4ei26SXc98Mt6Eyo9 mIhpB1sTnlUTbVv5+At0e/7GEenCoGRyJ8mNymwFsHJdnDD9BZpnMVgaZYAFHRcTkx6WsbRsylbQ yn6fxXM+3WJFDjFJKkNbNWj9wAdG+JN7ZEvofQFJn7boSWHsp001V11JanQEhutnxFbJVgy9mjoG cnMItULJvrvb9Fl/XwEIftpg2J+EFMIeLNNCyODhlYGp+0wum8IdoYlzm4IcG/rYsF4WcDLM6e6h AymTBoGhdJ2n8T/IxOA6avFZP3m37lr7fLdKszrlJ6SuWARaLGA1eCNPKCZYioN/gkREoNJWMe9a kMlUYBmRVaqpgDZ3CdtDagOmG/hOxSaZZbc7YFaAb3NiNF0/npmzqEJ6gx7z1Oh1ut2wNY91noMQ yfiTSxl7TRMncb0eHfE2WbLnbi+lcXneNX7sXdkJm2EfF0qzadB28xU438Xa/hNfYxjoyWeHBQir yM6NoawWgEmNYQSLN7K2s7J505Su2K+maMPhWOmWhIXSgeCFjQdd6RtsrYcSb05d/rbs60j5Oace mu/rZU3lfE17yPtcNnyEG3CRXoX/sHKnyDvFw4JbCh7c68EUdy6Ph/cDNZL/2SeIffJTjln2FDVc tPGFy0emGh15MePZinCVx2KOpZQFqGMFnkRB1IqfYfRazHrPoUz/pHTY5q3gpTGAsLaOn2MeRrq7 FKrsQFRtExa2Q2esyxKztUtUnHgpWyQ47FKqBgQeanKxITSbNKJKAAVisSYyatoWg3K0qOLbNIeW udfSH1SZFuZ0MxQVv3sjLzTZYLfz8IPqD9MI5tSOkK0GS/zi4fdl2Ht8qZ2TSMdaf7opheaiYRoa GBfbgUtYzUVZecuscQIee1lA+007ZfAw6HIP6WBVItfCBlEuGiiQT5z3kFIjxiDiLpDdPJ8hH7H6 6RXY1Vbc32Tlq5Q3kNT/0s91/I1lpz47eNenxpKvF/NGqPARyZANy5unI/t7rS/uCB440NyPa2Of GT4dQRZ+Ahe1Swm7k8bvrX7Sb1QKGOtSugT6on3FI0yid2R/DOu2yBDSXckgFgtykaHnUCTWiHbK rb0gS2Ftsb/zRoZI87iefUzL2S+gC2TP0VvUWcjZ4hn56m4UWc8x5VCiLjCUXdOpevt1Mi0czUq0 G0knmphN98lm9OK7CMdWpcr0o+qtkGseQ4MferI/3jjbckJsLm8zyHpRbdgA9Q++ktBF1v32J+ba SKxdSh+EMWyPBE43POSVc+xxTpBNQczV33cVTGEGG7rQMbl8j/PSFyA5MUuZCiaBFIlnunK1DlId Rhp4TOWV/Aj2RIPZAfZTNpo8kAeHiZJNgX0FJYOSOMbQiCBob/8q9rrP7vQ4Jr3S0vjhe/dKBqOE HpqqtdegRgdwuT9Iiu1Mb2h/Mt2v7IgiidEoKXgTUCr6vW5sPZY9JUlo3Kj/QxvsqdBhdf3EJ48l UUFKDvei3pkAP79kVdisjYidZpYimoapSIIGzckDRVq5FEgAVXHBYso6CM3peV2r9AaIfg58hxQA 4hcFqn4SdxSAOxtLWN61GE+3A8PFaj49t5hY5G5HQcchvX5PKOBh4d/6+GLNIeGZR5+X1QawpGxY I1zwv2/Qx//lSMrZrZOpkD/d6G/pMDtXSu3LrxYXWPKEE90VeLyOPbTpqE7GwM6eMpYneoQ7tWnx nSBLLGn+367//FLEt2lQpdOKG1ArImaLlSagh5go68ZP+StmdHzN4JOaO3lrPto0U787FdtVMSq9 CnCmg/dw/55sXffHfXusWFRNq39ylMiCrAt+AV7r+brsIfjqIC3H7V7v9hFHo66W/cKjdYc5pJ2A ydpci2lbYVoH11P9C4WhUjSdJ5C2Eqrqpn/WYBy74qv9QbjnjQVkSTkfGsPkyaBgTY+4sUyQaEoS JYQg81E+0nADDaPimUyrIfPW1N+PX3E2PGuiAFksb8PdrnF3v+znLwY1SPa1VUtotsmfsYSjFaTZ 4WGntptZLEx44PWVed/a5aLXTmm6o+82p6aJUtMN2l+QIVH2r7W6QtcO79u/45l7cG92AEkm8mtE LgTnJhiE3fjegYb9JYcW1Y2gKnRC1iCWfuaeV80MBQHXAGaKbcYbiFYjgj5Monc8MNa4/95if4k6 TkqalaLRppdmH24NxQYzAO3LxwWt1v5LpYXWCPWojupZnUA406ayINOokVBhkU7wtBnUnAOkcG+2 HbXgL4fLz1UsOSMwj9l9hF33iFNmyWY/hhg+aJtayARZL7l8PpB3FC5kaTvk5aEteOTdEqmysJB/ NdWmN9D9RQWO1eOFXfx8Ql+4hsxRFmNOGWFph9g/3cCpWt13Y10o0IZs3KGr1jAfrSaTYU9sNihG K/fNwJVvAnV5Bh5BFWwHdrzX90mschYOzNjmG6FmYhL/jLHpB73VsLGHwUZm0xvahfHqu7DkpYIv X3m0GVFuJA6ROGmXfsJ3G29bGqlp9MQH6ieEZQ7DXG/NCBEnV7bTUh/MoPYr1U13mBA40gN1huxL z3QVb8cdxbnN+rNchzf5FLItK1/EbXRjEGwpjMvinBA07HCCWVkDieQr7UV9rTrjo4Hvg3OEg+2V GiZJbakJtSQn1JKLwErQAj6Kqs7SZ2LoQPYmkZm0Uy0OX17MvrdKFht7j/W43COUGARWtp7P39Aj gA6SjyLxez8A4NJJUHH/yid3hDsgz58ahfVqwJCRVpnDq4eRL18esjlvG+W5tUll2sGg9UkZa3UY f5xtbwi/4XEN+xNqg3fUiEdbmyDYvDjBDHPQBtmOXfIZcicvGjv7PIf44cYK9LU6j83h169Xvm0i Xs81o30KWvaVyzZf6MsvYZJvncY4u2D1ruNMc4lg7CH6LjqKqGaCkgzFAuidvPgSUSHuXUzL513q u8BRoDxAZ/htr0RCPNNMUR0aMc6b0ymPJU3BLpqbHv1zfL5BujGpwu6/Fi4Ih9jyEpsNPEi638Bi kvNONe1l3B5CZpwhM/S4f8RiP7wVwXZVkcgSWY+WksBnUWk7GKIf8mzSD5w/MZ3nZO79oXNM46es kyWOUbCBUGF7BBRc4fZLNs6GlQqBq7uWmuHHZAsab6youQ+Cq5P6CrR8u0H+lueyD/RE00hsCQEc 1WBJxcOuhwLcQaISQU88fz/iAGbDelZkx6mxxrvWhy/hbUKETZe2qWF5gjb1yuwOuorM5nSXmpkd Hqq/3SUA66ikhdHqqjfyuT99UkrnN9wSCiY6MZi7CH/xB5EHl5GxtFKEWcRW6jfuV/K4kVwoivnY 2rCAnAnRNt069JKm2o+bYiVzqQv7k0wJeiN5KEutJaAD7RTP8uwP8rrPRmnqiE1c6SVou/zHW2CC yUGjqGlorE1yPmFYew60ISEcHmPS39Gsk2GFa/djiO/VgFcnmv1XVKduNfgvrp8EUDKfUTH0Vlqp s6bCg8/BSmU1mgZFhgti18qc/eVdGbW9oR22c2eZ+kblCAE3idstExuOaY69LnV9wkQul552v/lt qS37p3NHFUFU/aiAwcmuu1KOWJm43Dgn9rZL/WILT/3/RRXTPdpdbMkcq3ftD4rQnmhrpxp1agj+ 1npN+7svpROl5nn0znsOiX8yd4N5oMSMQvDB8J2a3EcHDLqpvKP9Z9cQtMMQe3Mf1YDJBu73p7qq Ihdl2cLyYoRRlLI6J5quU8eYVyU+uK9DdljvAzUarK/PtnFxKkihksRHLwA5vo3BmX8Fdtjxgwk2 nbXDZR1bo0f0QIug66/bY/9+soV0qRW4WmMF8nfYDRSxgxjKuSICG7IjgsT6LIX2Xf81fZWEpbYY dsGCTMM/H5DAcIqFtgIb5BU2Wvsm3y5lXhCa//6KSo0gzSEI5U7xRDk6omq3A3duMCI52Xs2y0ff 6xNUJLcQGcK1IJE72R/4cjbAVM/Q1Bj8wnaScQO9lUhDAW3uQ5kDp1eFIa57aUvDL0IAFzQxJ1F8 A+vV0hQt2rN4s4iALwSJfdrDtXZ7LTItIaMjr0Veh//CXcnH3+tZg73SaPjjcHJYSAz1CyyL5B7C BxBk+57EyFTorVVII7MV+pbuEi0NlVKXs9azrwQ4TeeGdA8ev7ONQoQQFc+tw+GM/cJUTRamonfp QXKXMEcubUN0bdG63gGJJRgLaNU0VgwycyDM+/P6ullAT6Vw7u32xuI2hLvkKGXFDOawXCUCiyWO Yvl0WHaWfJ06jXIJ1+OZxAL+Rv1mys6T4d5gvdiHtnrAU+qkyuDZT0cLsrUKQpMVuKb/ZKAtmFl+ qHugWe1QwWi7ossZp+O+eoPOZ/n5f+tf3163ExJqISEOjv4JL7PCIiG5QuV5/wdwRXPgk5Dd+xaZ x0nu9lge+md+Z9osjFK+D0l+gNMvFnZwNHIc/xWfHX4NPrm4LHg2Mq6MaNDv+UQeVjSMmSpCTLLq 6g9oGQO+3HgNPHZfG+wB1iAZfec8Y+1il/HQ27dAydCl07e3L8JpDkCz9Xnivoes/BGS8UV8REOO n4mN6KxsB4vf3YT0gZrl6zZRy/clbqnGUMZhdPi3r6oqnbo3k1nu51g45NUCbchlJTFMqSSvSvSl W0UMAta/y0RhmInMzzuSku8RyR4QSUPEBgPBDAfgc+BPDu7Inq7Pic53wbaCkUxSX1yqhBAm8jyR AiERwPv6aWAjTed/42rh90rKIoygfNYC2Dr8AtaLLktUagNCPu0wO6LTe+23sHeUc+DPnd5r3pBJ ZKUGCkRlQQiFz2g+ejC+oLFHzt3mMPWv4jfE1u9iGgL0B9H7fmIhaq6TZY1Q8By8zXLIrCWZHO+V V6VHu14KqVvs6b4v4ln0nteWHkGly8PGMnop99J74tyCcgkrDGD2X42i6I66lu1Ja41pWZOiEgCf F8GUEFeuJpv6yb2ycTdUJ4kjZ6LVXY4Uo60pGThITb04NDbucqUK3XcAHnaLcjE2YS6h1z7UfnC+ Qvy694ujApV8ruKNNyKkyQxxxIn412cKSwMLuFLlx6M59jJwNZ4hPN3QTui/fCPC02IvGbE2ftrl k9KKrIraueSddhuUCeIPZnQeVvxYXAHO7+Xs7kTkdLF7WK2Sqg1Y0qj25O1RI2h6F/pIIl4vAfZm fGQXlmi0TA9mJFdKnZ2/idSIPakH+KqI2ms0XPU1AtkiiPh4krIcGdIgNF3dv81tH7G8VDyD4dyI u4RwQ4b1eoBM6ftAKcZuexkRCUz45cZ1zXV0WvtGZLYHXNM/dy+ITR8T2ODIopJ5Q5LLHeUzZocu fI3TMNzaOGHISUSf8Byk4H09HeJjOkIjqmHXZ5ZKE+2G2Wrx6xWSKCWCjZnlYAedw/ysbFzx2f1S taqI/P2G1ZtbQC3zxa1guwgnlL69eN1oQKcJVFCwPjxW6hnqijDuyc0Jj0T1G6gV7fEi51bbpaGz uSoLzzaE7loJxEoWBh3rCts0cas2dybaNoTLCrwpL04Lth6sv/FAXFrgspI0hewXiBiizoDlKBnJ OxtX54CXiiQ2XaUH0C3X4nYyfcxu7SS6+RNnLo9CvZVGEhegCIaXZHS7ujHpEbCzoqBYo8XUoYFv HWXCCiD/2br3f42REdvPMTKCG9rQVtNVLXQonKwzI46K7DIYkC/3ORU7mayXQTf9msxAX9Yo9Uhu 5QQIgyrDzDOykIxnvKFJ5Muwswd25trr9K3uM4dTg/Fh1fvDSmGXyPrXBJszBA8ugKWd0EbBJIvc Gs4xZzCFKE5M+T4DvgC3/cFpJo7liRGHx2DlUIL4mMR3Pi8cfLUJGtwdICWUUi+JoSb62UBej3vh VK91iogryO1D1e6PafS6whSzyUxa85wW7fu3JZeePGklitnBNnmnpHIwFa/3VMWVCJuUcA/52bYz 6dfpTbj+7gn/kVXYcfVUBw1Tq1A+9/1ysXGHKOppYCmg7nmlQCWH8zfxRHprRTEzhTknxLUdUj5o dNz++PANQ3JB+ZW7G4EeYVeThxMy9xwevkWsVUWmJeUmU35dyD1k9xv9mG5iE5o0Ga485byD2Ahu r+/EKg6lAYuYBNTWg7wahl028ay8feak7us6ppAYpkSrXq/1llWfG234LXh4cEXWyH09NyeLLDSq u+FckUnFRaGWUxEvU/T3lRKE0rT0zJpWFudr/9l6y2uveuiNMyuSc0SwF9KT8mou7VAeywxaY9GH FqkeNds0/3Fl8Iiwqf1DVOZRL1XRr53YBXPTjPVLIXSQuq0pRvWQBL4Qwf+rx6kCqkZAAlRH0oEc mXGo7moxC2IpjmLHAme7HlFyYsR0vAZ+D3p1M91h0uAAgFjgfbUsu4Kph15ug1SelUR5swuH8RFt F9EQaY7Q5N4cLp8oqDbq0NaEsYcq55aYnlteVei/pCn2P0s6wdstlWCyu/KU3dMvKCPncptDNKip 9U3uJUhvQRAN6IPyVpLXJZsnIl6CetsJQFPmJjXsWUAD5U2MTAv8J9C4zdmzbfe3a2hYEq1OyQYw n9vnvEc5wEOmhc4Qh29WJxuUGCkbFP4Vai1rMfvGJQKxqJhaGboNJSecu4F3CbjYfJDLhY7XO7Or kuQsyAMfOwE59rPztIzpvxxJudwFuACNQGexSgzu79fwYhRaiEdMLL+MGLeiF7Ud3PX7E6lOmehn iswPuRAUcfhtrlZjHkHE9syjrl9OtI+tAAiPSEB1JaTFUQtLfJg1qFrvMNQBMa3lJOi9EQnNlQMj SHoVjyvmyPOwtaeCj7lWqAuOKkLDLZl9o0ws2K29cWXf6AwnE79HTNn5S4UkqIKoD1vGfWHtalAY rjoVjC+HCTtsMN9Kl/ISVHqWkoPKJI2PpDG/aohcs3fUCVd6MlE9sdntX/eERuih/dUExxxriapv 82LboppftcCmvQ/zFeY79ZrsblJTjMjk4XjSgVsp2BXNmKKlqwEOCz75R3vAWDDzPhW2xbxF5c6k dmJv8EwiwLAQfy2fG0n86KrrtnGn7xmrpAvOplYL+MPWhIUs+NX01pRyVdsU5xGya/XOnVeu1Vro KTONtsXlvpkuvlKg6jynyYmhbLOij+EC3CPSAgiLRWBMQaUfaaz+5sujnJlgp+3MRVnePtMpSOMc PwYdQMisyhyrXitUWnaCVaYp637tvZxlpkOZhNRQnhKIhqzvXvdVafJkTh2+NH9bLfBzQuJoEQml FwNg70UyiD1RayqymKA9Lcp7nfYYXZJ7r2LrxQz+FrRJP7iX0jmofgMQp3v0YCuR2G51p+5tG2k0 +jhhkymIEfLOoY00Sufrc/1f1CbXu6QV2j/0D5hxwmM8vykViYPtN4D32BRLer63QHOpgj12Ae62 CP8s2Uwwq6okg+G9QvsCtdJUgS6SPm6QksRn+o0aMKPbhD1ifRQabtWjd6uS4PLeT2iHH42PzdU5 qrqxahyBYgCzH8YkqtaGMw8Zx+V9ZAB4WGH6926Kx3v4yhyhbsKV4DNRzpIbjgCbLdDb05hz9328 QeVzFlY0pDDlpz9/EvfAdETfXOvuLIz0JTqRZjaNL6uCvcDDW9aCl/7jfpwDytxUfAURaGLoAqaH TqSu6kBM4jMhnERR3APmfP5xeZeO4WVXP6NmhMU4u40T8C+uwKSmj5GrQ9VMVGHCmH5T9Q38VosT IQEAfO6x09uA3yWBZW9/6pyHV46Vt0qFaI1IwdMGYavDaCRXHBVmEoC/yamCwYMemi+L/cMLgY+S sXCV2gslJDCFrNpuns6FHR4QWHnBhrUuHDu7U5CiCnTXxa5G5yDFos7VKgKDV1UECQIiBMuq6CZW ryhVsdh4ylczrbph1jpOIRaqHw1OhH6f6S9no05dJrgGT9WFOTiQTYUrkNVJv6q3HtpN+SNIMW2/ CVWAmVksatgnSEz7ZDKnTUUscwL2kiSb1wAKhqSWlJwFqON9VOexNfW3EPlN6axsantv2jIjwuDU HIjQz/6u7u675lYbRMaOTyudQNZAuDD0SbDojPEvZXyjQbnQYguAqpu0w8ysg6TmfZciSqu9QJLL htmdv/qxpZRL6HJU2HiLZqtJKCV3vU5xb//FB5sqZkOqzSUrR77Ik95+pHi4tW2Ro4SDTM9F1lgI 6u7Nwv9O84NCAeUUmbAIFGTeOn8UU+5NsRSIFiAGYt257MLuw7qBUxRqXtGZpax0pXjSRjF6LKlj IQbn7p4CayNlrnj/SDV5zSPdACvN5S3QCNjyGHbP0ld/5EwXOFmgXjHh0ZvoNv2f4XNE0z4vp+wg wH3GyZ8opX6diA/iYfo9YVFrh0Bx4bPAQ4FLYG+6rImAWKzrwqS0l9zdweMBT6kmLKw6lLC/b0g4 JBHKHW4XH+vF+vGAhJN3U19JxFmeDvLYMyS0Zem0zw6t1TSW6VeO+hO9KouTo/FjpxvGwEGhGfe/ MtpK3ySlh1W/e/cxD9eyTLOL1Fe2bC4+yOoUVoyeVojRlDhQPt2SnCIR6d7YXANKIf0c/GtEFndv gF+DE2aCk54iIo4v8/lr1arqF2cIrwJXxR4osT8hnVSgTsg+j7vVp4CvO8GUmPKGT4x14fcl5Tsw LDSbnCON/FyByXEMCswQT0R1l0KRl6Vx4wjh9n7hCT2EXXn6Epzh2g6h1VScQqC1nZ6lClbpHkra HTWARBRQuOtC4E+/N+COQ/tis+of1jeSSpTvOI2IVKfhgdm16L8b0vbK/IegmDnwwF6WkF+FnP3W gVPu3iu0iQx2m9wkkhBS0yNVacr3mKEl28myrKKdnCY/peDKN7AkcxZPROF1YD5RFt7TeWg6UZTr kgQVAnf5K67MGS2/1dcp6SzdJOtoZJG8EikjiVA0kVxWG9HRnAEKHVkscVuaZ2puM5J4bahLzZZl 5Vq5v890KzhLfQXrkOUBzM80hvPrwalGmac/FmxNspaMocUl5FH7ADZ8maELPuWsFzIIaRBrdnN2 kpLxd74PFiSNilEC7U8Su8IO2Vwee+HJkDDQEi/g7gH3uDymtBQMDU+S5zuCdSUVjk+H/0v+Ljis 6D8u3fX2Hw9op7OmQQkKV/s13ZyeLHn13wzPb07CWPpKYI6yZ0hlDN4CapiP48w+io64Kpq95XAH iNG+Fz9V2LZdpXTYDF4iBlT0RgdR3Bq1fh0Sc65BXAWt7ZeD+Q9Sadjcfvdmu6CJpx94T6QzhW3U 7ngec5j4L1LcLQytfp7eX5jZCiiRytRah0iRi4MPfPOZd9Lk47sJzUUDPSmV7xneH22rmWP2W1ky XBuw42d2QvTpaChQUpvIAU/nYs/8LkJ7n5ZtGqrvCziZzKLp/CWCgjyol4CmRCHvVXUGUS/eOWvd trrLdJ4ip2UiwTGPJN6vTsthSEIUCwmwMvhFrPZkDHBnfZj/nkdRLJec3d5Z7+815aP395I5Bg/f 17lXyku0vM7JDy3dZ2YDGw2B0EAxNpt2OM5UizgVz9OVF7LJGlYI/Jt/rf2lvXd76ra7WSrtSqDT txEBZe7DIbYD1fW71dPBAODwFq8uiccjyGHiAjonEur7qrjkasH3M1pLgxTvcwuIq3aIqtnhgrB7 fLopc/l8qPwKytnaATwu7OXg8gG+eVqbkptPgG2rpviCSZEeNqKoVc4Yymy9uz384suwUGq5fR2p 2eRI6wngPs6x0KJEwa7YnWS3LwAYJE0QqDMFuLK91vhsnYObwz3897vfhestuwDi4KIcF+tiNTvj BxnHlJceYMOEW7W0vx/YtyBemis3Gl7L0lAjhg0kr75IZd+c2VSMuJlNzNWqN5nVzhvoA5nDxZ5V 1vXog+QaR+rl/yUp9OpGiHFH9YfeZz612OvlP8vVcOK9buhBG1mPUsEIFFQBwn2kmTK/vC9Lybqb VNLgU0JZGnrc79QdFZqvA82HW0iUMEuJVI7CNB9dDe7mwySly20KmNczQwVqQcjtfZa41sF4IAIl Uc/rmTUlZ+BOJJsaZ0ryspxh+G07kA5dSjrzjhwgJtcg0T351bGwKvU9BTUMfjWdCE03FkzsB5Ok CPM0XIJxclDpSrP5KQpy4nCFsTuR5/gHyKeAk4qBiT5tmLFWa/fpVsKhwb0yyqP0hgrp6mlDJutS UvTHlSsFOAldH4TuoS9YvXZdEadA0AD6Dblu2/CdPCfOpohZCqNglMgQTjO4hCZKtSNnqpiVnhwd jz9cgboqx8Zg6+QrkDkDbKZqShcIHGDGdjKANUwvONg6SEXCazxqqe/vQxLPqVguGt8yxkQ/vB+J CJuJLCS97Q8FOEQBUHI4Kvk0GGEkpurX/hCYjAitt/w0cJVNv59QCEz7CUUxpfLRj+dcpE6u92fD WDmJi0B1flla7G3HHuKqLnaIh9U/JOcqpoA3zA7zTs0ZS5XgquyJl7dnTrza2YjC0mplWP7Xq6oC RAh+vVCyM5zSMybkboEZk1TfkzbyQ3cXmOK++e+Nxeps7nIPn6MhTPuscJ9tDqpy8k62I/xUcng9 M4pDrjQQUfon3jA2ARqhyX1PQxr3zLaul5kbgUW40OajvdbZayw4Oq8jd3EM46eDRMNE6pJmW9OY MWsdQhdrMfY3rMXeqaarqxq/r45ypYgVsSc90puYtTWHAJ9rNyagCaQkaa9lFVC8hcAXdF/e2exn PXMQ964ingem2BxcVtLUTPdFcSguIkLUw/Z/eOx0S18pcVGNY/9L1noT+jE7UjI2/AmAsGPF7ruO CEeCmR+ntnniHkrdUUjtnuMlYSk6zhLnME4/H6Ij70haWoOxRz6duqlASUykeRwlOpAS35bv+HDB TezYUZchf/2Xh4VSFOmwfQ385AQBxHYdOPU070+ZC5cx+2Ed68R0d4SOvO6sbijdZirfB4aQ3olk OmQqWtkB4efryQwBP2uDmbMka3CXGhOb8WcraaZpZ8FMj0R+mtYBB7nrdokl27GQdDeVJmhQQotI 2fP05/MwcHzLGoNiauGJPVBBBUh7mOA+rIbyG7TWFapSvMUmS9iQ7RmtY/UPjEe1PSxz2nzpFNG5 yJYrnXYRaBc3xdrPjRmqpbkSSwkPh5W0gWZ7ealfhJ4EVJDuJMVgDz72EmUtVZN59on0oc4573sQ Qkgp2TIMT2e8YghXV8WMMe40jFh1aIxbBEubifKb47xK5NwDkCMcwcj8GY/4FRev1DrdJVaTMuX8 RiGzPwTNJo3BZckGryhIPVPBpyL281YJZnfxPEzDrkpwYLqVOLsJwmmz4w6z1UstQ7UXRAiqrIXy sWeDG5fTL5WsK3Xh//jJbzVoCz0JLz4pfh0+CICltJ17OOJGZmvFni0QHm3pq69QKtT82bmR9/4E v2/R5Fn8+kXrMRYXBsyvhn8JXlNd0ODciTqNd+2CAISJkNxo7Ae3J2teh0A0E5nhvj6v1aH+wtd3 JIp5xUZRApzy/VBti4z3gN7PxSNof2XjEFUalk3+t2noxp4AG8/JxomHdWPsskf/v7idT3G7yA8B opRQ3T9nyYtDuBxnSYttZb3DiO7DJZhbaeQ4MGpZ/R3E1wbahCwVKWDuQZhj2Zmjki0iaeCsoq0S ZqsgkDo6wNmNae4x2DNzLKKoM1ntZcQqKwzV4DUJo6/L9AlMAl85siIUG4w342O8mS+aAqvIP7qb dx+ULZ/OwxvZR6OK7aMoXxkLRYPwiW9PAySUk8r5HQxu5ZVNxWr3nmB/wA9aMWkg9Pt9wkhqXpWt p9IYqsOsh5fjB+9z9G6ZOnQ5+5s62VVCxPjgPcw/XZQnQ30R2JZRLpLwUELb201n9P/KR4y531Te Hz2Fp95J9hoOw8dleLqwOkqkNO68dB2L2eDofNOT250Zfc42cmxerSQokTeWW5gMneVenz6vZHAS eZPmZd5gUjZXpB3m8JDyCWPnJA+4oe/g767riSk0Gn3qGtKb1YaCIvD3QUFKenA0v4DxGe9rpAxA Az3SKhiC3Lx+bdRzg5OUut8ItYk5d0/aghTS13QSb6m8G3FMoZU6SKLdFMEgT8h8V9pKLr2u2/iT dyuCVJw9/URKqDO81Xpvy39pfgY6fQ8Byx4ig3ywqj0nbzJRQjSSHwjUlXqzlETov3eRqq/yqCHE tYj/UMjZFh6ihOx8YZWUP3Pob1TmiD4x2DuoVU4Wx32JSiSG1MNS97Y3vf+901TNSvJ5zObtGHUX e320wO8n067xVATZ2190I/YhzsgCAtNMNppq5wxEDpR9+9GvwB7RXmTh+Ggp9XOWBEJ+1RPt/QZl SK5PQc96ApRYItUTXnY9cQ9cvDUc+TBgD44H0D3M2Ad9p82K9OFP6wYWylZL1Hdzlyl0eIQRn4wy mC7pDi26fDbT3H4RP7harAuoaOrsYMEjDwaFOr49vYQmu0HoYZZEQ53Y7rfUMVdxjcirfNTsz7wq FEChSJQPvcTv/DQk2Jy6yAOiK3YwERUtYJY3z72XIlUYTJrfpQTqRVkQvraq23GplaWPL9LCTG14 8JD9pdCXwT2Xjka9MVil1pT+YXvWHfD60M9nfCU+HYcQawOT0Qm8zv6v9Xdg0BCeaQWe6l0t7+fv zaaabKXgARcaS9n2zpMjL+Dv5pwgTiiiTWftn2BfMSJGfQTK0sqiHQbfEs4L+1zYGtAw1Unhm68L WECg06K+D4IDL85PfPwQ07OcjPeeXYSntJ/5ht7d1s5e9inSThJPMUiJkZei2NzSlDOSW+HgrcxQ RFLFCR79e+fyZvjq1RsAWi9TmSwvfCDPZtrBxT/SQsLMJCVAFZU3xHlcFSLQAcCViWlJWjD3sWCw 3qqpEBzjwFrgC1bPSj8DYG3+jZkh9PpyBVHBH7HSt9Lpn6ZTl0aCBCSl86jWCTYZeimf6qQUIVk4 0tOm6pARYl1IE20/Xrz6CZE124YhWaDCc7IWEtULEPoKR2N2d0FrwAK879/2+UgdxhOLIGdMPAiW /o3Bx96jqgvOMk1mpIUgsCB20oktqQWC/ZNHM6vF4yu7Pgej8TJWcxNvUwp/5Nl5wBm8S6D/Dnf/ UTrontXIn7Xl5o1mH2WzM6a4NOouAegJgwXWwQEy3wTcCOgXf1xIMPp4LPfB+2KI/nWz42EmXxeX tAgLHngYmbVQq+WgrDl/d+/6A+zj7lQj7TaC1V7Yt+RoQT1bflEvrHDqqYynP0dL9NtqbdtbDcHJ qZFVRxwt1JlOUpIzAqXZdcv52pKM5Iah2M67ePW5rEZ+mYTbX0PModJnbsrMfYwaV+H8eDlPxffd SdQPLMKVTZSfGVv3vNutk8sAhXOGTr8+hO5+iFwj4mATWFiZ49dHMTLQdVITDVzU1Z/rqL3COgOw hHJDuUWpmqVoru93N4wtM8mwKDTWEi2Q3FMipetbhl3IMdvKpYzi/mktgSGelmq060ophhv7ygJp aulaNsQU3AgQjUb8A+iLFJ9u92+kIYpQEW8KSK5R18PKzXIom7Y/Ny8V5/Q//6yExAdXGWGjLaWu sPLlr2y+SokUA59TaUGlxhyVZ8PpbXxiUliR81MxmJXr6uBKpJU/x5e5Kw8UFQoreumvFAiXvaA9 HVqLSaAMlG2RMq9NGZVOXcSGfpDD6aqHTDUqjadtNVckzb2991SsXRHAE/6RETixf5NiRS6fJ6tm ous5/wxpymkiP9xoMKONwyvCj9I4hDx3okKAW3gjWmsEnoTlfvmJB6mRp8bviq0iZHK4AmDVuLJh 27sfxC7p3BWo40kgh9IOgEeDbENvHjlqQXsFwIYduQzHqK/WFGgACt2hiHXTiMNxQppcXiysBGuc 5DaTFloH3MwrHUa/+25he0gkr/NhpQC/jBqjyKYr+3lh9UtMpQjFz0CLBnI5aylkuAbv95vn9cYP nZ9L4HM9NdGY3HUtnTy6jtOdtwLOiwkvCJyK28lmJivmz0aJ8XvCxSHboTlCavqCotHJEcaDNUEZ lW0KYMOhMNAYtbe6UvpZfequ3g5s6v01rYL/c2rWDL06Ibbaa3O0AgI82hWgyLib1B0pcq1ilF+y K/DlHBMTFuJSyGKTp9epTQuzjnpjBz44D20w7R1avhe6edet1Kx6khBR9JhS5AqzXiekZtJFVsV7 gC3r981oD9WzZqoEqamqOOw7IyZaEaNgxivw6eGBHTLU0vvBagL3GOsCTAkTQhBF8E5eJOQMszLH oETg60g1vHV50WmDquxR6xDhD5sWQ4uyfSNSuK9Hfm6O+x4LHu5/9uV2pLLXTsmPxHytmRlYolvK 9UjRSaevYuYL4pXmyv2D6Z5uq3Jd3XRWnaj8nynL3POsbbiwcIzWstn/A3B+wX9W9s5xq2ZxFOdh nEKwvI8MFmGVQYKYBcMhfUIZ0xU95WULsw9BV7aXWGjZz6m8bJjLDRgcbTay5foWhgf8mSo3DhTp Mdn4Qw3GL0+UpeTQJbeaXkwIYB4ps+AOcHECb3XLlAjfBnQQlXMkMxfWp2S1wF1U41oWkGGrTgK4 XXq/Hg50FcwpcVjGbRXzPQTLNvC9nXA5iZ47R22EB6sZBaA2fIJP2frHl3GLvfnfaiVPPbPhXHKP MQMm3Gnzv7XxEACn1FK/xi+lHG4NNW0jNlemJ0hWtZiy2M3v4iB1QTtjPqxaasWPusAi1yk2S40p IoNlzCFV65riiLKOD3SsiQRMCozNPzIzjMiyga1bih9GHG5yeeYXh15c8Wcb0AraSphu8VzTLbzY d0pOwRYzo7EyHb4OW38S27nSkjH5GgAqtLfXUxel5hDs+TRe9aMnLSNWseGob+jQM7iqVbqCXOGI mXutGZWnVEyHPV7nBxhY61N9w2i1sNo+TVJKThWAJ3dWG0ymTQ8MD4KqrcYwu/uchYjzs3Wa/0Mj DpGe9bxX/PM3hyVUZYTLDE8IfZ0A5P/SJNNeR59ntc9d1KSZyQ9hA2PQ03AoAPy/3s7hdQfcTEea WLRTJdBYWLWtLNkjDe/ZrFDGorDMfspt0XmiL7m+cVdovG6tylhqaQs9VIzS2EM9T84Qzs2xYgQY hhIsSU/jR6cKBOtqqKsW1WTZqo+GZOzR+CEbPc5uyQtsiPdEdeGCFDsHIMjBH6xIwc3ScN18A3Sm IEHQb/lgQ1YFJkeMK5rIGeSq6QvHiEnWOVodNc0AUEsf0/K8UhLQtxhVBGBVEQQOUIVBwiQCu5s2 2zEP2qCKH/rMdV06MoTLI4MhQvSFlR09YBRpPGrVFFUtmbkmElTTmcF3UIVc66+fLqm9LBtgVNkk 01Z7ghXjxz7xJYomcAIuuhs960EpLKGLnTGcq8yCGx3IkNvhP9L01VzENVFblE0G4BwI4MUsPac0 /qFVxzta3H1hIg8fz5I1sWK2z0wDRcDPVA9rE9hNMGdP/OENOpJ+Pa5fgmlOKkFWQL4sgq+5Ycn+ U9L1PyoQ3AEkdLrfj1dqFh/UX2a4CfYQGf9fYXvaGemRhBBEgbtQYntjCBb+Qt3rgmNDC/Y+oKYX kWFOJzRUf0Y2sxGUXKFT7lUo5w0qRFgOw+osqWuNKhLu5Sm3sf1AjQ6RV3QfwLFZGavGK5WNxkTY 3FaVS57wiwa2N2xJ/CejCtzKz1Xps035aSx75Y3snIV8G4NdS6tpJOkIi8/OFlmQ2CmfKD9GzShp U5y+9T6s0Nge9g4awhlC56e3uijNbIDViDonMLhrd5Ce+zST6YL0x7A/LChTPQKvxzHypHGL4/hV O6hdDvRMcyvqEJ2DfRWQXf+b3NrZFxEdfhvJqBKZFLC2u9EwZh5hj9Al0uzp54r2gW8oKtyOp1HC pwNhGsotmceSNQXvaqfdyticDJ6WZk5rP7mxtMY3u5t9AZQWJvyP0ttqinJg6dK2k+eaMSAun2qJ qM84v3FvOX7RMErYF76j854zR78DfTz3+8EM28/nzm/Zv5Fkyx5Otz/Zwl+ltmM/L9dNd1ADBpGV a0XgScL1Eh4OJkNRgfmWg5GJNka5blasEdSpBQaFqvtua2Ik68ktQoeBLuoeRlAmYKsQpLDh4LBA P+4ZvG2LNWRZZteVSv/x3cYc6kSvGSh9spJszPY/o16KfZSdHzWiBeficCirPwMbxSNk3uNWrTLZ amcQxZQnbbTIx5h3vrXspS1kqCKqIbUUOtC9u+ZQfCaSvcA6RKz3/di1Pt8LSMxVQgUGzjrcv3so cPchaKQeCI/LOkjEZLMuJ9wu74odYXLkUJGFbtbLDPE8Jh4zNioJnboWWeJLcWIDkcdWce2fA427 x3XI+7tjVhxTWbmL62zjuEk2/jqSZG22pn0TUoQRfdRYnmt5o+UUAKgxTgE87WtzD3i0wb1dz8Fi apwXyACSnlGY8QHvGAHdttcCiAls/sUgTN8/F68re+SlFsU9nFaMskGcWovPqF01aPwXnzuFuBnl e0hREte4xv3w0/O5Yfxps9tjfRZz33JT46Jq7KxFHE4fiz1MQgA1W6Odj8RiMkNCfYxe6VIF4wdm ApIJABy2h0lT9sSaf3mTXhzVCmAbo3VbblNER0Yh8kcWk7Havglk77+ST81tZgopPbKDBTr7abRS x9wfiPGsmL/E3xls3ziRovdZ8uAIcyv1A+xFZIlMbGBrT8CqUbtA6ErybbAEGy0U5oCK3uShZxsr FngYbYvzy2iP1fB3Zna1X+Pl9rBP/5qpqs32Jn1Og2Vy7/QfEmFdwNKVabPfBMXQ4JfhmMay6ffv F1xMoV8hGSEEzN4b/LioCzJ/Ta1oNZHNTU1wrJ2HOuKKmQITpJx3mAFzNYNTqZA1RA/9s2/bwuuM zA7shn9K6tFjIRvYqjjQLlpkAlVK74E7JCWEVZaKWOdqbxxuHHGrHgzOGHX46b8Cs4S6Y/15LaYk mSqtm+l89mGzBsBjOrCdCRJrihKxc1xqLuLRFaF8TPj7VVL59HRGa84ZQVrr0lW1b7ktFdkrWDXB Qpzy59R+L6mdtw/qwLQSGFOXgl9+ZiZPAoVqp2AK0Fy0uTTnDBXHQPJmYM8xLv/wD9DBJOJReiER Ntv5Gwd9/zmrB0q+EpUf9cazwsElQCVqwUvP79MLSKhXGnlZUDIZEY/VOsej4qgrPjOAlkAExzfi RdVaipB6uiQCM7WqW/HdXjfV7HTgU7ncTiA6/8hSBwiejchsD7eljeBFhi+pH15DvmEte8bHqVym jn4xxL4o4k3sAvvzxl/jJL9iTc4ZpDT2cv2DCdCBKnw4qm8YqMpTsvCZrzK9gUX8k6K2lafv6vDd hKzYuMWVWk+d93eQZLRsIPipHYmt9OSqPt/UmGv9cudVhRuVQ9kGpbuGsb6yLAc9GndqgCUBa7WQ UTvfO5tnYbFPp6IZ/21lPuWlpJUXGrse7/6xw94w/8Zvf/W7DHZTgt77ZDYIPFMdR3OUHrZ2CknK evE/PkZ2olpczH18+WXlXfDT9+rjDkO5Tz8wS0X8hMKpSrDaYXbRDLnXk/cLwKAxbqOkXJDwLJGg gVvtLJ7Zs3ygqD0j8Yfxdctl0sTuJfpHGyEutWgq1hX4vsDoTTzo3tV2K8bHzaJK5zYbVrthjWGm Ww03DHfLu4EAE7tjawm7sgY9Eo8/80lgw8gANQioJbeG5F31pD8pXL4MWuEw6fZgDPOclQEIvsal 33613NjTKZ2zO18mBye3/Vii1YAD5rM1hr18qoYqVqexnKkU2TWOF1gaFy7yKHpfXnRZU0ELRxD0 COwyyOPje7/LJ4ZGzA9vZGoduO913nawmVvTNj4GDiK/7H6DWB+fWFXJAQ10pyD+MNCX/xLefBE4 f1SAamaFy5dcnqWhXY16LVPv9586gqMWSySZXFAVVhCs65w9faFpA4TQtfICLQKATz930VLy+T1V +vLak4Akv51oXrA9g4wbaoqw2DewF9/tYGZ7KM7hjwbELCa+JYzihdKGgsst9YWVlRASBz2IcO2Z 0vCBfAKdLDR+74jiMmSVGVrVDpP2h7IdAvdfL/iWEd55+NZa4LiLby9W1sXVjXZKlY4QI3RkTaNp Nmt8htSutFSWgOz10E+m8UJXkYT/QxydBJo0NLKKcYyEc1QnsJQwMGiHETIMa27GzDw34vgCxvUc dHRMu5aK8i/Y4O2dwwCTdhPdT9Mtim1eI3qf9PHTQvNH0Etv/NeBtNRFy0nZ+nCv6wZjp5Igl6IO Z9apWJbdBfRElag3Ocy30mvpxVxMiV6iSfrKN1TnfaGnaEblJCQrV0LgGzp6uZCyFKyLwUEEHp0E 46sCEyxPm64rn7HFK8PKS1LSXLBRPhyb6bHEBUhopNUBgRDb6IDLzDKPXGhECgxhBrd6I+mSkCbH ilZO3ydnBaIR+S3/FYmHCpuBZpzTAalOlDd9/NPD3zHnxhHBwdmpawr9p2DjIJ9ak018XydtI5nj OBPZe6xYuF6g/UN7y/dJhLHoHxyoSQf5QXKXtTZMmaoHEkC25y+lJokf3qfdNQVzKFgUutzN/ib6 3b3PzGPevCwtBtqRA7/QukRBT3dsu2z/PO9Cq+GWXu1v4EBkPnV6uBmVfKtSTg85JwwExcRaAXr7 LpPgIUrw/jAKR7v2sShBiqJtW1fxtCxXZGKjaCZ5xibyyhAVABQUyeK/zWnUvoEILqw1vxq4zi+N UXCZ8Rar8zH/SVbMabVD/IpDnuTdJS2HoORPwkydaCVh+BxVCl9oLhqAGu6oIWQZlKSjc8sh4QFk KzTMEg88c/sJkuTlsjYTto/WHPxrKQVOoaxnxKx4zhWZvQ3Srl6Kc041lIpTn1LTfWAzpxWeX6RY UwgYyGXqzs+nxwyah2L8cdCSjaE5a7TcYN9zmJhXtwF5zypL7kU0PB+ljRjE56gQIuXuhZWETsch 3qIgrYRbCkGKDNrq0/VAvvNy6NaqfCYNG1jqwF08iGv7qfL0jvLrE6KQrlPfWSliLv/hL1EnKCwQ WS31gWhQvktadWaoxd5JhYF9spZXs93a6+2IxneIjo9ThZwDucHdlBP2Ru+X3Iwcbs6krebxYQJS TDDGTNdVJOEb4ZHKxfcXHpB/Z5nbR8vev+vXEasFBw6JDMRPkGKI4PlY+ZZae9ODCTf6SBRBRxYq N/EYbdMQ4iHRMO6iTBvCES8o+f8x6D4N9DGb8EYdG+S7wGWJQyZgkE5g8y1st9iu23vuRnqxjKS4 uFqgPHYjbcT3i6OgFKOXh+5g6dE3tRssbIBsHIoynWNjmRGbos1KZfAqy5oLaxJrfe6CfzErb0m2 srPfZHQuxjtxoYZvdxNCviy84CMmm1UQocg7cwOGOvQYja2UHfR6mAFx4YSXoDPmpCOnhqHuF3sG jAOZmQiGhxb9gpz2HTotjoISv0xGD3RgzbnToBq1j97oGjP/iOJbn0X6e6WB5XKt6b6hok0/VPjF A104YeHGf5Gtmm1Ze/iRxsIfxUzVQVUpKQ5peFBLn2Huy/PfPeJrCzi840jis8h1yPFPpqccO5xL IycNL25a3FVFWRiyONHJDtSXsvRBE3o7c8KHTHFP68V3ogklxHShNcFsOX3AU+lW2jN2WGWt9L6K d35cYg0Y4vj1wlD0QLKWnyda4rI+I3+UsRLR8hDPtSiH9hGUuTaOCqRveSJyWn5I71LgkEYFM8S4 0C8xeOBbalQt1q/2UbVk17WsnjbLmEduwXMq1qKROJhz2Hd2Ac1J3sIq/ssrKG3j+Dpl7ATxriBg POf+M1SkYaRnKmhRGu1qwXdtN5kh/hovpjJpVhnHGoQGfsaJTyl/Gg0sly983s/1YR2BxXuqpSkx qo369re8deEEMfnih7KTwFFs/g+y3JIK+TThBN0vtT1L810h0r9jpBx2C8VMb8bdcY/alwYpXud0 vEhD8Bf3z8aU0mzFj9Z2ZKSoP2V4tJ7X2UtQ9pfQhHjl2GOLyqCaDLG0576tOdYgW36n7QrmHkll lRW5NHUzlro7NuxtzZ00f3W3QkpGZnqtdO3QAsRO+JJZLTZKvSWaSUoYqGOj1okEbAoXFWY1S4tn TjJSP2nmnjE7u27LVtXWNsGgsvJt+sXq9r81lyMPUH33L/G+InFb/ZXvtqyeuCkNYrNSoM63WbJJ UsIICjyNvYRGokmwDC/Y0q8NcLlXjlIJuDDU0OidaidNSVX/x++XKkRt6gEtYTwIGRT23CEGMUpT If1C+RKS5AYUGA/Ak6TzmD5HBfG6jkhU8PZn7II5k/Gddv67MdPDtkd5ycNpWzdqNIK7zKGKFAQn XtdgI1jD8Jbl/FqzVc3wTtEIY+Ma9FcTe8IVo+bcOqX+zlFT3NkoC1cMTH+HjQilupmyV3agCHRQ 9bh5GMfj40eXjF4b1SIByAW8MXoLc80j/gOVhoOCb8LgiseqA/x1dMS1UAJLM17hSwWWCPTQKdrF aFfdeFQKarKi6C/igOPpoLfNrYFw2PNYqdIAaa1tq8K3zgFXhKEPsb770y2GFMal+bdn2VTzeD4D 6lc8GSbBC/BunBg3bT5dTaExxR+vX03NWl6NYnl0yWjEDUcRas8109TrU2hkTg5YaTZpaJpqQBqs IiCF0tHX/jgU1Phju+0RAQBKEWgEpjnqZolbOxGfgYi6teYTcbP5VGzP1kqd2Jl8/kcG7TDKabIu DQvpmSi0OOp/X799n1wSUc4qFICylLc6V3HwNTcp9zvukTpWHIOGBNXYw4w717TI4l3dyLFXrejH 7REBquc0tClwxrmR3qpEQNvCE7vRWvEmAn/aIm3CcXHOH+lDXSHlahc6MP7qpM5FCy9ZFqbtmFsW 4IuXqRaXHyVbBH0hz9TckiV67Zl21Nm9Ycn2LAq42yYhtitE75sTF0TJVvBfCeEBRtMnVchR/9wq Vn7P9q7o/g9rc/Ei9aIIpq0kKOpmwMPvP7+f9Z++C8w1ktB9/Mp0RTwru8mfLdUxSIFqITGDUuSh MlKMvEpuETl4w45eA3Dkty99axQ+szHt0eNggx8xkhm9Etb14fpPlB2uBS8vskpvSF3C7O2z2CEL 8+yGMdyPR7utFtH9+8rJiGIWP9fq0nYKVTfnGxLmLewGtqg6HZ2XdZDA/86nNxoX0NevOJM8WzkA p46Nxlmj4a+KheQ+OeLNy+PuuRvD+HoCHjqM4IpJIJ/oy8GQcvW82yixKU1abWR5xsiccOGhSlz8 fu4bZfS2r2tqkOUDt6+qVjxmVyWA0tKY1/AP7tBQcD9CkCIfdCJBxMzMiFg32nt3/Tcz0lF63g0n 1n8NFQ4+q7ez9C7odOF/HvLm/IcDvW0SuWUVRunhBmb1zJq2aRi3nxCOkWattoYn2/gyHq+lb30u 3iqD2706+DxS4jRjcTe9Pm/mU/bKousvqSEUnGVPy4tqbU0f4EtROLUrH7HncU7EKaxoOoHFjgpo 4hzFypvtIgqmwBdczndh5/DLihSQp1ElMxDJy05XTgI4pex+RT2Cg3702kIFGtDv+nMJK30jVAqx eEOG5s9Bt/SDJqhgCHos74jE9Dx1kGak6cBAutyRAYn9wpjnaIL1P91bJ7mOWl02Z53TKeZJojqx uTMCgtB/kBqUwUJWL9eCHoj/EiEfe0xERgH70jpD40byxXe7AC2Pf48T5MMRltNWKlDBM1JeKNoc MHf13I9jmjNkkBEUYfaLSYru7+05s0aotYvThQyFlHEmVguaHeQhPdm5JApdewggCi5tBlLzvExF rQ7Y9QFyThE/DONzwtXSmIgkWFKgZKp9LLBIsbhxdX+EvJczNR5rROYftgZ/oL8nA8DEJMwWPW85 0wzXD9tN8Fem6z0Zknz9H8ipX/Y22p7DOgG1QoWYLFe7E9bxCM2X4lcH9bCxgD93kPuA1UFYTkHO SkpdA4Sliu8bsqhjVLTv2YIGL46glI5HjrfJrw16wZldPb8PHC6b7SxtN9rpJnUaLS0FUXKYWKhV Ars3HWExUkdErDpsQQ0J6KMTr7nUW5aP0vHrxPkcbFz41y2ZzOMKUzTjSP+tL0P6PYuFQsy2OYek rvZe71La49QilHaIddFvgPTMpeDFI0lGRgeRjR/d6p7L+1XVCaGWRhDM2uCXB7mZI/42FoZL3ycp PBmZCgosgnTMk0xYinj1+c3pLcrFwXYx0PyXuQ6ydok+DZAI8AA+qupQ0ooJlQytZjd/h1JztvMU h2xYny1or+cMK/U6H660syyQ0/x7ghY0S6tYYQbDRsKjhIj49rhlklblhzl1L7+iq0UHwlXl4Wwc iXrOc7AyEM4rLDfLfppMbOp9uumCKhXMjzZqRA5HLHt56fLz5EWK3sVHVG7SSQ9wNYf4nBQdwXKM 4UvjMnsK0U1ahxqZWJIoyGxJvLruJAUiIFI2Ly6fVhefbEWO/3ZYRwBA3oyIt/eSqBw5NsuZZhSU gl4c0RAQLY8BkwPdnR4smNqckXnQLSpwF7JFCFjB91O1X+kV4v4Qxdm4Siwuc/1J3juZAqjWx23I jXufyPRmCurTq++K1vWOm8bH3S2KCkPR7iemV5KK92S2tncViisqL6LgL4rt6uiJ75Uw8bGUk+Pp 9bwXSawmG38d0m5HTS4Ee02UJYTV5F4kWVYwBmk7m7pJ2Skryz+28AI6aH0bD5/ovSbOZTJIOFnN Hse0wafZdC8r06zZ6vLYFNxtfwFl90eHj3F5yBlx3b2dWSQvjS/7NobhJMck1WeY+FSaw1N2yvyk i+HcLG6N9kKvguZRQQHT+/DFgZaQV0tXufoBFOpRlUH6Ki5lcSI+2JyY5/By5PDFKupTdgpdxkiA TVbgs9e2Zlh6GW0J33Yw6NhKO0/9cGOuGfxpWmJk20qc6rPoweahNP6MrwXKK4D+FD6r4XRI/7GM Z4YMB7TZr3Xzfy5g2vd5na2cEsHaMMrFIV3XM26WHOjXzcROaL3RZeBf6VmA/KOA92Dy1+MVPT9V rDtthteBiQsJraBJGEYV6Qy+FYf3J7xej9gZYWG2wmaCdbuY+c7LbopuPQwBd35fOjqi0ZkAOIq5 lOGL/DeX46Q6zkXE9cqfclouQqlT2ouTs5dkHYQpdZgPElM5dpXZ8HXg0Z79ZTSAfuWaF1xSBptf CUXYPudenSvJqG9OxxIOiGg8TjrxmzJwL8eWuf5H9nrWqM2mdS1gLKEnF/kYQQb4A36U40u7fqLH 1XAt1Q1Fp7+jLc82GelsZOoM77mpBspoY7j7JCJYYntRrOpTEtW/rmZE+fWQj2ojfBYmimpxaeNC gEWbhiEuOADV/+hlvRB8mXT0PsxA6F0b+1u2XnF9Y75/tHAVLjbw0TabiYG99EzlpR1oVdWbfZZM Q//QbbVNmk/ZcSAnDm6GZ8bNM4xn60IglPr/4h9ge39YutpzqcFJGNFysvy3+CBRxOM+dHwg02vz 2tEVJ9EQ/TrYMkwE+AzROulj2Y8NwJJem2H8EXLVu98630bXdZnRaxryIQSbZDCZOb15u4fbxn5y 9rR7MxX8FTvfLkn8OO/YI1zZtFR9bpPW+vNI53nlrLaAu0NX7j3G9cmz3SO0mgbCbgvFLsO9iLRq iY7r+YsnW0epL9SqIQArKu+weVlF+aIRm/AUNU8S3CD/g8oqYjFE6L3eJSczAqGrFDW8ZwZyrPTG uTBq34IDVcjCWrCYcQb+QZl72wIYTCl2ceRsWFfZh6QKnU/ubGAkZZP6HHf1zqWxaebrZgErTyQ6 eTz8JokwC89PpxOxXJyN5Lm0/N99aSSXJ9TmfpJZrbCmXE3QQJqDDcDkgGLxdlNHALypJP1jEzkz QGDSEnXqQMW+/A/J7JKQBKmTpqGW0gIU2Fn9IaoGQa44abgvDMlewpMXw+7Nkwt4UjN/aYiM6Tyt 48CrWngI2Ovng7om2jmgTOtAzf9MxZ8j4Iy9Qfi5ZqYMnEIPvc8VtnQ4zHxl8b06YHXrV7MidoiA OOLovMEhn1ZCaPlLXUOerRxdfmFwACR5L7IOkoRQ3MBslRGZ50YDxgIrXLo6H148FT4RyidccHMy 9zmt1A+dR2rCJYs8tUr4Xka1adMqP21NguCIq//Tu+nKsMX0tfSJ9cC/n5KRw15W9WW5JH193lST iCOuktmGG5RkSYiM7vakvwX1lOt9M3htz4EjDKyc8OPKLwBHTzFsVp/BNUOSXVUIIUuVT5ygXZWB 6u9pPA7280dpf9gV027nbOwfyIAHyFA2HoV+279JujFoeotjBiziX/nUSbmO8CON+8h/w5r60TNC 2vqIqt+oI6Hxs5niSGMY+6ZATdIljowcJzWZ/eRAy11637w3KO2j5uiJMg9iOWKotR3fQUzrmj1n ENBL799Sb9xsCGVQBmvD1s1jL8lbcmG6LX7JR6x/5+inQiwwDzVpVF/7ROE8PcNTej/uIDUmHIYm EOs1fvFqazXDaJm0X4in4QNP0PHzBvrtlIx+82CfQC3vojqj1Mkh92lo8AiFKdK2QqEFEK5XMCaF 1ZcfruFB31KAF5YVzKfroI5thmFNnX3h2XiwqFRWVogTBkvY1aIixbT4ckoc/J8t1FI57ovbvS+/ jqs/qZV0zVqG3MKCYXpBEa3KOnLFRnN3wMVfszP7EvylYUP+4QXrEG541ziO4Oysg+FiYqEHVm+d 66bfvyr4HDTOPBfHTMCZMkGPuXhqmcqNd2oUG1xYzL4LvMRBLyiYFvxAaMGXeV4+Z3TgfYKa3ujs liKXzQ1vmWgXjaqPZADzHhXiPbUZyV8qL95H3c2qBCoK57qdALRWobi/4DMurGFDCNxqVwOuUbNE KWtE1/+BDwBycChvFt9HDO2z37ScoBJ+SWLl6hS6xXBIq6HD07SF3Sa4pngzWpo+qIhcQZ++UwPO TdWpYUdv1tGsY1QuDbqh2h7+4x3JiVrfhTOEOoXcQyu2PXiYF1quh3NOFFXCnXeLM7lWMycV6I86 8Jnq4qiRYf7Yg7+94hR1S6kFKQyf+hfXnvDL1YrPceqsE57epPnoLRJbru91Ek7i/kVD3PTBOAFD PPJyCYD+4Jf1VHuvNETpxHRbLmc2bq6wovOjrziqULc+7jGDnEW0EXRIoTaNj49Z8IM5MRf5Fxpz sxdxwTHMmROv7TCup00dWzMBZfWHql9jlUFmcx2bbM7TLliXVlgKE0cTYlMb9/4dJmj6BhhXwX+C lL9wVqNOxx0gRwnJ4/Zu/4soLbuEJ7nj1fJY/13eAUFOnDg/egjKUlq8sQKNSQwhlU50PAeUntD0 VHq0o88pC1F+HkP6PWTD0YFeHdSDsoBJA7pgSwHpAjDYwFyDAbBKuUYtkEnh1PEwndSD/EXucKTI QnE0CtxsuuI4Ahlq1QzLuDiEdTKHnnTWmVntySs2JNjmkj1gqNy8keivSjTLc1GzCPXtpmiUVLH5 wBNIsfba1ByroaCjdbuQG3l/eTOTvJhkkq8CcrNhiGZG1iwt/TczcBgAPq8eoSOc+NYaUMjwbJd8 kz3fMgjS8PPCaEstFEK6u5XNT3Ps6cDzTchMUSnWTLazxqVJ21mQLrkgUCxSMP1VTbqpfugQ8Sjk Vj5T6okWzNXemBlFAVMXOUe+a0n9cOcNWchYCruZQaXdPobEdqugV6GrvTRM5Wd9VZDiP2RXwoHN ySGr52aAiMdeW/zHcrw2xdcgvTz8M2/XdhDkEP0SnELJELnMiGfFx4q9BpIzCIxnrKvcQjyyWZjn F65kAgZzX/DZ1jl9bIAwkBqRnOHAJdB4VD9xHTGAqJJpcUtSHFpLdGojNWBiRnlhC25g3J0eB848 crhIAMYWr46g4Al/IUefp+m+xMUgmtj7hHrPByYrA1hgNls79mgLbJjYl+4YbVomYyJS2tWr7oiQ pRONIPhNzbQ+9TN5h9ye9C+QTSdTQzlGJp/1wtke4MoxHKECTuKxqQYDvift916b2JmxGdPhroIB EKJJ2q2+6uGFXECycPmn17taUtzJDvBqt0JibDD0SfEdeKadIbzFiCBcZp2UQPQeyyKiKHaw0hDE xzQButPitEXOEhDffn8PxiIi62EmxfhhamAKAI98xUsjQbfM9Xq5MGL1UCf55O2+WtdPajX8bCJN OIEPPhZBgqjr5EgF9DUa2EkhGP/Ij/8pjUd08m9CfaVI0E4VumW2BsXibtdAaeF8QJAyQHxdQFeY PgN8zr/LYXaJE16+nn6ZekFoEezRnJyZh+gPqqzCwl2eLkIhOFH6EKFXWobXdODl64Ug7AChCTMv Tvf1ZsdVxNH+2gRXEx3Y7YSmQXtltpvsyuQEipN6P9nyZd2tDM+HFt67mrONuQFuoonNBDN2HYVB Fg9DfXfr5e9Dw7waitr5L7niQuMa51MDbpv7DWtVxrNLER5DJtx8ysA3fFeVPBEsPN9c+qSzpq54 eDSM26JStBpOCZ0iNRFP/LU6HWGhO0QfLl6o9uiY5c0eXCjQTlOs6VKdwoaV9lRjJEKNPSbhIdf2 RX5i9gv4BysYWuiRZ98I/p5Qs4pFUHVQqP3qMkqHvhgIj3TGHgNFquOZ8RW0Ww2c07tSF8oHbyPw LxR4cy51hjm8k0K3adUHydj2+x+MrWf7wVTBHnhtqrsByN/BAiwWd+vDEs+qdCUyEQusnebNKJ2R QUXyVDknW8dZxAJeXbFIzuKR0agfvO4Yr4+n2oFcKVpsJMIgm48t8WRGoT7pNY1AUfQmFvAJQkl1 ah9ZIVVY4tEOdLIFYLksd3+5hih05IHAlvQ0BgAgfx2+u943o3uF/c2XJqwxLUwO28zRitRNto0l YG1gps10BgWeP2CX2f1ZgVC1jFSA2t5wl/gUdMMr3GQSADkDlP4yE0qSiRl4LB77fGGNRlvJN8hB Fp13g4SPtb6eOCs2Iv+c8aDa3Nh+n2bymnlV5Y+N2VuaIGAzCboTm2OFA/Q3Q6GJWsO8P3EHtndp lAR5MtXCIE2hcHz/Ti3JaN2bRhe/KDAjr+Kgp3d1sFwi547U6MvdwY25SwOx5aHfQMq7b83gGsNM phglH/LyVv0MdpBnmuVqkM+Jl5bBy4vUf6M3DW5GGRQmPFSvH4NaCwRLX2Q+atIE3pFW5y553uc0 RixQSPP4J/xPTZLIvjT+auQH6Lk1ENHq3t6SHShhARhkE+c9KDMWLt8aGGJVB3AtrEEXxzV/t1Gc nMZPBS75o5OSEL0cbFK4BLro1XfYhRulk3z/onDW/qD2aCeCH+h62rU82ot/0BMosJUutQtHONMX S9ulVLMT0Td81QBfHEcIOW0s+4PZvp0e3plZ6N5YoBTHT+7ha0aw3KhVPE43GfwotRZZTPXQT36C E83hH+xbawhfvTVaZTtfQ165X6LQU5NmupzT9Vhn04PD8P/55CY+MBQvsK2t3scDMTatIfDgsAfn 4ceZBhaFBAK+/l40pEWV8rAc9uwLiq9DYIvbBAaZFBwdAMBjBo7tvSNVqgdcr7RF5UXOKZFwU3zw HaTNCqSv9+zmh86eynP3+HQ7y0j/jj/PAQgzYm8U9PWVQ50CluAqiUK44oVkORwolrr4IGDILdsy qIURNzmgOjgVnPcLnr3pCdylLOg7w+nCCEXMSmu2b3rOfnnwAQAb6KbNWVxvF85f7VLcu9NsfYeO 6EfCbTejUIgaqNnP24IAnDf7kgKoU+BWvXTy2yr7Mmnnpcth4v1IiqDAPKfyT28J13VQUMNW5R0n BgrXsZ5tXFIYygvM/tJdX0T+aQL8KXhPB9+hgrBrtGknxWhbhVbACSy3kZSkNU91acipamG9O3rP AvzswGxal85Yx26nDMRE9T/N7g/+7kwj/m69Pk3qDhSp1HEryLQeSk9kMdmH7uhT05iBThSAEjBy 6E3VQLiMQUCiVlmIDaRlq02zHhu9owKJ9pwUXIhYqFHVpo6pmQ4mXzWP0AIyVLLzV7tuYzpIBROr GITpwpsK0rLOnkM= `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_120_0/fifo_generator_v11_0/builtin/builtin_prim.vhd
2
32350
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block erT2HDQwLsegxjcgnYu5v8PRRdF5x4vmU+8aj6GHTXUoAC5c75enpcb/gXvF3anfP1Ni6/ufvbv4 9WHqQV8mRA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pPfdtG7ywQefGSTecLd5/8ruCUc33dGQFv1BYJT1kGV7d7oJYTa9B5uO3XIhnFk4KbcBo9MYOeom AXFpnOP90Lex3xHF+KVeuFB6eikt1Z6+amFu+XOgpbwFD0r/iTg+u2tuMbtXTfeVi8cVzkmqixJH BweXLZizwbgF2LywYOQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2LAWj6DA2Cfwb7WI6KCNP8Nhp7YiUqmOIoL+meFasg8Nrljh7FoNceYZ7lH/JRrU/WUJGT1bUM5j T6yvcYyvp8XGmwVWFSNqJ0ewksVHyDRIb1ba9p27lOhU6E8e/jbPz0b78vg5Xieyh6BUKOvonXcx ukbNtNwl2e3pmt94NzSZHm4Ap82UNUCrThqhGedEBNJVhgkiH/t+ZSp8xV9sy1gn+Q9EhhHd9XQN 3lsb5mZi3360m0f2eoY4s3pg5AxplXr+V+q6WXUwu23B16HREyT9spcVpEqwn8FQItGBqN+9GkvS mDpe9aMEY6a8Gz/gU/AFyGaL93YL1NxZg8jVlg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block s8A9JUVowKeD3CmVMYkHA1DcvdRM1Znbf6P8CDdospIERfhE/G/59QiaQw3ABcjEFvDIf0h3hXbH H8NL+b0a8o7p/ivnxh/b7vfXy+KmN1EwToSpVhrRHc/7ThEqXiijvSSHEcrM/F2HTTOL50NtZIv9 HA3/9zxgXyKzYxlcw18= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OpQr6VSPgQTyimh3wN4vQp6Ib+bUbsaMHYpE+wqgI4pt21pjdHnEmGX3IxwspudZhIMnMK3/Mjtj XyKSG13RuWZa6YAugztQDATwV9m+K4AQ4mROpS6f6Xxumc7fTatKsA+Q+/GAUnI0gQN8C4DBBjvF bl/jsqreJ1y8FzoYQFAipt2EusZEfyTuXH922BnKx2cegkYQk/X5UQ3L+mHlXFbTDalMNve2sgJV D6VHuItaJ2/XmjXOLyLzPgr5oWP2GdKTgXwKmmiSOqPQnwCeKKiZu7t9K0n20ninXRuMy2rihuCJ MEhBGGOjwuo4evJMkExCLp58ZVLgSTyudyRHiQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208) `protect data_block BboByHtLrul/EYyagaCwTJLXdkq0KdC0PF9Lyu0kuJNdKBwlBPNAEN8Wfd/PnYdQ6t8QbQgkdJKw fa3MXa71KjMyq7DqDR+E0Mx5AI78hngNr3JqbfTdaqtzXmOm15erIn+jqS8KWo8dLRdnsJ7gQSkh t8EtkZhEFTvnJmvbDJCScfnd/FjjMhM3OptnO+OyuHJVQEvX9j7iuakpKm3dAoSNin0KqPPPV58g iLaa1iFcGw/d9Ao2R0hu79fwvhfcHxf4k/qJxLbOLNa64nswUpvOKdEHcuZoLKKjFwzCq8L94I9o Bg3s2ewf9aJRXgB0O+ZgjfikTo+xvIaB9TDGsBBFJwmxWcL2/Ru49/d0YRgdYzGQkg0nm6jZdBGv u37gY2Rzf9mvyfdiPp2aSNOZFM3easTbQeVWrWHB1H69jB7dD1Rof5uBKBT/KOscf8Mej6efDuAQ T7LX+lEBXJST+DcEf9Dw11Th3qe+Rfgv0NGE4/Msm5MaVN2G76OJnEF8KYsP1jKTN6kadHlEhZWe qML/KEv6paNVMcSbOKII2980iDt38ezjonVDG27YvZGYgeG7mnfIBL3Jpx+bqmO+DwTiNUtVtbBm GCNqjsr8tIWD/DlWIr9CKfes/mAp0aTyLS94SzQtcqtKR2NZqFfQRQqP1SLfgZ7FWY3OmoTLX3bP kb6ObspzVT2nVDIcm3RQJlhYASHS81MojDLVOK/creJCP6/i5yllK1PHR58RtrlrESSp0zvE/o5h eEa5Gv8vhkE9a1P/NZleO9jCROwzZz7RF1uX5nWVAYwKOf+jJg3vtUecjFkbEYfhi/oH2Zs6qIJR s0rJ9f9rpaTjq5CHk4KTDWkcoghIGn9DpT/HvyyzmVaBZN5CU3ravqRTuNckSG+a9IB3RcMscbIf 12f7dGFLZR/AYYMNGl+X8KHzUtTn+sOs2gqIsCKGKCM34izYl2v0uvNKjTIc/097lit9sIDs+zX2 kzfNiROOCb9OuyBtESk+L4izQifU7ivWUM1g/vo4F0DIMPxQVaMzgtCThd9W2yW9bh15bm/u2joR mebRImbjXPtdexAq7VUis3BpESZ8nrLzY9YveTvzMR6d/HHn3ZeJLhhHkFyMRAk1v6STK1YpehA6 dmKcZhVHrdwQdfooAHRp2Xpmotqd+FIcxXaC/jNrIMHj28kokqyzZNFDa/5h71bKxHCkm0aLlECc hplVwzRCB9N5ihhqdr2eu46DUzueX952xie5l4c0fViuGNKBmdXTZkEMHJe3Ai6bBABB0LT+avEk 0I9awWUrpzIW0PZ7ABYyeLIAAguaBdAi3ZNjQf3KM1NyImoIVLcAq8+9ATbekoH/eBUVJv20xRg0 6I8VgJIslavhfCDEDcuLvrYFlo/8KdpJPT0OZ21D9H2x8RtH13+zwVhQH1Hw+vGJ8cycJNH4sBuc t138CaKt6Sjsq08cY5ml+ODJv3TszwSuTj2/jK/7VGrHl6FxZOlsDjBRREAYOkYh/GKoQqn5Ylya GXH1k9d7z/L7j3pyW1MPqQNK9OI53Sw25NM6q5WoIQbgE1fm+iQNBSEzdz0TTMGlI932g1BbVfVf RGm8nyfdScf5dS7djOqlS8slsLsW+2nijXz6kBYzA3R+pp2PBrFspkKla9oQdfRtc+Na0s00yHw9 yUtHRrFvn6/Y4DFNFEKhjnOD7zzPnlUZRqUEfjGAFlYYwhOVbA6o259VaESJnOTnK7G9/sQ9m7ci GgnfEgBylLzN7azZmv+jVZvBamadTe90eh8fRdt8qYtGYhCrULlp2WLzubOm7x48hNw+0CJorMN/ dUiUQokOPp1CxdYYhoe4PkDBAY5U7MT1bXeZasz3D9oj8LoVoCGPeeOyl67xPs/Nun//69xp8lUW AfNvJ3Xfa5qnO0OwFluRXBXASqKXaMxIX3FfhQXq+uaFBmXv5ZAt/pe40DRryZMapU2Ri2146/AI w/AcEyvJ5nc8yIorc1w4vysMZIaG4Hlh+xYRXcMejmbprinLdLLLkkoB9HeyNsPySW6RV35XhInZ rLpL8uTRG7l3PDOxxi6gs6oIUpqiD0ba+n/1j+pD1Man9dGQB36tM1wyXjEaSzH/bDidKwSYV6rH 1RyQrDV1/ddqwOKvuTnWQM5DTSKXp6epS7e63m+Tr5Dlq20hVoIGiqsgXcfMSyn45eVy47/slXy9 1gDGJEO6ktESM+fja2bbv2iYgfryhxn8I4oYGYGKfr7xMw5kGQ3VJUDy8mO4Kg5d618CP2BM12ly UZJ6hYNYF605FvLVf/LDqSx3kAUfxYYFJAPyj0V/Kl+76SPVLP1LyT7e8XEwFfee/7WnMW17aQHf s+iecbA5wQl8p6tA2yrlFEmQYdhXJwMrH5YX1dKZtherZ4vdZ8XLQwmDILMy4S8kg3yAtWolTPv8 86FaIbmBKGWaWxnEcwuPfo1p6uKjfe1GjOAkGs2nUSiwuFMSyP7Pt4gMZKt+3dGXKCJeKCfB/KtQ 4gcETohrcBKqmc5whyZCpWKwnMz/XTlPG6eO4HtFcStIeQzWbgSRo95ChRuyPtM9htAgUl+Vo/2x oZXN9XXFFpoHawyenn7PoutSncyJjZXDEr17NgWqu936yZWr/1LiSS3rheeoZuW3pfgvCptoqNQU OjG+gHOPTtZZg0KKY8s/9A2oLxhrHou5WRwaPLqrog8uEZinIfPaVjYjdsXEmL5wEoHRXqayPKnx UEZh79iOXVIqTRbDvJQzx5FiGPS56T2SlRuTvKSStKl7KKb9qWlR4ZGh/h3WJXpbkwIG42rpYRV6 On+jKyCp+u1jvJakcuavH7N4gYjH9lcSLE1MVuR54G//lZROoUJcYkeq52pq8WIVnT4kvKEmWUjx YR7gqQln6iK3LTaFAaZH59FMCMrNPLhp7sweSe7S2rpKEHTninXcmHxRzwakbbuXMDDFl3HwwzPv jlTg41yhAC8VlGjJZph6l1vf7ie2hfjvWVgAWfl1dyIgnBTpsPME12Jmb1DKvFBIi7Y8fn8+Wpai RfA9VNZKz8KKtmdcK4eWvccbsO8nZmcWin+BNNhpIxuMh8XMANE+G5mcYK9Elj7Hf5uAb8lpuIG0 M7trHa+YYgJIBGMSI5itQHONGD/2lyyaasFnePABhvZtyMXXPzzoqxO1L/D8fGl/b12RLpgACKkf dumHCYf89OF438/mGgzWzTIKOKh5jCHxwJfx/7KHgZwShW/EFVc0aEazl9pJvoMTFsrUjhrqZTRe R1fE9g79S+6SYvFsJOWSigbCMvbcGlql+eW8ebbAj5kNsQmolwMuFky8ovALUmeSMg8nHDfWNBgx 9fH161xqlpmWH2rHrMm5l1bmKR0xdFbpttqZ7jnK+t/T8yBUhXnYi5bLoPosm/OvRwKbHJG1ITtt gb38QuT/BRCTDHdBYfJ6oPSfZdXt2q4OwxiOCNYZTgORY10QMnbQNYH5XJd2ZXVvmCMwrm/g9+Lf gJ81uYtw5Nm3TEKgnE0ZFt3y9gXWjWPn1gPmzg2d02DtDAGRH7umB5zr5HqDNYKwTupTd1nWNJjh n4tZOXMRvnMXKFU0Qks3CMUsttaWeOWx77y+jCpELLOv2Xx6wjNL6IlQpTdhf74K/anQ+/izQ5Kp GHlzNYlvwpRjS4SjoqVBHZwKF9HOsOau0/MmgZ6swH3u9HtGgi4dB00aT2/24sdlO4f1Ga37K3cO 7Hm5YEzP0Ilcx5yONUXz8tXwUnP5zo/oLKawQPpe2r6hgANSORxKcEKiD/62z+H6YCXFTRmsdNoJ F8gayWEhClybGwVoipQa1ao0IWjrCFBcy1G6MnPj+E1Ab9JA5XX+ELUMcU1lD19GhyaPT+DSvF/G 4kY11FHfh7hazcL3jC9jg2uXJ2gcSex1paq0+YN4wyhd1F3Pi4xaCU/dPMjqfGL/tYrfmwhyVdag asPduTunVpV7SAdhonXBhl5YHioz9L8Ra64Xain2/XdDWaWCz16/O9cJYTaEyQzj4Sh2MwbDw1R4 3d8ey3ntXAScfFMwsjgYN7jssCj3nJXTHe5YORvMXqZW8CMYAN9PHRtJIu1nGa9kLweXy8DyaOVm iAvi929yXT62M/8qCYPbquEendlSHchGMpfpZk3H4pzn1BDqLopT8DYd4DjQf70aOPU/x4kyFnZy xht1L6hEYedtJ3uKF/teTc/p2eL7Q9YX01y+9kjlcqau0Kw8w71nRXekFsqsCCy2C9dwlZ9ZTbrf 05f4l+GH/LNB2aNhSSyWhip/Syh849wHBtOl8JscyfGjECJSxOvt/rEa+R+xdhngSCsRTe0Mi4+B XXp65X4w/1l2CGjP241BLnU3Qwa6bEc3fiLK7AwWNN2j1+vp98usPPda21ei649eP6WzUizkRAST 9lC8hjA7ZTbBAn7qOzuBFFiNV5dIMmgT5jnAjiGAZhg2q1pZV15/niqrFwcTrN2OGkXy7OA/FhVy 9REgohJ9ghtu2xSWG6nrgXtH8XCpuDofmTCI/mf/sEEWaxrg3VbQbSNPE3KqnFzQWjHhGKZ2gC8+ JADW5TDv7DuM9OPHMds0SU6rLurthg7LPidjvyzM7WqGL1OjzJIAgYTawQkjeIfZkF1ixl+j5My3 I3YhJF5bSH6yE3hMbe1LX1/sqc+9Z88B0ZB6VSOmqiRAZSMGI+jw1L511QGTnaGw8SpBhhdQWfUJ rbNVZnEqlsK+gJduJ1aKsEhMeQV1fUFNCqQGpe/er+YECaCUKS68hbdy7AUCI8sYDC7h83ovuiN7 rD1J1f36f7YG1MqEdeLUBfdLd5X0TsD9aWhLBYH52QGss2GYb+C+BTmGPAh8SDFh58TZNQkHqIKX GfJXSRTZ+XIVuhSskHSi9Cst/yTwg5E9OCWCu+3tH6ByqbeJi7jZXHWUwkqD66a3fKtd0SeN6i/y kJCN/WJIn/f/pPWpoDM++U7d3tEUjTPHDaBdqlsNMY2NHvD71+CGBCaXmetEcC/mYn0yaQbxwslJ YkprwwvZaZV/11aA2ycY5jOV+ocsyLxkIKFEAPTgaYa/7znEs+oBAdlYl6y12Ibbn9gR+p3X9Vl3 ggKFOkDNDHYtUAcKhZYuWr5ZzAQcfyAXEQn3j8wPorE0zHRAxOYtyW+8euBDhnl+mA4aqatZliC2 ItC05IaNXI64HGx7ufDvqFA2eTxA/A0MruP5Mcv0NOgB68miiqxrJI9mpd9bf5Xipgw+LLIT5E5A owCfi+nFr6jnrNdfaMbloUX5nkal6UYAmea/8juFTbHmTFPwc9d65oZEnzQjxCfMnz1ZkM+sGLNq wvFvCRDKGWIS0YgZWhmw5AIOoefOvZAxCEystr3EtbRXgKzPcFbz1vf5H9Ex5t3U69SLJXcpzkWc 3OwFlnhq7bmOwdts8OvwJfYoqjPEGwEW88hiw/uO2zjbWPE7ma5z0I9zOsiIAUMjDxP1/HLDqn7K imhsa1TaEk+RZyYF/MqnybkouyS/P3XxFfbKzPcTG3J/gq1FyQoGoW8OqadQQ9q+tffCfwG+ZdcE 1cCQopXuNfx4D5TrZC6DY5jbAjCcBLCtL6CIEv565+lOCVX91NU6oioJMOXp8qwSPqO7TJjNKFMI Xhc/xvAwpEjTYgXAms/6+csSUCZHe2sas7y0yaR0dhMp1VUJqJ+E4rcboGQG+256xnZJT+3oISIT u0SyP6hMiVx8VieMTcRqNedHe3JsZiYN0qEaU8DHSrgJL8w1FqCDOETKIhRH0qLtrUESlJJzxbDM fc1Rxyx7SPzHG+l/FXuc4ZQoWFKRj7iQooaXoQCs5uaHvLDCxT/dcX92iIioGDRLzM3EdLDzi7mA h6CIvLjF0EBdyEDVdwj0Ch15HtHCqvp545xx5oaszrStVnxSiGvbutnmN3CfrnCsIBWxKry4EkC+ xSTmpBPEid+jOfsK/SMGQxwQRfxLZHDPfcxq62ISn6Ob+xs2IR8An/IbRcPujGXqxVqsX6XtoaiG phg6gMnMRviooNnZxqsojDjkZEJkfKmJBmzyBle/R81GcTnrL6+sdK/XejJwr3pBa4NkyDZFivEb u63PcZCm6M9nPzVwPhojZ18Ms84iOhuEWyRLGGDR2hnclrNCVJr0UFwkWHuYsrSEU1VxUznZGDqr 4oFSAs0RyXcR6L4xkz+aZ21ozyFDbq0Ta+G3vV4y6ehpuuE12CdjYTDNlBBOhUp/TVEvFQNjnde2 MG3suMzJ50j+pEpjLdB4WAcT3Qs7nHAc6ZFKNHXCJU3EbL2YKDFaf7tIOBXlXLrn9v72TW03TfUy DMBmhE0DD6m2pjS7mBaE0aQRnrwtwtLh0wGCje6J17pv5A6JfbtEEmkthTR3X/7T0GaWl5SLHGck uu9QfUwzu1IIY6QEwJLIbBagS+oTRbo43MsPmHeF0+ObMgYnEyv1p5NlIDJhTMMTOy0JpfwtBZYo A0gqRJ/yQ3o38URYeLYdxWnID1/58RRu7lWd6qITZ/BikAHlKFNtct5lXwksXa3/DHRtihDeRB/0 nhOgLWiOnVbL6Ja1Qu4cqMr0Avo6CGRykfJ3NDNuH7OeqOqfkudOULbNeq3qgCO6mDQ+5HvEmoI+ OuSFQHbpIr2a7EJGJF0DJpCo39dEclIPrXA6d/D9E/hcV3a7gfzQRgZCeFoTAaeFdz4AqgQt7Unq nwysaWeSTkxlmfjx5SKcnFUtFv89lBWxaHMKhsy1qVUCyS3hw5TEfCb20WUrXrNhIEfKWorTf0ri JhnHKV2YhKIkkVdXapRRcsY13S8szNpkSJvyYHARABdXmTIF1O/SDJlxo23iQfnlc7G+jUWFr4nu 0c043+NkoXpP32gW/xKcG3ZIBDuDWVzt3K2U+MMUOGYoqi/VuRH6wVSiq8pSpNLIH+/GgCXL3FG3 qLOCx38N87u9FkShBz7ZEwS80Jl+a2h7nfGvEt6ufXqR/4Wx+/OW6OswEnEfnMApcMqlsKDS35eC QhiV05SrOq7VulTIfUcR/LPU9VxWcBV2PHvR0uE/yEcHxYXJAW2UE0izdrMHjYtMxONspjBrO4os ZC88PMOpDZjN/zSmeuNRCQYRPf6GfcYOQovxGKIKi3YRt0nyYr4i68GfMPkFhkYnUrhfHljqN4DD qwlNbPTKDHWHiVH/1PvJILor3SSFuH60gazP9YUiTLQlEbj0oOk1wnkK2aB9QZhI5il658TGK5Re ON0hp8h5ujhXRyc6VMANW5C4zVo6uKjDpMmT2wEz9tgVK5D7+rScgiOrWUk4HT6xcmccekZcSu/Q THfCOCdv4CSKpKmLYoxcrWaDU+IzlmbDOmbTEtLPV1ndIhDTmaMwjkWEo9j2rqSMbP/Jp1rSMwco Y24MtUDm9nsbVbrEgD6kkJBd6cjVu84WpHLs04z7xQaUSkiq4bWDFvNRu8bSnAgTo4pkTLg5aw9/ jj3AAUKPOzI4r2P4Kyrzxxzk9q9+abJHMWqBsUJGO8cSOE8DeBcXGTuXWIK5Y4p2wJuGxeWv/Zxm S+9WNiftVECvQicoezvc7m4m+f4XzwMeI7mnwh7JoAx3ldCFBxCgzzN4ZBdK/cakgd/jPjrPw/fI i/duohUWC05MVMd5ZBqwS59tCRiFImg+ufmb6B+uej6PdPUQ10zCxp0El5azyUS4K7WpqxNCYGgZ 3XSRi9YQVctoRChGqUjhBdenEoqHBtDQuWcJHMA9FtvkqQZo1NnNZlor/6yZUmBgXLl81O876rlG redB6X92gNQXAJQE7DYXSukUtcfNmIOExQ8ZaiVZKpLOOlxDwg9/mu+SjGV0lYo7oaurQH1MwJmG ylsEkIc4v7FOvzNdIy56kfCZNtmuTl3EAd7qbDBDBmIbhZy5SP6CRovKdnBGatvGBi63qfYFhHWH W6c6DB3RnFNm0c/95YWKPYhO6x4zS40dQNAaE/rj53k8h7jvkTYdWTtqk7Al9kgZkKWtQso8lWM4 seHcMa6m876HQLyD40X427LC/jFiDdWqEeXQsxfC591nZvJREL5+DiLIqrTH4chPeup/3wLc22UW 94EllgvvPamQr7yzSsWcJk/wXAWdY2lnWQDVKU4V1Iv9R1moSE0MQYJjjRftger3pgXrryINSBD7 WmnKatBcW7tljQSPFvI6zIfUK9Kal1ABTqlvFO473TQ2ohprOGq5NxHNc9Bjp+KtEjnIl3BmGiqN ZiE4qV6LXDuOnsFReGu+BWha9M6OCfKdpHnTmE2B8D5GEY5jE4Po32Y/U999SpYhXNqsvN27HUtQ WBMkpRmFGtaANsRhnlDIEvLq9nIm0WXfi5mf9G+rQ51tXPo+2ejJNaOUXk1me8jckKNocrS1qAhx hCjPMqXY2H2X/+ssc9RbeESa+6G0f8jWlAamzr2+z25Zehw4fd6VF4eszid3I6sHh0Umk7ogtwdu Fqi6nlicEPHt0IgqwdleLRo3ehE0DdH7/GllZDf9RHBelNBksdHIMfQ0j5V7MUM0owyiaoIKARFg tpFd6CW/xX+mjdY/dAYsi/BGY8esOgDlDg9zpGpfqPfWz+2OVZo4yF9bK6bCmGFm5ihS3PwDJ8Zn nzP1CtfRKtgXWwxbDY6ihIt/mBscGKkD1gYaMNllAw3m251dhXUzIkESD9iZdFcNhPIz/hK9sm56 CDUCUIM5hUuMz5TKE7u07MgJp9b5cMUv6/R3alX7to+eRBIyUAybrMOQjom5shjwClYpCaTlNEDt HmjsvoGME1hFfK9c6IGdkTFn0cIgHzsaYpbruraDVsaKmDfbb0bPO2H25T8//br7lkNfgo9CWnbZ rWUoe4LxTgMT603Xqv9JwZmKusWswpcWUJkgIEBtUcsqCuIYBSMLPpIW5lTQUxMcP06SA7tCpyxd 7eNvFvLD8XCn2EuIEu+xEMqxwQkuFCwhdFyXiXLrD2bY8CFjiDgayuDkO7NjtP4jnMHzYvJJlpTK JJc+Iu7r44mUFRO9bIaXdD5wyZhtCE42ONaM+OES75PRMxUIwIyiXPd/xtFzecRYVtQufmgk9+sZ xPjSO0IkZ+B3GsM10b4neGOmDdmi9dQe8u7XL1JjdctBRmA63yBE1q1CBFkiQyLGU45vNsglpl+7 pVcSoLDCXKaMlKfPVBNzyCt4R8DFHXUCwBU4V5hry+uz1brjuFJHOgUCs0iEbv5U4a1hw9iuQpOQ qnEtrMlT4ph/9n+Qun2nujmzsX9EycZatRV8RlHyEAvhIXRGolEyvATM39Pp3hRRsxlmZ3P4GTvn iBDaWsypOtmqLeWB+5z+umzuiC8SkJmnbzWI2/b8QehyG8gZQSLavbo97e0igaqFxYV7FIFRmOnv 2iu2DEyCAA/MH1OS+ob+2kzT5FcDrXLAj87YcFKWRV1cpk8KjI3THL0j9hMnJEWXKq52o8v51vDO I9JagRZeujw/lUYcMDpiX0Xz+nuC/VOra0AKMvZySvc71pDKk0aO+2uJuWl/NOZ0Ler1jqdu462W rrNWIGF0m5pZ3HmZfKHxjBDjtAxpvmB7HOG5sDZmZe55jxXKI3aM5WPBRnC3S03pyZVKyOisaXYS PI23hlaKVWYVZLXzLXIndqTLingXRIJDzLKrmSC9Y9pCXrK8c4/wqGbgJIz0e1kvEMJVXG9mg7h8 Ncn5DJiXl8VjEt17NI5w7hbsXVFGzfPeio3UOq/DJPiFThBNP/XON91frxcjcicxP8zuZWfAZQB7 xnqR1BnPaV1R/LZJQpwah8RTIw6maeSugm87JrYaAh4eIR8nF24J/2l5cZhw0XhnWotKXhvuRtcf pUr/bZ76MLWEjj0MThoTccMtu3FvHl5kPFZ8divbHz7AlBWtKpiNulsKkl+VjxhslgV/AV/4x1C4 FLbQka3Oa/zayqzpQrtnyJSCT2qQs38JdBOl9hfwlp20Qj7DQlGslPK3yfVaP2mw+M/+BXSNA5nn uXIy3OlfQWsqt2eHRfoN/Ht8yjQMDF+i2AiXsxK49VqVgeYNzTbLSWbnFxJO2vZ+JLqHX6W3EGfo tOMzH31L0Uzp9ezeDvLoBrhpr5CrFwDO9z4MZDCo5sFutQSY7JGtcCqn9blPhMSEgMODj70lZ4PI T6/2cV3UOz+1xHelLy1QjPP4tyeXi0hXQBcf73jPNFoxa23zm6as5P/jtrQUupbEdUX6sHhaeDAU ZaiQje1BTjiyrkR5z1Zi8p6Dvs1JV3nIfz7m/yPPoGS4cSgPWPc2zVTYSfhVQzNhPOe442fmHxdP AXYetqosPiOSEXf0W3eyq0fmbi6mhs6RBYfoX131N+fcdVuowNI64E/apnoUp4u+NBeaqXq/aBhh vIHnmWAxyg7w71nFny1WdkDuHWdp8QHws5Rqois/lqUa29pAOFvA4uSTM8U4P9BcLpF32mJZP1dw cvDPQ0N98/uV9iIYtsPpO3jwBclTzXyJikj2418A34elbhDXfThCjU9fttziomI2qBdoZ2OZWpRo NubbHf06O389iwLy3Y43dlBiwuRsHi+YRmSKTZvQ4ttM5aVpa17/AxqDO5RPME5r9js0PxqbDBKc VG1omMmjDQvLfN3dew6RG4TgHpAIXWcBcfIlVzbG7JCh+5gnjmRN0yTaAd5yJMO1C2wjU/DbMGFd 0cW3oMHUWorJH5FQQdevtVZ22lYdI4UW3AmitFxlGpRRvZMf/0JtUXDH2zbLjRmGpyiBKnwg1CH4 VEPR/fOt4ZZtMCXP3lmbti/YArWrCTYXu1ZVq/pwokvkwm4x/NHCelTGHubjGpdPMqsnGLdaX0ld XEwAsK+7rjafp5HKRHutItvqChr+h2XD1i3mZo1due319+7U/W3TxjxmEIPiTF/0e37L2XVjOL69 s3aoUVVPEcSom9BKLjfeuvpv6Zei64f30eSOCfwVLhVN292E6jJ8q4V2dtw74NQouLbcKWikeTKP BQJPAIEALgdActpawLU01AtqqLMiRFefeDduwYzJZo/nYl7ooXrDO37xHa7mWjSIHECq734/UkAh cMCmb1cVoRNV51uh4SK3/HNIYPRK1ysYTETC7wU5tL0FRzMfWrNbJf10YcjwLWdU6st1S461DIM/ 3ILAQFGVCezQjIfXLW2Qk8s3bV3X5k0eoFIDftLUuqhAEF0VtKLNBXNE3MIOGbU/KSU4tuoYfwUQ 9evRUiE19YqN06Db0m7VyNWh/yOitprJ/+xdqn4nItApj5BOI6iLD/8H4p1YJoenauzR+zQ8gn4l Bd6WxbJ1mb8dUpQGCxPnrzOm8a4/M/vJ3xLBgGebe9GEteZ9BESDUqdKG3SxQxZzVXpRNAVO1tgc 6aFyPEyw2FSM7E6IwA8rCDenmPoQrgAlyWf8FZhE16XtFVlo8tlVecvQAbFjpTm8DORXtl/tDq1A Da/usZ3LtQCtfeFAAG/Zwat+faE463ZIA4d51NOZj1M2qsHWLwZdQ6Uqjz+hM0hpD13VO3Mmysoy NI5ASjX0CQa9LuI3TWrV218r01Eo+5KYJjxKQJ2ISwEVjbbPiuzSCHmrIDbC/dvuxVMAupgCm7TM qEpPO9mvYq1Rzffdzc0q9SOVDxplCB+GIVukynxuo84oVS70Ses9yanaIw7xL8NTgMLGrt/ucHKm iHsY7vkEes2RGwnTC3eIp50vrr9Mhfs7zi49aqWGbVYFWZDxojv+Bn6H9Zj5m5K7fhHTl4oOPrtx aRtbnQROeO8QLed65NaSMMRa10VHcF+B1x5Y49VzydM8g/rrqVsP9acb1m8MHEkTMUDAl274C0PA SrZE4PznkbXTHWLEElOX6mSYaMUe/ijiPy1HIH+fId/fODa7Gw6pGxBJRtD0Sv5yb/ydu5DWSWfw ryWtxsJnI+e3GFI8JieVG9OQwqr7pCYjyQquEZyLu4pVxu+GcuAsDk44YBXrKhE1IrhOwX9vjxMR DgspznXokRR+kSkeRGxeRrrB1S5XaXqiCU5XYi7NprpCDrI1rGdoP9CeEDgWH6B2NaqM2CNMTDjx 623pl09euxrvWPGr2L3pw2a+NM9npJoAlWljliMjgRDYIc+6Gk8Cvh9g5uuJe717SImbFneOihsi QD7K0CaRiyEvIk5omBRKmaVEm5dqOyXhV2u76/XbR0M9fvOh+P546MAk/SkdWPYxbgdUBrUNbpfC uKpCtfz1HbTk8Sb/HurcIgTspwAHpEODFtqkdXKZyhiXYD4B+LUtQh/i0KaAAjg/wQrUji7HVTQo JtXIw1RFkvw01xIZTxdQ405VGHXG4a2O9nuUMj+W5CFMLEqI6xC+yy06B0DS0KKRq+Rq6S0qzZGx e7boFUGSc2d7wB0uBUNLtL7aiqiAXUyIv34yQJ1q+YWhqDFF77PJbvoos4c+2/LhZeZvd4tsG67o ls9crXGfeRHMUvmbnoAAedf4J4N/Z+dOoIbja5KW+JKcd1Z9KSI4k9dRM7en/LE+ryPN83BDeBfZ XGWfHGxcIyYtYBYjwX2EadlDUM4VUhh3V09eus164Y24cHZr+5GEjz0kcwmXPCiD8WrDteGnRppi FhI1wddfQ+4f4cyzSBw/hpuk6N+diNZAO69ze/KyhDB2FhhcXyEdtGl95bF3oSUxthFazrL44uAr Z/nJ5UvndYA4w41w4vQTH7P04+wbqL2s6skeniEQc2FHN8PmxZdtdi5lp3G7yb3Iw+HaI6vs6zuq k1X5jkOiAgT+yToKGv2fHieTlN2W/pIdqHD9ZBeKGAkpTHqYOMIc5uv6YBzn+z4OnYXnNvhvKgUj c99Ojsym5Y7tXY9fh2b5f27g1WhGPe592JrKadqWuVIzSYA0nFCRgXD794HPX5f4Ix07ExRoU36m s3INz/V+5jBSyZY8vbUVqmeKPhOCYiPBbEgOnWtRhLlcg1zOAbZFjyg57QC3SvThWBR235nDNBGA bTwzvrOyhv0QRjRebSthKir9kptDaLaV2nzqlB7UMk//IKyNsFsVMyqlVoOWRYbrbu4FdK3BfZ21 pz6FdUf1oVA26Z5gASsmyezs4EaGcKGmxO980HmfR+e05EpkJqb/j1Icz0OeXV1Mbb0c7WB/AGm+ 6F28K0gmXNIxVB1eUq4tfXLcUY+aMesqzDPiibJcVephKjpQyKWIcNez00clPVhjvUuCI5eHgLnw Nx/2835wZzwQSpoMRGNTBm7pDutGkwWWSYP3EFARCqPRwVGHFkuveo011mB+06Ok9My9ebJDJWpQ qbLCcMpwhI6o0300V10heBwNZGpDJYBaUTbB3P/xCZtWY/ffsBecxB4kroip9CBHUToyQ9SuKAu9 e1Mh5S8nySgL3vLKuhnd+2A4IPRb0vij9sIBRlEXkrr1UhNnZIkyDNgXhrM6ud6QeMSVPsQKRuGX 4mF6AovFyZZ3imjqYVb9r4ZZpiX9EhFzdtuDh4VLlg+Zy0UDPEPB/glpCnS/L9eIkH68fQmkSoXI 1MEyPkpnoDaDAASmV6R02otzPDMmS9AH+xbpxhDpjapmCPNum65qFra8hjX9U+rKpKtreFfY5Yb1 GprOMpa1Ka+q46wkTaz4n53K8M1tmvPWf1UWYpB3oanCd+wtwFwBvTwZm5uTHS/e6l8TP9r4vCr3 wuitBQCpex8EsLzFoKTu336dMzCG9oJJMCT3kHUF7FXnJ083yXGP0vl33Apt/9qm/OUg34X4eLtF Q7mW3G3kI+vT2yWyZi6A87hxjuLGXNGEUrB78JJP5nsww1rsSSF4/Nn2UUFQp2bCAffTSZ4ARUmk eAN/WzwttT/dmyyZXBRoe8CgiYLX9KD6A1ciK2N1yxJ4IvLYMy+wbhJzfYDA6gDDcrV/QcSEgEt0 yPJ3Wv37XpuhGvm4FeHiQztXdB+QT7hQmFT/Gbxy0ucZ5PWi7Nq+f5D8qYhIkHHo9uYOmje886t+ zBOZ2RK6Z7yEoLAtCp3j+Uhm4WiIJ3hi2BA4MM2lWYlilT55ma0E+9S9QwLbMuaj/svRo/i8XYLs 8OKiXmijmVLqdgGFKCbpQ8RCvrjmnpRHBRcKvsb9/u17k/PDUkuCSHwkbeiArRlv6ZNt0BM13MXr ThBsq9FXEvcyjUSo9ASi9LWD28jWol7eAyLeVTWz84z1MPVl8kVEITsJjwfqHzhhkpD5J4rcGelO Oq8rmpozPtytSR+EgZkUDAKjauhEyxm7XDrFEeTCUUYKAaG512uNZFWAS4KNRDQzlBNzq/gYLdS3 HXM7owphfvOoqG0PzfEYm5vWxtWu/4kkvlgAFjMXHw20ntDrIHMWiVu3dNbsWBUEJ1SOS7ip1HP+ 8YSqSQ24zu5H/CxjKvqNb8OgOsdTzojS05yIRo4awtHQy0kMYhbqmKnXN6m74rc6HmVkhJaHSQmu UH610Hh3PaCpaEUkpoR7i5D9dwwhiyIo71vY27FPLJXn45JagoAw+JOsp2Euo4GvHkSlklT4zVGH G0N6iM1Ryj1gj/scVBFE5erCPL7bgmKg+TjivqTbOcGysBPxHkNP8Tsf2nRmAfVjudsKXRazXMB/ ltjxlQlwz8RDmwhvkTaDzn5dgK9YFq7SbPZM7qQGC8AZWk+UoL4KTX0l04a146sRJFM73GE5uBHk Fj3oVLoiP4q7TXvY4IddmuzTaHp0GxwTljwbQOpqfA7JOB8MdLpk7sJlAw19M4X1PCMiHJAAcqCJ CeqwFpfhooe264k+0bxtV8WX+kSk2hl0qqxvG6zGeKHLwXhGfBZ9TBh1bAsK9JmYQ3pWip7tLXV2 g/tFBEXofw3esryz4QPw4/hlOaCkiF27SK7a9t8nEZGlb4wqyLCFoUebUhNN9u6SV2mvNi56P3MZ 2hYuDgadJTHLAOosroZVh/DrzjCtdeKDsRC3TZj4157StssDIyiCIU8W3Ofd8TqlWS2Sup/Gn+Lo C9CdwOU9a9cqWsmo840txciV9nYCchIcWrmd5knvyLnLQxl3KhJHXIBbA7m44+FVue+2JRnn9/5J 6LPnFVnAnlbOAMRzuiuYvB5oF+6+X9HsVsm/xC8rpoy2D91bDeTC+7Sh0OwJy/cuWuXTJr/Nbxqo kRDAMK9oN8G+4ojta9Wkeax9dQpZc6aJw606Rl4fG7qJ9R6U6G7WYeRAJ+wPN/iHS9TDhNDAPBqb MsMY9tlCRPX72LlCilser1tpHHYcV+neKFnjIGr+GUzpZyMLjO/eXwntc1v+1tI/sMiKvVjMoHJr Q8BNN/Ui0fQx+Mdcndqa1miFO7ZReZHRYWth0ykSpBRbpbqRTR6PrUeVXCIVM/cdtyvkjWZqhNVa 1QiD0bnOMYhKLZhDVhk7BcgCjU/LXuNGA8a0wS6s9iwmDpPC49JDD3PWzxLu8I93HVFAH7qobrSX GnEPo52/Bwzg1cHNroCk2UIGOcTumYRu8BDtL3pMaQQRhUWWAc++jxnIwQ/CYzSQcMqYtQ8KUFvu tuFfs0fPeHY9IcRpyJnKznXEHeJxZlr+fMPq35kKKMJxKHZa3n4jD78bJBznMw/oTZQe7SDO1Hx9 jtTYiryrJEllnRzuCTGbhRByupFH+8ZcufHGXlb5gjeuOxMsPtMOC0s42a5aSMv57ceX2ltquu0G 9IAt/+6HIZb25cGDGODEH+E4nI76UCw4qMIQfbZ1UKQUrHkR+oe9am8cvw3QEtzxI2kg5Bpninue r+2whzoyfCSHXOeijYWmror7+O6REsvTW3pPpJgen6mr/tVXZTqwu0xGtmz268hAB7thJXW0wc+q MqKMQq2o69/C5HloiXebk1tbDyx2814djn0zqZWSm9Wt/1gogNxIHX3RP0PLT/ZrO6ohmbnJh1OI jNGvWPXQNdwWKngbUFbCQGhRIGdphZlpboB8bf4k67Y+wkUvCC4De13ubrPalCMC7+coqtNBjRFQ Phta5ZZbv7tuq9swliuFqBTTv9uHJLWpKyOt1tXMdwmp5t70WOPDffQJIYe2XEUlZ4EvNhgeFaTY KQ0tJ9N/10S6zBZY3uihKvFjEps13rdN9GBvqJzfbx37myZwGkDHyQj08njgEIQ7jqiZidAFsEKL 05M3aItAmZ9NUk+o3WquW/Kt7+lhLHnzMWy2Mjwty/kaU7XIOglzGhT2a1zVNDv1WCMcy/BLVv56 tugjFtRcP6EEDrhikOYEWFW9R6yo6IG0ZIJC3wwOkJTuxvCcQqND6FcyO6kNK/6E02DhUt51ooom kvYJG9jgmWSafOMzTEgoaRCpJEcMQJbx9JOe+ARSNR/2QkoTPNxn+PQMurqSUYKwg4brsTb+9mNw 2RUUpm42zwRnPZ180yZEAwFW0Vp3b6brjZva2XJLbbPgjGo/SKCOR4M02KbXfO0h8SEd9Dmumx09 8TN6GQD4e6NOBzv5EGEhnZaP/bilgQk0ney1tcgYBvolzHlbOESIkjRFUqNSxcV1yJQtABCj4dZs UnFhx+6itD2FnRODn5qFhxejf3H4RUUPv98MjtnFRbqFHMRGrCWXJ+I3A94y14rVPvd8+UM/ONDm FNO/Wq5jawVbv3Q4pHiMUO4cdL4rhqjvMq5LHArnqUUs6/Vi2j/vUG2fSee5WiSJa+V2TEnBKvgs aduwK2DFJmkxdeQXDyouFSuKdbDTvS+rGMB928SuVDYeVfR2Jc01+VDrxwFydNSQUWMeIhgA8cba h4YCecf0uVPZXMaVO5PEj+ICE5noW90GzXA4btM38w+8eWMCcZxoG0MvLV0HWL80ZaX+Rubfh6rG 23qrvaoE/PGX1856nqIP7AFFoN3LqoxhI8CQHNyu4+mfq3HHFBn79adn2SozxXEUodE8VJANrplx h17pYbQ8L2kwFAEw2Jiq+jpBpD5AwCaGOh10Bcwo3eLD83IBuj9HgJG+CC54dG/LY7oLqSvZgAwG tE70THlrJspCWcsP795HIeOhqnZxHuhp9E9pyilQ7woKIM30ht01O3YkAMrQX/3IgXYFMSYncvvI iaW5a3Dw6HCpwrpOUBBkNOvYRJARPkiYm6oEwaSxOdeqUkTOMxZ+Enatkx+coMFDThOqXO0eC5G4 71UrtFkPdJCzRvP3Vm2484U3r9VubllI4zpL2ZGqViNQIgxbk52Gf5B5sCTEKr2Haq3jnktru1nO bOP8NeYzHkWMi1qHQKsaSwtNlQLMUvd5r9mqKnmwGLN94vgEQEa9AC4GAE7i0bgahRVnloDelIrQ gOozKCBh0SIK0lIuSG09fQUzCrPPsonH1ouQqvx4f1rB24My2EMGwKnZIl9S92y2magaDfwX1dWw OKEDuhAF3widnArb1shfmGh8tGjW6EFFPxwOeOyJ7KuoXmew3TGWhif2hXVXxAqLVGA6q7RPd5ed lw4S1TaTfoNxb84vxH0CXY8RfucHA4YKU/dmLE9Rfjce8Nq7wr0N6q0KaLbr5uPkiwfZOgI90pnT nHic3T67/4GsVydLi6t/Wflb85xLo5wijjqy/XF21+Yf3eRyDWbKO/ZIn3XP11ZQFsGIfWOUNFfH jVrShs3J3+WcQFB1d95BdS4ynu+x3lUBsMpU+So8G1vvELxNJXZuHSD5rbBbZH8AAn96ro9d6dV1 qrNC1l4sHPGMOYhmXov5bTehEFB8n9ty7QI3Kdlqh8TXsFlcnHEoEME/+a7r81CLsudCi35B/Lnf LAkZxxx86ulp3jN6tYvQSWL5mhdiRddqhJEfhxmpBvr+CBeNrOhKJcMMIZVG1KLZatLCgI2zUec+ Ewrx1F4af9GCspk2PdVp9lJrQ3UnivebJ/cOD4hZREwCZFbj8xJ6CSPZfW+rwVIBeHYaZrz+bHH4 ijjC6qsDAh4p7pDe1eNFv0G70oCqobi74IQf0NT+hoCEYbBEmVJRkRy60DTj+hNX7BroM7DVRUdi TjJEJTC3r/wiLN6xgU/Bm0Wv8SOJtChnoxIWkTwzJ4wnkDRe5elfuPJP89HN9dhh2ZdmQMyZXxYb u77VK+tiiYqnqJBGNzKWlOj99UjswMQz0g/tx0mzvpRQKx+D0o61RBSUJDM3ZvdfAr3xim6sjliQ BnIwEImKT5Z+/7Vu9OVKhzc8Xu1J+PtofJDLdhMF3uxnZnf9MY4b6EodUXHnZlxGmrRckH6QAx8Q 1v4asvoQ5UwCRstJgHkAXZOOb5qyu580z2k6KG8sj7faO8AbdwsQuW65jagOs7iF00dc+bcoMbBK vjC9zODYN8aEDFejz65yvFhBZ8zYYMEdxQxInhPKZXPyWT8SajhzPRCtmT3ge1BcfLQYXR3dT/Pm MK8S1j4NFstBbBLjbEsT95VrbzIyZrSX2VFP8UHrA9jJMm8Z2+Fz3b/znUrwh9xS0emGdEksaTTA P62IzjbJgyWLI+yzql0LEIhtaoQ8O/vmozQwk/s85tIhucVXqn53+JTDsSIh6gsX+6Y4pgKwo0t9 Z9yvOAZ5eBV0t6RysTNKEiZJKBIJeZXQ/wC8MfMDt1KzQ88zq2qUbsdQte1rec/wAuuub1xm0olF 4i0/cZN1XySQXcL7EXWo/wX0g+fedYOzWAbMkkuHlxvyx8IFtuRyZHUHvCr53k/jGndINs/qk7UI LbFSaJkERsZGCqO8kVBxFFKDR1qk2Jnk8gVU775LMWQtaI7/Q6QIp64dHbYUV6rDV2uuH1r77MFb F7AxZICY/3Ge6DTDw69w5i29M9e4juF7Z7VlPVait78JlXYmpcZgwHYhitw/EEGxQkGIaTNDaXrw 0v5pv3Wv+ldb7NsFrBtLYwsozVSD/R6LNzA+x04/fqpriyYqf3QWbFVwfyY2dzbCDJLyfNrR2RUG x+/4AJq/F0VlpBh8Z34dZYRvvDo4H6ET4DX3iVYr3GB5V8MoLXrRw5LCoSyx87mm01JfYroVvvMb h6oB28piHqPc5MpRBLtUK3UNQCmagNaZKYzCWzQsAcSi4V77j1R60xpc4l/DmwsjeRXQDDf++ZnE hBPIB4tbgZMTAgsroQlnKuXoLtZBPXRK4iRQqbRfVW6uX0sWUdiN3lFdb3u6pci1Zi41C6rxvA9v 5wJI/X+PoDULRj/M+00Qys5DntCSAKNto4BWeUffhVxekISAU64fNWp6WV0s0Ub83RCFdcaGy8yj Nr7Zv95Ev6cGJquxN+BHiJFRMphe6aDS/7xvmoG00JmdDRH8CFUJapqx7Sfa02RUG5wB5iHNP18O 2ClJYnKwFDnEivbVWEfLbI2pyJNYf5dc7k89rTVzeiuo0FcQC2xfmE4PztpuCpx0wA1LHDmh8Uxz FlS9zQLeoVhw5w4lRBLXsudl5sFebCunae0Pk1RygbFcFQhbolVbZAWy5kp2TLaNp4AQ8UVi4MYf RtVDLsNUNReOLa0z65N9ufAJYpqjmQEs9aXkRK7f9z8zhEvBMYvp6oIZe5R4+Fmsj5YX3TrddPDk XH3RtdQzLNTZtgw/f0jZl+6w/yyAdh4UAha4wR8Vft3sYa9fLpSSBDmN6UUeQ9RceXeAcT38rMUv 32SVNjL74VtpE5M220yX8sgOEN9y8b9qYT+es+8G8UnsJUh71N3eUVjRtUPffUQKMRrXQzy6xT4q eFTINC+7/bnkIAGlwCM7wkHpv62ALIS3vqFuvHrrpMPlO0HdTXBWSTJ4vqx7XE6hataPhVD1wVy0 ygN690EGjzxXcdBseur/AwOtMyAQGRfFitZxutR8eJdpdWrlACha3MN0II8PdxfE/JWuSwgsF2LA 4sK3/qACHMJqGfye1cAMf2hO6tQ/C0iDz10ok7oQ/6fetioad8+U2oVHi14Bm5X65/rjXYcMbb/h q3LP1uISfGcbuMmuqZwsFFgNJ3BKFwp7M5OiF7TQJJlfKMIppFr8npoIpLak+vP+pFlWWAyt4GbI h1WDlcrSDjWgb1umRaJFgYKOBfAHFIA+fcTUDpoC8q1M+ilcavI6oWzWhy6SSfGBgyEWxQYqV70H qRgqWvJ4l+PNQQJ19wUoY1q/OdBL080+cOiZwAqaUAm9GY8tiNXPrVAFBSHSEQwwfNZp7B0zTvBR 4hnl81VHkV5YJFWVgNvsqYlVoMnTq3IF8c+Y00EcdufIBbqdI+6WuAwEtEFqcN/ac49Q+SVBRXcx pFveG4+ScTiN/shmZ/uQygmXBS8FKNI16HyH/ISUwkgAs4CSY8C+NeVsCBp/D+8FZqKPgqwdEE5v g1qBaJIp+2hyMu4hS9WdAdsHj2aZTIg2+sjESzDTdPPT9MxTt13V+s/66leeBwp/ztUG043H+c7/ PLW/F4hMznVcNVNujp584GZeU29+YndS4vTsBmV1cU1B1OqfzJsiC2AbSpqAXiKj4DsgRneKckxI DYfOrPPDvPsqDTkTUvaxxIh50S+w4T6el/SUDYXoJl4QY652Tjj+SLpEXwdV2skYAQLGGHVoWbK/ l/zgNFjJZ4yMZfNTfVoiaf+NkTmwRAQCVim6ONjlre482IuvCnM3Y+rT2UH/9CFK64buAkJDrPKk DHE/W3UA2EV6y3QCcORVEqnN1p1jHdujKrlqlW/+3LaEydXXW5e5446waSBPkYq+tE/jUdBhUkoW X/dpVamVlrpk4pI929sgAzu98YkI3DRwnM+arURoc29vHbsvJFDzVIfj9UYdAb1S0hdEXzFuj6FK TxSyuYamk/haIdKL0mijUjQMuwqkGcK+aMBYHd+tGgG5wH3WCeHqC0URzlsMxpUXt93y/IK/P92P Q9I/4xspw5pEuT3BTMWvtTBiJZxoJTKbY1CoB5MLoPY1UqsuMH/MrN9lzAbouP4gatYTeiBpNOwY MvS0pUxPn4Y3xgUUwhl+cEqBjPhqDNDmwEym+DA0Ghq93viJmdDTyMZEwDvvKQvLjUxyciBCgKRh 2Zlsc95Q952YdVol2PRGvFTJcLbEPKhYOim86EVq5K1PXtQbOkv7MpcMqJv9P5QFI/XDTEBK/JRS WpxZ0Pq4uTqVQYPB77xqobLosUhQjLVzN4KfUaAjvPGHYgshWg37jMRIiFC8YJIDvYLyZEACdOWO 1AE/INUIKgIOIlWce3bqeJa1uvHppNj3djwR5TtHKdPAxgNQ2XPXZc8Cwm1zGSRpDLTLpz8ZVYo3 zNML50VD7aYWJr7odgxd0bRo+r7Ll4GPjd9TVSZb2gLYSMGltfWKOowgXUY4r/rR7g9wpQh8aDkU GKQ7Jcq/m+OkM4pzdxF8Q6Zs0r3WhcMJJdNu5UDcTOCw55l/iZVn5gn78WFDN9LdQOeCbccZ81Tc YWt9rkDpX5/1mVENR61s45no0dXgembbok9pXx5IsWlP7nbE5xzrWq/N1buhDx8bk7IzUkWVjKnc 9X9HOZktSM2F+K+wgTguPJHvdaqp6blmTus27+obznm5oZYXeftd9gLci80ALxz0bymNsjub9sPg 5GfRKQywgBXqAc+Wd4YZZP2FScSA509ETnr7QLW4qd+Wu4LWctOkhvK7wPQzBgDoiAURMt9q/8oa ZFjLO232/XDzf9w7jRjeTK6mtGg216F9vUrMBjDhYmZefFgPJMEhdIVvHjXIdBEdkYiPz+CYiEfA 1s+m3tWXJm2WJPeRt+/g7AtHV/Xw2DwNv8IL5djHWEpiETq54440z+3pGJyTE/8SplhX9XE/P/OS RDpNICkmCjp9nHXx7CADYd3ex8GFHeUDHA/nzrQWoVL1JjKYT8EezZAm6RRdsTPiMY4N/Kl4CYxf sNlucod1q/8UbXR7+OIqSA/VHZdnIrBB1lrF6ri1uKf1TSaQuxN35K2xVGHiDxm3X9ciaxqTMuWo yaqywuYfBmy0fcEVMyDG/1pSehNgAaHZKXs2N2HXkhDvN0K1AK/r4S2jG7BnNGilc8TzWo87jlwM BChvj/1SIGkiqBrYS7nUAm9VYtW9upmcvTZonifBzCA7ESKEBB34iMEPZ+DGT+WNKv8+SgCBRTJ9 ihQa4w8co+BN/Oo5SU64UW2ZzbOn1Zd3RdVm0IoDU/JI2XcA2x64dm/B/culqYGugBKMlDycUUlC dpEOk3kxnMXehc78EYlS5z6uhEmPHA8vEeijurcx8W9JcUWOy5EP/htsg1Al7exnr9bE1vjkVF1+ E7FZh1S6U5d1c14CkLDSaPrybpaMJ8E6qJ06nxPk+8id5kWU2pnVJG5QvDhs55y7nGoeZR08mGPk HWxrQv3bE+wUpyh00YInRjVozQmZrvya/vzCU1GnYSLSfVknV0SjJQqk+wIOlbth11rbUtWFe5vl Q4rHIAY7U0A+XTU/5uZhoJ8f8axZAtWpE3HfMPeCBY75T+vg2kPLWoqQj4ubaC9sBNXJI8dgn6Mg yQCHhUin/cIBGUyENpbh+nii1xeRll6GpW8kwTGsPk3N4QKLHxflmYDVVe7Vg/LckKJFAT1tEZeD jzel2XMfblZQN/X4ntmWazxGym/1uFaCamSLR0voBqlFpd/ZK4Di7aILpo0d7zL3Joh0c40KjV9s rQlj5mgw+ZqlR396eTeTe63WTZYxlx0+MPyi3Uc9bExKDNLZGKUB41OY8McwG2cck46NhXHHkfEa pxkfaoFtqgx4tt8TrB4tRjMGvmtTJg97Z2IkRXjPsx9PysElN99oCKinBcXaf3NnYpeA0U/2uBjd Fu3kxiRK/dCMc2aRrqhb2rN8MI3eyGKTYHsffN1suo2VKZ09/KePDy9QMqy8s5gmvDeBsVp4IFE9 miqzTQi/N83PxwI5yoZvnOAdJQQlHDs02glisWTAHC6VRXEmoRL59a2pBjlaaCKQ8nunFRM4jibi sCuESOp1TwODfXoIxL7veBJV120mW2g89aIghRtDfunlyLsFJ+4r0RDQK/kUAJlESXHx6jTvrNvD ehifd5CbKyrW2Ow42mtQZA8cW4PoDRs6mgpwGIRrc8GuREJ+mGIQMkroXi7zFDTVD6zCzvMkpMVO slkWJbvjQg+TUyb7j4rF5WTUpGbBfyA4PS+4dPNgz+yqR2Co3MCDhPQi/iaAcQQ8Y1b68AYe492/ zVHKK29bYCz0NBVBIEALoDtdtq0ioSURO/zY/y5eYClc+GlgS4EcUtve1JpITpnfxsGxTY3MANRA zF5BrGUuRyMZeTEU8aRMQm4kp897BItHyNbIvZTnkZREnC4DNYTt6NFRRGCl1gQoT7mDQR2kltZP tNV3b+aty7jkafr/kqP4KaQTM+uGzCpl0HQvF1KKtg8OM+y5bERleq9xxnCD31QagqkGPTcd1Ks8 Xe/zqDWPq3mKlfBodGRXMYOGSWKWUAT7N2It1NrhUzvT3Q1qUPvQ5ycFeZiMAX6uhd6t7Ue3RlJk w+yxfDQvyZslesPpNLhbT8SHGI6QGKRhrvmrZTu0x2fCAfOoNDv1vOpJQhSjl5StemwpX/V+MV+8 oFRRj9D7ca5RcvI7c8MmS1vSWU5pnJDjMTIkgnQ/Xc1yIg+IfLYeFwvyhwdJRDkzxhX5bf8e7mzd 20/hmbhjMyPHB/Z+KIVs6i12vkjBFkRoGMwcMjOAcv7uodMT2qo40rXRlEorusS0JuhGNU4MwVgo nC7xLkmH4xLlvgUJDpl5MBmJ41uKqdmYLQpOj3nGHj+hCddD9NliazyV3jiATIz28rkOlqPvwIsL HUEChcG2tk5C8hcrm6Nu5YlC67B/O3GTB/8sUuT8g9XtnPPrvOS/x6cZsPTLnlCLaz6LPnd4BhDa BqVSUNgaTdxdi1z/octLDH9W9dN38TY+IngMnO4kBJXQ8dusmiO8D8W3wIwajztDfdwqLcvPQwli NmRNbKs+naU7hZ4bsQt0WP4ohc/RYnTPyIQYnTL1X3eCKaOTPZiVYE4nDgzJS0hKYHOzr9z94LGQ mWp7xvwfR3jYxQ7ExId+3xSNAHwpIRC3GnCKnLGD/Ov7oHC7xsLIRDBeBIpS5lijSxZwvfFYf37r Xt8SUvkNe6XTu9PTxajR8PeZPNyEt0WV9+4CEj8TjfUW0uMHzhu7WUSnif8ddyVgPtmH8dErfCj6 T0QLAFLfhrdugP0p9rQagJppEg7ig6JrNDAD5nB52Q25ZwyWuyBwBTe7tWJsZCXXCJvKNa5IL3J0 0Wjc8Kh3LrDRIVR+3PrZZn6EwAPwl1YfEHFPCzM7OEnOtUhRznkxKeMlKGLtfdED7RL5r0MiOMyh kDlRjYG/R2yev5XlTCyhlcSReR9FZ6MIh0RL4ooQW/ElKc739C5ylKNMnJu2ZSl4to7PyLLTUW5G Z5/6MS2sAdaNoKgCg/zxTqScxNLszNREJnYnGHc97fKObr0GoS0BWzdzDhEU2GSYE8HB40cPwbeX A9c6xBp6A+vVrCbcVhIxw8DUaGrUZolMdQsfvF9qgrFKNPUilqms0BSzMShnPBKNN1sLJ1YGf0T6 yUReE1n8vZSM9Mf+5uU5ZKfxi84Ns9mERjVDpMfZZqMozlNnz8CUNeXyezR6nzgS/kK05RbSP3p2 tRuqzMHp0s+8A+xnw1n+Vw+cFBKwwjesro1I5JkKt9qbgUGE/Csx2Si34/W4y8KOwXBV9RcX1qo/ 43XpKBEK1vwM5U1X241/LCtJxl2BSOm3Dtu4Wt9yqfxoQtmREUek5pZyNM8tWsGUTe0d+JCPAkQn Pzm4NdL5MmjILc1Jg4IudW3Hl9DQP2yVFfr0L/RWb7ozNgRHFpKRigoFjlFOmGqgpYMzi1RYePJQ +wQHEm2zgUpq5SthhbIglMyy9wANLV4Y9Mlgpd+p9zg7ApRbTdoza9cI5M+vmOV0d9t3vBRos016 nvQzcFTK4vYNxe7Gp8Obc3BiK14EknedXMylflM9TaaYDoAbSJj+akyGVA9DZDEanFq5ExvxSsrx o33Ym7kPeSdqVqB0knpbwAmmiXsTb6IGbC++pmaUZc6yOh7KVoVGO0TEw/ZvSAfMaqFJwf4glz2i /OMHt+ukziOufTcNf3N5V4qRXpqAPbRz/Vw023fq9EDe/8qgsrqGjELP8mAPQPQ2vh+d3qAzsRmZ NhbtdCHZRNjIDSCnxIHpkdiXxUy4vZqsgaowXc6W2BBMjDNAHcq8vISYMU7HyQo20uFR+5nF03z6 JtNDZ1kKwZzJdGpPQZeXc2F554GFqaXhI+LS+1IYnmv8xUvvQgm24vICyUdUvadBmoeDKD/UJevv 7naEalT44vN8XEL05QmJA2AmEDUxeOmoE+Qcng7AVfrja+pm4XVTXtri3V7EumVs0xANgOYKXZGn GKofb3wmY8HX9SvG9YH84E0szS7/CXtHQImsnoG0v2vtl9Bbsvzc2rvk/pc+UZ3wSTA1PxyuJg5T DpNQ8PQtpL0VKZS9Wf8pQL0TBhiWAX7QnIyYSoMX1lMhZAZt2vQsx0BJ1SgK2pSGjWgz+kLJhVkK F0/VRsdLG6zNn6/63uCr3MLc/IMMD5oXg+MruojwWf+jUuvKn2CJJpxp/hGjBdsy2B5pZufbfjr2 eAb+lrAg7qSOS5mXOxfGnZPnKwdJgAKKqBIoEHt48ss0yWur5WHRPct1tzhPdMgAtKG4Pgz4QrxH 5tPLFm3nf/uwFfGm6gRciuYzvtxnzZ63/Z58frg3Ge0Tb/a8SdEWGrdLbGUEq8vE7hMW3L5CP3CJ Cqtyce7GnVtBlgy8rV3dr6X8iVBFxHfvjavI8CkpQ0OygAStCoSRuXOHmliw0CTykh+yzxX+74uq leqlTWHlYi8JaREWSWK8QS2fyXObu8uIJTRTxtpzg5V2yod679ejYNDg/0aFxG+/jfEfG33F6e+J /SEAWp+LNpCN/PHBCVhiyw5E493rs63C1y5MZ0NOAIgy37atmd63J51bkwI9GW97pT5Vg8HdOWJu nsnkAqk9q41BubmVkAtduSuVKQpHIjutPUelfnL5z5Ut0dgoU5bYWDh/PIgcT+od7nQKHvWn9hUm NJ96YJ7iOf5nEGvFvO7GcYxWYMQH0Lz7Zd4HxJWwfN5wxXpmjl9j8w2YQRh0RRevlGPB2v5EsYVl L6+NJHjFrvAzOq9daAnjk1A16WjSmMZRF6M1gR7WVbnaz8VOR8u0CGzge/sGGOYGoDPmXi8kzSH3 JwLYMg5LAMhggL7F/5BW45+khcI6xj6fSAnhBqcNPui0CBaJL9Y08bNFHK9R7vS5C4BuX2hjgs4T +fJqTePgSJt/D6aB6TGx3MKMqxZVKy2ArPAqVuMntuCDGybPA650nkiGLoVeZ1JaNKalFe1CmOY7 rykHQ5qX11QbQWbOdhetNry83J+wk5N6lNZsE+9y0vfbHNmaVzUPGX4EPfaT5Oz/84qYIV+UljUg X/2X2ITAcmRg0sP2NnNe+TaF7V7nHvFW0ixlMXBRxZ0oVpux3qteSQLni5yxALQa1UnBE+aJiRpO MqiKn9rURIdzqlAAD3yBhGQ3Ci23dQIf56aoibGKA2isad9lZg34DIR/4io2895BmjS4eCbu/fJa uIvJnLeeTCUoiXEaFS0UtvkFTSyvpTbQWswUfTqhWtpbuuqooQuL3FpcP45dDFmRNlHJ+3RqZFND 08f0PYBq58hdhdd9lkHSDGrgvXF2n3DsrDXTiT3h6i0pVuZSIX9xOMytxLgWYh1Wjd8OFNz1mf71 gGYX8orDHr55fKWXW8/6FO/Yub41gHK47sco+Cl/roq3tP080CNNnByJ4+M6QkkE7RDSXNUKsgh/ cF4wQrVSKCNxzsAtEL+bHYhjZPa/M31TKK3gzLjHS2zOmF+Jb/g1+OmXVKlNRsmO36BsK0mfFiYp pNnJT2Dq8YEmldaU57v7D0bKMagSAKG1E5c34DuINdEra4YefnTr80KSydDugzuibMq3mBoA3QM0 VtYWH+fe++oyJHn0U7ovDF94nYuuzWRGF8kBz5xikQsjzJ63pUQSDU09im3948ZuZASVnv75zUUO 7Oh4LXMrUmv8ujktuo1qbs1hI8gam3UKDhSGu7V7koDfe9RM9EzBSbexE+KEd6LaJNQ1coJB+Bj4 CH4kEHVorzraIT4K/3QifcKBbSB/EFu905ezcpkTSr9jX1b6SWpVGgspzDeiW2/BozW+F6pu7Hqq IAE5xHb7X3NFTGzWWbfqm7IXwFj9Mhghnz0t9CkdAIOd344tHV8GA0JKIt43qCunPtFfchR7lN1I r6jwWbqYzrctW9OlvD89/3gGd1/LTM9xJe6fXfIgKGMRc0iMaIZylq+4NDn9abtISaUxF/9Gg3U7 BU9gVH6Wiu3yGwOUxauxCiH9afXLKj8Ew1hdR/jFlquHq/tEWOY50Q5uzQ/ShxtPLjURMSkZDFwk d2Oqa7z22nz+UguoMYW27Bpd+p5RO0x2yEQ518Xgd+5+2SIR8HT8mD5FnEaPrxsZoSHmSm19QiAC 5zcfIhQFTP6jhn8b9dPmr4XnIuYftjWPzyrTfGIq2C30gyKNfVl7HZCiMHslJwnCOJkD7yB3abUT Xp2oKpSDgiNWsD/iqeP2JiTUxPBDy5HJGENNw88+bFDgzfIi0W7L8r6RLv9A36VdLtTtR0Or4Njd D8ZLF58Wn66blICWvTlxHzY8syNE3FHPwOwIfw6SEbk8yHfS/K06LTTpn1E8KIWylPpN1llCurHC MX3jlgwnB2KhjI6tgcs+R0DAsqaG64LJxVOFXu9siZIj5/DUCebZaXyJssIjRWKNgAfU5kHtoGFZ L3wAPIQ/cGoL0Ke/N7GudDiT/+th3pQJEof6p/8mAIUJzjw8Xj7VaV6efMiTfFiAtNKJLcxDscxQ hAMdavBcGhEeyhxSqgcIxO3EOgBjH0d50h8Sn8PHlDhbjf0HQsbBrG/lToyEXiFRSmvu1vzt0qY1 MfkQZgv1Le9zVA+S/y/BH2Gcmi2j2TiWA8nD4yedByIGuMtpYvcEbLaXmNl/drEDcgEux13PTuDO gKZm28qMiClqDsd9QvOGCkZvXPNzcxAEi+TjokvZ8E2Pc4YQhQM6+CRabBuOfCoAQWXBF4MdPZku b70tqrUWhgoWOyPBywQJhPZDsDKKuX2iFn8kNxCkL4EtmtoCNrWupCmGwBah+azNFr7vYLly3LqF zSRZn/t1q3oBehz5LaOvcH+nqQR6rRTcU2iFXbKUQuondVPr0upzrquRrcx4Xikd+ScAqA/e6ytV b/pYTVzgKJHZ4TRcsultQUILOSO6nmXNcVeiBR1av+yEtVMUlfGYGT/+wUhAjZwnt0IWy+DDBK3U 0uY+eUVwIHCLipOPINgm576YcMCykpx6wGoi753XUuLvFnEhuHKDIOjHaCFOO2q/8qBDD+P6fNig hZAU0nLlqs1dbhwFNnNGmi0MF0F6uMJ2+2tI/vg/jRH/V9tEPOLdyrjGQAby4rwPjdnXhAnyllcw YkJ3ik4EUFjqC3ksog4Qe6vPB6BkxYPopMIWOA4DvOY/obcYNgA+7yuBxxi3lB7E3s7gt0gDCdR0 tROW9ji84l06gNAeEVJKGqrixUhpyx3uLXO8tIJr6nrL7nS9nGu+2iDYkkgvY5/+nSmwIOu7npGA fs++Dbzw5/7SZ7kBvubXH+dlQkHVfVpgBuCDoPi0bM6WhNIbA26QUy+zzO8vHwkv29IYpKlrhiyH SpnfOjvViL0EcYq48PRjAHtWU0gQ4m4XqDvDbeVSx5MB/9K2D2utNflavNkc4itDEreVE+SOgq8y pRwNmc3vLH+2y1v9WiqbNv6Tx6uIDPdjAeWS4YRAFvlMpZ6DJAryWiwOaixECnZOXjX44/K5JMNZ C2kASG/DpVW7Ij4LRrE+1Og2jARgCNMG93LItZMF6KUngG7+wf6vJoaT4h03ggkoTdnfN+a2Pmr+ dheyh9bsAEbPDZh+3Mge4V41jcnli8veDrn7b6fBtrpvJrP0mbFJYc++1eJE9ROdxYABwkDEG5zr /Bn29+KjU1PxaBuvLeA1DQQYVc9HeBf8esTojWoQVijAsRG6hA6c7vdTZLaNtKO0nyvu0sLZoR7+ QF+kBY24Rkvr1fbFDZ2VAAFeCtO3BgfLiOdvl8bN8ooW0U8n7gNZRT532y88VQMPrRwen/r6q+9x iFEr1l3+Xn9i1spPcxJ1WY56vgbX5on1YOIl7QSHeUnuqcOjwtNAFF1c0HF8na0vaEPTZ0Im9jIA XJzXVU+0/Uftv6xC585AFhx/HjISrXRq45AT9IDXV4VZUVKlHZJIu5gV0l23spdBKiGkxla+bpuF Ee3uLOgyqcgAPvL4OyxXJSyiMT0llyJYeERCnVTbzLL8qiYAPhb9zOVpdOyYvWIT5iE8QxeexcD3 c6ueUttQYsMKnfLQ5kKu7Xj1aV4dshlF3p1rZB4MoXM7DwlBANY1Gh8SY77eCvOMkg84X5JRT3eG 7lZ2+U4ZO4G3zukdM7aulAmswFx35auisav24lyVhPkuB+uutjvL+3QqgshKGoY1BmrdfzUiUbDj sN47Dw6in+38+HApSeQ/40EX+rSzrRPskjjfZjx+cfJVv0lLs3JMmld6pBX2MKq/lhEUo/fun6ba MpiwG382aEwkeP9lAIjzwLr0lrTofrIMtwFJwb5RWJ8jgpf0htk698G6EuiUijkoKTeaTdW9tjJO u+2sND6wFWsg2T4Tuq1gpKiNvPyY809SxXIMjrFsBjptjDz5FoBXCNZxgc2KJT+AQWuHemgcce+G VTxZhIU2bzbkHQmy+cd9W0x4lcb1VdeYEJn/+Yn9OUeTn3w= `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_sfifo_autord.vhd
1
20297
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_sfifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- synchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_sg_sfifo_autord.vhd -- | -- |--- sync_fifo_fg (FIFO Generator wrapper) -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.sync_fifo_fg; ------------------------------------------------------------------------------- entity axi_sg_sfifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 128; -- Sets the depth of the FIFO C_DATA_CNT_WIDTH : integer := 8; -- Sets the width of the FIFO Data Count output C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0; -- Indicates the need for an almost empty flag from the internal FIFO C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0; -- Indicates the need for an almost full flag from the internal FIFO C_USE_BLKMEM : Integer range 0 to 1 := 1; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs ------------------------------------------------------------------ SFIFO_Sinit : In std_logic; -- SFIFO_Clk : In std_logic; -- SFIFO_Wr_en : In std_logic; -- SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- SFIFO_Rd_en : In std_logic; -- SFIFO_Clr_Rd_Data_Valid : In std_logic; -- -------------------------------------------------------------------------------- -- FIFO Outputs ----------------------------------------------------------------- SFIFO_DValid : Out std_logic; -- SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- SFIFO_Full : Out std_logic; -- SFIFO_Empty : Out std_logic; -- SFIFO_Almost_full : Out std_logic; -- SFIFO_Almost_empty : Out std_logic; -- SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- SFIFO_Rd_ack : Out std_logic -- -------------------------------------------------------------------------------- ); end entity axi_sg_sfifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_sg_sfifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations -- none -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_int : natural := 0; signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_SFIFO_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_sfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; begin -- Bit ordering translations write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little -- endian. SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. -- Other port usages and assignments SFIFO_Rd_ack <= sig_sfifo_rdack; SFIFO_Almost_empty <= corrected_almost_empty; SFIFO_Empty <= corrected_empty; SFIFO_Wr_count <= raw_data_cnt_lil_end; SFIFO_Rd_count <= raw_data_count_corr; SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1; SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= SFIFO_Rd_en; -- or autoread; ------------------------------------------------------------ -- Instance: I_SYNC_FIFOGEN_FIFO -- -- Description: -- Instance for the synchronous fifo from proc common. -- ------------------------------------------------------------ I_SYNC_FIFOGEN_FIFO : entity proc_common_v4_0.sync_fifo_fg generic map( C_FAMILY => C_FAMILY, -- requred for FIFO Gen C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH, C_ENABLE_RLOCS => 0, C_HAS_DCOUNT => 1, C_HAS_RD_ACK => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 1, C_HAS_WR_ERR => 0, C_MEMORY_TYPE => C_USE_BLKMEM, C_PORTS_DIFFER => 0, C_RD_ACK_LOW => 0, C_READ_DATA_WIDTH => C_DWIDTH, C_READ_DEPTH => C_DEPTH, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_WRITE_DATA_WIDTH => C_DWIDTH, C_WRITE_DEPTH => C_DEPTH, C_PRELOAD_REGS => 1, -- 1 = first word fall through C_PRELOAD_LATENCY => 0, -- 0 = first word fall through C_USE_EMBEDDED_REG => 1 -- 0 ; ) port map( Clk => SFIFO_Clk, Sinit => SFIFO_Sinit, Din => write_data_lil_end, Wr_en => SFIFO_Wr_en, Rd_en => fifo_read_enable, Dout => read_data_lil_end, Almost_full => open, Full => SFIFO_Full, Empty => sig_SFIFO_empty, Rd_ack => sig_sfifo_rdack, Wr_ack => open, Rd_err => open, Wr_err => open, Data_count => raw_data_cnt_lil_end ); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Read Ack assert & hold logic Needed because.... ------------------------------------------------------------------------------- -- 1) The CoreGen Sync FIFO has to be read once to get valid -- data to the read data port. -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been used. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or SFIFO_Sinit or SFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_sfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (SFIFO_Clk) begin if (SFIFO_Clk'event and SFIFO_Clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_SFIFO_empty = '0') -- and the FIFO is not empty Else '0'; raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end); ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_EMPTY -- -- If Generate Description: -- This IFGen corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate -- local signals Signal raw_data_count_int_corr : integer := 0; Signal raw_data_count_int_corr_minus1 : integer := 0; begin ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT_IAE -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT_IAE : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; raw_data_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; raw_data_count_int_corr_minus1 <= raw_data_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT_IAE; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1, C_DATA_CNT_WIDTH); end generate INCLUDE_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_EMPTY -- -- If Generate Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate -- local signals Signal raw_data_count_int_corr : integer := 0; begin corrected_almost_empty <= '0'; -- always low ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; corrected_empty <= '1'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; corrected_empty <= '0'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; corrected_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; corrected_empty <= '0'; end if; end process CORRECT_RD_CNT; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); end generate OMIT_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_FULL -- -- If Generate Description: -- This IfGen Includes the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate -- Local Constants Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1; begin SFIFO_Almost_full <= '1' When raw_data_count_int = ALMOST_FULL_VALUE Else '0'; end generate INCLUDE_ALMOST_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_FULL -- -- If Generate Description: -- This IfGen Omits the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate begin SFIFO_Almost_full <= '0'; -- always low end generate OMIT_ALMOST_FULL; end imp;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_afifo_autord.vhd
1
17231
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_datamover_afifo_autord.vhd -- | -- |--- async_fifo_fg (FIFO Generator wrapper) -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity proc_common_v4_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_top.vhd
15
8369
------------------------------------------------------------------------------- -- $Id: pf_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; --Carry_Out : out std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); begin -- VHDL_RTL -- Misc signal assignments Count_Out <= sig_count_out; --Carry_Out <= sig_carry_Out; sig_cnt_enable <= Count_Up xor Count_Down; sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_counter generic map ( C_COUNT_WIDTH => C_COUNT_WIDTH ) port map( Clk => Clk, -- : in std_logic; Rst => Rst, -- : in std_logic; Carry_Out => sig_carry_out, -- : out std_logic; Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable => sig_cnt_enable, -- : in std_logic; Count_Load => Load_Enable, -- : in std_logic; Count_Down => sig_cnt_up_n_dwn,-- : in std_logic; Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end architecture implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/sng_port_arb.vhd
1
17789
------------------------------------------------------------------------------- -- sng_port_arb.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: sng_port_arb.vhd -- -- Description: This file is the top level arbiter for full AXI4 mode -- when configured in a single port mode to BRAM. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 4/11/2011 v1.03a -- ~~~~~~ -- Add input signal, AW2Arb_BVALID_Cnt, from wr_chnl. For configurations -- when WREADY is to be a registered output. With a seperate FIFO for BID, -- ensure arbitration does not get more than 8 ahead of BID responses. A -- value of 8 is the max of the BVALID counter. -- ^^^^^^ -- -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------ entity sng_port_arb is generic ( C_S_AXI_ADDR_WIDTH : integer := 32 -- Width of AXI address bus (in bits) ); port ( -- *** AXI Clock and Reset *** S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; -- *** AXI Write Address Channel Signals (AW) *** AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); AXI_AWVALID : in std_logic; AXI_AWREADY : out std_logic := '0'; -- *** AXI Read Address Channel Signals (AR) *** AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); AXI_ARVALID : in std_logic; AXI_ARREADY : out std_logic := '0'; -- *** Write Channel Interface Signals *** Arb2AW_Active : out std_logic := '0'; AW2Arb_Busy : in std_logic; AW2Arb_Active_Clr : in std_logic; AW2Arb_BVALID_Cnt : in std_logic_vector (2 downto 0); -- *** Read Channel Interface Signals *** Arb2AR_Active : out std_logic := '0'; AR2Arb_Active_Clr : in std_logic ); end entity sng_port_arb; ------------------------------------------------------------------------------- architecture implementation of sng_port_arb is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- constant C_RESET_ACTIVE : std_logic := '0'; constant ARB_WR : std_logic := '0'; constant ARB_RD : std_logic := '1'; ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- AXI Write & Read Address Channel Signals ------------------------------------------------------------------------------- -- State machine type declarations type ARB_SM_TYPE is ( IDLE, RD_DATA, WR_DATA ); signal arb_sm_cs, arb_sm_ns : ARB_SM_TYPE; signal axi_awready_cmb : std_logic := '0'; signal axi_awready_int : std_logic := '0'; signal axi_arready_cmb : std_logic := '0'; signal axi_arready_int : std_logic := '0'; signal last_arb_won_cmb : std_logic := '0'; signal last_arb_won : std_logic := '0'; signal aw_active_cmb : std_logic := '0'; signal aw_active : std_logic := '0'; signal ar_active_cmb : std_logic := '0'; signal ar_active : std_logic := '0'; ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- *** AXI Output Signals *** --------------------------------------------------------------------------- -- AXI Write Address Channel Output Signals AXI_AWREADY <= axi_awready_int; -- AXI Read Address Channel Output Signals AXI_ARREADY <= axi_arready_int; --------------------------------------------------------------------------- -- *** AXI Write Address Channel Interface *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- *** AXI Read Address Channel Interface *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- *** Internal Arbitration Interface *** --------------------------------------------------------------------------- Arb2AW_Active <= aw_active; Arb2AR_Active <= ar_active; --------------------------------------------------------------------------- -- Main Arb State Machine -- -- Description: Main arbitration logic when AXI BRAM controller -- configured in a single port BRAM mode. -- Module is instantiated when C_SINGLE_PORT_BRAM = 1. -- -- Outputs: last_arb_won Registered -- aw_active Registered -- ar_active Registered -- axi_awready_int Registered -- axi_arready_int Registered -- -- -- ARB_SM_CMB_PROCESS: Combinational process to determine next state. -- ARB_SM_REG_PROCESS: Registered process of the state machine. -- --------------------------------------------------------------------------- ARB_SM_CMB_PROCESS: process ( AXI_AWVALID, AXI_ARVALID, AW2Arb_BVALID_Cnt, AW2Arb_Busy, AW2Arb_Active_Clr, AR2Arb_Active_Clr, last_arb_won, aw_active, ar_active, arb_sm_cs ) begin -- assign default values for state machine outputs arb_sm_ns <= arb_sm_cs; axi_awready_cmb <= '0'; axi_arready_cmb <= '0'; last_arb_won_cmb <= last_arb_won; aw_active_cmb <= aw_active; ar_active_cmb <= ar_active; case arb_sm_cs is ---------------------------- IDLE State --------------------------- when IDLE => -- Check for valid read operation -- Reads take priority over AW traffic (if both asserted) -- 4/11 -- if ((AXI_ARVALID = '1') and (AXI_AWVALID = '1') and (last_arb_won = ARB_WR)) or -- ((AXI_ARVALID = '1') and (AXI_AWVALID = '0')) then -- 4/11 -- Add BVALID counter to AW arbitration. -- Since this is arbitration to read, no need for BVALID counter. if ((AXI_ARVALID = '1') and (AXI_AWVALID = '1') and (last_arb_won = ARB_WR)) or -- and --(AW2Arb_BVALID_Cnt /= "111")) or ((AXI_ARVALID = '1') and (AXI_AWVALID = '0')) then -- Read wins arbitration arb_sm_ns <= RD_DATA; axi_arready_cmb <= '1'; last_arb_won_cmb <= ARB_RD; ar_active_cmb <= '1'; -- Write operations are lower priority than reads -- when an AXI master asserted both operations simultaneously. -- 4/11 elsif (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') then elsif (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') and (AW2Arb_BVALID_Cnt /= "111") then -- Write wins arbitration arb_sm_ns <= WR_DATA; axi_awready_cmb <= '1'; last_arb_won_cmb <= ARB_WR; aw_active_cmb <= '1'; end if; ------------------------- WR_DATA State ------------------------- when WR_DATA => -- Wait for write operation to complete if (AW2Arb_Active_Clr = '1') then aw_active_cmb <= '0'; -- Check early for pending read (to save clock cycle -- in transitioning back to IDLE) if (AXI_ARVALID = '1') then -- Read wins arbitration arb_sm_ns <= RD_DATA; axi_arready_cmb <= '1'; last_arb_won_cmb <= ARB_RD; ar_active_cmb <= '1'; -- Note: if timing paths occur b/w wr_chnl data SM -- and here, remove this clause to check for early -- arbitration on a read operation. else arb_sm_ns <= IDLE; end if; end if; ---------------------------- RD_DATA State --------------------------- when RD_DATA => -- Wait for read operation to complete if (AR2Arb_Active_Clr = '1') then ar_active_cmb <= '0'; -- Check early for pending write operation (to save clock cycle -- in transitioning back to IDLE) -- 4/11 if (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') then if (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') and (AW2Arb_BVALID_Cnt /= "111") then -- Write wins arbitration arb_sm_ns <= WR_DATA; axi_awready_cmb <= '1'; last_arb_won_cmb <= ARB_WR; aw_active_cmb <= '1'; -- Note: if timing paths occur b/w rd_chnl data SM -- and here, remove this clause to check for early -- arbitration on a write operation. -- Check early for a pending back-to-back read operation elsif (AXI_AWVALID = '0') and (AXI_ARVALID = '1') then -- Read wins arbitration arb_sm_ns <= RD_DATA; axi_arready_cmb <= '1'; last_arb_won_cmb <= ARB_RD; ar_active_cmb <= '1'; else arb_sm_ns <= IDLE; end if; end if; --coverage off ------------------------------ Default ---------------------------- when others => arb_sm_ns <= IDLE; --coverage on end case; end process ARB_SM_CMB_PROCESS; --------------------------------------------------------------------------- ARB_SM_REG_PROCESS: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) then arb_sm_cs <= IDLE; last_arb_won <= ARB_WR; aw_active <= '0'; ar_active <= '0'; axi_awready_int <='0'; axi_arready_int <='0'; else arb_sm_cs <= arb_sm_ns; last_arb_won <= last_arb_won_cmb; aw_active <= aw_active_cmb; ar_active <= ar_active_cmb; axi_awready_int <= axi_awready_cmb; axi_arready_int <= axi_arready_cmb; end if; end if; end process ARB_SM_REG_PROCESS; --------------------------------------------------------------------------- end architecture implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_120_0/fifo_generator_v11_0/ramfifo/dc_ss_fwft.vhd
2
9156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rHJ+29MZ/51RW+8RxBIyMfaHxYDaaOjBE/eEB1G4Z7n+Y4SNCiLpsD4QV/UHYQVcratvHGJL/Iwh 5tcSX5ro0Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IjfEfFJ+wSDFntr7e0yFi3zx5F9j8KL+3YLAnStzZfksF7IvXdzwub4fw8+A0UUlBFtDZA0dAWXl 1H0D5rU8tXsQvjlffxb6ttDSltxnckWc9DlXznVYuqaKqt4fkad2vvLjTswpzKhBRGNQogydJlay MVR0AnHjZyiozQlGUqM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Pr9hkyoA4bxBXOYxo81Aj0Cg1VdKc6RcLD5oJK4SjzoAY4EIJwx4DGyAj5fGmZVGGTKasiDv0b03 ktw1A+pr7SIG2+AiG9v2eZvIulAxY4v/HtsPTMVvxB5kGu+4J3xJwkG3IihcHVPsJxESw545tZ3M uQXYyZe4ZI++yNHF7w1V5Bwzaz9e5bPGI08iMS9EkqToVc8OKHXsA/bVpDWJCI3WwgPbInr26//B U20XskKobVxeSRmOdW7CChVZY6yur6EeICkYylCAb49UfiwF8HxZCO82OamUBDapXEXOVP8Ys9Je 6ygeBUm8WWNyXi/5L3i5UNPlWKQIG/cZzv1Bfw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l2mvE1B53x+qmvvu7ioq8n2bk022Ko8YUnyvuyQWqPcB/lKbsK2BZqB/8oPaIoKnxfcPAfCxwj32 bEpyeiYS6dD58IvtGelNx3jKosWLxCq2UIj8E0r7E6m0iAngMZQ54c34WDCjZ/I7IXSyR6Dl6J7c M1mGM+PBkAetpGJRO4Y= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gw11EzgCL46WMHUhQRKXx64bVvw4eVlOWxvvByQtkAM74dcurr6NTsYIW/IBvMoJERiE1RyLXWSy 3byDXGIr2+aD+3orWbiqMaNUbmdYQc1v53ls74GUo87b1S/ut+8xQ1K8jKf3yj/zQ97QPhPNQqRX SPmZjGfn5ZHYyzL3QBdeDdj4hNBOH6DSF4Qx1X8dtButL4EXXCizSXYZHXOHiI5K0oS+JLJDrwGm 7YSKzx1zmldF4hgIMDRlE46c/CqrsTWjeh2LfaL6Vpida6m8sSSnHtUGIB7YhCz60WNYKxXgR2gB TqQoSKOBsxwc8N/7NtZzr68DXeo1PNV+vGl7eA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040) `protect data_block g3pFOXw4WP1M7lhfy0lFLTk0YiBQwFW/yjglnWbFB7KBwwX90+LwjAhUk9N/JSUK19A2kCZgMUwO XqwXyS8p4eA9yRlsHWY6r9CfCbO6af6+I1iLx10fwTYJAZZwkswTN80c2ZCM+unOxbspizke0Tc+ GCj9D48fVu9qKqhlQuKE5ppU37Aj1GQ1qiemqPe166r3/94TpiCGhUHh4WNS8/R6qwZK5r/zE0af 5dzyfp5tv8AzseZ9MMcqhZNUaJfK0V8qaYyql+EDay4RX+4S+jAlTcxRLnwV94X/UYHgLlgevzHy D5CHRb8XTTFFfLPXbHyG/8+YOjLA5jRpz4DKUkwLSUhamruvNgBM1zIwTwF1/9DpGGubMRuIKEkr ktZmqntEVHpeqE+OEy6RkWVoNeZbZs52CB6ZIAfZ0xZ8XI05K+pHbMtKGmOkpkf0SmuMB//PI6NW 5X+PkYaCyWjr52dc9GXaYaDGSBpIm7kqBO2QYWCTORLAtouiPpm5i3xsZHRP/Eyl82lbjuKvMiDu bclSwRfCGhEg5AfcFvHk8Li/Yu7DGut6UOZszl9QyGQLSZ/fdkotHsJRy5OgnfKU6UJLOd67PVru 8yCJ6rytD1OP1wLCMVVBo+LDAYURUsnIflpqMCHyzTiTXWymhXbWR6wch0eaTGkKprkyxhjlyIwg NmRGxWwYiuTbgk6HLNLm+PcBGwy9NJiqY+gvXPRaDlsDrliIB68YIZxUm3MMbnm/lQU5WmOBd37W oJI6gHB+NKd4tY6kcGypEWPOsmC/YFcjcdPusnsNTQ79G0RyZH03Disrtov8bT5OTv7G5IJT3a7g HBsw6kP2JZCbtZ0OVG6/Re0783iGXEaeNd2/m9JN1Hp3otjWh1a+k/C0dD4fzE/h4IMELqu4FAcQ Xqks8n4kUoCfsbj+wioakZneX6RcdnxeMnjpxpbnf4SiZUrqtIaJN54UomH47LHqwAe2qozkqQ20 deSsoLQKtXvXV8f1ZZnjrXyN06Dip2ASJ7J8vJ/a0zktUFfQBUvdDUn4Dxg383MKa0l591JaHMN4 DxKJYsv+ZqO2Rhtc/jCRtmiouGtDdr3EkkhkBSHcGHxgQFc2XqtAnr2x4QXr3yRWtvoAdKV0Logk BKucXK9kpfX4WvOa19+5pyeY4Q9XNJEDrYfle00xhlIuSV2nuN4xdAHSIWaFPNE0g0/EAPdd6R/3 gJ5RfL0YzLmbVtt5cRgUPkH8XdSSvIrFJ2MMycMxtdUjxpexRySUh6aUTa4CvW8SCr9Ox2G9L1Hi zudLL7mpQ5/hZfY4+iOGdzo06LCzWn1Gfzh4olNguBgxGZLLmmncovs3jwR0mYtohrPCAEm7WTd4 /JTBVXbBSqsWn4H/7h30gwLoigS2Otznopwn3hHgivWidpere3TSxUiIhBw9u78ulxfpiq5tvjim J+gywzlF2e+xX8X7VQEblCj0BTew7CLxlX07BZLARBqpUA9Ji+4rAEftjcr4hfDi86waGvfha8ZP M3/lPBo7qmyV3sLfy8ajVAsObhar3285Ax8tPYz/GEKpXYM++BORcbrl8hlOKx/xCNJ174vgxHx4 nGeiFV4MbzLCNsbB0dWd8qG1HxE9vExqAmvDT60XenHMeoqJvEhP5DkR6G6AEzIdKP04LznQvXTV uxkOcSiOKH8prgIGhsH+qHvSrgvk3KPih36SMbo4K5s7MIJ0SZzF6HiKJ0pmmfAnBH+GWyLdFYLH BK8OPVOzOMpS0UdA+Cygja16y5c9mnyjIjP+M4AF3plb6szTXgzcYb/LdhLMsTEzd0IXBwGmTS5C B1llOHHYsEArhlrGHk+RQ7OwpbuJkQGGL6//J4Op7zH86raQEwD4/hTs1k2zPotRBl75IM5xDhKk WNtimDjaHX19cf3u3nGviGdqsW5FZDj96kkEuaYKuD2BRTKrQFChZodl65PCvwInAkPemaWn5FX2 9fnau7Y6BwoEERf3hL9Cs2+ZvXacYgZkv6l3sXVALN6SbGHhTUg2AHNXOl7xAGj9VYOE3/BS4bzC irZDYKVYrUzn+EZ3XHJx1t5gAkQlXEkdbwfGk6eoS+yzS87oual1fndexLq78ba406pHKaeWeaVb RCG/TTCKed35swx8W0Av0I7IQ7rPh5TPovW22WP2FKz9PypWWFymWGRBOnjHGYNSUTzkrP8hOpUc oLN6l0z/V9DJ8Gv5DFORVIIyaP14E53QUq40gHWZ69R99uGoEUJlw6B8c7kzgo/tc+E68CSrr/U9 V0IIQeLwon/a5ye9xIxkRPJsTSasLvywZAdjlQd6C3lc3eHkcuuYblZMQhfKreKTOcMQ9Fbj9LXi r798EuKPDiAzOuht3jqpEXuPOzPdG817c2PNxEvyitn7kM/xMgx9rC0wMWzNcVBngQKwHp/iqT3M MrDBiez9u9RCbQmgxN74MYwWJEFUlmxspNV5ElOVLCf/u0ZvHVhl+au2Uj72S+4/tYvexQRktTiQ oC5mxtU1KRTAA4VVBHLmlkMffXxiHqcapPeyeHhKvughSxAWIf4zSJWS60xk8XaPu1AR+42wYLd0 wd3MdiWdE/uFTIdFWlCR5QcBEqULQmzcJ2KEUKKqcTnVJi+HrSaaVxJPOV/iFI9ghjOWQubdfZd0 JUhxHmMRwS6bb8x0HKEJkwdGlwUmmZ7GNOKpoVFrOINB7zOfYdAvR3mxfTfdoYQLASYRUy5cRvaa lRU0QoBqbFEYZDkhJC0o0eEKERSApQRYJMzqbPcp3IFlBzm1FAa9zuC7dDN0BMpd9ZXSEO4NN9SP EaSPGIsZqOIhCew4b04h1zc55Qnq8hQ+sYUZqFGVzBQFkUAaqQVzlvVnLN8WhbDr2Zo1MoC0NsMc OKNp6OLwBQ3NBeo04RiGlaVp5BIrEMVmcWLaDcU6KNKRV9CcSBnwOngFe5+jkyUqKqyhMStKbEOk tuNjL/sfyRv9zZTdW3b1dqSdmPzG/025Hdp7xf33RSy7E0FTQxh7Xu/RQldo1xFKPdQ0U02UUIns UyzpYUbM998v0kEinvGciBFzwQg/vk3V9J4OzD1vKFqS7XFYxfexZxmfhIw7u93817xjal3VBkYC k6C1N/vJXj8FAz0LHO8ClIoijiosNXAQ3sek36HbzS0wSPmDp7Uxo05N29gOW+7ZPWZ3Q0NQ5Q32 412fQrjQ30zYS4VqBeSqjjthXhGaz3WC8SkS5jKpQ/KFzs8HrCTvkgxfFx2XN+OZQ/JTvpHBaus7 Ogzp3p/4VvnnFlpA0PuGMJ0R8s4x/vXf+31fT49JkJLdQ2kJPyDIltE1E1eS9/lERpWkrrjWmRRp EP31ua/CTZbaPhtkn5+1bQrEuMxUyL8EZ4zBGjaiEbYVCbaiL+eAukCuf/i+yQ54yMIyLrOr3Ph8 t8cNwwnCAaRLRlxNcJq/psPwG/Ps3HDkeJozz+re4m039d2bJBmm4a2RYGxpWtvDnb4smguO3UkS nvWA0LmHmZzH8V3yR27uR0ZgP8qbw6iPKF3lfA+SGelIRh75EzY0sz4CeYN7USNx4eJw29ViDry0 +rWHM1l0OVKtqXA2TvN5+c6a4KuSnKKBlocFoUuYEJoL9SN12+LBDpnz/vWQDwcgGCUzMPWGMUr5 7gNq4gwHSaM8rpcLA8BZW8x44U5+CD3l2QYR+vhRP4fTu3hVtCBbxXOzwotN7/CqGS7uBS+tbo2B aY2X9ZEBzfHM4Vftu9RmoUxKVkDZF/tVdgdQMS6Y5KacXj0eDdIdfIJRzXVEZr2EGv9j+NYZsv/C d3Du76UAngOFFI/IDyap7G6XJEWa/V5C18wisGZ4UJ+ic5tH0lakP9zOHzRxfBkAVPWpKvu+H+dS 1P5Yi8F1SY4Rzsnh/QG7k53dsk/pXwc18mNF3CVDb+gAIq66e9Fk8bKmTTe8qoXbwBq6Sdy5dBcI kkvCuYEUerS6m10avfTm3HgUKZV3lgESV7auVKs7UXuys7vze8WvL8zVYvrJm9hCkU3vo3VSy7Po dOQ0lYWIiTU6cYlCLbknvxGA9/mHoFBV5VFNVlL3L3yNr8LdPwHU1QkBy5d6wj61X7tE6Yo8XbGA +HnYvLQtcuqcZEOVRGbOfxtFZXB0AMJqmsDyXtPC2a1pUWt44ZlRhIyObqhXo+lgtO9wfYkJEeqA JjWnpIM+Ca3ItX0sw7pbLL+I1PQBIo67EyojhCkc0cCABbbYGXcwLC7IRDnU2BOdmWTn72UmliO+ vmJ17UnrZU8hUIBidl+1Ex9VqL/+4NX7wIvHO9rd4+bgDhjCwdeTCjVV+Et96+qC/UMOMF+NMf6l qVkPgdYTopKA9zJqN8q3GCJe59Fte4ty/Msm4aw/JjdX2XXqftGqIu/DiPsoErsleHWp4CRfjV6n z4Go4Tf2wz6o1+ZOLD9mp5PBF7o7vM710GIVvRUpLPh8ov8VxNy0nHjTGbNqbQ0cDO5ns2ozyqEQ KjlO+xrLgq3bpQT5BzUleDeU0DKIWkL7jwbdecRrvo4pk7vcx5VLPtTQ8hZCosFs7N9ftrctUDYi wPDUbXMr5uU7Ek130Q5Y8YYfgKi9VrnGn8LuU8L+n3OQ1XG+48OcRfpE4O+Ay2vFTQdir7v2c7/q IDgy0tpKjTCnhrt0PAlYfzX4NMWTp9lE4tH4jL0wHh0gdrHWsjr/puLMm9Oxoa8oTLScUUjU61gg V0tpPYRZnP4q9GqBfJt5Ch+OpQE1fZtptuGGL7o0TYHJs3CnYiqE9m3fZQlUlBH4R9H3d7oNP+5j KB93+d6qO4mpY7/cjhBqkCWaGfL7P7mOg7ao7nqTonEpnleXwnW7JMrrlIsE1xqE03e/SaruAlKP /Y8R9iPgkqHHNEkkPBRfRkPmxBkjWYx491zh/Gp52y5xCLr3xFP2tZBeSOFAbjDbf0ZGkEsaGBhG Ci3M1Qcaz79JL3rceaWiFuHhQ1iBF23nQC6UeH5lI7dK8RYGRAxyzvV6W/4VoZvic97eAuJ1kPDy DECYXS3Hb7Gx99XMeVcJ0y6vXBgMbstx/4kiRQmbybTReCPIEACue9hU26uX3/uw3ReB/rbBW4Fw VB0TaQNc+5XX19kDoQ8DWxWl16UQwY4a/2kz4ZRmeWdY6PS/WP74RPQzqUAg0q97SzVWvvm/Cyp/ VFEhL6MmUNsRfHoig/8lM9XNDndmQ9FlThbO/VdovrfpbQwBTE2JYIAKy89jaoJeMaHMerE8FYr+ zeD4ouwrZWQxTO+DIvRnA3I/yE1Pr0lpMcVDELF65mTRVpHzPPp5ya6E8Xdw6VQOYhY5DgD6uR5z 0eYg1W02mftCb9wlxhncwdEE7t4g5uJqWTF7Gf9Yp/PFYWNC4bGb3H6oJoi491MYLrQY9fKBSIWT kOIPFEGBk6VGb4H1uJ7BnxYiJTXf8HYGfFmTxnDIyflDM83hYMp7mkgiyTJLDsBzoS9tvqNV6YQx RL8WhHrkS5clNgn3fcULm9JLzYhLvN14sC/xUQCICI0cXzbCMgrmEm5bgOkwznEb5QJ2Ua1wRQWl PfRB6gtKGW5HdAak4+5LieE5ST1eJU6+8Cygc8QAbtUSSJEGow7aUSh9ajtQ6dbSYCG1P/KXaRux uzwhh361B5rfDf9EwFTLjI4YZMAEiEBtACmsckcJ808krr2bfQdev+S8HMKUKNXC+XzGjE9jAA1d QHbjkHquQdb5v2BWY9k/E02BpsCoO/juggdIWWC46MUDHhqOBRnP5ri1T0B7ykD/80bAMvaCLDON IxoB066ZjjDMMeRjCdznV7u36RLiIc+pJTz13+hYFx9YOIWpjaQmNac3mDqVpKMiIjbvWwXD4VlB lp8Ia4dLtLOmRwLJYF7osAtv5BuLbZy20/jxYX6EnHQmqgPKiaySOapGl6z/aCO827uWm5oxHAvL 8d2BZ0xL6Eo8C2IOvVChMnseDTvzyyi28YXjVnx1l9DwUT/FtGeItpeuUsDf1HYctrcuvCp0Ehg1 WkBJ4HlsquaYRFAfAHS/KZ86lOpwwgjXIkRRTM81a+eIYC1Gl0agURIxWsbH1RO1LIRgRVWjKUD2 8QkTYiew2iWtCL2qwrCIWL4IeVp/650F5JxD8QhjNqLQy5v447a9Tlgh4qSL4jZwdKaLTYplbKly eeuHtopNIqqm0lNGgLZ+YQQcGgAegfH69U2S/i3hb35A8FiwdiKTjSw13R1KnZ+gLSCMUkuChmhK R7j+J+a++nWWlSMhz4xD01N1+MjW4RrAUKKvSikhsqBKadfK6b4i10glDyrL+Hf3d6BMzbtPjolI kswGkCDEJcYtWPyXbKwHYka+9/Ntuc2al4U78fjjdpUhlXcPHXAM3EtEafgHpxHM8Jvfiglnc3vS 4Hr/DLBjDpvxGiS5WR+Z0YLYn/4dagGorR2IUCziNOTvkkZpNKxyk+LBsp1x4tHMSXdy4UsgpTnW TnSMayh+eCy7NDWdkqtTNAPG/tFAev5Kt4e9PjyuuUpfMMPc7M8LaETrqQLN11pM8sYJnVaX8iiI pD5beLGbJlLrCAsj38A0e/JNcH0PmNsAY/lpQUOWjZgG+zFVoS6VaBVeNsNvjpbuONGzcioW2mt3 bLLI789xDIBwtOFtxdrNSs26/GNhIStnummenp06/kWxezj7XvGQEHJ9Wee3nwBl/iJew6KxkU2b vUIybHyy34lpcNNHf22T731L+emeLwX6 `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/axi_lite_if.vhd
1
11619
------------------------------------------------------------------------------- -- axi_lite_if.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: axi_lite_if.vhd -- -- Description: Derived AXI-Lite interface module. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/1/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity axi_lite_if is generic ( -- AXI4-Lite slave generics -- C_S_AXI_BASEADDR : std_logic_vector := X"FFFF_FFFF"; -- C_S_AXI_HIGHADDR : std_logic_vector := X"0000_0000"; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_DATA_WIDTH : integer := 32; C_REGADDR_WIDTH : integer := 4; -- Address bits including register offset. C_DWIDTH : integer := 32); -- Width of data bus. port ( LMB_Clk : in std_logic; LMB_Rst : in std_logic; -- AXI4-Lite SLAVE SINGLE INTERFACE S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- lmb_bram_if_cntlr signals RegWr : out std_logic; RegWrData : out std_logic_vector(0 to C_DWIDTH - 1); RegAddr : out std_logic_vector(0 to C_REGADDR_WIDTH-1); RegRdData : in std_logic_vector(0 to C_DWIDTH - 1)); end entity axi_lite_if; library unisim; use unisim.vcomponents.all; architecture IMP of axi_lite_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes"; ----------------------------------------------------------------------------- -- Signal declaration ----------------------------------------------------------------------------- signal new_write_access : std_logic; signal new_read_access : std_logic; signal ongoing_write : std_logic; signal ongoing_read : std_logic; signal S_AXI_RVALID_i : std_logic; signal RegRdData_i : std_logic_vector(C_DWIDTH - 1 downto 0); begin -- architecture IMP ----------------------------------------------------------------------------- -- Handling the AXI4-Lite bus interface (AR/AW/W) ----------------------------------------------------------------------------- -- Detect new transaction. -- Only allow one access at a time new_write_access <= not (ongoing_read or ongoing_write) and S_AXI_AWVALID and S_AXI_WVALID; new_read_access <= not (ongoing_read or ongoing_write) and S_AXI_ARVALID and not new_write_access; -- Acknowledge new transaction. S_AXI_AWREADY <= new_write_access; S_AXI_WREADY <= new_write_access; S_AXI_ARREADY <= new_read_access; -- Store register address and write data Reg: process (LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then RegAddr <= (others => '0'); RegWrData <= (others => '0'); elsif new_write_access = '1' then RegAddr <= S_AXI_AWADDR(C_REGADDR_WIDTH-1+2 downto 2); RegWrData <= S_AXI_WDATA(C_DWIDTH-1 downto 0); elsif new_read_access = '1' then RegAddr <= S_AXI_ARADDR(C_REGADDR_WIDTH-1+2 downto 2); end if; end if; end process Reg; -- Handle write access. WriteAccess: process (LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ongoing_write <= '0'; elsif new_write_access = '1' then ongoing_write <= '1'; elsif ongoing_write = '1' and S_AXI_BREADY = '1' then ongoing_write <= '0'; end if; RegWr <= new_write_access; end if; end process WriteAccess; S_AXI_BVALID <= ongoing_write; S_AXI_BRESP <= (others => '0'); -- Handle read access ReadAccess: process (LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ongoing_read <= '0'; S_AXI_RVALID_i <= '0'; elsif new_read_access = '1' then ongoing_read <= '1'; S_AXI_RVALID_i <= '0'; elsif ongoing_read = '1' then if S_AXI_RREADY = '1' and S_AXI_RVALID_i = '1' then ongoing_read <= '0'; S_AXI_RVALID_i <= '0'; else S_AXI_RVALID_i <= '1'; -- Asserted one cycle after ongoing_read to match S_AXI_RDDATA end if; end if; end if; end process ReadAccess; S_AXI_RVALID <= S_AXI_RVALID_i; S_AXI_RRESP <= (others => '0'); Not_All_Bits_Are_Used: if (C_DWIDTH < C_S_AXI_DATA_WIDTH) generate begin S_AXI_RDATA(C_S_AXI_DATA_WIDTH-1 downto C_S_AXI_DATA_WIDTH - C_DWIDTH) <= (others=>'0'); end generate Not_All_Bits_Are_Used; RegRdData_i <= RegRdData; -- Swap to - downto S_AXI_RDATA_DFF : for I in C_DWIDTH - 1 downto 0 generate begin S_AXI_RDATA_FDRE : FDRE port map ( Q => S_AXI_RDATA(I), C => LMB_Clk, CE => ongoing_read, D => RegRdData_i(I), R => LMB_Rst); end generate S_AXI_RDATA_DFF; end architecture IMP;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/daala_zynq_axi_bram_ctrl_0_bram_0_synth.vhd
1
12981
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v8_0 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: daala_zynq_axi_bram_ctrl_0_bram_0_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY daala_zynq_axi_bram_ctrl_0_bram_0_synth IS GENERIC ( C_ROM_SYNTH : INTEGER := 1 ); PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE daala_zynq_axi_bram_ctrl_0_bram_0_synth_ARCH OF daala_zynq_axi_bram_ctrl_0_bram_0_synth IS CONSTANT STIM_CNT : INTEGER := if_then_else((C_ROM_SYNTH=0),8,22); -- TDP Configuration COMPONENT BMG_STIM_GEN PORT ( CLKA : IN STD_LOGIC; CLKB : IN STD_LOGIC; RSTA : IN STD_LOGIC; RSTB : IN STD_LOGIC; TB_RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); ENA : OUT STD_LOGIC :='0'; WEA : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) := (OTHERS => '0'); WEB : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) := (OTHERS => '0'); ADDRB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); DINB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); ENB : OUT STD_LOGIC :='0'; CHECK_DATA: OUT STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0') ); END COMPONENT; COMPONENT daala_zynq_axi_bram_ctrl_0_bram_0_exdes PORT ( --Inputs - Port A RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --opt port WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --opt port WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL ENA: STD_LOGIC := '0'; SIGNAL ENA_R: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_SHIFT: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_SHIFT_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_SHIFT: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_SHIFT_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(63 DOWNTO 0); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ENB: STD_LOGIC := '0'; SIGNAL ENB_R: STD_LOGIC := '0'; SIGNAL WEB: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEB_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINB: STD_LOGIC_VECTOR( 63 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINB_R: STD_LOGIC_VECTOR( 63 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(63 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL CHECK_DATA_TDP : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECKER_ENB_R : STD_LOGIC := '0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 100 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(5 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST_A: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 64, READ_WIDTH => 64 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECK_DATA_TDP(0) AFTER 50 ns; END IF; END IF; END PROCESS; BMG_DATA_CHECKER_INST_B: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 64, READ_WIDTH => 64 ) PORT MAP ( CLK => CLKB, RST => RSTB, EN => CHECKER_ENB_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(1) ); PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(RSTB='1') THEN CHECKER_ENB_R <= '0'; ELSE CHECKER_ENB_R <= CHECK_DATA_TDP(1) AFTER 100 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST: BMG_STIM_GEN PORT MAP( CLKA => CLKA, CLKB => CLKB, RSTA => RSTA, RSTB => RSTB, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, ENA => ENA, WEA => WEA, WEB => WEB, ADDRB => ADDRB, DINB => DINB, ENB => ENB, CHECK_DATA => CHECK_DATA_TDP ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(6) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(6) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(STIM_CNT); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; ADDRA_SHIFT(31 DOWNTO 3) <= ADDRA(28 DOWNTO 0) ; ADDRA_SHIFT(2 DOWNTO 0) <= (OTHERS=> '0' ); ADDRB_SHIFT(31 DOWNTO 3) <= ADDRB(28 DOWNTO 0); ADDRB_SHIFT(2 DOWNTO 0) <= (OTHERS => '0'); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ENA_R <= '0' AFTER 50 ns; WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE ENA_R <= ENA AFTER 50 ns; WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(RESETB_SYNC_R3='1') THEN ENB_R <= '0' AFTER 100 ns; WEB_R <= (OTHERS=>'0') AFTER 100 ns; DINB_R <= (OTHERS=>'0') AFTER 100 ns; ELSE ENB_R <= ENB AFTER 100 ns; WEB_R <= WEB AFTER 100 ns; DINB_R <= DINB AFTER 100 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_SHIFT_R <= (OTHERS=>'0') AFTER 50 ns; ELSE ADDRA_SHIFT_R <= ADDRA_SHIFT AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(RESETB_SYNC_R3='1') THEN ADDRB_SHIFT_R <= (OTHERS=>'0') AFTER 100 ns; ELSE ADDRB_SHIFT_R <= ADDRB_SHIFT AFTER 100 ns; END IF; END IF; END PROCESS; BMG_PORT: daala_zynq_axi_bram_ctrl_0_bram_0_exdes PORT MAP ( --Port A RSTA => RSTA, ENA => ENA_R, WEA => WEA_R, ADDRA => ADDRA_SHIFT_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA, --Port B RSTB => RSTB, ENB => ENB_R, WEB => WEB_R, ADDRB => ADDRB_SHIFT_R, DINB => DINB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_full_wrap.vhd
1
72317
------------------------------------------------------------------------------- -- axi_datamover_mm2s_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_full_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Full Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_mm2s_full_wrap.vhd -- | -- |-- axi_datamover_reset.vhd -- |-- axi_datamover_cmd_status.vhd -- |-- axi_datamover_pcc.vhd -- |-- axi_datamover_mm2s_dre.vhd -- |-- axi_datamover_addr_cntl.vhd -- |-- axi_datamover_rddata_cntl.vhd -- |-- axi_datamover_rd_status_cntl.vhd -- |-- axi_datamover_skid2mm_buf.vhd -- |-- axi_datamover_skid_buf -- |-- axi_datamover_rd_sf -- -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 5/9/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added General Purpose Store and Forward support. -- ^^^^^^ -- -- DET 6/10/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- -- Per CR613147 -- - Routed the DRE Flush control from the RDC to the Read Store and -- Forward instance. -- ^^^^^^ -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- DET 9/1/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Per a Lint warning, added the output port mstr2dre_cmd_cmplt to the -- instance of the PCC. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_pcc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_rddata_cntl; use axi_datamover_v5_1.axi_datamover_rd_status_cntl; use axi_datamover_v5_1.axi_datamover_mm2s_dre; Use axi_datamover_v5_1.axi_datamover_rd_sf; use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_full_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 1; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the incllusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit Store and Forward -- 1 = Include Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input --------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- MM2S Halt request input control -------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Error discrete output ------------------------------------ mm2s_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset --------- -- Used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- --------------------------------------------------------------- -- Address Posting contols ------------------------------------ mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- --------------------------------------------------------------- -- MM2S AXI Address Channel I/O --------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------------ -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------ -- MM2S AXI MMap Read Data Channel I/O ----------------------------------------- mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- --------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- ---------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------ ); end entity axi_datamover_mm2s_full_wrap; architecture implementation of axi_datamover_mm2s_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else -- no DRE align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => -- 128 ratio fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for MM2S -- modules upstream from the downsizing Store and Forward. If -- Store and Forward is present, then the effective native width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Native Data width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled = 1) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; -- Constant Declarations ---------------------------------------- Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH, C_MM2S_SDATA_WIDTH, C_INCLUDE_MM2S_GP_SF); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S; Constant IS_MM2S : integer range 0 to 1 := 1; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH; Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE; Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED; Constant NO_INDET_BTT : integer range 0 to 1 := 0; Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE, C_MM2S_SDATA_WIDTH); Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE, C_MM2S_SDATA_WIDTH); -- Calculates the minimum needed depth of the Store and Forward FIFO -- based on the MM2S pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE; -- Assigns the depth of the optional Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH, MM2S_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2rdc_wready : std_logic := '0'; signal sig_rdc2sf_wvalid : std_logic := '0'; signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_rdc2sf_wlast : std_logic := '0'; signal sig_skid2dre_wready : std_logic := '0'; signal sig_dre2skid_wvalid : std_logic := '0'; signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2skid_wlast : std_logic := '0'; signal sig_dre2sf_wready : std_logic := '0'; signal sig_sf2dre_wvalid : std_logic := '0'; signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2dre_wlast : std_logic := '0'; signal sig_rdc2dre_new_align : std_logic := '0'; signal sig_rdc2dre_use_autodest : std_logic := '0'; signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_flush : std_logic := '0'; signal sig_sf2dre_new_align : std_logic := '0'; signal sig_sf2dre_use_autodest : std_logic := '0'; signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_flush : std_logic := '0'; signal sig_dre_new_align : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_sf_allow_addr_req : std_logic := '0'; signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_addr_req_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_sf2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2sf_cmd_valid : std_logic := '0'; signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2sf_drr : std_logic := '0'; signal sig_mstr2sf_eof : std_logic := '0'; signal sig_mstr2sf_calc_error : std_logic := '0'; signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_data2sf_cmd_cmplt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); signal mm2s_aruser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug vector output mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc -- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values sig_cache_data <= mm2s_cmd_wdata(79 downto 72); -- This is the xUser and xCache values end generate GEN_CACHE2; -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc generic map ( C_IS_MM2S => IS_MM2S , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_BTT_USED => MM2S_BTT_USED , C_SUPPORT_INDET_BTT => NO_INDET_BTT , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_btt => sig_mstr2sf_btt , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_cmd_cmplt => open , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => mm2s_arcache_int , addr2axi_auser => mm2s_aruser_int , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_mm2s_allow_addr_req , addr_req_posted => sig_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => sig_rdc2dre_new_align , mm2s_dre_use_autodest => sig_rdc2dre_use_autodest , mm2s_dre_src_align => sig_rdc2dre_src_align , mm2s_dre_dest_align => sig_rdc2dre_dest_align , mm2s_dre_flush => sig_rdc2dre_flush , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_rdc2sf_wvalid , mm2s_strm_wready => sig_sf2rdc_wready , mm2s_strm_wdata => sig_rdc2sf_wdata , mm2s_strm_wstrb => sig_rdc2sf_wstrb , mm2s_strm_wlast => sig_rdc2sf_wlast , -- MM2S Store and Forward Supplimental Control ---------- mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted , -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_SF -- -- If Generate Description: -- Include the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate begin -- Merge external address posting control with the -- Store and Forward address posting control sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and mm2s_allow_addr_req; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; sig_dre_new_align <= sig_sf2dre_new_align ; sig_dre_use_autodest <= sig_sf2dre_use_autodest ; sig_dre_src_align <= sig_sf2dre_src_align ; sig_dre_dest_align <= sig_sf2dre_dest_align ; sig_dre_flush <= sig_sf2dre_flush ; ------------------------------------------------------------ -- Instance: I_RD_SF -- -- Description: -- Instance for the MM2S Store and Forward module with -- downsizer support. -- ------------------------------------------------------------ I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_DRE_IS_USED => INCLUDE_DRE , C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ------------------------------- aclk => mm2s_aclk , reset => sig_mmap_rst , -- DataMover Read Side Address Pipelining Control Interface ok_to_post_rd_addr => sig_sf_allow_addr_req , rd_addr_posted => sig_addr_req_posted , rd_xfer_cmplt => sig_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S Read Data Controller ----- sf2sin_tready => sig_sf2rdc_wready , sin2sf_tvalid => sig_rdc2sf_wvalid , sin2sf_tdata => sig_rdc2sf_wdata , sin2sf_tkeep => sig_rdc2sf_wstrb , sin2sf_tlast => sig_rdc2sf_wlast , -- RDC Store and Forward Supplimental Controls ---------- data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , data2sf_dre_flush => sig_rdc2dre_flush , -- DRE Control Interface from the Command Calculator ----------------------------- dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset , -- MM2S DRE Control ------------------------------------------------------------- sf2dre_new_align => sig_sf2dre_new_align , sf2dre_use_autodest => sig_sf2dre_use_autodest , sf2dre_src_align => sig_sf2dre_src_align , sf2dre_dest_align => sig_sf2dre_dest_align , sf2dre_flush => sig_sf2dre_flush , -- Stream Out ---------------------------------- sout2sf_tready => sig_dre2sf_wready , sf2sout_tvalid => sig_sf2dre_wvalid , sf2sout_tdata => sig_sf2dre_wdata , sf2sout_tkeep => sig_sf2dre_wstrb , sf2sout_tlast => sig_sf2dre_wlast ); -- ------------------------------------------------------------ -- -- Instance: I_RD_SF -- -- -- -- Description: -- -- Instance for the MM2S Store and Forward module. -- -- -- ------------------------------------------------------------ -- I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf -- generic map ( -- -- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , -- C_MAX_BURST_LEN => MM2S_BURST_SIZE , -- C_DRE_IS_USED => INCLUDE_DRE , -- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- -- -- Clock and Reset inputs ------------------------------- -- aclk => mm2s_aclk , -- reset => sig_mmap_rst , -- -- -- -- DataMover Read Side Address Pipelining Control Interface -- ok_to_post_rd_addr => sig_sf_allow_addr_req , -- rd_addr_posted => sig_addr_req_posted , -- rd_xfer_cmplt => sig_rd_xfer_cmplt , -- -- -- -- -- Read Side Stream In from DataMover MM2S ----- -- sf2sin_tready => sig_sf2dre_wready , -- sin2sf_tvalid => sig_dre2sf_wvalid , -- sin2sf_tdata => sig_dre2sf_wdata , -- sin2sf_tkeep => sig_dre2sf_wstrb , -- sin2sf_tlast => sig_dre2sf_wlast , -- -- -- -- -- Stream Out ---------------------------------- -- sout2sf_tready => sig_skid2sf_wready , -- sf2sout_tvalid => sig_sf2skid_wvalid , -- sf2sout_tdata => sig_sf2skid_wdata , -- sf2sout_tkeep => sig_sf2skid_wstrb , -- sf2sout_tlast => sig_sf2skid_wlast -- -- ); end generate GEN_INCLUDE_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_SF -- -- If Generate Description: -- Omit the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate begin -- Allow external address posting control -- Ignore Store and Forward Control sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ; sig_sf_allow_addr_req <= '0' ; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; -- DRE Control Bus (Connect to the Read data Controller) sig_dre_new_align <= sig_rdc2dre_new_align ; sig_dre_use_autodest <= sig_rdc2dre_use_autodest ; sig_dre_src_align <= sig_rdc2dre_src_align ; sig_dre_dest_align <= sig_rdc2dre_dest_align ; sig_dre_flush <= sig_rdc2dre_flush ; -- Just pass stream signals through sig_sf2rdc_wready <= sig_dre2sf_wready ; sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ; sig_sf2dre_wdata <= sig_rdc2sf_wdata ; sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ; sig_sf2dre_wlast <= sig_rdc2sf_wlast ; -- Always enable the DRE Cmd bus for loading to keep from -- stalling the PCC module sig_sf2mstr_cmd_ready <= LOGIC_HIGH; end generate GEN_NO_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_DRE -- -- If Generate Description: -- Include the MM2S DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DRE64 -- -- Description: -- Instance for the MM2S DRE whach can support widths of -- 16 bits to 64 bits. -- ------------------------------------------------------------ I_DRE_16_to_64 : entity axi_datamover_v5_1.axi_datamover_mm2s_dre generic map ( C_DWIDTH => MM2S_SDATA_WIDTH , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ) port map ( -- Control inputs dre_clk => mm2s_aclk , dre_rst => sig_stream_rst , dre_new_align => sig_dre_new_align , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_dre_src_align , dre_dest_align => sig_dre_dest_align , dre_flush => sig_dre_flush , -- Stream Inputs dre_in_tstrb => sig_sf2dre_wstrb , dre_in_tdata => sig_sf2dre_wdata , dre_in_tlast => sig_sf2dre_wlast , dre_in_tvalid => sig_sf2dre_wvalid , dre_in_tready => sig_dre2sf_wready , -- Stream Outputs dre_out_tstrb => sig_dre2skid_wstrb , dre_out_tdata => sig_dre2skid_wdata , dre_out_tlast => sig_dre2skid_wlast , dre_out_tvalid => sig_dre2skid_wvalid , dre_out_tready => sig_skid2dre_wready ); end generate GEN_INCLUDE_MM2S_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_DRE -- -- If Generate Description: -- Omit the MM2S DRE and housekeep the signals that it -- needs to output. -- ------------------------------------------------------------ GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate begin -- Just pass stream signals through from the Store -- and Forward module sig_dre2sf_wready <= sig_skid2dre_wready ; sig_dre2skid_wvalid <= sig_sf2dre_wvalid ; sig_dre2skid_wdata <= sig_sf2dre_wdata ; sig_dre2skid_wstrb <= sig_sf2dre_wstrb ; sig_dre2skid_wlast <= sig_sf2dre_wlast ; end generate GEN_NO_MM2S_DRE; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_dre2skid_wvalid , s_ready => sig_skid2dre_wready , s_data => sig_dre2skid_wdata , s_strb => sig_dre2skid_wstrb , s_last => sig_dre2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_dre2skid_wvalid; sig_skid2dre_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_dre2skid_wdata; mm2s_strm_wstrb <= sig_dre2skid_wstrb; mm2s_strm_wlast <= sig_dre2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_120_0/fifo_generator_v11_0/builtin/builtin_prim_v6.vhd
2
37128
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k7Ot9/JLRSZRzYV6DCXb1M2rgeVlfM7Fqw80zPhR0aoO1vFCEMtYBoAVa9u31REH9cDKEVeZC4SB S9U9VvseNw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AMvTB8yjTe4jXCXQ6LWzMqZX5+Az+CAtFABYETLAd+K1UzaFm5Jz1YYKKokPQlL7ALpbxIhDnF4/ rHQVxvnHxOk0XXt3gFDwtIXYcB3ag6eMhjy9MCZ6XFkfoFpZ/Y6K+lcaq1/kHbDrZLmsG8ybDhrC BcMVz0hABO2V+LENQAE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t7zT1AKQGJTb3GnvkZfcJ7aqU47PJ9xiu7dDZQib3/nzLZ+WdpKShvliA5zJxFaddAVAvjYOMRrs Yq9mhnd47gpkEiIgSLH5P6jmdxH6N2T98lqnjSBqmKL1ocRvCdKugK2rBQSqHaM22v9iFGLVMPtH bHj4qsZJ+EiDkqgdGsHnueEtWII8Y3E1UekyT4aUk3aef0AOjm20RTyIg6DUEQJ/tC+/ggIyFFPO cTv2go3O5Cbwb+DKI7Pr4FXlggrlYP4uX9BAoce3/WczbMCG4Ae78qiRq3zspZzWruMg1hQ03f8m M+p+FLpahowQ+/v54uNORHJiqW0wobVvBhL/8Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Hp6lmguejWYv9kLJeyiO+yAxaH74j2nKTAhD2fdU7GXkUrG1tWCXKAezcJOxXyOWqEBqrIa5fKxP A94Rq0Sx5MTORCsrsOLMESHCrLikMB8hY97hHwQNM1AfRpdPKxDK/eO1/oriNQ3f01pP8hFyq3mb slinDPFp4bKjOSPw0mI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DrPA9nb1j2OlJfEllFIDYUat5Cu2A6A2fCWlbZZr+Q/a/7ZvJfPnEREUhf2ca2/4G/GbbL/H/Rgd XMVyHhZdMLSK9kVMMpc8ZbAGwMYTuXUpoXVl9+7RWgGff34ZJtG7GG+MQRtcKYx0UuGu/mCCqN5W G7WtABgsgVjIzU0r1QQua3E8b7XrtBW0X2jC+F3y1sHM7f1r+7Ompq5lGQQUByjY203fvRex4rg8 RJFEaokqtr0QAEWs0akySj690mZ/r377/rURuXL1xXpsqS5+4ai9msHCJRCTaET0NLa8mZ8joYCs UxppEXfe2d1U0/i8Lr2Lw40608GqbF2VzHXQTg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block VsBXVc/qTX6Z9NS0JwceeDSJJvC8MtX/kJQXmQq6UGI8Brq6w8odRXbCupekM+Ot0whe4BViwds8 QNVE/+LLvMhKJJdaszPs4vdIjyuNNBxO5iZOFlo4Kwmetk7r5ED1/pbODiMGdDiy3VK7Au+CG99W 6djcUkaaXJnxGg7bqd9Cc6qmMSWBtgE1yTo+FEcP4LfNDhZrYndFQP6/EMfgiEAv9bIr9/44Fkdx RJPkM848hBVVHvnCHxevYpbIwt9CZPu+54jkoc4+BlAQm38VJxK6pgSawghSJifsSxNpYSVhli1W bEnVkcp+DjUT5YXY/6ymELbqeZLJR1VPSXVR0pGIMmfxIu4KMjxP5VYxiDsELWrXDvDO6xj7cJcU 25O/53wUVG3JKUC5/frvKpJMYeM3Q3TBMLQCNfVnUzDzmUZe1KzAeQNoqnOzna4PqivZrs1S1XpN OWa2ZwFlUaLJA1t/Lx5NxXaHCqF5emz8EjFqbX/b/KxMLWQXmgf2ppo3HBkyZuXHMF/UHYD3WFvY B5SdtQyjhqcbNHCYGdXuBEaoEmx9h/lCrdmkgkI1y7Xb9bdelRnENYqtwDID3/AYcGOXCxN7V60Y IQQCoDKWIyamg6a3Qgez1U5pkQfuhAPfStjvPxR4/+KByw4Dwj0QU3+c2l3PLidT/sL+/ALebGyI 9CZfTsM+gNGDDfQVxabIDTWIEXU0XUrbBEmYdZFGDRI9l499b8gCjFHnVk207zsOARCF2Se2WCjN yalCIv+v58eHjgAyZt38oysbytJzex/EEKPnePytvCwco4vLj0Sao9izCL3Qm4IJ6MZvFUo44yhY idJtrDI7GByoiCEi/mLfddc8fNaBfd/czPNB7hPyJKDOBOEqGbS/HWM5UeRDoUI+rs0k7arn1U6B AsjWWv/R/MYNmzcAI1cKkv5f7iksWeM/ZoaRMnhPT9XIC9DC0/7EeiTzjLxGRwoRl/SNTZR2mQuJ L+i3kvwCFXeKhsfRQ+6W1qA+lawcO6rylQ/2CiquIdP9/6Q/jJLwuV6iOhyjQOBfgnvXxpQAxVXq pI4fL/mlsSYOkshFZM92XNC85WJFR/HcSp8aCnBD1/V5eE2JL0lEHN5hgQtIbA0nbX3DZO5Oiyah +5dFIvrC4Ljz3FfWIyO199Xef0bcxFVMuEkr6Vd33ZttUweq55NexwDHrpuz3W7qlZipivyXmTki aZRS6pX/chFpp5uWjOkRfAbGZQqCOf02BU/pjR0B2s8TufhLr1tEXj9F+64nStQc9MQem4HOWaJr Ef7cn6rBX2XsUIGuAlWKl2sqooaU5gY8aU22B12B3zUT3pe7q9mvuUfv4LvtJgbdPbrmtI/tdzgV qwRBM9I+Xfhk1uFt+2tNDgND2GI7UsALthTd6Xkk3d92fFMO0ng7ECTYb6//gDsutH4LWS8ZxIYq 4NbIF/blTEPdyJyXiCjE4vilvyX3gXcAiX4Eeg7xr2n4DS6LRZ72DxmBSrJuzahylGUgS6yBHoS7 +NOVzb35JPvpVE03yikwOVSgKU8iHGYRufYt8xFthomcSXeg5F2ui7IiIbL8q45jCeOOlcVAEjCd WHDqx8yYIUAHFc9cIb+cpaG88eI+zrVZBZriG2HnwlAguUt7fRn7zGA4zDTzWVVF7b46/tPzgsuI Tm2dSt3sX1SDY5/5El9oQYK5Tuey4+tay5b879JKZ3qVf5pOK+rsJLMSkD++CU7ucSAes4eYIKV5 uPWiddSQCfVWStPXXdayy/pwm6HstxXSonaZNLQxhunb72fhpsQpyHktcLq0RdQElY9I8gFqV5yv tDM94Ix1M4h/YSb7ehfQD3i9VU1tdGU4ON/9h5EvD17o/pT6jMGP5adn8F6gk95Fh7PZ6Zrxqnij 7HaKVRf+hXghgHSsj82J1hjehq3mGezdN4AtL0f7U3dkwssEjFb6p5GNobsdLm45IhVf6tz4Uts/ w+QYJejAZceushlA5UA5Aeo8FFbECPfiCeLbGh7O07JLuvRMj42oBnABJqQWtcohIDRggMA6y8uv VZsL/UZlOfifEEkvtCyjjMtDasAabPY+xFZ99aabLISVzxyNpYZ+2dGtW9JfwK/dCxYhgqFgM/ml aLgjbPsXMztemiiLNYqm/v8aTiaUZY77pwlsjdXLoDQIRVo4Z71Euj7jkFf3sDoh0+FuUB11UbQA 2x7Toow//WJHp+0L8hQlXTqcHTugs2pQGEsiommum8PGgecASxdXVNIA8OPjmQrsuJqAGonhMu2w +U/iJU5WJAKPgu7MOYX9bmH3bGh5lbxVh+ksq40ZetDG8jDnJta8JtqP9oDP/foOOEkCdS59Dmqp fZwHXT2NUs5QOWYeGgGUQJ6DzJlhXTnbK2ZK0IiL0WZqKxOre3dIIxHkD9QHymHUehYZC7S1g91A xFKjpvQGQuCiz8nT4wbhtgXyNMJdSPd1RH5e8Fo+XXI53PLeXIjngQYL99fSDsMQpiFiIwXdGkHk aT72GXVlKAj8QRLtt1uwhO7UB69xMBmsNFR34I0+oQoSGTsSt5pqeYSv3fyTTqPpTUwu6qY4X10g 6kUFhW6b9sDDJkFuxGp93YVMSIh3HhPycaWckoSzTkwd/1pgumkXtL6J9eVt9uY35cg/4NfgsyU9 YEUC8fJ1Z0uZ9xR9d02YtIMoydQW+fn4wrXsHKLLOMbwbsfj/zUoJoBIAp46HjRtBzWyUsrmsgTi r6VKQVHWuxzgxVxS0/4wpR/Wup9oEb/hRTHx4+5xws/3yQs57JMSnmf/fsCaatQE9LtZH9tSb2F6 h5b+ZYK23DBln4PKUp/91UrYTFO+rVqDQjw67cqq/bV7EcanhuhYNwjEyKI5WWTWc78iRb4Fl9I+ FacKo13mo0KSPyjNlupinL2KvnHkXtscmQHVZrtkjs+nclq2KSXAiqi4X5OsyyOKLUDiYxWadUWv 7+TVBJ0wX/ETcP1fEd+gTTNIgw523sMLQDNAoTwvGjPw/hYlbjHzQVpgqn4IZVAYIAcR3skVeRiV 9KUDCCx/o2JqMW2H4fWYRcYD9dIyVFdNQ8WwBLJd+Wbr5Beg3HeUNfHay78IU5hwD2uX5qNoRUmZ ck2cC3by1/xow72uG+mqdbJ/4euxb3pT7K1g9kuB66924fcXKnSPycGlyORzHaCZDpHbIH1my753 LXV+fajBG/Bq3I4OC6ySicvCLJYa/twA4xKkredVAvXEDpr5pNJg3stVavExArnjN7qGJeYIk+Xj smJMPnWHHCMibd8+ZjJSqPATCSInUVfI85WelqANSgvqj9Ib7i3Qej+kuqxCWfPgVzYvY6ZtKt+x 3Tge8NnZbx7Pg5XgzcfdY111nLhlXnBRhF0vJ0u68oCBeqzSJ+0FKi/IeLoK59JaRj5jTfD9ROY6 1sc4z8u/a2EyanRICZBlvn+nKzGECDhj6yHXikaEPAdJqDD8+IM/VP433X6KH9LthI72e3uSQ//O rPb7nuX4dBlGup24rzbWpeNUXuI3Z7TmI5Qnizvdt1j+2EbxiqvsptkC8ipWodYZNz1/QEEU7eGG irGqlxAyO7lSH0QKTaNp9oZXI4GZmuNGczrxOIa80dIukWa/wdrV04umUtLV83taGm0BRxkmLKuF GrUDTTBqM/pcw9InmmIHEInaKNwlcOzunoc4jWtt5eo1jB4+i54uiduU/6fVLPMLnPrRzymFWcDW 98taiB88tqH9lv8ckqVW4+omV7S27y1PC65Zl4xeqGOnuX0oK//VViSR439Z7eJ3YpWr3QtyzInU FTOihq+upvH5bj1GPUHTGKEw74hoND0A4TwFGzg0MUc0mWxNq0tWagVc2XQ5+ayCzgYv0cw+jJS7 M9x2iK67tL52d3f3L3TKB1vfNZKix3N/Vy2YKaOF6U875UY9+MjcQp3G3WwSaGR040wzuLR5UXGK X+TJM+UB0qVM+/RZIB8G27lUFUJD2NV+7wAGuJE9ZELDgYG5IWkbC/g6XSZBhYD8dCbi5eHCvLRW 49dS9OZ/4hbxzl1FDjRfovSD1Njjm0oEMSIo5r4w/kUkJkRYhsM6xY8BwhuBuCYv0Fh1sKJl4xHA e7Q9SIkrVpuJQYoR3o1iy8VxxCN2MRS3Ts7BWxPNUqAl9t2aWJ43zctcwiZ6rdoycq2a2o4e9r/x 9pJRqeeYFkatEKv5WxeBOHzY/t1EKp11bC/zz0JnrcKnN+LVfaGVJe7CFu4yDeS+YDhC/iQXwEtG SBxh6Gg5GJbGZssB0xmaUJapSmbW4mTcujz6xbAuOBkUhvuk+pexXYE042VtysKXAwf7PJ5w/mym uKL2DnTGh29UHLrieFdeYgdhgFjSnEGTZGHcqd9po9sSG0GPzpkLol8kiMGqxmmHqr8BTeWKI7dp Q3rkV5XMypg9POS64Bw00mdhv4rNsQdYdPbhuFOjIXdBY/s4IRt7N+JjHozV3D+aQATowxCyCsC+ vhJRrfli7eN+3uMbnbdaUDrbKtejppnId57gdXbjvAAhz+NFT9wKQ7JA1cKFX//QxZIZ45NZ3UrA Son5Tz9ZimC+Myc14dL5SfoTRfcp8lx4WTNQ7Zx1bsy04LolTgkHT97AlhWSbktqpcky9tFFuBBi boQLHkZUKWlX7v6QV9AsjGiw5ygLQwZadXFFcr+KcSiLUnyeh2bjiiTi/cD8BuvE3tctHPvZSYJs 8hcBC/J4d9mZsKdoCac4u9EdCGAPbc/qPd6d+INCpOrqgKqagItOJIQP6CKg88YX/STK8/3wIVru IKFkHL4HdDH13mBg0XvLHG+yYOVN94rg0bEBeeQQn51tEtBrpeTO3G17yWM6tDTRVLkdbAhWbdTC 9EiaQZF1jm2+GWLOml+PXIOlFycqPgBoM364VX8C7VN2n5bPcVbAAXsy3cVOwQIjSc90V0z6R9bI lSVMt69eII0LvKyv1LNitv+s1nrbD1FmFMsL9no2lw0lpkZ8beCZNXcfDS2v8jyHnIe3xPkubm67 tSagGUw4rkk7h9BKxozFIA2ae0JmN6x1rB6U1r99+LJIt997I9pSkSjpG8SxqGV7UxNOgwJ3B2PU aC/vb+rNpi3LUIi5ucvYTygPOEdYFb0PN/ci2wg8oFDCy2m3csuEbDL0etfAxjsCBDl32iO7b2EV go8p7ImWKebptBRBC9L7bn1EfptZXn4lh6CaaX3Ue63cp9NJDg/PbOUV18aEq1Q5TOcpbo0J7pbO Pie9t1MsQUDvs3XI47eH48fn98/yG+Uq63VX4PoQN7on7bXISEza4jzD8ow/9sgnkv55P06n1i1C E1DBmyGf7mGX95NpCztO1cmIOKgc9E589nDDvDWdAg5iMIv9gicSqBZe4bRGJauEPi10+FpVuNj1 aQ1Bi/kj9OSsmIB9LIdKCpbbqmee7MxwCrkJ2bSxbvjkFUyYXDGJHrd8JCZjnf4DnrV4P1bWGNoi KPE+xc6Rhn5NETMiftlmAkgFtO+ODh1HHFhZk6wifN0+wXC702CUAadIEP+OGpMI1j1rBQkI/0Bp aryTqENramtzvqJ5CpiGnEd55SB/ZimMFI1oEs5Lf4G3TRK2EpDWZWdFQ36Ctq1Qf41Z8IT1TDSz VNDUIarS4V3TmaAn0v81XQexLqGx9wus4QMjIWWcLtt2VSz60PSUbl31SRZF/Z9QnUBW3njk/eV+ nkPdov30dQN8Vfa6v4neevsJ8hqfvgIz8JlHK6m8N6SY6qKHL+GRyIipjhJB++Pl9LjiLt3Z9hXA mxwK0Mc3h/VKCYURQ++mnjyxfGcV95U0+6glJwu4DdQSayw8qCd/uNoKKW7z1pu3oKAE6Ory+dId W51uYUQmhUMBuGLdP5nF+R28/Ym/+PGeEIrXPrq5F18ziUzh+VwKHeJpSA//pqo9QftqdOqfCH7w Fj8Wsr4nAhcyPgB5hSrhm6cFjuOflBEVTREsSvmYQXAvbAfWxBI5VUfDm5QKCGuc96WZF8tkDmsX z4FjTJ2yjG7d31iY0oLFdJu8eoTycVyppGorEu3jUZPxazu7OHuK36rUYrRaOjt+GzbaHuX/JwbN yZAGYNoQ61/O8OnxXfye37aPgeib8uipSUo4BhR2dHvEjwnaKrnORO269Ic9s8MP+pZg+EPPsOTW HlFl+Rg5ZcR49BA/0diZNEqpE+wBaxjoyl05kzqZePYjBQ/uyOF+uGO5d3VDApHzvYApcX7cUglJ uQam0SQyeR+mabe3fxgl6PzfA9RdbwAH6ZAcFHVf/ti1ZI8rexMMmYudADc9HeqPeFD5+Ghb3NQn ATWyPxTxpqDvOhKztMtTONx+aFJq3b2KiAGzjGYLJLC2tpa7lY/+Gmd4yE/U5xFWnOxsEEvxBtoo 8Kc8yw/pb8JyqayqkPymjERtuQGQaotG4tIX+6+U3jdijlYtNlvw+W91eyv4GacRHiApuWPrSU1y GxD+rnPBZT7zbmJF2clNPHTwPWjI/QvfJKCiD3VTzWO/thVaFTPn/+O9/y54/shAtOJg+bDrPN2J jpUVNGVAlqHdc/jKeVo+Oxlv5kXVZ4e589dkouksq2b5ZIcujEtAf9xt2b4I7K7/iMQPqbYnFYG9 RjQZQnXTar9EgzDM/zDhZXW0w6Y99DgNME34WsqSFIG9DVEPVC0ngZlOm+T6ZiQ/e8CJgkuPTA4c xOy0WORNh5PAHiYlbhwx7p5bRa38EUSnwRjVgSzOyBaLdHu56FWK2yUowfR+a4CR+qekM62GQuow +ESbJyfzepv9vHtu8GXfb7nmBMsQmEM0SIDweXX2HN+eXcsVAjBs6SHErTi1gn9hdtYux3r4U0Ct HyXSxRk8whjbduO55cqUp6fEsA2HiRyGjnEsAbguK3X3N9M5ZO603bYbp9tapyBoJJjUwaExrjKO QXmuiCZASVi0wlSgG922w4i6u/3r9/dK0cCQzDNUZgxWZtZKyyaS4gkSiyxmOypZVsY3Rwb2KhVs uXRZGvchRRJAFVuvc+7FPP3ZXW4kC1i3JjN2rkQJ7tcrUeK5fPB9csrRfkklenrT40+fzgdvxxEb nsUR7AhLrGeo0w8XZpX/0kRAhawr/TrKzRQa34dBGFMvEdAVXEEUseIomFWPA6fGl978nAjv4DF/ xnG/bl6wD4xQkRh5fp1hDeYLMe21I523qLmDkIiYrgIC8+L0r/yyQcyo44NxhRk8W/Db3GhEE6Ej 8rCJKeZKu65lYdVm2AuDmmAemSeQhuf+Su6ddcUVlhjkkFkAv9IYkmWcOvej/FoVk6CeWb/akLsk X+aqvPsCqjgyEcQNCijPkZCZV+bQYk+fh2cihA8V6Pp8oE2jPtK2Rmrrrx+yyrhEFlwFmMvsPwna 3BIUr37WmlidugMcaCtMiOoyxUT+6zWRg57hwwPDGBc1Qb7sqiGgpi3ykMF79v3tz7rFI0+ib5U8 SK0E5USomv5MexBhW86JrNwjjLGeu71RZ2szLlpv2f0UPeMBAvHzYJin6/CdH2YaBG8ucc+aYZq6 2Unfu6Hd+1unjGKuTxJ08E10ixhWPKKaHjzyaWfeC8e/RCCAO+zQ+3lwuNPyve/FbD7arZ2kiCMz ZuGZSSyS2Z459GlkH26mTCIebViznd1WcMw+vncHEI+3XWcyMIc7qbu/EMVznIEWi7VHwB52jggm AB4WLpeJoR9sVxe+TSJrjBZBy2Jyc6SPOc6n4rSt+ypPjsZpzupFa9RMGSU20OpqqMwpGT3wyq4E u2UUQOpoEQJdTyjMZV8eDedKYjao5ANHQIM8t1juW05OHTRIB6BfVuwT+RAG5WJSfTA0DpQf/ud3 zXMVkV8ho8Rnf2ZxI0xFIlSSQMLeLYh8APAZdHkZnzySZtuSR1IvGWfjxbO4ldQUDr/PAvKmB0tx yoNMkBgcxZRJ8HhU0ScH5JcH2LcMulWEMPFzp4qj91ZzBYwOSRoISVOUpislntnaXoylQZjFYXu1 gKaBrDiP1vPWEjci9rgEZzAWMIscktenhf7SHrViNuVPs8TkG7Fy2mPkSfReeSKMRp1oNxcnmgp5 yy0JjQBmVv6FyR6lIV5qs5ImcfWQ+ZLwf24PLSieM4rVPYJ7BTdeuVLU7bkYGQNyWAmJzB/d2qwz +3pv5og3U40IAd/0GjONRGmqxesyStIAWNP1kIsBlQTZOdRnquai11QAgSl3CcygmfzkrgFRHXTP HWowiT2XG04lEJyoIWeoO8F9pbjyMNxmz9AgdSUZ9jtR+iybXafrAxqVY/yfAJjGHKYjK5ZvMRxN /2X1LIdOQ7aWn3qsQPWVJqiA+D/JxeXX+N6cEBi/YV/smYaKumKRf4RT1z6fRqVPt8faFa/4hkQo H17KngoP2eN8fMsHvWOe1VesUc0GrBf68oc87WWNpCfJ9aiBEwaogLVVpOp6zUuXX6M7mm21uAQ3 YM84Ub20TxD51D7Atc9J1BY65aToicP3pdLrtE7xgUtTH21Y58BNupGUMEyhtc67SGFEVvs5MQ0S D+20Gw5EsKnDMK2wJzqkHVbIdNwuw8BzuZxKnfNd4tCC5AsUH2OhQrnyO4OpwcCXZe2nqtrSOf4a fOre4kmAUV7d/+dZUjFA9mGB4Ejx6+XBRIOyBqLjtntk9NJ9UpvwR17mUaW4WvLTBCgPe+0bW+zT ifrD81UIxzAStGFv77q174VH3LO+GTWrP7WQxX12sx0QJBu/cAaHhvDQW5bNfsXtAVKwc/7lN8EA u7I0gOIYnBDPBXUTTSeGmjUZbufn0VtIpDAS7D1rs4mizbbzeTLPeE148mYLnN2g7xEuotq/Fgsw JGMFTO1H71tU38ywgRd7wW5J1/ePhBvoldatoD8YPRhY/OHNpLy1kKgtk+FTDNOQ4lr1D8ik65jr Q9gGe3wjNwchjrZ2pwC69NpbhApnr9PtDd4dD7pH5amYtmYROatNgR8wFslWd0hS/055Jw0dPnZq D9rDNqjfuxJQsY6QXiX9igwvMT6gNf5UVDW3ZXONWcouC9fOlwsRRx6tzaZ/IJa8KGtrWKdDsiff e9FjfL2+hwWzEu3DSXF8iBfDxItCyElPpMj5mU/TrJfmSmCqxqU3L+cVYFJ+pR+Yf8N+h48eW+SL hAqeThaQB/Uw78z4WmofyayL2H5hgc0uM/ZxX3bIU8PPsPBrJpAk079zD1nsJ6QOfoHt4ycxl/B5 U2tr4VZB+t5pz0r6/yYRLJkP953glLOpssmbQ2N3T8+ZSH2Xe4A/D5nhEU9FTt27a55m6tNmzwat e2A6vs48G/Q/LtKGHs0fiyAdCCiFATOeYgziGVHwV+3nz/7JJt0cD8e8ITh2hbu3xVH3fw7QokAk gSB/zqrUO3PXCProfgYbVPTs6rMMHIX53JckeXqY3r19wLC6TiRDakZxaGY3bT1HEJbxvO2cGUdP l8m7yzB/ef1Pt+oMVAbREi7uIzoYsba69siwyKMx/FKBzlLdsWsRdYQhRnUZ1FnfbVkHd5V7FIC2 /JIC5JGFqaDyk6qFx4iPWG96ohkrWdXVGN8VuqrMAZ0SoI43RF3Ls8NNnJ52jWUhXPD//iY5Uylo Xp/ENuE28bbsRLmTSEGn5tV1YYI77ZjR4NuMZQqe9ZX3QO1d+qoSqawbxmrjQ5enACPraKVhMNW8 Zx92Xe0GPYIil9FQvCSNXiO6RI1l0y2+WIMqhX6ZF61UmfqiE8cvjFdkndduUrL/yKjOF3xnuwxG nrCJE1Fcm0hg9szR6qf8LSJ4GAy4/40YneTeiOSlSrjaHFm74aloqzE556Kn3ZYfq3bXimDR9EE9 FQqrTvpYjEp39GPKAbZmQ6rssYd7BRFjR/9io0Tq9iaM9gZ1nVPFnNG6zSMkhye9J0vdvFnS0qrS Y1IBNM+K2PLoWObbAc/+A3GEaF2/JtBUZ2ntsYpI0F92eo0G4SkHZUgMm+8ZTvR4nuyhDimnJjSn D0hQvaRSdk0HjZenHRSvLKzhZAfJKksCYdTqPWIkpMD9Fdpup7M5uaE8xmaFXyK1qsc1mHm/svsu U8FqhoWNRzSrfFwrngldp2PGExgiJ+0KowhsBtvHWK8z27znYwIGwuWOaGzYjRnNIwrQuAeNhS8f KaYJjqfp90rGlXskek/dtyJ3Lkiu5XL6QMwMdgkFlB42rXAxVd5Ic+EKxsKcKERAdtkil6AR99vE WBInrCyOjdetHBg6xkrz8Ez37zuvOqssOXsbINn3DiWiNA7JYlk/uW6KJnxIpfby4SD/evWG/HQf vkJmEMiirCjW3BBRDndO43PuD/GL5BG0ybjrVEcRAGAou2T95AdKClTMNTPAmsl6RMdGGkPI+F2J GO/JlIzo6pRQVvq+908aYsvO1qVrybBTbG1bhyKxXMbO0qRXC/7avQtigfpr/q2QGaPYZSA/oYPb dVwV1MpLlM+nmdjKW/WIIKWF7xd2E8/CCWFSk+gas4YnXPPU6OpdQpPc2S6H84ent1O4KW2QYanv w+7348Z3H4qKcDqDGyXx+ccwWaJ6e932o7elpWvIG7HjJ87DxDU3SxlukdWVM1ryMZGSoLAs276N dEvipcHheKZLXIFGlpO0fjio/Jis9hzyXKJMHBoY8NQQPhBp6nQZP9eocazlnlmB8tQMR0A7nyfA XbesV3Bw32PtSUgNnOc1k4FW0aadojwihfyBMJSNyF+NXFQP/SLEl5tCeXSieXiVcnZQ5gX4vGHY EN/zM8PJUuJMv1sEJzWcwSSZUOlD0Kg36NREPGP/VKkPZwTtWhNY/H9WCBjMo4bTzOawnciE8XRB 5LqQ8EDCZfkuZDW6830jhj73ebQrU4Iozd2m+t7I6+6/m2HZDpZ2aUZcrgzB8HCQRdpcGseqr0wh /KgM0B4MyBwRmaAymHwpQ55ipUhRxQX8z0jWG1lZTUnyxQYLRXHIC1dRZR+uU03l5wjgSrsGx6Zq 4AC8rWKvN6/g6e4hKFhDEyjo+MpA10a7+YbiVjR5VV2F3bO3A4BAo0xG4Hoh7OuN5cRM5JAVOClm sCSS5PonmiUl4A6Wd1OJj722Cvl6KLUkNmzJId0uFe+Xwv2rh7uIy20T5ki6oyhrw9axQ4olOkKE x20/jGkxP2L/lMR/5IGbcgsc2mkxssZ68Pdr2aGQm62YyAhC3rAkerfSd/gQk0vz+NjGPuzbHtKP iN0DaIxj6UEEINqDXS62/vHkrgn8Ilc0JpycPijEPwmJacZF7ATnK3q7tfNCQlL3/wnrSw64DG8l M6AIccFM+IZoGBYxwFHQO34k9fd4S88Tn9GZ9baKti/Lp06xlp3lluU/tKChVfnj26tEVXLx3MWt c08Vfew5FdnRMaCcriKPUNtJ/tVnMAU3WtBIYw/zhCmlsf7Eug03GtvfNiIO+kd0ixtkVDUqnXFo 4rQfCLtPGtiLlg+ngOuXu+R5URZvbwB5/5Pq5ovwV6EhtTFPglWEDGscca2c/1lmhXuN9UNS9NUj gtRcltPF+Eue5hlPwxqCPu1AwJy3x1JAaqDZ4XYMw9ATVVlz0qDaGez6EzI6MmwZcw/0jBVRa0OO nUnTEmSSVVwTo9EwDMVK7104ZKn59svI1sEAVVIyZaZ7iNRybY9macH90lsi8FQ0VGRtwTlbhmxV BheWD5PxeMRS5enU51YgGSukRVVR9O7x0ii3i0GL2j6dfJjQ9gQ2XNCIeoFj0XNCIADx/YlPOFLs q+zWpl28AujFkXnIygvUbpcpD+9AQV3WJA1ixrtT/bLft/D+Af+hz2++gxMl5BQHwrRFUiOMWE9X aT9ZoVoKTxfIcg/6+aUthUtLzG/JkaYjzfb0lsKxT9vjVQ/Gc83A6Jwx1vOYXFgcWtAlFHdVPP0r xRIgRjJ3Hj9tp8QOlv6UvXsDuGpiLWEHrGq62GI2/McJdZNZq62NBJbV6ZqPw37hbrHynBIlS4/t 8Y8mUwZqdPaE+Lr3uhKqBzt23iMmQilvZKLdcgf66hkgd2XByG2H55/n9p4Yo8ccYff0JNvQK45V uCsAin7keVNbas/eqA6tOKku3ybcDU6sIxOeGAFLaGukRbKmv0dD7RqKP/99sJdUEzo1qm0pFjtz HWNErXtRJHU5wpYR8yK6n8mJeZAjyhFwmy1Wp3ZPNwL3rCdIXQE/rvJAuzlAimkK0GSzlSf6PtDZ CPRM5j4xQKo5+NDh8i2KNEzYpyYP5ve1mH3dEfDBptaGNz2ODcStpnR2UdlzAi7dNhwo1KLrt+FQ G9KqbO6el6RteETnhl5f14PSIbLhia6rcdQKPI19dzGx96Y/rFkc4dvv7A62PvC5aSBnuGftjKBU KZRnMwud85h+v1L5OpcXAlUZGLCK2KCqcqO3HQHYLSp/VzzuS5kd01uBlX5EUSErLv2u7P/ZSZXy xND0JuI1GBc4u0x/eojlGoRzsGyLcqvJsAolDho1Gf8hQhsfmdqy2y+04A0DB+kWK2YrVd6JcZt9 EXZjgj6V8CRAkJYFsYqCip6fGz++Dv6XeZHh9VEAfRiehQqXVvLlLdh22MWiisuHDr0ufLwkqeU/ 4WCZY2YVwWe3J7z66kDsO3nj36BeUpBFQtFEo75YjZyt5EH0f7c/z37g1Y0020eZ10dI9e9mcsb7 O6vHD+AQXSa8i/kvdSwjBjH1w4Tpz+TstIo10Q5lJzcrx/qAeJrRUo/kvg5/gK+5/SFxXzHtrGGQ MJ5zKcfdo2ZyJRmtjj2KHl3GQSyAPVgaeRRJC0FE1JFVRQnhCpPLwEgHqliKn5SKdrtKosYomjbd K7jDBvspwEpZDyguuFA84tRnYTHli0VV5azi0mCACpLS2eagspla2VPW5E3Ael4jUVvoSee331UT 2h6YgkGk8htgd6gh//pVoOJfpq6wHTeu0pea0Fnm28zagfCW/NA9C06wY8R4yRH5ZviUur5QJEQp +UsOQFJufIlAGp8DMXlM6PaU6hkOmZMVuX63eMG3lmVcGXKQunMGLFm4eVR5TV2rScCir/EPC15i wonoEn9SHnbTaWhC+ybERC+U2Pl92RVi6Yju+PradU+xX2+q2OiBEZ8l9Ogy+WgpDrvw5rPjSyFY TGxkWUyclqj7yX3BnxTm+TxtwAAXoPfllXa1yR/xT3XG2xuyOIEJNZwmHX2/DfU5FW0sFd8587iA jITA1KSKIwaRG+5ncJoHpMcErznXCgSLvaoMnwmDScKSxN3Qurq/tJF7nGNPOqY/GAGOxskvtBqT ePYpzbv2XthJSCEnxbPp+4KZHMtVVPzy2GwAALz1FsdYpLMd7AKkjMZo6YcPkrkE+pivycz5jW3p WR8NcHxzii5hD7kbpUZYP6yLHprEeUZ7lKduDyIAFYufsS5ceYgDQjp6b2uCsDtzIaMpv+D1vwE7 FhbGM9fu0Ojw8i4jFiUCYV+wEV1PAbuOZV1Se64uR0OVzkOfkwjiZXqoQ3fsNxJUHrFjzKuhN8CF KV5/h09W4Rkb7OVQ3qQAXoYVts/ZaDsFKJIYwqUoBm20ABtdpKSxp9hVYkNM4nht7NUQpOs0Bfyz tZiVcDbFOVTD9BYtxH47mR0yYtvy3qcv65B3Z5iloh0OlfJWf02f43EMRdIp1sheyVFz2yG5QUCS qoOx8f8HSXEP1pzP/4+14MEJl8xnWpV9RlBSmOSl8GLOH3jTWqHFgoQbU309y+PCLVb9rWR6adKT Ax2XDCN9oChJsyjqVBlT5E1T1IcBpYS5V4ueumXm4IeTzkMdantloc1UBLFeMI3Y/mfyqAgkciWQ xtIisnpnK82kdrgMuanb/PlbcIEgmhVKscsGrkYXryRezoHRP4KFBoX4JhmckqjaGKwJDEFk9Nnx Dmpw2zKT7OVfX5jzbXjzTr2JmVm2vX6MnRsdbT80ZdqbMXOgQJIj2RrIcB8NJxIlGiciHi7W7h5d U23HBBNm+ZeyquuiXhikVj+PkMBuTyjzDv/ZOVqnP6XJN+pqwH30lx2GW33joNwpZGuUUAWkyyw2 fCKl3iCCBtrwj/sFT4xBTLOhgx7yEmHbFrwTs2JjQrkwFzS7Te9f1CuyoJVLB/RITWNEi81PzyWe vwg9K1BLIWeO1nGY7Mt0azuM602KhR2nrEfTws8dN5Ue5CBQZwItRwKxoWblqLlXloFy+LdoMDYC RDNjx3iBos4+5wmHZnnB5FBdKihvZBG+GBHwEickCMgyOjLD2cPDgECVE10WXoWTBFtOdgpkp5xl VU2ktpWUXHHMHm8UjYGBqw6MSUdqgfxku6qR6yFVmw34RQJ3G2OgL0xrjk0oBuhd0k/7wiDbKHhj CxAnySyQH5gCmayI8jHGyJb3oMgcKYmGLBj0m4UEy3wj5JuJiRuGTS1q+3PKgTUG7wSXRjoC3FYu kYN1P3HBM8c6NZy/VGonPNbAh4yOvTyoqWQamk3CBuuV2PtUhUjCXH32pYQqC4u2TTHCGsrimO6y lLcjhdsxQ3A6yvMKVZnFVNUaajy+fCvfMicAWT5qvnDbjVZr8IIg8nhrBc+NPz8CkZw6fJ1oRkX3 dXa6cIk6m9WWHIQPa1SB8ZuRoZHV05AJslwwwBrFErjf5q5ScMtKDxsmr2Rzg0B/fBcXp5bnpLyI Bt2TLGjc992aREMiZoLV753NfREzJM77H5ZNpJu1VoU7iNbQBHWekEcXmQTHw31y8JB2wZULsyYg AlIEToBoyXQmemehFusvEDs9j8oGutudrC9afhfZBJx/PKhbwulynHiCNYnuugYB40lPeTCNB5Ym DjCqHyu1RtEMOzXoB7cDtlF1A1sH+g1GtW7Qp3GW/AFJ94TBdPFkKgd3t3DmonWCoxJCb2wXFng5 WHsoDVIVFYsYUxWiYts0bW7DUAis4Iv7juoljL33qTXWdnxtW3hPOkCrJf4xma2dbZlk3IjYKd4P OUD2FAn4N6EvNyNUu/5BH55DkLj3vrwFea8ylel0Appe/xMkMNfqb6LgXZpdB72GceUoaoU6oUGt QlJsVTj48OskdQMA6MxtHn6q3dq43Yb8QY2ZN5tJ1N5rIvrYAxtUNbmbCkgpEQhqF1QTos9Vtw9h sHGO8Cu73+Y+0mEt9F4P1JXJrLayuWzLbeq0tgMDtJJBEs5YTgWg0c6rw+HqslzNq4MTIhd3rdHV 55Z2f/3dSTZ7Mqpdz3Nmz3wsKavSxdcF84HF0h1MOPE7kk8fRFJEXrBlW/EuChQP7iHY3xeHKG3F BAUOAWXgIFOtJ/yAtdwbPsdZiq1r5T0aOhD3TfCvVA+svs+/SckNXcuXf3RaoNWbdW3eciTlGK3u EcI25+j83E5pxJpPYyAMKnC8/orhbKyrp0qNM+0Xew1prGg447mDZiCqgivXLLF+vtnB3V+HW3F9 Z4/dpVhbom52TY/7XpdRZpFiPWd33wyIRlxRMv96ElG1J5JEwGltZOwRK353fr6EgK59NvIJad0o tI5Zzp4vUPMqGCLEzDfYtrCsR708Ju+ZzzcHUZIu7zSPyp9o5n0cTpAf3G20e59/QYaYvz1yZmhu RLC9u+C6DiMgDQZoENGiN847qpZIF7stpd2zHhWsX+Z1pbC0GDianvKJo24fkVuVKhgsxJ2XPseO 2ntovedFR2Qj0IAJXiNuwDcWqlW7EVjVhHBrhpem1yikRYiBfP/pvgmvNed6XuB+9D1pAYNdSUyh iiZVEojIXW1bvzzifbwKQy6Le2dXrQ6dytP4FQQvLTntk4k+HFdy7x+MRNaUnrIS3L3pcZIGrRpl p40+yNDRcHPL9UgNn5rgsAigIFb7HD9DK4TfJefiqIzEuDp2P+Oykr0ivvpNCR6JGUo/voBnjyF8 MTu+7TqQUNoTn8cumsyAG6Ap9JEqA+6O9c3+XF4o52SqdtiDdJqwG/TLI24W2kRf0FeBuXdilTSQ KDmZOf2d1yEZuJ4QTDGJSbY+N/IfL+jPhC45zGaYdDKiH8WNmMuJ3w0GLzye2ekUHjLvXJFVvR+5 O953GLrNuvKB99oqoskjxWXbH4nJmy2SW6Y1lUXkxGvK1dIZqrGTqiE32ShmCkcBznGYX26C9s/l 4E7YXQD1ZR9cXIAIdwyDJLCqki0S1SMfrM5Msw1lMk/yaHzMShxe9vR4rB9ZLwgZlRJDqeDVxS29 kmjhlEKC6spiGcQ7c7TA+RNrNr8LVap1cGyXUHOgNhRTJT9sAmNAssXjjgGdUHKB02sRVD5bYr+S QO79WR5KaqCqoxZhQuStfxR64xcdGYA5fGrqa6yfCn/7CKo+xFqjX3+T9UNa0eTQ3opM33XapXEE KUXSNLYdnhMMcAKTyVSZbJXeTC6CPr4ldFrK8WJvmnCxJbPGPy/lQU6xN7YlSQYb8TtyIbU4gJja 7ZAcpVwdGrWj0QI8DaX4W6tazwRjlHz3QM0aMBkfMq6nrvAYMI+eDYs496NOayOaLVqECVOEzlo0 8mxqIfvepHR/ZGd0hCKB0eMaD+tHf+lsarqDH+VJKRSF3MrdcW/L1sBXlIVoTm0rRNmaNKhiFSVj Sc7gIY4EYDJeuNAKpx8N1ZWxK8IoPJShtoEuxU0JcIP3c6mE7G63ZgLGXT2WlrhlBP+OiXriXVxu qpJLOa3yY2CUL1m1CU9xV0Shcbm3g0yrnG/queE/sQ8OBIxN9bAwKSjy14bss8KZlwR7QVsHwmR1 s3Ieo/cnr2+c2VBGOJAs5KTpHF6C9U9Gba6i0R+C3Yxw/4Br67BJ8+Nk+BXg2nzuH6O0lG/82eNm 6TAf+ay6jxPkw17AURYhKPhIJIVNUJizn1v08/23gVG4R+fnJecpDla0QfqG3PMwmJMfNu1gTlnE 3WWgdZacBGJ5mmEWPiiWntgg7kua1SLJFKvfeeGNb5iqrsUPUYzN69kkOfMxa347XhR84HiVUbMP PXUFOqGMG5rYL4JNYjqgWJFVGwea9HM/gx+KAahi52VQnpDws8DDaX+Iw0C3NnmbIGnEpvro1dHO yCaq3YKSXJcdUFU+TD+u7HUyjUzZH6bhKFtt7Jh7TvCTNufizH/xt7fxs5ayAwNE5kKCtOpz4Dk9 ahZXP2L+eXs/P8/LysXBN97JtPAVAY13wCjNe0jtSJfaWl+olA/wHEUlNpS6JOgfHslq28/jSCCs w2n1IwRI6UE1SdSq44JrwE82ncxug5bk5sZdlXcBVmJvJchnxMaZ0V3ZordF2+Ijdo1gaCKdwXt2 0roHjofcrfHUvkumPDlj/g2PoxjZZOXgs2VP6LXZn5MYgqPreZbkG775x44usWwHNYpI7ljsVgO/ kR74IVJ1klTv01zG+7qpTnBQnQ4EN90LYO9QCCuM4hWm3EB2AzZn4IYbcA/5NhaxHhxKxzDLYOXc 5R7JTusm3KQXlGxJCc5Z/CDcvZ/nn7r6qyvkxfmWb99/+pJoAMXczTLYCmNbzKej7+x/3wA27x+2 prEvGAJg5IICuyUwORuKKaejmPAUPtPVX+NEZti5DpvXpdpjvI7lIEzhMrHeh4adMAr/H4jWVihp zfQvJk9SXwR3XoRNInwQpIT1OARB/tE6oODYNfGMIaXO+jXtAUjABJWiNWiv9eMnAwvJZGEyxiiG CpDkrpaT0WDdj+9+dR+jxli+ql/wDl7JHZVcdenYboNmibUdxgqSAJrB75X4bz76f6JqHnQx1Zaw 9yRAHgCBMkNu1AAn0g6r8EYJUX5dYnNoiyfr6jbGPqTH/LBQlRURVSrUJwj5xH0SlGBJmQ5sqON0 b40yLmR/ga//3TQkR1UDySe61awLnH2HHf2ebag+NX6ZXx5cWBhNwawUntwOa3cwLWNyCjOOPeLx zulgmX/QfAeO1+rlao3iAimwpbqhi2YJnfUpUzEt3wsthjRAQ2z0M65P5ZW3xjIElGXTCT6S+Gc8 QyqsEGbkUtHRI0ayrX7vA84qbb0XhZEZLh1i5epPyM9nZtlrh+CdpBTyM4cu9ihHzZqLx79ct1N3 T7ACRH7r9K8viG1ygmWeVd7wDS0aB46CMHD/OR6S5nxSXkKiaP61W5zXNNKs7ZoWmKjIwJRiR0J0 9k6quXZEvyW0xX5vwgnqt3gjvkM0/HlSi/kiRdX5N2DYEEfipi3knwEd6D5DYaygcbkUouIpchLj IFsJb601RciJlNP0U6XtXFfgXsGowhWLfrslBwd3oXwKZNusWUrQ2vUDer/OeMMjoLGZvuk4BA7a /zEzHwG2SUM4d3vWU3WzH+vh7uYMxAMslociXLbAXSag7rcUV+DhgfDBXHzw21CslWvmOGN4vjLv E+8KD9aMzvCb3jj4ZC2155k6WZhzhv7YDgTlszu4FnibJnFfOdwSiLJQ+hyRP3HYjOARckamyeaL 3P6no9xn9GKz4cA4hMtjNYam4KXXx8VCYc/tT0boEJOTRnyMzta+IOjHKcUQjNUDpB6WPr9iXPGR V7138YUZ/NY5YMYNwEg4se2xjsvVF7E2quNVRI8U7xnt+O1b2EjLsSeZ7Gkh1GPtgH55mLw50uiQ u8jdgfvJ6LBQzxmcMROVuGqhhPasCP+sbBi1tFJUPHSM6t30H/wIFgOG2AtUrer3i2fmJt3Tmpqp WcNzCJ/Qlkniy1A1IT5qqcb3spsQD+sZ1Vk+HH+810tfOzNFJqu87F/7N3tyMi42gfIvWykJ3d20 u9SCPbe/PrE5Y91Xv/o7Cf1D2EYocpNRxPpz/6JbosIa9JeAuVfhjrC4BIAVgwlOKeyeleY3caj5 fUiwcH+hV3f1jRX4jnYOTicEknHzFgCHNJs8/qPo9ij1mhKxSUrYdKF/bGnilOV6ad8wwWIep+Gf 0uPWT1DHEAdysXlvJHMjcYWimdbgtwmeixD87uZnBOh1j7vXUIo4mXvRg+LEM/ptlVwLZch/874e 1CBia153h6Y7BWZ/izqEL+4gSd0LVQkQ1gsUay63I+pAGgZIBekJtfSHpqgShcjpguKauhwbSz2F iCPkLrwGgPEJtz3FM/Qt8IYcoA0pPRuOoLDcBp/u/DL1WfqXV8FZwESyhLcdED3oyJnwqjyZpDf4 +OgN1IqlMIdyhqm0dAw71lu7BbzTuBBZwR8Jg396cjYkdOg4i3LZVcjktsGxJRbzzNZaw23r0jEK o+5qLCFjEPsCLmr2b9acNnzQUPfoM7lryqjHxlHw/z9UOKoHaae4pqjMmWp4BjZueG7YTB5V3QPY U74QBTvDyvkW6PVRI+Q5t/tjMnD4GakzemAmuEoWar9U468E3np4EokvLst3VU3CwGKw34t4gKif qHMNG46tND/oDoTyPf0BTSLrYbq8WB+d1wn255mLHSI20JcwCxl2EWuGjHwJjTFnHqUNShXpHo+W i8iV7L2N3+f9joVj2OLi4ng19jiSHYe+Y5q/Br4Zmj8rdosv+hBom/oV3L7Eem8i3SpFIUCbkusB 97WMkuyKXoBi1/IaQqDy17zCjIovCdr1hrZ9nP7uxA7VEnhWYcocn68k5u3XdDBtkC94k7fr5aBO MWcw2ullbujc/f8CAugOILH083sgqnbRL0n0aLouKB0/WtxKjco4aTM0fG79U1Y9udTnzoLy+KbV LDjyo3UEcTrvBzl/dMcIYy097I8h+ORlZceBLP921UCs9uSPbPLz10L39NLfoOoRCWR46vZQCUYF WWXfXe/tF6q3mTgfPOSiINcQDFqs6yKJ2FmIX0XIzmc2MP/A9CqgHjh4VuYemmfonA1RCRtoRb1c voY81mu4pnT/2xaBuVxDd12bHoVxoIBixu+PAES1BWsr6NDsdAr+cC3aA4L1TnG2d+sUf2d4ON9J 3TGoJ8oz1YeVDjE2JM5mIKu7ga0NvReagHT13T5yzAw6qAw8XL6C/9Gtw+jaCOSXWygct1iKX7Gi vIN39wM2uT71uKe/gbwlgCtutPJ0oMAH7SKxI5SoEZboy5m/b9sDpB9Y656yYnr1qW2P+EbJ3HSn uWXXFL3dClRTdNILdJ3acFpWN640Q5O/ZX/jy1vleyJlmlNp56MeIkZRteXh7bS7gbH0LFWeaQfh XiopF2S2ZWN+0vMMfTw9lDEfrmkWcw+cE/fmlym3HbgXmYtNAdvL2zbh0YbQFVgEE6M7TbjwMMdJ ByPVMmAlsRCfnVgUcT3w05CDBS8EEG/ukG6ThMOSJoh9B2oioIDRo3XnJ9gdcZtL1ZLGMZe2bnwK Go7t84xm89uprXr/puMhI4nW52WLbHPevQFc2o30e4fkmLuGkLQ0d6jf9GHPkh406shc1suX3Pl5 pRr6wM1kDDm4qsIAindh3BCO7FUG9C6Sj9HluDlE7rKwdISW+nNNhDNzWvfZYaEJM95saQVVBxo7 h85zJPerK6sAA3kJvjxfM1MUbv3iAX5YLV6mqfTMHBOXuo986j9KBLKBK9jh5L8kZVWvDHB6U9Un O7RjhqbdmP98wEjgUQ6q0IYQg5ln4MOpULJRojnabWK4bRLlLDEKtvbp7UAc045yAOa7V08LlP31 632+u7rN8UZVwXIxvT5flVade0aGs/y0gwG/KpouNb7VtplHg+WQc9+bQRH5YOBxbyCCAS/n8R6a e1v8Bwj2O+gWR7Yqpe1WBw4z1dotDifw3bfNgoegWh5LuUL7zRZav4ahuyffNXYgECSzm6O5momp l8ZH558tpmJ+h+8fqApshnQjTeW/DNYgwQYQoala+n+IlfdW98VTmnJU3ZNJH0bjEPirTKUhhIpe o0JBQDQE62JIBdUlZtN4W04EF4tv7kQProcHLKV1E0TVYz0ICZc5rIqJC0mYJxc0rvyuWZ2muJ0q wZOkYLbkxBtYkGS751ixz5GQRxSxi4YT9IV8FAqS9Xs7mvQnd/PHvUO4PJlhemZ1TAUbYqk4psQ0 2XHT8IuB9ROF/AZU95vaz8OfHcuJqnMPK9E6ecZ5HomRrjWuZ6oQESVuyW65N6Bh1RtbOVIAWlIq reJt+SH4A1Jsc8XD1vvPd3hfJaO6YBNTz23KjOpLtATuj0J2SH3aXNTCRjWnOb8411uh4eHq5J4X DoGP5nkJG7ymciZ1BRpblEjza1BMcwvOcc92/zro7S/n+Z9hknHbkfdL3mqg0LNwxuvr5YnmD4XE crfHhWcumSX/34xvcVNoYsmHprdf0OfwNYEFo/0ecWHjhIRx+ClpV+Cjgg4YHmLJcQceGR1qISfH CxpGdFp0jXsphFWz+xSF3TM3GLP22+vj/irRBSPoJlrDAqfnlkGyhjJHb/lJMk1W9H6RH8t22oFm OdCB3x71irmYMdywEu1Kx3Cv/ZJYFYLkBpMRvsW2UrV/KWMEPoMwnKnahsTHWICOmW/EvFYKJbV8 96o3VSuY9jQYTEqVN2cJzGWFj+NHclDjHIrS1BI345ygOYF0CIWk75m1AVk5HVCs5s2hg7AH5MDR aQCTcP4lc10tDBCtTMURc28gvXn7b6S2kmcTWNkFb8HGgIGrCsbb8edW9w/XtMAirTh1DJOyJ9XS Y7emUdHzjBP+hZxXHibVUA4LvGs6uA2Zw6kjj4x+/BEDo+dmA8RnVFpsxNoDqnmnF4ywtf5xG+Lq 5Wc+j+Ox9f+YqzOppoiMYY5tWayx7/cm1K1mWfiIRFPaTEAh86mGqqBphNDpTWSWqDQt11hu7Ndh EokudxP9xGCgxlanpkptFXh8+2ktFk1fHTgAxEdsFExxyjo4xyy1ASLSeJ/lFfRVUlAeZBvgB7/2 2QPnUefOT3Bi9Ptyam8gvg5Pemqkvc63OKy7+fSL3pLvrDf43CN9w8E1T5PHwf2XbnqPdrDnVFfw ZFYLZitXPyJpMI0FE8wA8hmMRSM3Cq7nczb5jCuxo/zRRgHK915mhdI5gdKp2UhxPrY9VrxsxUNP ZuSXn0AuLOgRZph32HRaQbMBJsCNwv5pghvheDo5/ajeLvuyiWR+Rs2XCmIesKN8yExzjLCt9/63 k9WowYr091MxXsBwKAZxbBOY/jIWO4mPVJFusB0bYaRWeeDOr3LYoF/h6miJ7KoUbTg2kVuaA68A /pPavftk8VePBUd+JpYOUPiVRmgwTTnvg2VD6bIsHg2DgGVW5VXdhEdkgVZln3cA2/MoRcGifm6Q Wj/hWT3tUisnUoX5OXmCIYI/gpsgTKEnXiegRiiyxS+ckrkt4ralDixygLXohm5X8uhCql2vFeOW Op0IIUcbgrkCgMslYBT4lydx6MNCKkQ6PXKMXTtbNRwGDtqc5T8pQ+5lOvWy65zKFlaCdZsYYar3 A+tzUv+wW58Ti4Brl1P4XPMCRvuyCH5YdISZCufSADxdr91K2mQBBMjZm+ju04FNMkcX63ca6Dxk Y3fxjrC2tpblTRuwURxgXc1BO0OlSDU8W5y6fkxCDH7QQsrYBL+8iyOcednwQImfwzGox3HW2Z3p awru5ewS+dXyAH53hkxslZ8a8MJcJa2WOo7EPT6C4xidhO10PuYq9vXghf45F5sJrIxqS6hfWwj0 tImq0P8JwafSPwN/PcXCUeO6k75e3RNcs7NboRqYHuWtbNy4fmimwyTWQd7vQ4DqbpTsQvxv0dFB xfTClF+0l+0agYMgP+s93Z5/BhpP/WkEg9htOKbRrCKG88UQ5eIOWAfC8IRmFwjjNsx4fLXO1pcX L0ZuZTG11BZlmlJ2jgCRKxuQGoxaeqQHY86OY9jj8yP0NaSmi9caQRMV+ILocuYN6qRv/z46229a f2p7mxGWpUHCfVa5RL//q910Ei0pvkm6N8aK/BXEC95w26Gbp/w56N/zwMxDbpTz8/Kh9MoYaBjs 8Np2URCsbzv6uDpuByDMK0TxTlXVv4aRBSaAKen0yZcllOIbLBg6kLaDSTcipNoHib0ZInYec2L3 xsRrPSmXrAHtk6xzH0Zx/UKxegkehZFC2CyQ4JDwGfgvEPF3GDcvrdYt4js2MAfJ68mVxIxAjG5D WFRN4q3lOnVxw4hfq79DbZ8qMCU+9TSPCfsFXQCjreB/OV4daOkyJKk2QqTrZIfX00Nfe8Hr5owV 6Cs8OgDKd6HZhEig/Dj4hgXdsn82DFB44vgGKFPy+OM3gEw4HQmkfxcbCvS1LCO1HK/oQ2tIKw6u uNieBjmY3bPUVtikaBCVKsjDfZ6L5wavQZ8sae/FMT5Tnb00EmP8GQLLdd/96BY8q7OcVxbqJzlo RjxHN1nhRBuLiEgKL1EJgJiJu85mjdCIlvWoOmtB2cFpJ5Du5kQuILgIsooC66UK1ktJ9ezMWhdU ySGjwvUwXFivxiEYSGpAIPuRcdtlN/BxFDM3yOXuJToYhUIK5LVMkgdzQOyjPklwT1aqgPVF4Vj8 h+K6fdg3FrXH5dhuu5tCC9lLR5XsiFBxbb1m2IGziO4ntx3yExZV6cA7NtWbDyhzU592df39kDCw JXzVn9f4PrwTTbRDCpzgVVqL0D+XpNuuc2FZfSeDJIUPjmPMmXu3OVR0V8trcUlDa63O4d/QZzkI 7jjYC0efnXjeQMA6N6Zoj2eunofa9X4mKDjtSVZfS+maDMh5XWh5ex+muIKxEOwD6LkFd+f7Ra7V 9MbO15xtGlQwPb3mttctu9rEg5+zp8tLGpPtY2GFphsLmSUVHuQjo26/4i6sWiyW8zOe3XlPsvpu mzBKhjHhKdBTIqJ6SRmQ844VNH12sBqda0V3O2LW2hGZKJXAznYMOAtVRhWDQCJoUWspt++g4IDQ DPxe1PVz0Uyi9pwBjmRLXff4Ii5Dr4Ht9OIXOCOVLinxXX7GYwJJhWJXg/Ylrw+gavZ9LBxgKF2v F/7N/W32dAm9caJT8SnXYQ3ALe+yEc16FR3dHTLTNVO3pzJ5KtMKDdylE6OLTY6HOmQeGrKWZZcm ZbReokKJgwg83mJu7FQ2PgBrqO2Po+t7H5SZbCbYqFvyaxWGrQxo6zEUcnKOXvjYxinrUP/zFE4s sF3RoYAZxq69/n9dQWL3q7l1oLnVLy40xxN5eOpUYYC5gtvAvViJlZVAeUJnwiCDTlmEkFms2MNO 05jXFwquvx/k7JlRDnMc73rsSRAQoWDk8JQqUUplcUaVyWvhrYacuvCBl8WKUvTDE5BpRbPmNUo6 TEw8uKcOYSOFvtILAHTc6ZlY8y4zEJopS/aUCXGfmvSixFRXP8kD5gbUDs3iVsA96bNs+pjDlQFM gAZkzLnYWOdPFv63t95++0Yjd0S8L7veEtfXE/ZxuFtMNPVietYJKvYbSFDPnYoK3OzEZ/jpFCpY T95ux7yGO5exLAiuxQZWZrQgtlKCFT8dgBBmH5y+r2MBURscpkbIwkZYZ9cJ4l4vAmyKzh4dJ0vl 1LVqVxUOcwv955SyGNgHTgwAmkFqGhWqKEQZZsgCek0z612tcMMlhO6PjchWbzf/52TDaCsWjFYy LcB3hSKbhQ8NvNm4BiZC6KLb9yS7sLEqD/Z4brYu+ODhPC0CNlgKXvLGyVUDFQhImXdxOWYI0Jbm 2V4oUH66pNYs+HLts3QYthmJG2dbJ36OlZnLDtsCfJGJtXnKI0bJujzqcgbYyuFR379IO187Fn74 yh/p0Vc94tjlmQCx4QrVB95IFqE0+y0RJHXVQzXWxxFHk2gIJcSC0ccjGfF66LCL2L8RLewc6gwd 2HoHowo0Pg2Q2YGGQ2Gm49SICVGHfZhMG4aPWVjnl7cbgH3RND8ijN85PZZTUv8i8H+l5aRxA50H arNLwegDn846COqCuJR+IwPtGymy3EFZvzKjJqUEc0FGIvvp5ptYhLSH8UhpR7hifOCPfRjF5FyZ +7nw1XXtYwx3vxbjgcw/fwQ/7kRMvNU5+HgWG692rUIpUPdp1erzt2es5veP3dbHDchGbuOdHEtf Uol1UWhDK3v/fq3Z+lbmaJ3iE0LEY7uG2isXAEm7UgJzTunTyvus/aJGaChYrw8Yq0a2MJT/ynLS b9cVkkeuNTrWnBSjDItJPLJAUeb3Of5AQ+EicNuwHlhT0fQMBUjWb/9KwfCqXI48G9MRtt/JEAsh tGcPuBjuyy9E2Tax4ThOLAI9gQ+l77KKZfFpqd2ip2Bw+c/etltNM5fHSCgbwMIXqQ8ApF0735Z/ 5tUvOysFYYdY4n4eySOUdFe1aTZCuz2YgQyjZp6wAhO1imTdOEHigPtQcjkKqkpKCciP7cUpSEPU o4IYCpuOBrdKl377n0A+Cq/pK3hLdR+Rk5rmT/rIBDrCYnK+mZ1C94ZkCvYMzXJMzw8NAkRc7A3V PtsEnsYOM4b4+92sIircPdt0b2UqBbPrAVl7ZK2bkTadglCfNL/CLEvxThjwhaKN7PbPWAhiESC9 /ymOxJDj0Q8OZJ7BsX+HU/CGZeo41WoG2kxO+Rr12opzCVcL7WQj1owe+OPXfFvUyVExTXx7RI9E GPlRMgvpMu+myVW7YQjhJxVIqXf5yaH5Tj71mVixUN0nFWFQec/4e0CynoDif8LaKS8prbYkP6OZ blbqrqB+khsrvoBWgEAH64j3mlQC9QsRdm/yHokrS/DccXW54T8J9p8ub2QuLpXTK/Qa4HSenoZw kNHI+qvpb1jHYMNxO7LdpLGIokPG1wNHgCTQQDbZxL10p/1UDLLuXM4FdyvyOARtF2IgMb6F0KPC v2Jep31fcMGNIIfWGGeKqc79Wsj7VmelhWpP9VIYYrHKE2hF63X8qpbG5J9vY1hBjeZZkvUEmV/g YJ3pxlvSlbxWPV5i2CShl1LNrr8RR9lyPVCK0vFmOxrdRVdwTKGGp1YYgWZ6qvckiBbSlGayC3tE Qz5aUzlrMh0Nh8LLqxDOUi/CKEasFHY6T+YmayKgYt3KRgZoXoac/X9K/VSh92G5CpEC+lOxXFEx bh2dgTV83x8eF1gUrDKpD+pAgOnfrkrllVpLw+ta/YSGPrQaGRtEn19L80O1S5GQ4txh9pQIcvfN 8pLrZ0VDrKakfaPSZBfTyybKJ2PZKouw4LN0wL2AcLXi46ZLGtG6C5DWl6FSXhFdz9MclCqKC8vd s2RM61qNSepjWSdP82ehAIaZ2OuC+rmwSCAwun9+sMSS/GDHPBDGgcoYbOSK7gCoE1LVhd7g0IHF PY07OBRwsOTmkyQBGEIC8JZR7HmfhXIjOIVvRI1ivbAjurCdbejD2CSV3o4Bqfm1ptw9wPyTl8ab 1d+yZ+FTyeRRdhEHV4LjmDO+ErvnfSuFZp/sfFQSK+h5EtnipNSLXgIqMlQFj0BSX2+SrIz15B6i R/0NFfygs3bzKrSRnpcKI0K0IsCSwCaQZVCcOQ8o3mKXOIuPWviNP+F82aSX7/fv/gev5i/86u1N dwoi1kGLLk1pdeZOx90qw6J4zOajJic9UQkDMQtont0U1xOsJSljkkwHXkoM8W27r9W4HqYK7joz z8Fkx1WXZRSJMrDOMsZEF2M/SdHnLW9ZHiWz1WSTXe9BTOh48MpUN4Q+tyYt2f4vTa/DWy25PGtz szyRAmKoYL9WEzi2UV8eH+1Bq26XecXW9hEHy/7fqXx2DAc2w3ENgjOUC8tFrNhx+ptcAcRfdnzN Apn/4sXBBg59rG70LScmYCLG+cFvKLEWEiTjpHttpURHi0mie8aLDxM8Q/kXttxkRydVmD/ratm4 POiTmB3XoKkjUaVic7fPrmyXeoChp+HFGQzDKJbSKI32ErOPOwBXEMMvHGS8Qq26GKh/QlKQg0kg wXDR0NKkdqT8i6X+6D5HentSmYx+LLXIT9ppLjlnpD7zGaDeBffHWQwJy7dNyFs79TAa6wsXKiPS eoUjG7VOmeRmSM39G/6TawmX2zM0KBkb3uT9mzS745GCQM41beZ9KtnwEw5He1k1iCw2Bx5Wo1My pZj2JB2yxVtkB1oyfXa90Lb3Dojjgx32vHeLdDRwyz2WrIVcv9WLc09KTKTiM1xbWE1rntSQclwn 76fJMB+5ThacvdFWStEgHF/eFjCZmURYw7/xL7VPgLo9Gr9waHb7yK5uZPN/E78cNSWs1I2ghryq fchKWHLIhuoC3uHxNfaeOwwflhZUtI7xgjGPxjeRnFBPp3J9d3tsSPyq3jcA766rQ06PO6c4fRk1 fi786LL246bIkcwY+qa0NhXiqWqg18NHMZ9sMc9Or1rlxaSKRsqH+9PDDdDUwTKqR+8wBRqW78YG nh/26MWJuy6R4XSwCpDt+8OIQK1U67SQ4o7GldZXjkpHjs5FFSsWsFTqY5JhNfd+26BBzJX+O9Ab ZIe0tPprX+DpRFZuFE4ZbATwW4oAaLGCH3CGsRiIxi00bAkULCFstyi8L044md82znRzzs45AXq1 VoHC85pvcJ/e/X8ODUEntxf1no4WTMcGBpCDwGrV3uIhQ1Pjl82IoAUdVW81/9AB6kYBwtGBy8hG GfOoWy5Xqd96fd62APTi0ZAIhFfXpcotTtFc1q9r5GIeTXmfuSb/tcTcvkG/sZCwbhdhqrybHd3q wznazP0kXKwXZk5CTO4tjDyz1hSPATV9dWDf4NGR8LnkdrRHyX2etz6osPDsV3uyIoy1lTlUnWjo YD4dus2IVzwGfdY4sZd1zZzQnyMvwvSoWgqHH8sxdZ0vWSO6Gz2628sTWBLonSxVZSxEeOQ6IiYu HaC+H5vQ6z8wLmOMtVqTmzw3AgvnVNmDKphxvy+U2Ybr/iY48VdSaLvoUMtq9yOchcLzRzwuFuCL RzgpOI8EYnC6JBWJxm/wcg0uOcx8Q09ngzzpos8ivbDFH7Lx6UAwjvtI6+9RcijehnOR9ESQ8ewc GXh0+WeKEmEf821iyjmuFjvTD+2KljA5QRdwV+Cw5d3bH9pDZymwJAx+wey2IVth7hFZZYNLYcrU hdqlYFMQ1wng6UhTCuYsZ/SL02ty/VGHpfT7vuTrg7wRpMh8elar92CUrDhu6icUW0M8wQcJxZ/P S/+LYub++FRypIvPQ+BwWDDlT7PqZuprhnjpuebrcT3MOmPA6RoCnVOVLH2g0EPJ8xkpJmCKs8y/ 6/m5NCuw1hSG2mGm7QJdlyGqbrB4XPOS81VWUntiHaPVl8oca89m9zCeAPozWkNGeD7b2aWCsL9h RFpvYcpDaBhJiQVJKqVdGbayDyLI12Gq48xHmTJZ8jmcafp9mjOHKja/LggAXNjA8bDg7/TrwGX1 OVhXCk+AURsjyPFvU7bqPbwiVUkVVa3pQFBE3a7zapMGNhpRBW0mjPRhDl7lNXsgNh2vt/K4pDAw mlvyj7wNOSQISUOoqup1L+782PRWhqW6aY4fzi4UBzOsVSkCEsc0pkEWmwBjL3jZGFUsV48suN4F HExmThXpeRvp2kQY9vl1qzhuL2jzM7NH7XB6giheh2a8IMf/Tmivy2vmWcXsQlHi8RXqhAQBXQqA BKcXoq7pu4GMeKzt+AeSLLBZIaRZ6+EuIOLjaMlOTZ7EyUQ17l9fh6dQARSdOW2CVrHVoO9MblT5 v3aDv9ZkD1TiUn9FzdHjrPwxw7qU7Bq88WlEnNBVDJrvwgUj3HSNTFNxB6Egy9UL0EDO6hapdQQB PINoSjaRLn6SYWvvIKvVlESyYBIKCsqCFB/MTuP/R3jvmGr35tVEsp+67P+/oxkRyiiixAJkisPp OZXAu4xRt0nqFyp0GTbKHyDE4/i8R7lYvWQyOBmkGZsQ1Z6q4nnK+1Zm+L86YZ++Y/gK25CxXwJX 2JOOcqVCrk4BUX1xhUPnSbgZJqtmUvjlAlAqrIKc1+7cjsxscuESrylv+xLt5JTIGL9mejqzZUQi 1jg1y8lLNo4eBLPolTBDU0CeAeuGIldjWKfbkhYtMCkciuFbgC4ZeD5BzOjI6X4OfYLZS+zwEUF/ gswYjKheb/Gy+oB+7G68Lz+r2NpkuUfk5jh+aaZfxKEVH3MbNOiErbpnnzVH0ksB2NiPhsoZ/tys BB9do/ZcaMACjwnhRsIQ9fSZc2KIuB/lTc2H0jyU9afDG+6yi33+mWzThse5CKoMSR42Gc1zlpT2 FW6UGI/mPUCaQa0fpZJVpJ1prO9frv9AVSrBWU6gCn4wuNc6jk+VaqMCarb+2drh6tA5K2VuBrlv RWksO7gkVWX2uFqGHa1arFE94w7IOvBhzcMFg9ZpJ8kooqtzel7dDGW9C5I6IWBVPxowJ6YiBlGn mmhT/SB4FP9PKyDFRcLQq9TB0A8JWNVTk6HzbW1xnA71lBXw7LJyCvNqyUMYetxfuBGyeDgMEFqC qbCZXNWkiIW2HK5E5PjGJX4yJ+8EWuJr5NnM+uD47Xv/Durn6EIsUifLiNoz8Du3GVNmgWnmFP1i TERlo22AAs2SM6k2zKIQg1LX1XH9VfempMxAqY0osMOL/6b1ymdECYP88FZc8mfSSk0Qi4sHTRoE ehxNXO0iE2In1zyLBcCuaIuFpSBfArg+bi0kIEv/3GQcXVRK5pvI1P3tSpkuyVmVXmqV0iN0FVz1 TIY/WiOk/0MaAhOEHySiHezhwbXk+f+Kozwffg93uyOGmVTzxdaj65hHDNh2TWxxTCImvMenwfWS DZYG8BhOyEELcA5d29e7j8Oqfib2J9o/OBY4XlhZ8xlLGL3weVlN6d8msB+3yMvUCTef1ukA727o RRkEh9xllG/beYKd2fGf++PmDnMBP61VyQDc0kUsFQ8v3Q1wnYDwLCZbFf/n8zchyvFMs3b9aekQ QaRaL2EIdw8KFL1MgrlyriwuFi4RKZk4t43b/TzJfukTuz+orAu3zKBr7+FXd1h2eLj9dxSBtE1b FmnQ1H/n6c23GZkEAOnt1gi5wVQTBmlJ9MzT+YOuPcvOXfQSbtM0J3jCvoKv0IQIBYMq32x701nf Crg6fWZjVgz+qseqEyFiLXvvpnqx5Ilme3muWDJ9T8Bo+KvOUMZVix6aS76iczdvd8Gj1dCYfkI/ kMyg1S+unH54z/FrDOaZYRbke5v/NpslhEnwsEkWKIieX6lsGI+NMH5tjWT+VCBP7Z8wf/JwrrW2 kmXUfWwzJOngiJIoK7mrPTACHAXdiZur4bf6xO8HLE0hTbxOCCX3+oWf6T/CoVSU9WXcpoRfbEmg QKNksYzNv996kcHBuOwL60YFc5ZfELRHrCZYcidGb/fJDmJ18qHZ/7t1f5wEZhqzOLEFCKUiBmh+ FMU+HIwFZMljJZfvRpCIrHQ3P+qBODSUaQDiyJBaDX0fdltU1pyVcarkJTvYYarhcZBgxtaFR0GS l/gWhUAJa/1n6b+WrDR7XXfdv9jQiI3eSzvjLwmUkKfwddaEJlNXHBpZ4RWaM1LY0PFAHYfor1kh oiTUdeyuo1884kfpgKLJc/gdvh73LMr5BEffvFiAHm4jl9P78kxFnuLBcDzLpFcKC3BUo5sDi/jF EsgvCexHvL/+MH5pxHNG0hU6CW4VqYtUVLK50u34/FCL9BbwTr6+8petet46+emBomWbZGBI/RHT esIuaniLVeQuT6fTjXC60iyhQBaCTgr2DGvGJj3ImGVLoPkiEsIWTjgA8EFV4P2cbFaKVz5bQuaX Y9Y9JMWje0DXz/ytXb0O9XDpA+hioENEIcby2LiPbSn5KaVwkcFtYnvxDvt1H2WEXstiJPueLo2T h4vhw73DwQ+P9hZ/cvqRImrCBJuB6NMR7atM8ko/eZKGgvJ9Q/BmeI6T/HCsIW+1YYkKw3NTsm8W Psq64DOpRXjSxEdWOCUXV0C17ULAqpY73s/ctgsnb/Q9E4U9s8wpgnH89dt3nDc9NR7gPvlYOeW0 P70zlk7LsxtL4IXUltvPWn/emmDs9rA6Kuqnbds4IJSCA03fm7Wq9X1XoE28GGoDXaIbkh0sLORq DzNoGTgy8a5o5NuQ3f3APvEoUF+oNkINmUTn2Zw1Ol5zS9MuvFjmCNZeff0JwIM5uASLJW+eMP3o vGiwkLUL0IkaXV1Q+gRY+enCgjkgV9sIhgkloCZbBhpdUEacFiWl6XoLGiyhX5iyvco84F8sVrdq Z4aq4HLHpHqQiFHfFOT87fN9iTkUOQYgV5ekJ5OXQRlF5DpFYroeBk+wmf5XFovCJmtGYCujDcH4 MKIOiZReBP4opRYq8dzq8WC7RWm8Dw4T0qUNYg1Qx+svw17nLvTs2pGdRJkrXgpl+yFbmPtkiDG3 Mt4J8AZMO8s4rIzQEDLaoEKBE2NIZqqByBMdMd9Ikoi7hyyHEtcSSqUxL6YOSigassSj3hgHhP6O Z8oXzcMTR3ficKEQHBri2zW1VBxG17sB5tUKPK5vBv5yXqqAF/8DIfCXSzi9eOpaViN12cSCa6yW 4mvpu5ZgDxIOofr2cr9WPPWyr8UQUD5guB+vUV3HLzkhL0Ph5v/YctIquyoBZyiOvtjpkEdMYC+I c6pyNFowl+HICs1A61hc18IsLH+9wqD3lYYUuBN1thFp5g1cAEEPVr9CoxlkatoC4MlicXbE27vN fnCAvoNjfiZrr3aBrJ5Av1oynn8iRdQzJwJBDVDECICtEhAyputx5CUvh9ToZ43orpvnjsYi2VJZ T2v7wdkM0iK4bkwrIRiYE+uGkGdyhr6T70dQurXdc60dMDhY/I17LCs7vhJAtSpSQRx1rFbH1Ypk cBT2CbttBIoDw6pqt/qhV1zS7IXjGLfe6KjTI7e90FDHf3HxhhXsDI/Z60WMFCoWCvrXFvmL+7Ik VhrP8+nVZVIDdn6bBhbR60FWSVQgyzR70uMdPjexKaIcSbgnl0bBCNxXTtwNL5GneQeyk4VLvEHr L66kTmNm2DxCy1RJKsyzFhlTdV6CxgjRRxzBp5BmUWUEilfwkr/jjkFy76hrHAP1mU8iN0TRdp63 FOkFWUOtWc3G3QNQk0dxdcHgLWVjGakjeiQAgD+fdBa9EdYVBOw1NnJYpdhpzMsUab1Wc2lajZUP HzzTCRjUCfE1eLL2ZcWXQhpCKDsEU0nGyl5V2HIJKYuSAXGW65yrtzYBW/A7UlZmk7ehRuRFg3xh TcWpbD63xXGuJMo6aEKpI2dX5plWZFDsRG+MSurJ3ZQ0nvJ02GDon7cMKwfHZbS6xnWDYJ08IQs7 rwBi00f5zmbkmt+/0A0xF76tlQX+IlBodsExFAKMntoTVIGka8XUzHNryy4nCQYDtwLjHQ5VQTQZ QBVRXSLo+LS6FhgwNM78YdZwIUM0oR79FN4TxyjZhLE9828/Wz9hrbYqQaOOQno7/+XbfK3PVSLI f6cXw0cb1Y14P8J4apNjS0C0aL6Tn9Ok9oKKRrdYNTu6Pvrio5eVZ5FNGRjpUvEfTP/a46J50gLA U9nFLYNCDbj4gv8Fe+XsLALcCRm1GTQZwWdbLu0ZXbeqRa5HHdYTOYpYianI1SVMDOj/DB4Gk8MU Scb3xfuXfwjuglSixQRggkHZQIUUOpXfwyzXitC/UbPN8rFLv8Z3uWTon+BN+4ApShHSAoBV1IpO +8Ajb+VSYc9qeFKxGoapdQcx9hxqtOw5UQ6OA9ZKzM32xRbDz3zP7LgSmSAlelbYmbxkGDQgw2rG fCcRmj9A56o3v540jM6Yw6RN4E463qeywxktJ4FW3DE/ioE1P0nuZcdZyWeVJofPVzv6c8qFd7pF /o0Tk6uFWiVxc0N8TY1YrftWrJTI7Ved+bGB71DTi66EF5Mc0d1xaq72xncaOr35WEegVubQARaF L/Efd2M4A5xVEnuc54zNoBlsRB7fAL/QZvk9PXYd45kPf4jJF9jmp0ajnWy39LYh9RtSmWma3mtD ThRfdSvPjnRxu24SErty372eNfChyJV0zXPxX79ytc2KcVY4rkbJM8gc099RXjiVy1PD1yTCxChE maxXjsWmb9KwJ619cZDoGLfyxQ19q21pJB5RIqB/v+DV9uQPdTGuTdU8vgZgMyXqZkT/GaXZAfvY 4s1FM3KSKgH8ZQu7n4s62hSTwF/AEkesbTcgCj1gcho8mQxycupbUsCQj9WyjKg722LbDkVNCZty 8drxwlbgXN8v8SV2UnKwHVezDlIKSxYXeLXQqpH/EEiMHxwedXulbDBc4dbKOyxvq6R0ds+1di0m cqbZHkNRMaN35BGB+JHcE8u9it1rLHUEepv3jv8Iug7SfqYifAXE4RDa7ya6WXuy19upzwgHGhoO LbSj1+ok1p3cTWZ4LPFNeKOsimIdtusFj24T3eFOEnWCznSo4bTNJVhH4qKbAUMQeUt+pMWpkX8q m2TMwV9OOxzGu2SlqVeHmlDWCrnSqYf6rtO/I/fBjDySlAOBERyMoLorEp3NONoQSXPtmiMte7nk 7BAwKDlJUhKfzKK1IlX4Oh5utXhngiqeWpLUEDB0DGN8rx4vF3PkMmD4jvDmZDMDpcraG/Wq6IM/ NV9El179qNNEfTEsASe7Ebte8xdIZ1hzlOech+UdXZ2tmc8gIg1Q5VSIXJkU8is0d+1demIcHeoL DQuuIKjUPnlNMZWbXfIjOeoUFfimm34GyYeH4ewNg9+1buH0XSKkSOZzkC14JVub/4skMbfsMYj8 bIcO7Bk6ngN1p4bu2cLYOzNhqgT4/G9garaCP0v3K/LPP+EQ18OqKKpmsbUDiPTRk5qhaa1h/dTT TtZNeD/dEtJg12qAZ4jtCsWQsmW+Atu/NByBNncW/YNN2NahEFSizGtocopLXLhAPQPczjVhIboo XDPmxE39c9R/bQRDNx3WEu0y1k+7hrKpQYRUmD1F1wbwj/RX+hjTNB8uSH1j8ymc58WdGnaSzqxc uFDA1u1nfGXHk6bLEx/1h9Lx8YUXVS+t5HtQrnW5229W3aaLH7dNtkEfaY8bGfl4OO/BlqRrirop qwtllePrzoG3KSIS6crV7jTNPaVlA4eUkzCtD4jfdTI92YbKCRV4y+b4KTDhr+CuLG0DRZvSYZZj ix5feNnqR9r2GC/IPNTiOmJOdET50yRdj3FTmTcRtBw5Hgo/F3542WY610sZ06z6wWuLO4gQkeWS 9N7CUQamk1vIAZw89GTYx1UG0xn1mkFwlWvm4RhHGHyTwmbVH6PLUMkv3nuLXPfb6B3j/VbM3Jky qx113OwmeWAYdvsNZTAtsHLZPAjHgF72a1OD7x9aDrwr7g0rDjdg0mN4IdWn0seouO+EayuRFVt9 ViAVOy3WzNlwHuEdbwLPbEVYWeVYaIqf4HSiQ2k/bgDtk6C92rg88hKgYstKcGgjwF8HgxHCB+ab P0lXamqG8esIp8gJJcTUk02ta5oadpgr5QzvzB8Q9bFjpbbilLb6M3WRvdjY26HN+ccnyeRBYGR1 mz9N52OSokVezUpa6YwNVHLIZRSY9YP50ulvWj+xV0l6WdVsigK81iso7gi5V0DFDQbF3fe7XCb5 vd7Ninw1t+Ge8kgJWy7VpL30N8w61j+giN+Cf0HS2HlhG9CntSWrosCRnXWHhdBhW5ZHelf24Vm1 YOnlth6Ozeilpa8G+zkIiwjehZ+z0wSbnodKPG0K74j17wd0tA== `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/counter_f.vhd
15
13734
------------------------------------------------------------------------------- -- counter_f - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter_f.vhd -- -- Description: Implements a parameterizable N-bit counter_f -- Up/Down Counter -- Count Enable -- Parallel Load -- Synchronous Reset -- The structural implementation has incremental cost -- of one LUT per bit. -- Precedence of operations when simultaneous: -- reset, load, count -- -- A default inferred-RTL implementation is provided and -- is used if the user explicitly specifies C_FAMILY=nofamily -- or ommits C_FAMILY (allowing it to default to nofamily). -- The default implementation is also used -- if needed primitives are not available in FPGAs of the -- type given by C_FAMILY. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- Author: FLO & Nitin 06/06/2006 First Version, functional equivalent -- of counter.vhd. -- History: -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.unsigned; use IEEE.numeric_std."+"; use IEEE.numeric_std."-"; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity counter_f is generic( C_NUM_BITS : integer := 9; C_FAMILY : string := "nofamily" ); port( Clk : in std_logic; Rst : in std_logic; Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0); Carry_Out : out std_logic ); end entity counter_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of counter_f is --------------------------------------------------------------------- -- Component declarations --------------------------------------------------------------------- component MUXCY_L is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component MUXCY_L; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; --------------------------------------------------------------------- -- Constant declarations --------------------------------------------------------------------- constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, (u_MUXCY_L, u_XORCY, u_FDRE)); constant USE_INFERRED : boolean := not USE_STRUCTURAL_A; --------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Generate structural code --------------------------------------------------------------------- STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate signal alu_cy : std_logic_vector(C_NUM_BITS+1 downto 0); signal alu_cy_init : std_logic; signal icount_out : std_logic_vector(C_NUM_BITS downto 0); signal icount_out_x : std_logic_vector(C_NUM_BITS downto 0); signal load_in_x : std_logic_vector(C_NUM_BITS downto 0); signal count_AddSub : std_logic_vector(C_NUM_BITS downto 0); signal count_Result : std_logic_vector(C_NUM_BITS downto 0); signal count_clock_en : std_logic; begin alu_cy_init <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); I_MUXCY_I : component MUXCY_L port map ( DI => '0', CI => '1', S => alu_cy_init, LO => alu_cy(0)); count_clock_en <= Count_Enable or Count_Load; load_in_x <= ('0' & Load_In); -- Mask out carry position to retain legacy self-clear on next enable. icount_out_x <= ('0' & icount_out(C_NUM_BITS-1 downto 0)); ----------------------------------------------------------------- -- Generate counter using MUXCY_L, XORCY and FDRE ----------------------------------------------------------------- I_ADDSUB_GEN : for i in 0 to C_NUM_BITS generate count_AddSub(i) <= load_in_x(i) xor Count_Down when Count_Load ='1' else icount_out_x(i) xor Count_Down ; -- LUT MUXCY_I : component MUXCY_L port map ( DI => Count_Down, CI => alu_cy(i), S => count_AddSub(i), LO => alu_cy(i+1)); XOR_I : component XORCY port map ( LI => count_AddSub(i), CI => alu_cy(i), O => count_Result(i)); FDRE_I: component FDRE port map ( Q => iCount_Out(i), C => Clk, CE => count_clock_en, D => count_Result(i), R => Rst); end generate I_ADDSUB_GEN; Carry_Out <= icount_out(C_NUM_BITS); Count_Out <= icount_out(C_NUM_BITS-1 downto 0); end generate STRUCTURAL_A_GEN; --------------------------------------------------------------------- -- Generate Inferred code --------------------------------------------------------------------- --INFERRED_GEN : if USE_INFERRED generate INFERRED_GEN : if (not USE_STRUCTURAL_A) generate signal icount_out : unsigned(C_NUM_BITS downto 0); signal icount_out_x : unsigned(C_NUM_BITS downto 0); signal load_in_x : unsigned(C_NUM_BITS downto 0); begin load_in_x <= unsigned('0' & Load_In); -- Mask out carry position to retain legacy self-clear on next enable. -- icount_out_x <= ('0' & icount_out(C_NUM_BITS-1 downto 0)); -- Echeck WA icount_out_x <= unsigned('0' & std_logic_vector(icount_out(C_NUM_BITS-1 downto 0))); ----------------------------------------------------------------- -- Process to generate counter with - synchronous reset, load, -- counter enable, count down / up features. ----------------------------------------------------------------- CNTR_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then icount_out <= (others => '0'); elsif Count_Load = '1' then icount_out <= load_in_x; elsif Count_Down = '1' and Count_Enable = '1' then icount_out <= icount_out_x - 1; elsif Count_Enable = '1' then icount_out <= icount_out_x + 1; end if; end if; end process CNTR_PROC; Carry_Out <= icount_out(C_NUM_BITS); Count_Out <= std_logic_vector(icount_out(C_NUM_BITS-1 downto 0)); end generate INFERRED_GEN; end architecture imp; --------------------------------------------------------------- -- End of file counter_f.vhd ---------------------------------------------------------------
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_realign.vhd
1
61339
------------------------------------------------------------------------------- -- axi_datamover_s2mm_realign.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_realign.vhd -- -- Description: -- This file implements the S2MM Data Realignment module. THe S2MM direction is -- more complex than the MM2S direction since the DRE needs to be upstream from -- the Write Data Controller. This requires the S2MM DRE to be running 2 to -- 3 clocks ahead of the Write Data controller to minimize/eliminate xfer -- bubble insertion. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_s2mm_realign.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 5/9/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added the Store and Forward Starting Address -- Offset ports and support logic for data upsizer -- in the Store and Forward modules. -- ^^^^^^ -- -- DET 6/14/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- -- Per CR613211 -- - Added push-pull register for the start addr offset output to -- align the timing of the offset changes to Store and Forward with -- the variable TLAST assertion on the DRE output. -- ^^^^^^ -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- DET 6/29/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Incorporated a state machine to manage the command load into -- the DRE and the Scatter modules. This was needed to adjust to -- interface timing differences with the Scatter Module when the -- Indeterminate BTT mode overflow absorption modification was added. -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_fifo; use axi_datamover_v5_1.axi_datamover_s2mm_dre; use axi_datamover_v5_1.axi_datamover_s2mm_scatter; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_realign is generic ( C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if the IBTT Indeterminate BTT Module is enabled -- for use (outside of this module) C_INCLUDE_DRE : Integer range 0 to 1 := 1; -- Includes/Omits the S2MM DRE -- 0 = Omit -- 1 = Include C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1; -- Specifies the depth of the internal command queue fifo C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE alignment control ports C_SUPPORT_SCATTER : Integer range 0 to 1 := 1; -- Includes/Omits the Scatter functionality -- 0 = omit -- 1 = include C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1; C_BTT_USED : Integer range 8 to 23 := 16; -- Indicates the width of the input command BTT that is actually -- used C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Input and Output Stream Data ports C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the input command Tag port C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 ; -- Sets the width of the Store and Forward Start offset ports C_FAMILY : String := "virtex7" -- specifies the target FPGA familiy ); port ( -- Clock and Reset Inputs ------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------------- -- Write Data Controller or IBTT Indeterminate BTT I/O ------------------------- -- wdc2dre_wready : In std_logic; -- -- Write READY input from WDC or SF -- -- dre2wdc_wvalid : Out std_logic; -- -- Write VALID output to WDC or SF -- -- dre2wdc_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wlast : Out std_logic; -- -- Write LAST output to WDC or SF -- -- dre2wdc_eop : Out std_logic; -- -- End of Packet indicator for the Stream input to WDC or SF -- -------------------------------------------------------------------------------- -- Starting offset output for the Store and Forward Modules ------------------- -- dre2sf_strt_offset : Out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- -------------------------------------------------------------------------------- -- AXI Slave Stream In ---------------------------------------------------------- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY input -- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data output -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB output -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST output -- -------------------------------------------------------------------------------- -- Command Calculator Interface --------------------------------------------------- -- dre2mstr_cmd_ready : Out std_logic ; -- -- Indication from the DRE that the command is being -- -- accepted from the Command Calculator -- -- mstr2dre_cmd_valid : In std_logic; -- -- The next command valid indication to the DRE -- -- from the Command Calculator -- -- mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the DRE -- -- mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the DRE -- -- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); -- -- The bytes to transfer value for the input command -- -- mstr2dre_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2dre_cmd_cmplt : In std_logic; -- -- The last tranfer command of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- ----------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ----------------------------- -- dre2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the DRE detected -- -- a Early/Late TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------- -- DRE Halted Status ------------------------------------------------ -- dre2all_halted : Out std_logic -- -- When asserted, this indicates the DRE has satisfied -- -- all pending transfers queued by the command calculator -- -- and is halted. -- --------------------------------------------------------------------- ); end entity axi_datamover_s2mm_realign; architecture implementation of axi_datamover_s2mm_realign is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations -------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_size_realign_fifo -- -- Function Description: -- Assures that the Realigner cmd fifo depth is at least 4 deep else it -- is equal to the pipe depth. -- ------------------------------------------------------------------- function funct_size_realign_fifo (pipe_depth : integer) return integer is Variable temp_fifo_depth : Integer := 4; begin If (pipe_depth < 4) Then temp_fifo_depth := 4; Else temp_fifo_depth := pipe_depth; End if; Return (temp_fifo_depth); end function funct_size_realign_fifo; -- Constant Declarations -------------------------------------------- Constant BYTE_WIDTH : integer := 8; -- bits Constant STRM_NUM_BYTE_LANES : integer := C_STREAM_DWIDTH/BYTE_WIDTH; Constant STRM_STRB_WIDTH : integer := STRM_NUM_BYTE_LANES; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant BTT_WIDTH : integer := C_BTT_USED; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; Constant BTT_OF_ZERO : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); Constant DRECTL_FIFO_DEPTH : integer := funct_size_realign_fifo(C_DRE_CNTL_FIFO_DEPTH); Constant DRECTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SRC_ALIGN_WIDTH + -- Source align field width DEST_ALIGN_WIDTH + -- Dest align field width BTT_WIDTH + -- BTT field width DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH; Constant BTT_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH; Constant DRR_STRT_INDEX : integer := BTT_STRT_INDEX + BTT_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; Constant INCLUDE_DRE : boolean := (C_INCLUDE_DRE = 1 and C_STREAM_DWIDTH <= 64 and C_STREAM_DWIDTH >= 16); Constant OMIT_DRE : boolean := not(INCLUDE_DRE); -- Type Declarations -------------------------------------------- type TYPE_CMD_CNTL_SM is ( INIT, LD_DRE_SCATTER_FIRST, CHK_POP_FIRST , LD_DRE_SCATTER_SECOND, CHK_POP_SECOND, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- Signal sig_cmdcntl_sm_state : TYPE_CMD_CNTL_SM := INIT; Signal sig_cmdcntl_sm_state_ns : TYPE_CMD_CNTL_SM := INIT; signal sig_sm_ld_dre_cmd_ns : std_logic := '0'; signal sig_sm_ld_dre_cmd : std_logic := '0'; signal sig_sm_ld_scatter_cmd_ns : std_logic := '0'; signal sig_sm_ld_scatter_cmd : std_logic := '0'; signal sig_sm_pop_cmd_fifo_ns : std_logic := '0'; signal sig_sm_pop_cmd_fifo : std_logic := '0'; signal sig_cmd_fifo_data_in : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_data_out : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_btt_reg : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_drr_reg : std_logic := '0'; signal sig_curr_eof_reg : std_logic := '0'; signal sig_curr_cmd_cmplt_reg : std_logic := '0'; signal sig_curr_calc_error_reg : std_logic := '0'; signal sig_dre_align_ready : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_dre2wdc_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2wdc_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_dre2wdc_tlast : std_logic := '0'; signal sig_dre2wdc_tvalid : std_logic := '0'; signal sig_wdc2dre_tready : std_logic := '0'; signal sig_tlast_err0r : std_logic := '0'; signal sig_dre_halted : std_logic := '0'; signal sig_strm2scatter_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_strm2scatter_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_strm2scatter_tlast : std_logic := '0'; signal sig_strm2scatter_tvalid : std_logic := '0'; signal sig_scatter2strm_tready : std_logic := '0'; signal sig_scatter2dre_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_scatter2dre_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_scatter2dre_tlast : std_logic := '0'; signal sig_scatter2dre_tvalid : std_logic := '0'; signal sig_dre2scatter_tready : std_logic := '0'; signal sig_scatter2dre_flush : std_logic := '0'; signal sig_scatter2drc_eop : std_logic := '0'; signal sig_scatter2dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_scatter2drc_cmd_ready : std_logic := '0'; signal sig_drc2scatter_push_cmd : std_logic; signal sig_drc2scatter_btt : std_logic_vector(BTT_WIDTH-1 downto 0); signal sig_drc2scatter_eof : std_logic; signal sig_scatter2all_tlast_error : std_logic := '0'; signal sig_need_cmd_flush : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_ld_strt_offset : std_logic := '0'; signal sig_output_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2sf_strt_offset : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Port connections -- Input Stream Attachment s2mm_strm_wready <= sig_scatter2strm_tready ; sig_strm2scatter_tvalid <= s2mm_strm_wvalid ; sig_strm2scatter_tdata <= s2mm_strm_wdata ; sig_strm2scatter_tstrb <= s2mm_strm_wstrb ; sig_strm2scatter_tlast <= s2mm_strm_wlast ; -- Write Data Controller Stream Attachment sig_wdc2dre_tready <= wdc2dre_wready ; dre2wdc_wvalid <= sig_dre2wdc_tvalid ; dre2wdc_wdata <= sig_dre2wdc_tdata ; dre2wdc_wstrb <= sig_dre2wdc_tstrb ; dre2wdc_wlast <= sig_dre2wdc_tlast ; -- Status/Error flags dre2all_tlast_error <= sig_tlast_err0r ; dre2all_halted <= sig_dre_halted ; -- Store and Forward Starting Offset Output dre2sf_strt_offset <= sig_dre2sf_strt_offset ; ------------------------------------------------------------- -- Internal logic sig_dre_halted <= sig_dre_align_ready; ------------------------------------------------------------- -- DRE Handshake signals sig_dre_src_align <= sig_curr_src_align_reg ; sig_dre_dest_align <= sig_curr_dest_align_reg; sig_dre_use_autodest <= '0'; -- not used sig_dre_flush <= '0'; -- not used ------------------------------------------------------------------------- -------- Realigner Command FIFO and controls ------------------------------------------------------------------------- -- Command Calculator Handshake sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ; dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2dre_strt_offset & mstr2dre_calc_error & mstr2dre_cmd_cmplt & mstr2dre_eof & mstr2dre_drr & mstr2dre_btt & mstr2dre_dre_dest_align & mstr2dre_dre_src_align & mstr2dre_tag ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto SRC_ALIGN_STRT_INDEX); sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto DEST_ALIGN_STRT_INDEX); sig_curr_btt_reg <= sig_cmd_fifo_data_out((BTT_STRT_INDEX+BTT_WIDTH)-1 downto BTT_STRT_INDEX); sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_curr_cmd_cmplt_reg <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the DRE Control FIFO -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo generic map ( C_DWIDTH => DRECTL_FIFO_WIDTH , C_DEPTH => DRECTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_sm_pop_cmd_fifo , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => open ); ------------------------------------------------------------------------- -------- DRE and Scatter Command Loader State Machine ------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: CMDCNTL_SM_COMBINATIONAL -- -- Process Description: -- Command Controller State Machine combinational implementation -- The design is based on the premise that for every parent -- command loaded into the S2MM, the Realigner can be loaded with -- 1 or 2 commands spawned from it. The first command is used to -- align ensuing transfers (in MMap space) to a max burst address -- boundary. Then, if the parent command's BTT value is not satisfied -- after the first command completes, a second command is generated -- and loaded in the Realigner for the remaining BTT value. The -- command complete bit in the Realigner command indicates if the -- first command the final command or the second command (if needed) -- is the final command, ------------------------------------------------------------- CMDCNTL_SM_COMBINATIONAL : process (sig_cmdcntl_sm_state , sig_fifo_rd_cmd_valid , sig_dre_align_ready , sig_scatter2drc_cmd_ready , sig_need_cmd_flush , sig_curr_cmd_cmplt_reg , sig_curr_calc_error_reg ) begin -- SM Defaults sig_cmdcntl_sm_state_ns <= INIT; sig_sm_ld_dre_cmd_ns <= '0'; sig_sm_ld_scatter_cmd_ns <= '0'; sig_sm_pop_cmd_fifo_ns <= '0'; case sig_cmdcntl_sm_state is -------------------------------------------- when INIT => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; -------------------------------------------- when LD_DRE_SCATTER_FIRST => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; End if; -------------------------------------------- when CHK_POP_FIRST => If (sig_curr_cmd_cmplt_reg = '1') Then sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; Else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when LD_DRE_SCATTER_SECOND => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_need_cmd_flush = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_SECOND ; sig_sm_pop_cmd_fifo_ns <= '1'; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when CHK_POP_SECOND => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST ; -------------------------------------------- when ERROR_TRAP => sig_cmdcntl_sm_state_ns <= ERROR_TRAP ; -------------------------------------------- when others => sig_cmdcntl_sm_state_ns <= INIT; end case; end process CMDCNTL_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMDCNTL_SM_REGISTERED -- -- Process Description: -- Command Controller State Machine registered implementation -- ------------------------------------------------------------- CMDCNTL_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_cmdcntl_sm_state <= INIT; sig_sm_ld_dre_cmd <= '0' ; sig_sm_ld_scatter_cmd <= '0' ; sig_sm_pop_cmd_fifo <= '0' ; else sig_cmdcntl_sm_state <= sig_cmdcntl_sm_state_ns ; sig_sm_ld_dre_cmd <= sig_sm_ld_dre_cmd_ns ; sig_sm_ld_scatter_cmd <= sig_sm_ld_scatter_cmd_ns ; sig_sm_pop_cmd_fifo <= sig_sm_pop_cmd_fifo_ns ; end if; end if; end process CMDCNTL_SM_REGISTERED; ------------------------------------------------------------------------- -------- DRE Instance and controls ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_DRE -- -- If Generate Description: -- Includes the instance for the DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_DRE : if (INCLUDE_DRE) generate signal lsig_eop_reg : std_logic := '0'; signal lsig_dre_load_beat : std_logic := '0'; signal lsig_dre_tlast_output_beat : std_logic := '0'; signal lsig_set_eop : std_logic := '0'; signal lsig_tlast_err_reg1 : std_logic := '0'; signal lsig_tlast_err_reg2 : std_logic := '0'; signal lsig_push_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pushreg_full : std_logic := '0'; signal lsig_pushreg_empty : std_logic := '0'; signal lsig_pull_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pullreg_full : std_logic := '0'; signal lsig_pullreg_empty : std_logic := '0'; signal lsig_pull_new_offset : std_logic := '0'; signal lsig_push_new_offset : std_logic := '0'; begin ------------------------------------------------------------ -- Instance: I_S2MM_DRE_BLOCK -- -- Description: -- Instance for the S2MM Data Realignment Engine (DRE) -- ------------------------------------------------------------ I_S2MM_DRE_BLOCK : entity axi_datamover_v5_1.axi_datamover_s2mm_dre generic map ( C_DWIDTH => C_STREAM_DWIDTH , C_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH ) port map ( -- Clock and Reset dre_clk => primary_aclk , dre_rst => mmap_reset , -- Alignment Control (Independent from Stream Input timing) dre_align_ready => sig_dre_align_ready , dre_align_valid => sig_sm_ld_dre_cmd , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_scatter2dre_src_align , dre_dest_align => sig_dre_dest_align , -- Flush Control (Aligned to input Stream timing) dre_flush => sig_scatter2dre_flush , -- Stream Inputs dre_in_tstrb => sig_scatter2dre_tstrb , dre_in_tdata => sig_scatter2dre_tdata , dre_in_tlast => sig_scatter2dre_tlast , dre_in_tvalid => sig_scatter2dre_tvalid , dre_in_tready => sig_dre2scatter_tready , -- Stream Outputs dre_out_tstrb => sig_dre2wdc_tstrb , dre_out_tdata => sig_dre2wdc_tdata , dre_out_tlast => sig_dre2wdc_tlast , dre_out_tvalid => sig_dre2wdc_tvalid , dre_out_tready => sig_wdc2dre_tready ); lsig_dre_load_beat <= sig_scatter2dre_tvalid and sig_dre2scatter_tready; lsig_set_eop <= sig_scatter2drc_eop and lsig_dre_load_beat ; lsig_dre_tlast_output_beat <= sig_dre2wdc_tvalid and sig_wdc2dre_tready and sig_dre2wdc_tlast; dre2wdc_eop <= lsig_dre_tlast_output_beat and lsig_eop_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_EOP_REG -- -- Process Description: -- Implements a flop for holding the EOP from the Scatter -- Engine until the corresponding packet clears out of the DRE. -- THis is used to transfer the EOP marker to the DRE output -- stream without the need for the DRE to pass it through. -- ------------------------------------------------------------- IMP_EOP_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (lsig_dre_tlast_output_beat = '1' and lsig_set_eop = '0')) then lsig_eop_reg <= '0'; elsif (lsig_set_eop = '1') then lsig_eop_reg <= '1'; else null; -- Hold current state end if; end if; end process IMP_EOP_REG; -- Delay TLAST Error by 2 clocks to compensate for DRE minimum -- delay of 2 clocks for the stream data. sig_tlast_err0r <= lsig_tlast_err_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_DELAY -- -- Process Description: -- Implements a 2 clock delay to better align the TLAST -- error detection with the Stream output data to the WDC -- which has a minimum 2 clock delay through the DRE. -- ------------------------------------------------------------- IMP_TLAST_ERR_DELAY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_tlast_err_reg1 <= '0'; lsig_tlast_err_reg2 <= '0'; else lsig_tlast_err_reg1 <= sig_scatter2all_tlast_error; lsig_tlast_err_reg2 <= lsig_tlast_err_reg1; end if; end if; end process IMP_TLAST_ERR_DELAY; ------------------------------------------------------------------------- -- Store and Forward Start Address Offset Registers Logic -- Push-pull register is used to to time align the starting address -- offset (ripped from the Realigner command via parsing) to DRE -- TLAST output timing. The offset output of the pull register must -- be valid on the first output databeat of the DRE to the Store and -- Forward module. ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= lsig_pull_strt_offset_reg; -- lsig_push_new_offset <= sig_dre_align_ready and -- sig_gated_dre_align_valid ; lsig_push_new_offset <= sig_sm_ld_dre_cmd ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH_STRT_OFFSET_REG -- -- Process Description: -- Implements the input register for holding the starting address -- offset sent to the external Store and Forward functions. -- ------------------------------------------------------------- IMP_PUSH_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; elsif (lsig_push_new_offset = '1') then lsig_push_strt_offset_reg <= sig_curr_strt_offset_reg; lsig_pushreg_full <= '1'; lsig_pushreg_empty <= '0'; elsif (lsig_pull_new_offset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PUSH_STRT_OFFSET_REG; -- Pull the next offset (if one exists) into the pull register -- when the DRE outputs a TLAST. If the pull register is empty -- and the push register has an offset, then push the new value -- into the pull register. lsig_pull_new_offset <= (sig_dre2wdc_tlast and sig_dre2wdc_tvalid and sig_wdc2dre_tready) or (lsig_pushreg_full and lsig_pullreg_empty); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PULL_STRT_OFFSET_REG -- -- Process Description: -- Implements the output register for holding the starting -- address offset sent to the Store and Forward modul's upsizer -- logic. -- ------------------------------------------------------------- IMP_PULL_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '1') then lsig_pull_strt_offset_reg <= lsig_push_strt_offset_reg; lsig_pullreg_full <= '1'; lsig_pullreg_empty <= '0'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '0') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PULL_STRT_OFFSET_REG; end generate GEN_INCLUDE_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_DRE -- -- If Generate Description: -- Omits the DRE from the Re-aligner. -- -- ------------------------------------------------------------ GEN_OMIT_DRE : if (OMIT_DRE) generate begin -- DRE always ready sig_dre_align_ready <= '1'; -- -- Let the Scatter engine control the Realigner command -- -- flow. -- sig_dre_align_ready <= sig_scatter2drc_cmd_ready; -- Pass through signal connections sig_dre2wdc_tstrb <= sig_scatter2dre_tstrb ; sig_dre2wdc_tdata <= sig_scatter2dre_tdata ; sig_dre2wdc_tlast <= sig_scatter2dre_tlast ; sig_dre2wdc_tvalid <= sig_scatter2dre_tvalid ; sig_dre2scatter_tready <= sig_wdc2dre_tready ; dre2wdc_eop <= sig_scatter2drc_eop ; -- Just pass TLAST Error through when no DRE is present sig_tlast_err0r <= sig_scatter2all_tlast_error; ------------------------------------------------------------------------- -------- Store and Forward Start Address Offset Register Logic ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= sig_output_strt_offset_reg; sig_ld_strt_offset <= sig_sm_ld_dre_cmd; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STRT_OFFSET_OUTPUT -- -- Process Description: -- Implements the register for holding the starting address -- offset sent to the S2MM Store and Forward module's upsizer -- logic. -- ------------------------------------------------------------- IMP_STRT_OFFSET_OUTPUT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_output_strt_offset_reg <= (others => '0'); elsif (sig_ld_strt_offset = '1') then sig_output_strt_offset_reg <= sig_curr_strt_offset_reg; else null; -- Hold Current State end if; end if; end process IMP_STRT_OFFSET_OUTPUT; end generate GEN_OMIT_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SCATTER -- -- If Generate Description: -- This IfGen implements the Scatter function which is a pre- -- processor for the S2MM DRE. The scatter function breaks up -- a continous input stream of data into constituant parts -- as described by a set of loaded commands that together -- describe an entire input packet. -- ------------------------------------------------------------ GEN_INCLUDE_SCATTER : if (C_SUPPORT_SCATTER = 1) generate begin -- Load the Scatter Engine command when the DRE command -- is loaded -- sig_drc2scatter_push_cmd <= sig_dre_align_ready and -- sig_gated_dre_align_valid; sig_drc2scatter_push_cmd <= sig_sm_ld_scatter_cmd ; -- Assign the new Bytes to Transfer (BTT) qualifier for the -- Scatter Engine sig_drc2scatter_btt <= sig_curr_btt_reg; -- Assign the new End of Frame (EOF) qualifier for the -- Scatter Engine sig_drc2scatter_eof <= sig_curr_eof_reg; ------------------------------------------------------------ -- Instance: I_S2MM_SCATTER -- -- Description: -- Instance for the Scatter Engine. This block breaks up a -- input stream per commands loaded. -- ------------------------------------------------------------ I_S2MM_SCATTER : entity axi_datamover_v5_1.axi_datamover_s2mm_scatter generic map ( C_ENABLE_INDET_BTT => C_ENABLE_INDET_BTT , C_DRE_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_BTT_USED => BTT_WIDTH , C_STREAM_DWIDTH => C_STREAM_DWIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input & Reset input primary_aclk => primary_aclk , mmap_reset => mmap_reset , -- DRE Realign Controller I/O ---------------------------- scatter2drc_cmd_ready => sig_scatter2drc_cmd_ready , drc2scatter_push_cmd => sig_drc2scatter_push_cmd , drc2scatter_btt => sig_drc2scatter_btt , drc2scatter_eof => sig_drc2scatter_eof , -- DRE Source Alignment ----------------------------------- scatter2drc_src_align => sig_scatter2dre_src_align , -- AXI Slave Stream In ----------------------------------- s2mm_strm_tready => sig_scatter2strm_tready , s2mm_strm_tvalid => sig_strm2scatter_tvalid , s2mm_strm_tdata => sig_strm2scatter_tdata , s2mm_strm_tstrb => sig_strm2scatter_tstrb , s2mm_strm_tlast => sig_strm2scatter_tlast , -- Stream Out to S2MM DRE --------------------------------- drc2scatter_tready => sig_dre2scatter_tready , scatter2drc_tvalid => sig_scatter2dre_tvalid , scatter2drc_tdata => sig_scatter2dre_tdata , scatter2drc_tstrb => sig_scatter2dre_tstrb , scatter2drc_tlast => sig_scatter2dre_tlast , scatter2drc_flush => sig_scatter2dre_flush , scatter2drc_eop => sig_scatter2drc_eop , -- Premature TLAST assertion error flag scatter2drc_tlast_error => sig_scatter2all_tlast_error ); end generate GEN_INCLUDE_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SCATTER -- -- If Generate Description: -- This IfGen omits the Scatter pre-processor. -- -- ------------------------------------------------------------ GEN_OMIT_SCATTER : if (C_SUPPORT_SCATTER = 0) generate begin -- Just housekeep the signaling sig_scatter2drc_cmd_ready <= '1' ; sig_scatter2drc_eop <= sig_strm2scatter_tlast ; sig_scatter2dre_src_align <= sig_dre_src_align ; sig_scatter2all_tlast_error <= '0' ; sig_scatter2dre_flush <= sig_dre_flush ; sig_scatter2dre_tstrb <= sig_strm2scatter_tstrb ; sig_scatter2dre_tdata <= sig_strm2scatter_tdata ; sig_scatter2dre_tlast <= sig_strm2scatter_tlast ; sig_scatter2dre_tvalid <= sig_strm2scatter_tvalid ; sig_scatter2strm_tready <= sig_dre2scatter_tready ; end generate GEN_OMIT_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omit and special logic for Indeterminate BTT support. -- -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_need_cmd_flush <= '0' ; -- not needed without Indeterminate BTT end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ENABLE_INDET_BTT -- -- If Generate Description: -- Include logic for the case when Indeterminate BTT is -- included as part of the S2MM. In this mode, the actual -- length of input stream packets is not known when the S2MM -- is loaded with a transfer command. -- ------------------------------------------------------------ GEN_ENABLE_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate signal lsig_clr_cmd_flush : std_logic := '0'; signal lsig_set_cmd_flush : std_logic := '0'; signal lsig_cmd_set_fetch_pause : std_logic := '0'; signal lsig_cmd_clr_fetch_pause : std_logic := '0'; signal lsig_cmd_fetch_pause : std_logic := '0'; begin lsig_cmd_set_fetch_pause <= sig_drc2scatter_push_cmd and not(sig_curr_cmd_cmplt_reg) and not(sig_need_cmd_flush); lsig_cmd_clr_fetch_pause <= sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2dre_tlast; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FETCH_PAUSE -- -- Process Description: -- Implements the flop for the flag that causes the command -- queue manager to pause fetching the next command if the -- current command does not have the command complete bit set. -- The pause remains set until the associated TLAST for the -- command is output from the Scatter Engine. If the Tlast is -- also accompanied by a EOP and the pause is set, then the -- ensuing command (which will have the cmd cmplt bit set) must -- be flushed from the queue and not loaded into the Scatter -- Engine or DRE, This is normally associated with indeterminate -- packets that are actually shorter than the intial align to -- max burst child command sent to the Realigner, The next loaded -- child command is to finish the remainder of the indeterminate -- packet up to the full BTT value in the original parent command. -- This child command becomes stranded in the Realigner command fifo -- and has to be flushed. -- ------------------------------------------------------------- IMP_CMD_FETCH_PAUSE : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_cmd_clr_fetch_pause = '1') then lsig_cmd_fetch_pause <= '0'; elsif (lsig_cmd_set_fetch_pause = '1') then lsig_cmd_fetch_pause <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FETCH_PAUSE; -- Clear the flush needed flag when the command with the command -- complete marker is popped off of the command queue. lsig_clr_cmd_flush <= sig_need_cmd_flush and sig_sm_pop_cmd_fifo; -- The command queue has to be flushed if the stream EOP marker -- is transfered out of the Scatter Engine when the corresponding -- command being executed does not have the command complete -- marker set. lsig_set_cmd_flush <= lsig_cmd_fetch_pause and sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2drc_eop; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FLUSH_FLOP -- -- Process Description: -- Implements the flop for holding the command flush flag. -- This is only needed in Indeterminate BTT mode. -- ------------------------------------------------------------- IMP_CMD_FLUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_clr_cmd_flush = '1') then sig_need_cmd_flush <= '0'; elsif (lsig_set_cmd_flush = '1') then sig_need_cmd_flush <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FLUSH_FLOP; end generate GEN_ENABLE_INDET_BTT; end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_addr_cntl.vhd
5
41873
---------------------------------------------------------------------------- -- axi_sg_addr_cntl.vhd ---------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_addr_cntl.vhd -- -- Description: -- This file implements the axi_sg Master Address Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1; Use axi_sg_v4_1.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_addr_cntl is generic ( C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4; -- sets the depth of the Command Queue FIFO C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the address bus width C_ADDR_ID : Integer range 0 to 255 := 0; -- Sets the value to be on the AxID output C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the AxID output C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Command Tag field width C_FAMILY : String := "virtex7" -- Specifies the target FPGA family ); port ( -- Clock input --------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------ -- AXI Address Channel I/O -------------------------------------------- addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- addr2axi_alen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- addr2axi_asize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- addr2axi_aburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_acache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_auser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_aprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- addr2axi_avalid : out std_logic; -- -- AXI Address Channel VALID output -- -- axi2addr_aready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- Command Calculation Interface ----------------------------------------- mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : In std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- Sized to support 256 data beat bursts -- -- mstr2addr_size : In std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : In std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_user : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cmd_cmplt : In std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2addr_cmd_valid : in std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : out std_logic; -- -- Indication to the Command Calculator that the -- -- command is being accepted -- -------------------------------------------------------------------------- -- Halted Indication to Reset Module ------------------------------ addr2rst_stop_cmplt : out std_logic; -- -- Output flag indicating the address controller has stopped -- -- posting commands to the Address Channel due to a stop -- -- request vai the data2addr_stop_req input port -- ------------------------------------------------------------------ -- Address Generation Control --------------------------------------- allow_addr_req : in std_logic; -- -- Input used to enable/stall the posting of address requests. -- -- 0 = stall address request generation. -- -- 1 = Enable Address request geneartion -- -- addr_req_posted : out std_logic; -- -- Indication from the Address Channel Controller to external -- -- User logic that an address has been posted to the -- -- AXI Address Channel. -- --------------------------------------------------------------------- -- Data Channel Interface --------------------------------------------- addr2data_addr_posted : Out std_logic; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel. -- -- data2addr_data_rdy : In std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer requset until the -- -- corresponding data is ready. This is expected to be held in -- -- the asserted state until the addr2data_addr_posted signal is -- -- asserted. -- -- data2addr_stop_req : In std_logic; -- -- Indication that the Data Channel has encountered an error -- -- or a soft shutdown request and needs the Address Controller -- -- to stop posting commands to the AXI Address channel -- ----------------------------------------------------------------------- -- Status Module Interface --------------------------------------- addr2stat_calc_error : out std_logic; -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is loaded with a Calc error -- -- addr2stat_cmd_fifo_empty : out std_logic -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is empty -- ------------------------------------------------------------------ ); end entity axi_sg_addr_cntl; architecture implementation of axi_sg_addr_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constant Declarations -------------------------------------------- Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0'); --'0' & -- bit 2, Normal Access --'0' & -- bit 1, Nonsecure Access --'0'; -- bit 0, Data Access Constant LEN_WIDTH : integer := 8; Constant SIZE_WIDTH : integer := 3; Constant BURST_WIDTH : integer := 2; Constant CMD_CMPLT_WIDTH : integer := 1; Constant CALC_ERROR_WIDTH : integer := 1; Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width C_ADDR_WIDTH + -- Cmd Address field width LEN_WIDTH + -- Cmd Len field width SIZE_WIDTH + -- Cmd Size field width BURST_WIDTH + -- Cmd Burst field width CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width CALC_ERROR_WIDTH + -- Cmd Calc Error flag 8; -- Cmd Cache, user fields Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; -- Signal Declarations -------------------------------------------- signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0'); signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0'); signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_avalid : std_logic := '0'; signal sig_axi_aready : std_logic := '0'; signal sig_addr_posted : std_logic := '0'; signal sig_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_calc_error : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0'); signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0'); signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0'); signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_addr_valid_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_pop_addr_reg : std_logic := '0'; signal sig_push_addr_reg : std_logic := '0'; signal sig_addr_reg_empty : std_logic := '0'; signal sig_addr_reg_full : std_logic := '0'; signal sig_posted_to_axi : std_logic := '0'; -- obsoleted signal sig_set_wfd_flop : std_logic := '0'; -- obsoleted signal sig_clr_wfd_flop : std_logic := '0'; -- obsoleted signal sig_wait_for_data : std_logic := '0'; -- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0'; signal sig_allow_addr_req : std_logic := '0'; signal sig_posted_to_axi_2 : std_logic := '0'; signal new_cmd_in : std_logic; signal first_addr_valid : std_logic; signal first_addr_valid_del : std_logic; signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal addr2axi_cache_int : std_logic_vector (7 downto 0); signal addr2axi_cache_int1 : std_logic_vector (7 downto 0); signal last_one : std_logic; signal latch : std_logic; signal first_one : std_logic; signal latch_n : std_logic; signal latch_n_del : std_logic; signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no"; begin --(architecture implementation) -- AXI I/O Port assignments addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH)); addr2axi_aaddr <= sig_axi_addr ; addr2axi_alen <= sig_axi_alen ; addr2axi_asize <= sig_axi_asize ; addr2axi_aburst <= sig_axi_aburst; addr2axi_acache <= sig_axi_acache; addr2axi_auser <= sig_axi_auser; addr2axi_aprot <= APROT_VALUE ; addr2axi_avalid <= sig_axi_avalid; sig_axi_aready <= axi2addr_aready; -- Command Calculator Handshake output sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ; addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Data Channel Controller synchro pulse output addr2data_addr_posted <= sig_addr_posted; -- Status Module Interface outputs addr2stat_calc_error <= sig_calc_error ; addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and sig_cmd_fifo_empty; -- Flag Indicating the Address Controller has completed a Stop addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case sig_addr_reg_empty) or (data2addr_stop_req and -- shutdown after error trap sig_calc_error); -- Assign the address posting control and status sig_allow_addr_req <= allow_addr_req ; addr_req_posted <= sig_posted_to_axi_2 ; -- Internal logic ------------------------------ ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_FIFO -- -- If Generate Description: -- Implements the case where the cmd qualifier depth is -- greater than 1. -- ------------------------------------------------------------ -- GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate -- -- begin -- -- -- Format the input FIFO data word -- -- sig_aq_fifo_data_in <= mstr2addr_cache & -- mstr2addr_user & -- mstr2addr_calc_error & -- mstr2addr_cmd_cmplt & -- mstr2addr_burst & -- mstr2addr_size & -- mstr2addr_len & -- mstr2addr_addr & -- mstr2addr_tag ; -- -- -- -- -- Rip fields from FIFO output data word -- sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 7) -- downto -- (C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 4) -- ); -- -- sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 3) -- downto -- (C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH) -- ); -- -- -- sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH)-1); -- -- -- sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH)-1); -- -- -- sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH) ; -- -- sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH) ; -- -- sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH) ; -- -- sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH)-1 -- downto -- C_TAG_WIDTH) ; -- -- sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0); -- -- -- -- ------------------------------------------------------------ -- -- Instance: I_ADDR_QUAL_FIFO -- -- -- -- Description: -- -- Instance for the Address/Qualifier FIFO -- -- -- ------------------------------------------------------------ -- I_ADDR_QUAL_FIFO : entity axi_sg_v4_1.axi_sg_fifo -- generic map ( -- -- C_DWIDTH => ADDR_QUAL_WIDTH , -- C_DEPTH => C_ADDR_FIFO_DEPTH , -- C_IS_ASYNC => USE_SYNC_FIFO , -- C_PRIM_TYPE => FIFO_PRIM_TYPE , -- C_FAMILY => C_FAMILY -- -- ) -- port map ( -- -- -- Write Clock and reset -- fifo_wr_reset => mmap_reset , -- fifo_wr_clk => primary_aclk , -- -- -- Write Side -- fifo_wr_tvalid => sig_fifo_wr_cmd_valid , -- fifo_wr_tready => sig_fifo_wr_cmd_ready , -- fifo_wr_tdata => sig_aq_fifo_data_in , -- fifo_wr_full => open , -- -- -- -- Read Clock and reset -- fifo_async_rd_reset => mmap_reset , -- fifo_async_rd_clk => primary_aclk , -- -- -- Read Side -- fifo_rd_tvalid => sig_fifo_rd_cmd_valid , -- fifo_rd_tready => sig_fifo_rd_cmd_ready , -- fifo_rd_tdata => sig_aq_fifo_data_out , -- fifo_rd_empty => sig_cmd_fifo_empty -- -- ); -- -- -- -- end generate GEN_ADDR_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_ADDR_FIFO -- -- If Generate Description: -- Implements the case where no additional FIFOing is needed -- on the input command address/qualifiers. -- ------------------------------------------------------------ GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate begin -- Bypass FIFO sig_fifo_next_tag <= mstr2addr_tag ; sig_fifo_next_addr <= mstr2addr_addr ; sig_fifo_next_len <= mstr2addr_len ; sig_fifo_next_size <= mstr2addr_size ; sig_fifo_next_burst <= mstr2addr_burst ; sig_fifo_next_cache <= mstr2addr_cache ; sig_fifo_next_user <= mstr2addr_user ; sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ; sig_fifo_calc_error <= mstr2addr_calc_error ; sig_cmd_fifo_empty <= sig_addr_reg_empty ; sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ; sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ; end generate GEN_NO_ADDR_FIFO; -- Output Register Logic ------------------------------------------- sig_axi_addr <= sig_next_addr_reg ; sig_axi_alen <= sig_next_len_reg ; sig_axi_asize <= sig_next_size_reg ; sig_axi_aburst <= sig_next_burst_reg ; sig_axi_acache <= sig_next_cache_reg ; sig_axi_auser <= sig_next_user_reg ; sig_axi_avalid <= sig_addr_valid_reg ; sig_calc_error <= sig_calc_error_reg ; sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_addr_posted <= sig_posted_to_axi ; -- Internal signals sig_push_addr_reg <= sig_addr_reg_empty and sig_fifo_rd_cmd_valid and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_pop_addr_reg <= not(sig_calc_error_reg) and sig_axi_aready and sig_addr_reg_full; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_FIFO_REG -- -- Process Description: -- This process implements a register for the Address -- Control FIFO that operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_ADDR_FIFO_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_pop_addr_reg = '1') then sig_next_tag_reg <= (others => '0') ; sig_next_addr_reg <= (others => '0') ; sig_next_len_reg <= (others => '0') ; sig_next_size_reg <= (others => '0') ; sig_next_burst_reg <= (others => '0') ; sig_next_cache_reg <= (others => '0') ; sig_next_user_reg <= (others => '0') ; sig_next_cmd_cmplt_reg <= '0' ; sig_addr_valid_reg <= '0' ; sig_calc_error_reg <= '0' ; sig_addr_reg_empty <= '1' ; sig_addr_reg_full <= '0' ; elsif (sig_push_addr_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_addr_reg <= sig_fifo_next_addr ; sig_next_len_reg <= sig_fifo_next_len ; sig_next_size_reg <= sig_fifo_next_size ; sig_next_burst_reg <= sig_fifo_next_burst ; sig_next_cache_reg <= sig_fifo_next_cache ; sig_next_user_reg <= sig_fifo_next_user ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_addr_valid_reg <= not(sig_fifo_calc_error); sig_calc_error_reg <= sig_fifo_calc_error ; sig_addr_reg_empty <= '0' ; sig_addr_reg_full <= '1' ; else null; -- don't change state end if; end if; end process IMP_ADDR_FIFO_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_POSTED_FLAG -- -- Process Description: -- This implements a FLOP that creates a 1 clock wide pulse -- indicating a new address/qualifier set has been posted to -- the AXI Addres Channel outputs. This is used to synchronize -- the Data Channel Controller. -- ------------------------------------------------------------- IMP_POSTED_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; elsif (sig_push_addr_reg = '1') then sig_posted_to_axi <= '1'; sig_posted_to_axi_2 <= '1'; else sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; end if; end if; end process IMP_POSTED_FLAG; -- PROC_CMD_DETECT : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_addr_valid_del <= first_addr_valid; -- end if; -- end process PROC_CMD_DETECT; -- -- PROC_ADDR_DET : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= (others => '0'); -- last_addr_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then -- first_addr_valid <= '1'; -- first_addr_int <= mstr2addr_addr; -- last_addr_int <= last_addr_int; -- elsif (mstr2addr_cmd_cmplt = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= first_addr_int; -- last_addr_int <= mstr2addr_addr; -- end if; -- end if; -- end process PROC_ADDR_DET; -- -- latch <= first_addr_valid and (not first_addr_valid_del); -- latch_n <= (not first_addr_valid) and first_addr_valid_del; -- -- PROC_CACHE1 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- mstr2addr_cache_info_int <= (others => '0'); -- latch_n_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (latch_n = '1') then -- mstr2addr_cache_info_int <= mstr2addr_cache_info; -- end if; -- latch_n_del <= latch_n; -- end if; -- end process PROC_CACHE1; -- -- -- PROC_CACHE : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int1 <= (others => '0'); -- first_one <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_one <= '0'; ---- if (latch = '1' and first_one = '0') then -- first one -- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then -- addr2axi_cache_int1 <= mstr2addr_cache_info; ---- first_one <= '1'; ---- elsif (latch_n_del = '1') then ---- addr2axi_cache_int <= mstr2addr_cache_info_int; -- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- end if; -- end if; -- end process PROC_CACHE; -- -- -- PROC_CACHE2 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- addr2axi_cache_int <= addr2axi_cache_int1; -- end if; -- end process PROC_CACHE2; -- --addr2axi_cache <= addr2axi_cache_int (3 downto 0); --addr2axi_user <= addr2axi_cache_int (7 downto 4); -- end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sts_mngr.vhd
1
11867
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sts_mngr.vhd -- Description: This entity mangages 'halt' and 'idle' status for the S2MM -- channel -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sts_mngr is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- system state -- s2mm_run_stop : in std_logic ; -- s2mm_ftch_idle : in std_logic ; -- s2mm_updt_idle : in std_logic ; -- s2mm_cmnd_idle : in std_logic ; -- s2mm_sts_idle : in std_logic ; -- -- -- stop and halt control/status -- s2mm_stop : in std_logic ; -- s2mm_halt_cmplt : in std_logic ; -- -- -- system control -- s2mm_all_idle : out std_logic ; -- s2mm_halted_clr : out std_logic ; -- s2mm_halted_set : out std_logic ; -- s2mm_idle_set : out std_logic ; -- s2mm_idle_clr : out std_logic -- ); end axi_dma_s2mm_sts_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sts_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal all_is_idle : std_logic := '0'; signal all_is_idle_d1 : std_logic := '0'; signal all_is_idle_re : std_logic := '0'; signal all_is_idle_fe : std_logic := '0'; signal s2mm_datamover_idle : std_logic := '0'; signal s2mm_halt_cmpt_d1_cdc_tig : std_logic := '0'; signal s2mm_halt_cmpt_cdc_d2 : std_logic := '0'; signal s2mm_halt_cmpt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s2mm_halt_cmpt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_halt_cmpt_cdc_d2 : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- all is idle when all is idle all_is_idle <= s2mm_ftch_idle and s2mm_updt_idle and s2mm_cmnd_idle and s2mm_sts_idle; s2mm_all_idle <= all_is_idle; ------------------------------------------------------------------------------- -- For data mover halting look at halt complete to determine when halt -- is done and datamover has completly halted. If datamover not being -- halted then can ignore flag thus simply flag as idle. ------------------------------------------------------------------------------- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt_cmplt will remain asserted until detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s2mm_halt_cmplt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s2mm_halt_cmpt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then ---- if(m_axi_sg_aresetn = '0')then ---- s2mm_halt_cmpt_d1_cdc_tig <= '0'; ---- s2mm_halt_cmpt_d2 <= '0'; ---- else -- s2mm_halt_cmpt_d1_cdc_tig <= s2mm_halt_cmplt; -- s2mm_halt_cmpt_cdc_d2 <= s2mm_halt_cmpt_d1_cdc_tig; ---- end if; -- end if; -- end process REG_TO_SECONDARY; s2mm_halt_cmpt_d2 <= s2mm_halt_cmpt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through s2mm_halt_cmpt_d2 <= s2mm_halt_cmplt; end generate GEN_FOR_SYNC; s2mm_datamover_idle <= '1' when (s2mm_stop = '1' and s2mm_halt_cmpt_d2 = '1') or (s2mm_stop = '0') else '0'; ------------------------------------------------------------------------------- -- Set halt bit if run/stop cleared and all processes are idle ------------------------------------------------------------------------------- HALT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_halted_set <= '0'; elsif(s2mm_run_stop = '0' and all_is_idle = '1' and s2mm_datamover_idle = '1')then s2mm_halted_set <= '1'; else s2mm_halted_set <= '0'; end if; end if; end process HALT_PROCESS; ------------------------------------------------------------------------------- -- Clear halt bit if run/stop is set and SG engine begins to fetch descriptors ------------------------------------------------------------------------------- NOT_HALTED_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_halted_clr <= '0'; elsif(s2mm_run_stop = '1')then s2mm_halted_clr <= '1'; else s2mm_halted_clr <= '0'; end if; end if; end process NOT_HALTED_PROCESS; ------------------------------------------------------------------------------- -- Register ALL is Idle to create rising and falling edges on idle flag ------------------------------------------------------------------------------- IDLE_REG_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then all_is_idle_d1 <= '0'; else all_is_idle_d1 <= all_is_idle; end if; end if; end process IDLE_REG_PROCESS; all_is_idle_re <= all_is_idle and not all_is_idle_d1; all_is_idle_fe <= not all_is_idle and all_is_idle_d1; -- Set or Clear IDLE bit in DMASR s2mm_idle_set <= all_is_idle_re and s2mm_run_stop; s2mm_idle_clr <= all_is_idle_fe; end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/muxf_struct_f.vhd
15
15871
------------------------------------------------------------------------------- -- $Id: muxf_struct_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: muxf_struct_f.vhd -- -- Description: Given a vector of input bits, Iv (not necessarily a -- power of two). and a select value, Sel, this block -- will build the multiplexing function -- -- O <= Iv(Sel) -- -- using the MUXF (MUXF5, MUXF6, etc.) primitives of -- the target FPGA family, C_FAMILY, if possible and, -- otherwise, using inferred multiplexers. -- -- Since MUXF primitives are targeted, it is proper -- that the Iv signals are driven by LUTs. -- -- A help entity, muxf_struct, which is instantiated -- recursively, is used to facilitate the implementation. -- (So, compiling this file will add two entities, -- muxf_struct and muxf_struct_f, to the target library.) -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- muxf_struct_f.vhd -- muxf_struct (entity and architecture in this file) -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 2007-12-12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( -------------------------------------------------------------------------------- -- This is a helper entity. The entity declaration for muxf_struct_f is -- further, below. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.family_support.all; -- supported, primitives_type library unisim; entity muxf_struct is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_NI_PO2E : positive; -- Num Inputs, Power-of-2 Envelope C_FAMILY : string ); port ( LO : out std_logic; -- Normally only one of O : out std_logic; -- LO or O would be used. Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NI_PO2E)-1) ); end entity muxf_struct; library proc_common_v4_0; library unisim; use unisim.all; -- Makes unisim entities available for default binding. -------------------------------------------------------------------------------- -- Line-length guideline purposely not followed in some places to expose parallel code structures. -------------------------------------------------------------------------------- architecture imp of muxf_struct is -- type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); -- constant SIZE : natural := Iv'length; constant PO2E : natural := C_NI_PO2E; constant THIS_LEVEL : natural := C_START_LEVEL + clog2(PO2E); constant K_FAMILY : families_type := str2fam(C_FAMILY); constant S5 : boolean := supported(K_FAMILY, u_MUXF5_D) and THIS_LEVEL = 5; constant S6 : boolean := supported(K_FAMILY, u_MUXF6_D) and THIS_LEVEL = 6; constant S7 : boolean := supported(K_FAMILY, u_MUXF7_D) and THIS_LEVEL = 7; constant S8 : boolean := supported(K_FAMILY, u_MUXF8_D) and THIS_LEVEL = 8; constant INFERRED : boolean := not(S5 or S6 or S7 or S8); -- signal s, i0, i1 : std_logic; -- If there is no i1 at a particular mux level, -- it is left undriven and s is tied to '0'. component MUXF5_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF6_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF7_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF8_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; begin -- Below, some generates and component instantiations are one per line -- to show similarities and differences. ---------------------------------------------------------------------------- -- Base instance, just one or two inputs, no recursion. ---------------------------------------------------------------------------- E2_GEN : if PO2E=2 and SIZE=2 generate s <= Sel(0); i0 <= Iv(0); i1 <= Iv(1); end generate; E1_GEN : if PO2E=2 and SIZE=1 generate s <= '0'; i0 <= Iv(0); end generate;-- No driver for i1 ---------------------------------------------------------------------------- -- Use recursion to get lower-level mux structures to feed the mux at -- this level. ---------------------------------------------------------------------------- GT2_GEN : if PO2E > 2 generate constant NE : natural := PO2E/2; -- Next envelope. constant BOTH : boolean := (SIZE > NE); -- Needs recursive call for -- both the left and right sides; otherwise just a left-side -- recursive call is needed (with C_NI_PO2E reduced by half) and Iv -- passed down unchanged. constant LSIZE : natural := bo2na(BOTH) * (2**(clog2(SIZE))/2) + bo2na(not BOTH) * SIZE; -- 1st option above: LSIZE is next smaller power of 2 -- 2nd option above: SIZE is passed down unchanged begin LEFT_GEN : IF true generate I_I0 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i0, O => open, Iv => Iv(0 to LSIZE-1), Sel => Sel(1 to Sel'right) ) ; end generate; RIGHT_GEN : IF BOTH generate I_I1 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => SIZE-LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i1, O => open, Iv => Iv(LSIZE to SIZE-1), Sel => Sel(1 to Sel'right) ) ; s <= Sel(0); end generate; LEFT_ONLY_GEN : IF not BOTH generate s <= '0'; end generate; end generate; -- Instantiate the mux at this level. -- -- Structurals S5_GEN : if S5 generate I_F5 : component MUXF5_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S6_GEN : if S6 generate I_F6 : component MUXF6_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S7_GEN : if S7 generate I_F7 : component MUXF7_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S8_GEN : if S8 generate I_F8 : component MUXF8_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; -- Inferred INFERRED_GEN : if INFERRED generate signal h : std_logic; begin h <= i0 when s = '0' else i1 ; LO <= h; O <= h; END generate; end architecture imp; ---) ---( -------------------------------------------------------------------------------- -- Generic descriptions -------------------------------------------------------------------------------- -- C_START_LEVEL : natural - The size of the LUTs feeding into MUXFN network. -- For example, for six-input LUTs, -- C__START_LEVEL = 6 and the first level of muxes -- are MUXF7. -- C_NUM_INPUTS : positive - The number of inputs to be muxed. -- C_FAMILY : string - The target FPGA family. -------------------------------------------------------------------------------- -- Port descriptions -------------------------------------------------------------------------------- -- O : out std_logic - Mux ouput -- Iv : in std_logic_vector(0 to C_NUM_INPUTS-1) - Mux inputs -- Sel: in std_logic_vector(0 to log2(C_NUM_INPUTS) - 1) - Select lines. -- - The Iv values must be ordered such that the correct -- - one is selected according to O <= Iv(Sel). -------------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- entity muxf_struct_f is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_FAMILY : string ); port ( O : out std_logic; Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NUM_INPUTS) - 1) ); end muxf_struct_f; architecture imp of muxf_struct_f is begin MUXF_STRUCT_I : entity proc_common_v4_0.muxf_struct generic map ( C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => C_NUM_INPUTS, C_NI_PO2E => 2**clog2(C_NUM_INPUTS), C_FAMILY => C_FAMILY ) port map ( LO => open, O => O, Iv => Iv, Sel => Sel ); end imp; ---)
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_afifo_autord.vhd
1
15528
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_sg_afifo_autord is generic ( C_DWIDTH : integer := 32; C_DEPTH : integer := 16; C_CNT_WIDTH : Integer := 5; C_USE_BLKMEM : Integer := 0 ; C_USE_AUTORD : Integer := 1; C_FAMILY : String := "virtex7" ); port ( -- Inputs AFIFO_Ainit : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- -- -- Outputs -- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ); end entity axi_sg_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_sg_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : integer range 0 to C_DEPTH+1 := 0; signal rd_count_int_corr : integer range 0 to C_DEPTH+1 := 0; signal rd_count_int_corr_minus1 : integer range 0 to C_DEPTH+1 := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; Signal first_write : std_logic := '0'; Signal first_read : std_logic := '0'; Signal first_read1 : std_logic := '0'; -- Component declarations ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; GEN_EMPTY : if (C_USE_AUTORD = 1) generate begin AFIFO_Empty <= corrected_empty; end generate GEN_EMPTY; GEN_EMPTY1 : if (C_USE_AUTORD = 0) generate begin AFIFO_Empty <= sig_afifo_empty; end generate GEN_EMPTY1; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity proc_common_v4_0.async_fifo_fg generic map ( -- C_ALLOW_2N_DEPTH => 1, C_ALLOW_2N_DEPTH => 0, C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0 -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, -- Not used by axi_dma Wr_ack => open, -- Not used by axi_dma Wr_err => open -- Not used by axi_dma ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- I_ACK_HOLD_FF : FDRE -- port map( -- Q => hold_ff_q, -- C => AFIFO_Rd_clk, -- CE => '1', -- D => sig_rddata_valid, -- R => ored_ack_ff_reset -- ); -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. GEN_AUTORD1 : if C_USE_AUTORD = 1 generate autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; end generate GEN_AUTORD1; GEN_AUTORD2 : if C_USE_AUTORD = 0 generate process (AFIFO_Wr_clk, AFIFO_Ainit) begin if (AFIFO_Ainit = '0') then first_write <= '0'; elsif (AFIFO_Wr_clk'event and AFIFO_Wr_clk = '1') then if (AFIFO_Wr_en = '1') then first_write <= '1'; end if; end if; end process; process (AFIFO_Rd_clk, AFIFO_Ainit) begin if (AFIFO_Ainit = '0') then first_read <= '0'; first_read1 <= '0'; elsif (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (sig_afifo_empty = '0') then first_read <= first_write; first_read1 <= first_read; end if; end if; end process; autoread <= first_read xor first_read1; end generate GEN_AUTORD2; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty, sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_120_0/blk_mem_gen_v8_0/blk_mem_gen_top.vhd
2
71839
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DMeuJDC/Xj2rjqR+KdiccX/likF0HijFhKeMl8dgbHoDnfB2aesvmgWdRChO5ilhZRw5o0K4XcTk d2tflZB3pQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kZ9N1rUFPOVzkKGXV3atUY/ijYLDcVIg2OZ/yY8k/gzBXp2kF+7cQaiqOy/HUjWxbJsaQvSHYs2G +4eSO3Cy1tIQwBgBdoy4YmeJ69ot82Jt1a7maUwG8FAuwvSEV6UKUDz5S882VtjmihkHKbJ8CsuH heO6xKmBdV046rvTLBA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XTVnPWcPC6d3dijGbrEprhYnJjOMFO8LtEA9SPc9iNiMSddZw25s1Ig6FA9x/ckyFPDG54GA8Z8i 6cGdIAeo6+Akczy0j26zL2Ynesndvclhfej9wlRQRKOtkY8knW76HtRjnXPynD72GBeovCQTsCpK a8MHtFoMZbaGpmASJbovF3X9C8LA4xMTrgDwIWgTgM3Feg8upGO0i66O1O9bha07wtyNqTa7vxDQ qCwiZLITHJ1jhh97EpQhl43vYejN7qw7XPwKu8CXm62TzDwL32QZCrN4wFNG8z+Q3QWuCbbkpNXc RezZzJLhWNSrLNQRgYsjFFMhqGWdimqHcLBcVA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GdIE5fZ+nviTKUBN4c8zwCvOIvvnfjolAWm5WfwFp5XQG/pmasKga+IbUV7TCU8vWDB5PPIkA8Md Bx6igNfe6xCVFqOPP9+mg6H3BznkYnwNsBNOrOpvmZUYj2aO0Kpo/mbPc3M51jwFVtOVhd/62zRB 2K/ER2PsiTWyI/e1T7E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O53MnTblpFz6LmMS/8a6CBlLOEuTL3L+imEmykEhQYmtn6WwD18wcJ5NaIup6FcFT23nPWVibQxw 7FhgA2yS/oHGEvW5+Actzmo3yWTpFekP02vs3J7o4KhxN5rUgZ7oVLK+CuNFo9zFXQxFCIkiejfT r94rJcolF8+jExKCEuY4lUnSvAAxOrQJ/zn8KAHFn58bW87wVvxx6Gt23xMbuNMbpYfPunAOp4Jb Ht0LRjugbg76cTPdVDP1U5YPpPXhT1hWqpPfm5wK+HNS9gpg5WAq65Z9Le8/olXM5FHvpbyh6Ysx 6qatGWF25AFMYyXadW9xyJ9Ri0qjDdS76xaqzA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51440) `protect data_block ybzimBvTgtgOaEE9gNhttR0krnxHrO8fE1dbS9uYYvKXub28h2Lhhx+8n2qUErGJFsBMtTLr5LR4 Ra0w3+84ICptX7IK4/RzTbmbkzpDM0+nGvqCYybtPAyNDhFQ3rSMWwENPg7k9CnIdXRJ7KqDd4lh kAGJJVd+awducdGxuaE9x6aNIRfJUSqS/jYF8UThFv7lycnBofzX5glHt4Qg+8bdAZUrB0rwKin8 gFLwWIgfJ2FY36C/iEkDErUfklLVZpT8LZwkmal/tqRr/UEY7ova09vftK6X23sTlt+3E3eAPx50 yy3FtTFngK26e0f0B6IuBUXu4qb04CkwhijJNotUbCZn9UWGAwnjxMRD5UFVh6g5KwWzhW1hkQtC 4wqhLloax138YIz33t7ru1YhrYhPUAdu5CYyVqrkzj57OhBJM4ohHu55XIakoXOouSTDCT7oizX3 YSEIb409iZufkiJxmajXbet3kbM3wvxskKeW/TlJ4R8E7+rNnN1958iKkDD90tasGpafGZlacqRT VdxeuM2v6oPLL8e+hqEH9D9fxCDOSGZlNBI0k1CKDiJicNwQ3dPzxG5xmoC1oVT50h9E5G36DslX rK9+BvvmWmGKefOpl1lWATKzjSDJCeREt7paCNnVW2aZOZB2awcJgpH5kPwVLowZjoZPVbr3hdhq FoxrDE+eLDIOn4/fizMnjckL6OvIRSmYg+SdVFCFFKKXMCAa4WCsBXbdiF67XUHB9H9IOXLUBfjR /3VEC6ZpGZJQ8OWvUiS5JrT6WvLzwdq6msWzA1ijzCLc60f9mqm2ibbVi+prr7sij+fyeFctYeJ7 61gm8+ktYu55os0FxIVovNNR6SwAWZIHs6o/VrWEWa3cXk5Ml2J/IKbe2sBT5/MbfqspQBzz64gq QYMJ8sfiOdJgQFEKrCO8yoTtZq6HzS3SgA4QDE8Mswq49m1wMXuRX7qKsyq2JRRXi3i7wKXYghTy lf0sbGehw81W5sfZp+M0iHvtrqOT7Mv5vVE4jWuc/q2TzDUDqWjD5F5wJZua+LukI3fEYcyPwMw3 MHJRmUiNsD3MKqIqQ40VfNA+gxHplID0GBGP4LkpcP91Msj+fhiJ62AEIR1hdNShzt6PhEP/i/52 grxx1uMcUZrhciHmoihbsbEENgS+ldXiuT2aEZQMHNU0Vq9ls6YHk2bIKmUGVNi6av97+37zpWa0 DGlmtQGYrBxIR6D5jWi3PgHpecy+nKtoEdnpm5cOngc5dGIvUWjifGme3J3RJarYiWywdsPMtvr0 LZkMN9k4yIFIKT1XXM+EEpxrk2AHkmKelt9QiLEW3wGLrRsbR2ICvv8F5mjtRz1enG4J+P1mE/sB p+8npVz3sLQ1wsM27UayyfwLhsb1YPspyjg58dUMCM7zGsVBx29NGrXAklQeuI9z+X2BM06nOF+a h46klshf7HIrFnIrYNDPc8T6Gms3VcuvVti4wx3BhHIv62/HkrLSsi5xu+uqEtYUD1QXcODAZ35Z uCL3HefAIcqMOjKrsiCvu51QRlKrVi2PmMeNlH73nfdZg/hpNDghjTzJrcPyEBoBudoU7simKQop TcWV8QjOj9YinAQ53Pr+hCGkcF5xl+3m49iZ8EofJNfrwkrqBMlQRJuGWCWjNx8mrLbNmVWymmLp 92srwFUTCHn7VaAy724R5LAVaHLMjjy4JQcJHUcYoalQsk69FJwN1ap9I0p73tb4ccU5hJIIC0C5 xwEjjnSc3ck8QDoy1g540+Q+dPO/pqVZJqtzH9yvDMqSaU74VYT/EaIremmTNYt1P5eZA3qq0jJX R0sEuEkqN9eg5x59waRlU5l1IIWicxgqfeUIkrfsJoFEbmjUPmX09xatCOunRqA5BRNJl1kPR306 ARn+mp+jhNP2npJ1L1omS7iq99c/d7MkLB+hZzD1/SPYx/3LbhiylOLtjGzEGXTMEHZzJwBywlOX bxTmHrBlw0sHrIeGJO4/BlcLxLEnrmvjH0XiG8fhY/83jxbCpk+nNSKZ9QTJaVhRKJbDnbxAsi81 iI8ari1uSHS+OlPIdnNjJtNsYJ7FqMFWxvY7cfmM+ercIAyb6bGU4X70n0utsFjqknfHh5W1q8Rw mGo81N/L7YW9oiCPHehyqItUqMHEDss6mFfEudtcY+cCzDanDPv2PkuHtugZ0RKCGZWleqRlDi/U 3rpU/5IIchytpqbRvF1bdp8IUJhz3rZ/yA2uZOUXgOrOx6RP3dN+Jng43ep1ZCgeoDU8VPLMqn7U f1Nabad24Up5BHk9ZSodAL+jBvq7Mwup4v15NsuTGFXECkk9H/0FIiUrVMWnKVoIF4TlhsyefhU0 o2Yu3fy/ystqKxCoZwV0Ed9uOceYk91UsbsXjiRspSaofRQmKoSUDcChCp0n6JKFxLtYObsixcea ktoHGU3obmyZ45E4fukOGYTzuJZcw8KTIPRdxN8n9v6y5TVWPqv4wwFOHqK8KIgGPJEn1gjkPloH Q9toC9QZEVNUsCfD+GVGF7UvvblhqdN4PUgZGM1pou9l3asp9JYdVK5iVUqLawqHsI/CzswU+3vr c0tfvfOInciTxiqwLXX1NFp2kbYbzf0aOz1WwJtgm5s9BLgXRkCqiaccw38EMRuH77DvS3mZ+mcy f3GMPYf8m68daTBH7bkKeQkZUTMtGOImUGxvc1Jed2Q1J1eMGl3xxwlXJbUwgFrBSGenlETZS8Kt IbTZB+3I8Q3P8bK743mI/Pdy1zx63R8EhbY47vwaGMh66iIaEsoRkPiS4A+rq/FAGy3Ul+QycFXx W4Xh6J2tOj2xLGQDPSvw+QubhsZ9LDQjDlxifMPbupcfizylI0u2z+jXaZOQAblBUfVMoeJ6sUjF 9vAMjplRIbAlLTAc8iFjOEwFDzWzqlEcj7wzZGZvGWWIDblkHmRkgOXagwrUXymZigsGE3yM8ELs VSZOgJUiBGQ/RI2ee2aMB0GjGXTDJy4pE+8eH16eOQX2XnQlxhLdKZZOhjbR92JXz5WO2BF82EGh Nl+K+RiM9r6sppgiG2Slm+68gDFXiYjXxEQIl2I/2ufmg+R09nwhkWmtgZRqZmg0P+7AjyqgZKZS 1RtdMhm9GfGfFJk7cO7TggPAhJQM0vfbfJiwnqwKJCu76It69nEt5pjsgrsrgYYy9EXZY2Bc1GUh PMpcPhLahAb2tKZfhciYCfsUaREUMyltWRE4iSEr03glAmaRNI4qf8crJKCcrKrACo8Bcda8CtZH oRN3Y/NLmemUh9o36frGgQvuZdS3INHAfaLTdMh9NM9F5ll64wpsRNJfV05/bZkEiqkSFS9y0xGW jhETqTjY1B/nfMKcJUenEvBtNr5OUGwQY3cjj1qVg5Iau/novYvmhD4pH3qkt7rQLhF8BG6eRCPp 6lZQ4fS6BT/oxO78X25+8o/5S7x5Jitjxiy8q64wdCieTZ7vNivwKN8o3iSteg3pRrqJ1W5jFmS5 d6iJPT3vR9N8vhpf1F+wet8XqtKfsw8BeiybE0MAVCWmhMfkLcuLEXvvc3fuawfDKCDQxwXRdMxC mBSGauXiZUmOCzDJ46o2Xrx5rtQYSgFc4Ur5CybNnQr1STI6AOmzOnl4AFkhPPh2n9Ae/13IHW/j 9wWnCl+3Kr5XXzKOxjHy6/mZnYipJ3RP10/hQyA+0twQjoz8AFPPtVXtcAbo2W6f3Z6Du4U+W+Iu fjBVP9ckZiUs8x8lLa7sglOc19eoEP5TSMeYETcE5HhA7lTs9nwNuZfmUCplNadxStQsOKeCSDYL xi1jrLQDRednJX8k4t4yMLmbIm9l51MXeCtSiSzNAIS66NJ+S2c+3sBH+dGjiSLzrhdmI+0eZqWo SwZhQ5kppVGIQ+hNY6YkaOHCA+usezDWPe4ZqtGRT4dVDjJ5+xV4KvWO1WybGkkj/TxIdNSnqsh2 3ofQEXsvc1Dj1wW49opjPy3DbcbzxT0gD5ecYvOLSM/eZpgB53WaQ8GugnZxBT8NiFVOFKkzHTn/ uN5YGWgcBfnlEpUzO6BT7MqJhgLi286sguZFvHILsRn7QS7K1xaBPw7048FLRAtaZqjBqA9QvePM lZuXPJoPQ28UQ3KTjwvUgIHVu8D7VclLOc7+ljQshqVSfS/QhbBoYoDwdZ1rkVUBPKMUR4AIPIPW weF4Dalpg3Ozz7t9XwDj6xbXZSdNbaT/JQ1XYRDHL26Vs22X2+x0FSb0WC2p6POODY4zd4pDv4m2 A02fun2bhB4vFMg3YFJ3eY1cxgk1a7Xwp+57X7uhXM4VwVEuCd0CPKicbeuF0beOi4iltfq8jWPY QFzZDqxxXaXsQKw78mJa12SDwMVOMIxJb06ZA8zSokcNsOsx3OXiIpcV9VWLceqNDKAyy30YgsUU YkPmeT3N9Dz4hARKcTaipbG4vbOTz/XZHndj+hnH3jSAMdzLGw6rur5Epkk/tem8bVU62HVObXSp CoG8/LWtm1CNpyoQen9YMCvxXVLbaCfg6RV0T8u0Wvp4LrBdjtXa+qpR4GuTK4Jj4wtgfjKNbuEz jk91mc3ZJDLy6mspz42Ep93q1e7yNNsmT1cu3S/1Fv+bogcqNmaPoY0P0S7n6A3TtkKdS5qH1Imt Z6Hkwc5MnrVJuAchVeN57Gw5QS7pFou9NNFU2ULG3mHjQ7HypsGFr3oQDCuQjG5WB04lZR4nqMig BHyioMqsApLxaCqkiEm9rqPz9BPludXA7VHX7wkFQE6ARM7HujFqm3fbijNi907OrVdnN04BDq/8 /QASJcgC8v7Y/+pQYJwnVXEi/38TI/XKvbNxRPiov+6HPho8aGtPLOoeY6E6yaTiM0cGNgM+Pr5b 05mnEYS5cFg1B+iK3o2FmJoOJZDKzjUr21nve/9SIZCXWd7JVuZJQIZDavcieJLpIspuCLp6APJw VhAH3Dv/GrJ7MyPifyj/2uAX7pNnvjjelfErJqoDayj77JArbqej6l3TmI4nO09LUMyb8+3/a2Vb 2xOgi2HP+1w/pXiZzcGJd9EDQ+m97niQuD1QFI/rOdQHcBrgC/8lkG8zKN75V0O43jxpDr3wyZ9Q 38hu/6nnhkAoiBxv+yaBmTXthZGXGrb7saGTSpB0kQP+M0Ew0c36px41riuHdRmpbj61mYeLYOPi 68vfFx5CYGizyzkVyC2ocJq1G8xzdNOL5XSgFAI9x2PLZd1D/PChw77FoJmhwxvQi68T+6unN0Nz Kc1EU+Co6JmRdKBf/J9UvevVfwK1k2kkUpf/XtiN5oH+VB1Ksxc7z1f+qnKa7xFiIFBqQXG165to Ph5/a+tPYp7oaLMSI7ybG+oPonzFjsqEMNPQaKAW6tYF4EX5LX1s1byQ7i/kBGtWw6KsKZ4kpGQ6 N/2qUQ4VWCglGK2KhbD/ZrvPoWxWthzU4eIAtPgsThFtoNscknKqBQd36ffdeQQ5p7dw/Bu46Ahx 6EvQTclEOQCOJRWkdE1N3sczkx8Csu5hMm06ptdBVMJWgD35+0+/frjsIMCPS+BAw+OXXoTAhd19 jxVlEHcsbu5NIFouuiYZRI9MiC+U7sB/Vls2svgw2BhINsNPj8NZQf+6J7bR4RurngrBmMEfxUZs Aqy2vMZF6qtleRb/wTIqIMX2GZvEmKHoYhPgnLhLxvmToObUBmZoAB35dHvqq4TJmqGmqOxw0tJm Fx9WULTDhZOOBiyF2SSMLH5UmMD3RHcwGV6IJs9do4EyuWeOURKBID07y5lpBqsbSmcIF5/bLTyH NM9kYPbWzeBJJ3QAZF9Jvk7mnLC1otqCGOaW9XCm7vu1KtQX0psPn0s0fgUV0RwyV46Moaf/b4fF 3Vej/QA7F1xyrTqbTla+vTuzd1mxF/u/EFS+2T69TEKgvGjpKYIJiY6xvBGY3MujAlmhiRl9vbCS Q+Oyr+csR7ZkeIiuiNfd4ppudUuiqEzRkrgIArHqB5HDJPS93loN4VHL+PhbrXX0eJn3b7N0t9I9 vlE7bvaBjx8B2/pS3W1pae40vGEqpcqxInWxQ3KbWn5qJO+Te7N6he0wvJoPe0IP0CS8Ajt7y6mk NgpfyOU3gtl/qjUHTFL10D5NF5pj3OAnck5IS30jahofcr8kSKcoE31wc2+2yIh54DZj06NGgtAm WTMi8/KFeanq7OIwr8dgGUqJvbI7ZGcYX3wKV870M/7jhCtjBCoMwOH9Tu+pUMJ1VZx9WMCvY3uX msBj7Wh8gZgnsGgKhEXF9fkgz/FSzl9FDZoII8MNWdmAAvpefkUfMKEekJE50BdECeM3bpx9Dxso 2wF5OO2qBirOWk0HS224zP9aCSvHXB/p2qAxPGAU4ZmXVDXEje3tKO+iHCfwxCUSepudMiV0g6qe /iZPZ6a3Ylwn/mnZPDcqofYlVF9SSeIAJPpmyh6nL6z58b3o0+gMmE3YuGNpVJ/7ozI7ZsPAFrd5 IUM4/Zgp9fJyAQNlCwImEXsmDKu/bA01CjnPtKNBaqscKL3MNeNFZyIoo7sKUT3U/qYu0GO0RCwV oBepDl9RUhCdFu6En57ISk+264Txxe5gkOGKZ/FSQHYBfsIxwqkeEkY3imDSP09ceVZggb5LvamB RavlUETS90hb4ir066rM2wBj/bWWp0uNqW1p1j/UwxOarjrILh9FhRsbytN8HSCrX0aJ1S3mJ/oC Ir10y+hjDDKpydjDPHGIg6WdMvrCIhEXxb9py4f0VUlJyX1amKGYAPisnonbxlOAewtJ05oa0pa9 L6GjtfTSCeSa6VD4y+FuE44au11jNZe++f2Xh4Dq+hCkkAean3cIuZvPbIi99drFrci8dCV44617 C5tz2FgTz9rROekNCWuDCbF16qgoOhqvvirIdyB9P7mZ+8HP0OcvdQkWeOnHWuuuWPgKMTTgxt06 jJWMx1CxBkyrmnAldTkUxS8riO8zM/7t3iMlk5fWnFagyPOGBAF9By0ZRoYBLV8CuiwJ6ZdrgoIq izZknhUtRXuBaCnac+0j4zYUn11fKJ+DObDdGBNEBPA2NtcD3SrVC3R5sX/r1b029sfnkI3uH7uO OO+qR3tVH8uNQA793uNC3HUI8izv19yMdyIaXialSYBw2dppTeFC1spC+4Ud1MtOaJJBQDK/ejsj cmdgFmoM982HnOr/2IlIoQoX5LkNRFjZpJwCSHwFDJmMWLESEWeUrooidvEPgsZd92XFsUr9fdaO dcqgqt89EdBLZAmwECoiD0eWGFdnuUNpa608xxxyr6zN0117rSJDBlBw7XkYQyZbOq0fnYRNk2wG EPmhdYirzt6C8bJjpYfdZbxcSBknd006xcE3OXMlo/4IjO4Y4uE7u/1G6y2nibo0XiTpFUGcn7sn DlwfpX1RF1rp/4RL+2ePDqg1XT/UqWbUBaLDV+aaCYkMY+IEseR1o90TpILyMoTd/JRqP04Vpxfj +O5kO5QKVsykTH/nIDZ2GCpQTs68TS/rft7q0/NSwk6Q7w3ErT8EItb4FOiOOLG6095ts5ezjwM3 9yRRHeFfVh0SBwY6Ef4N6NSUJO2FYAfMgl0F7TcFPFDCk6a/LZLhuHL1xlvd9uRXhHevdbLL23bK sPgHN2m+/Fvk9DzQX+sh4b6wsf/Sxqes+f6GwP0I+EDWO2qHOPF5Wh9nw0xmmNsaMG+niiWeWhpw 4CMJqGSHKZsfkOSqL0pbjNLhqI8tMtx3LqhaOmp/QRn5PhQn2yBOMUSYFnOhTTziflc2e1fohFlA prPpll+jNklXl7OqmZ6NztKIR5LRrxw7tc+jOPlrKgjAE9aDUqEk1SG/zr6O+XMy7uUerrhwj0gl D5ZcYwYqXLjqTtISY59B3yFh3ym23qmolmkgICiRSc4QL0AhvABDcXzZqF4mJijg6WgVspxSUhJH 3e2KeBOjsL2rmZ5gmkYlBN8wEgl6n9FesE3C1foapBVhHUQqXkQnOhB5eZuU7Tc+/JnoIZL7DucF B/xJeZ6QqHIMS8/u4RaIXD7BYm+Wko0LBUaVlGpYVniFFCjpBW4jE/bFzd9hg7J1Kz2ZS1/q7Q4R lXRgv2xn3ZP52dTu6NxZH6pepkGSdX8UqiCJdfLk/Hx0xLijGAKEZntPt/GA46GQnazls+tenOvK j1gjInv7JeIo6y/E7iCrXcu0xZikA5SMidfpNExYB4j0Jm8AsKcRXObynT+dx1ya+vNnCoM/l8/S 7jKJj9rTz8tBSLoLpstlmvOfbUq/Q+UHrNC7H8RvkYKe15PUm8QhPLfAQSDwdT8D146ix4Yvfiee mEpMO2kXxuh5OxrqR1W+3D/yM78btu33TqOvA1KemWMhMqxfRf/xMzg/Jjx7n71B/bMGMtYrdUSL O0dZ04A8pzZCNlC3cWQQI8BBifICaFJm4XwKPjxl+saqoRW6Q+wkGpW4cmIJCXfxltY5LvgwnZ7R XnykSpHi21uoS8+LapYd1yMWpct18Rdq6hQyEft5s7soo2TfXj7lOiQs0kW7sNKTPUIIrIbSB2IY 5AHd+yc2vPP1JWtKqHa98rELovPQrx6Uj9iTdFcooB9FOysFJwcC/pH20t8Q9mCsFYb7zOOyrkQ5 VthcXsqqzwYXqWv/JhZBzFqRR/7Q49MO74k1hg4KFXjiGcOgPheotQ8kkiaaVdnV4aVaU1rR96Sk CYRVUtaDkDvQ+wBSaFJf0iplY+TY//S3DngHX6FgngpErdn4dkuRj/0jFNcagXZbrpB3lM4Q83hZ Vns67JhmUikpazTj2RVZcLfKjTTbMzQ1GOAuJp5JXRmIxT645Z39xybkc6WaGKhg0TNxhjE/LPD6 F+1k9LpqCJ3PCCIJBs9sogDCuJdDaHc1th7OBXUt30E5rcJUj2E8wdwcCoCWTKcSR8IBecShMoMm xh0vK/Zx1ept3BAKqpaNKi9tPIQZNa4R0MPlTIi/MNzoW1t0ICEIscMoN3tFtQxZBHk9LrBDVWZ8 v7jtu1NB3im86hLBGaTHUKEDCkKGhMGB2tAaRgoHe93OWjsiNV7Q2k/2FKRyZetF67ZDoxrv9Ru+ pXUQdMl2kz8fnZ0QMcz3I1wbvSpuoKOnckS49S1pvlHGvF6iO5H62X3TQzh/2XQirfU8vpda0uE3 ifh982X+rbjfqfQpSnSYlElW5UAWJrx57ISpQIFOjh1rxjw1ua0VPWz2/bsBbhJw2Nsp8qnFMEg+ Pka2wX4JnBjylZj9JdWftUO/QqForEN96K5T3blK1nslpJiOkz92BlKdzU4od+ylc91luFltYvXh +DIvQKYWyL1iEs47S18n84HCG7R/sIyuWYjXhDXlKz11I2OQpAB5HyvUqV97bsc/KRipPybNUUOw i2AWyP95FKRa3YTn87H6/+RntIDO+kQR2dVi4EAKk96ghk2XLnMjydplHPREealESRihlaN6Mct6 nwdCpAZyKRHHaFIZEXY1oS98YyYAhi5fntMY4PMsTK0QMvxE+m3MnIrS5PZeyPU5Hp80CenGIWsn NqZGM/GnRZlPCmCgRN6T2oPiocknlZEI7zCw8UuOI8AyQIsHD4NN8t5RYcdqksAARNSXtjM5QBVT V4aBiYqjKX5aY0YkVE7iLR/PRUQ6zNWZwt7mitg1NhA802M6Yh8xbZEOv5SVoIr+0RChw4CyZmUy JyHP0hInWLGS81YrLmWuVoJpaPqV4/bt6lOeM8DN/kkgOBgLboI7elw+siHczPTd9tS4Q/DsBQ5h g6L33olybsuVTS7AQ7vRC1aZTZ1mwPYmYrx8dDxutGTx2LYG///e9lnGJJ2nMXNoGlJwq8l2Nddd tz5fjCpYlFSgx2niaW/r6JgsMJza7I5l5GSockfEqGx1AVY4Xot1qq95vB30+J0KH4tsIVk3AldU XFOPErP/tCEIZPAZZVTg4AojflX6oZGSTDvrQ4vuIrMrZli7y6/Z/lFRUlgLvLeAsIcL16aH27Sq XLvcUVIYQhhq6aCw1VLshKe189F8UtdEtY65I6wPFqNlY48g9TB4T9x9MDzAosjhpsma669LfqIo knSESOyG5okPysHr9o52o06WSH/5Z+s6O32KvpvE54VSGNhgKOTICApZobeg/FBHf8samoXSDZi8 S8GTlErW2BeiXH3bMklNJehdqhhcH9NWQ6fa2Gz5B8xEn3Lc/Avic6TvuTbv80eg/fajlXk517fZ 9U6+4JLXGyT6Avx6S3+0ihdL6IeBZUYJV198ThjOuEG9lQqHnsezJDtkXWXfqONN34QsESMWJsG2 DWJG589EzU3tO/3HRp7oI824DDh+rJz74KODCsV2cfqhP5i3qpDFBOeY391PUXwL9TYFhVrkA8K7 qwd5LDsizmzGlBtWRmvSY13A4llQ1cV2bEGTnidECrpU8o/A/8QuPcyLE7mxCVH8PKogGBXJPTMo iv+FWrcauyghZ5MltxDE82KvT7nNgdxP//VwlONdIba/Wiw1o+eaMg5VajlYY50uftZxFX3seFDU 2DGRAiBrX5aqFoIjWsTjuOepRCIXoTImKasJzEMJM7+rNS8ZHmcDNiODlDSo8Vl8j043/dQ3gvJ7 aaTSDhI0t3aXYrkg/WQdC6PexXajdwynAQNHd46HtYf5iD9r5rt4ZQE3sChfxRbg17zCu+z+Te+0 36Cdty4VsVcbW4JzpQUHvSNpNeprBzDJF4+rLT0JkmrzCwjO3skXXGtuV9jqJk7pTBsFUpftjOld GX+QHzk3WS/DEEMrWptdt923jyWqdlIhG7xEsK0KepyrZLH46x34LLIZ8YuXzMqgTnHr9wtXmSqL 2um0u5x3pDMVMi/9Djst1UlMmS2S3OeE0F1cYRZzbOY0TNctmrXJOow9vZkU79l2Kl3m9PgiGm/h /B7CVvNAiZE1lEPBQY/IqwynuOL9Ebb/3CFLUl1coWRe+6onicRDo+F5nOYOL47F84uVAldL9skk JjK3fbOmSoWbWIzP7iQ/FF4dxkh5WlfEPdfsYUfRclchxDg7qn5GMAkn4QEFfG9Q4SMMXCVh1/ZB WAfJzhTM5HmbIV3/leA5yRXCgcDQqxIPCzVkjc3oZyUVZ+0wQ98cDbp0IqlBqQyUENISIvSfaId5 to/iFlFlQj3ntdTBUNPl1jBH3zKU1x38byJMDL8OdtWFmMlU+m8r21cvp3yGsSCbmJyKj7M2QEkX EAF14r8lOqSWzipVrFj3BHcTGrdGOlHBuSiLRuo+R1VGFTdku2KjKA1tuouXQMy0chE0I/9EwR8h +W+qzMrS9bz0vMykV5eCZWZx0R4JF/6fnoZWyryvne32xnjfMpK1WUU9dU5d3WxoTPHXgbawtXwT wL9vncEy7SzxToQgS4XxrIp/V3IQwJa5lMdmJK5Tn9PEZq+CjeQYuR3oVzVGZZ8807ZB5ouAzp0D ou9Yt1ksoruXz011zivnJOjxdk0TsbMMZl58JNICMt4yPg1t7jneBO9Afzpuj19XmF/U1R/+mH73 o8ocJd7RI6iZaqGvbNc13/wCRIBjIo9/iJfdSB7hXsHWugXG2WXez4LqrOUfxMowWLhGJ69wOPdJ zAnXC1lE0ECfFspzVPG3I4IqxPWrinhPY9tX84+gHKk9I7kKT+/aTVZ09ETz0R+0ADda15UuoqDd 0tqayNYBw1P83r7aC6Wc234oCacJwsgMdDmcZKG8mjMljv8OXgWlFb0aiGKhc8GKbF9npkZzvqK4 LqDSFAqErw3vj1Lp6nxoUq+z6xFh2xQPr7eu1Rn+OTDgCZkou/3yBdN+KVmKrgCmdqgPhdA+iYx/ Ys+njUelo4PKIYJtmq3UlW2TYVoFieHqlOLUMI29RTR2z/Y9UdSTSE52SLEXW4dO3xE7s3XpS/6/ SAsCBvM/BgGkm6NaSeOcgCKeBLeOnU6/ETs9WSKSNaqpUtV5Cz5oXSKzXdGrRsOKh4hsJk0wrj9v CIq/EQ2s/qJkhN1iVwky8iBsD7c+lVBcJn0b3pJyU+9NhURC1Uh0vFx6XeDOr0Vjl7Avx7I9LxHD PbvsJydCWiwHZGaSfmxPPeMOckov4fuZqRKYKnkJCB0zl5aTv7kacQmtTeym2AJYUmDcnI4SzSpc oLDm3LL12uK7bKS/by8kq7XgHmYme9Kb/g8oGKjEVmi/1pqY6I+z6aytQm9l0sZVReaPBs9KLAV6 zCF23/CtYMiOWAPheR5DAnGAq5xANvDvFPgy/IqDUuVllQUPk7zuyzL6y1CTggGCfhMw2sAfU1kE ud/wWsjfLFVSfoQ8ruIpIZCcK6EK0yxgff0o0wSrZjB0yzVZOT1sAWUaGudjClxIXqulNx/9rXhR WhA8XYe6FiXv3CNHOkWmLJqlUN7iTBMdaTlVnWjRI2mUSlxdX4B8s+VsqfkwwPwiW2dd+1+1o9Qn WPQ7agn5ppdDAIWUrycYq/YZ0d/PZIbrugrarH6lXnHxbeop/6PU/W3+yurqzoX4WcL3FjjCkNbF g0p3C7cdsKYksqLsQq3mbVNtGuR/+X4B/SLaOrxrqQ0Xr+yxXKktGvoTP2b9tOkmTw3vbu3aiea0 21yLij99r6+UR5iqf75XYRCaLG6C0wyZbPW+YE1uBcSAziiPX3w2/Zjnp3VH53YWZnJiG0TLX9ZG qmc/JqdGuVMjS2ikpYQtn82XsYKj1ks5nqXRg111KeajAOfSR+lWNWntHzmdOkX0pNR6DaBfe3Jf 74vKqovsfty6Rkkifxsx2iq2EApi9X6UP2t6QSPbSu/jZQm0eZLU9BtUi6HpfkGUwR4P9+7Bdhgu l4uoy0Pri173WlVeC5GG1l3YiDRW2KKMZDYp0ZI6H2dTRNkRNQzvFhenYH5kAsFl4rA15p2p0lZX ZSjkxJ5CP6fhXf7X9QDJ5iXPDPe+2WBLQrwWI9HwSIs+977xaVXGjfU8jsuzqiOsIEfIZ3bTvGEE GS/mzWdtDe2vc4nfLEqndVkDx7NaMKkxRfuvnUR7Z+hb5HHEbED3gI9QgYAcVIO50IDJapFgsfBu d/+TGX3V3N5dB/YbqwifnnYlUGkZ9M+4Es91HsNsez4If5chz0oD90SymS33YADxfqFkHdJG6U30 oHlHsX534ERgqWBOdCbbw2xqxVdJfwnjSvvHkgCbE16o+kJ+PleDk5KHX5erYwZBzYJ3D02hRIga G6CHRVDL5dVjw2D27CizyCpuU8e3KotkuGF1arEiKmClHNOzwXcgp02kTb8Ld/hNtXUJKwB/ID9k eFaNBaz/Z/RiOKJa1z65qyfLYK1rn2NYHPpTBF7fLNaGfn9G1PvJAVzq4PXR6NIuNgc0TfKn4w2S 0vifD0okI3TWvhj6vbJsUSl1b2mEuFt3dajPZuZg9Evg+wRlQ6VQIAzGK4Z+Bs/ZlMO+cIlUWdJy e3c3NWpQ/i51dDYBOFZkihLJML1dCKP9vW5tJ3zShxxHqvD9THVt4p05yztPPnAiYNRO8BU3S+Cn 3IrmZvFQ3UJt8fuM8PDf66ZJR8Zb8gJpBZ2FBR7/a2QCoFzLhnbI+Gm6xD1FzNwn3NUQ3hm9xYOB aJC3FivXr4Yh5Id/xT+SB94Kk5AyTbIQr5Tfy7NfoANzGeokgnqSvRGjNLfS7G97A0wmOTALE2Ot x6y6gC/iDE3TzHqJi/uIsUel2QQ2tZX3KcIe0mdrbqpA3MGUoGVuoNZKFu3/IisHOdY2ljCzf3M9 phN+Bgj5ENQB7FIY4qNPJ8Kqg+tLZP0/O1QUmsbjQz4TrHlXIPvfB9CYcBygnzfchCjId3rsZUG9 kTb15u4jPpAKk4tUOdt2DYlkNzAifrn13xc8VVxFo0HxfniJyftSpGmM3axg8KeT1LsTd3kh3n3k fKJh/E70tDTec5ADmnEnkRsMa33aPNxYcSx/MW64TrmYR5XE2DA0Kl1r4INnuDw2Uamur8zayD+6 lctHJ2Fqilr3dcq0yI220klvMIgXDdU4ZVWvUCFSXTVuTdm911EjwQgpwx6qqLWbPO41wgWR/JdW 2sRTqH8TA+jpCF7/hSk+9+VB6EP3J2RFWDQmtNZsTNslbLBLMpoUAqtSkgCwhOpLdXwe2V+TeXQR By4bLsAq8itcU1xm/JkNlX4sKPShwZkhMwieVCQbf3pnlOALdzImTspvHXvcgYAiEeRtU7Y2EAil TTPc+8arKmhLJo2ge7O40q+NPYsGFxuPsMohn49l6EH+aKRfosYuwMLLfnWe9YyyUYdcESWhkQnB GtUG97NDO00KwlmN4di3jqraHtkc5a0Xl2EvqHCHi1GqP7112vMJOXG1T9fy6aDeXbUuSdnRU/gX 8Q4v1sQd8BHeg8fgLUQ9puYs1E7uwSLvuXK3+/LPU0TIsFibIpB4JEW/OQYF9NmKJIpY5sPhqpRO DXsD0hs/QDJnz7nDm1UcCfvfmy6OpmAT2jiLNMfcIRO4rVQAyahiO9lTUMvmcqg9vcSHFurGD49h qp6/8e7xilfjYQzvllXZhYubuM2vir0GyHQveTxSec7Ac9LTzzyJzd+ARBg2fKCKIholZagKT/UE N8qoWIOscPAFyay2JWQa/wrCZpu2QvjkZ862TNInE+SvCnYSxphSRLw+E8Gl+5tI+XOAqb+lsrTG 1q0vZQxzoHqftbUP6JNXP1NMNDoBxwx9652jRKCPQgsRKpUl2bYGuSqoIvXYHaxJ57LkGlKlt/ty H4jNaVq0BYY5cpIXNBdG+u1waD4nCLOq1bRX6iIXhL7BoiBbhzefFdSJKjB4WkYUYs4aGtha9vJS kCBiURce1SrIOPFQ8RVtYeNDZk93VrJ2JQ8u140YXpHaTHsg7pINym3/scDZuwlHgt/CdWD338EZ MWiPYM+Vclcn7G64biIu0dfif1rdez2mAe39Fm6r93ujMM1UkcXpNbsh3aq1wPFBkaVfzOc6O4Ld LYK/xCnKddj8txM2e6OACz5VsVRQS9LnkfC2QmFYqhhf2Nsad0MtHIr6/gWcYs4EXKNelG0m2Xq6 O49bsHR5ZU2ixdNHCjxL29YVumzLizte+AemyoXcz+9Ua9k4BxN98jBQ0xDC3Aw9OvwlRUpmjHeP o+yvO+qVCpQW0866lQmofJJDPxzJLT+BjcpRuMjROHSn5bNTjdgaBevB6eEQeYvp3MHcVYTRtEqm mgVDyto2vxRf+RWHUB519kLL2bhZ0WpefkKt4J9cpejaEgzsTWbS6jcNgHH6shtf5cqcklLghoIz BmeyLRx/oQQMV5r4POdOs17D+cyRoEP1CULbJ76bWxMLpGb8iWM9xGlRM6O+E94VVZPlcT5oqHQx mdXo+1VZ+n4twEh0AwIIsibCKZPg/c82+gbdW/nmUGTCK9Hmeooqw9MxzrTaY/UbdJ7D2iqLSN68 cWo4jus2rrqrbV2MaNqHPMi8eE50YqBftmVdKEtzJz6aQniPVIfIwR15l6JHcaa9zkvKsW7ajXkK 3cOjuHe+U327lGDheO4fJ1zjT7UM2CdEKy4ENyIsJoeOqPDKGFwKJd8ISwmp2NAodeNkeLLdZZBs tE880CZE+0NH4IgjRIqac0DGh89pubuMtQsihzBHiEwRmt8LYWrDweZamOv19zIOmhapSJiwrFZK EwWuOW20NC9tc2K1qB4ER/eCYEG73KwiKy/VCakARO2YHRuiXmxYTF520wA5W0nwyOPhfe1FFORt ZYviARINbbxVLD1iaG8QhjoXeR5puNXxhdxxlS1UQbx1qmUBBLc11PF6oGZ9MF9vEwKDop1rF/nS GwHiTYdYuSFmSYbjQ9/Eqv4LkB+MUECCNjv2iVNgEPCkCDcFSnuj8aeIgzlaNJLFn4xmbDrkrck0 wHC3xHWceLbZPqKWDkQEjndrTUOMzxBzHmjFoBDK+ML2ldr75aD4/6vNB9eFpIU1JSk/GgXbYixw /V/rqQXF0wJ29ynSJ0h+dCERjN5lr39yIQgPquROyCn8S+HMJBxbMfqb9t8urlAPVA4bVF78ug+g prpTCQm87ZNpGQGYTamKdacUCJVPghzAsiGMkJ1ERIdWhpF02BSS+3u87WkjPYHvXKPfwZf/sWc2 Py40R5rjE2VfQBdFBQod6jWGoU9da/gnfMknOFhA3IaKOrKDadXYsxFETWJAIekKCaPt1UUNUoI6 LbDlPKUF4AgSEHcVR1VItDlsZakTvgOreq2j+Sc8EJtNRk0BMDFI5wUIjcy/3Jaz/nD4ZVQ4vYAQ AGnIiw1AoQ+G6YNg/oAxypo01wMKvQ15jiZsKJZXGrgsXwhhasrLD5abFqk+lq1nDPRV6tUi7jN2 kFwp1RBSW3WjO3UV1Ki6BRYnRnTo++noBZmdz2GbF/XyutaI9h1SuXUuUK3aEPoigq2M++lc5Prh 3jM8Dv801jXSNT7HLx1T5hD/EhgOI2jsYh1nfpC/nMWEoiJPAGxAbSbCtj+4qyIPRWrqyijnw1fY xYap4rcacMrM5czIEj4ymgNWIW9p02UGfIbbOlD089sHLN5XhG9yDvdimpUJh0bxXpccDEC7IG0y WKBoct+2jDfEg3wQVfTlq9YpoEmwsi5Wk4CyX6Z97nXKcPRNTHXoOuI7LACuUS062CLe6GCQJEBz aYhqc0TRUBmy6nGPN+7htPOdB1G4TIZv/vxe5QwszTIl0litm8MJELa8O67Tags2gTqIwvpzKG7j cjeJsIS7IjQbKTZioWeECNXfTaoCHxEa+uN71DiVZy0j9b+TcsZ4zNJfzAk3ZhEZeWDHJb2zfwKA Dw/eEwbYgnO3904uto12mpmSNm/+HZIoJ6XCU+UYArmFAuOslqrfGh++WWjzA0tpoKfyhZuFZA6Q eYnrWIK40+R+HIgWBbkUfuW8FizP0j4YfWFMhY5xtKqXoPO28xb5vleWKjpRjHHH7azkbEWUC205 7FI4CDVIRlzVIwOrNNMKsQcF9qsYChuKmdC6utGDiZ7mJsqhKYJrR1mlPDU29Mi1YcD2XLxnDsVW J95MRTaine5b37z14T3elbWCTDJLpv1e+KxiYRBcJKi00ovi1k4c/xCxZ1ZTaedVxcWUUA1T/z1m 03z9SJRp7fql+CLvNLV2GLkQ359Ncbn1zpd5KQIwTzVMAgJLVaAUHUNc3gSwni3YoD3Z6osiCcTD T7D1OiKSa9EHVcbTw64pBGElckVy5GWcOcfftIYpIYuMpQikHCVznAERQzu7Fm7c32377noT27bB /i2RmC3+cVbruvp7/3iSq85Q/q8eA69opar1MfJKGR440H4JobhSjEcNGXMJC9eg2B41t67/aOgG ChdLsDavUjwpNME8OJaS5Mbm2e85LVYBOmq8SXZgpELI3xt2REQWZlrFjH2TjmBWgH7zrL/zsMlq 5YrvJfeI6bc960GM3VD8g4z7R3PHSBHlfsqNuiJ1MC1Ds3/T0+U4Vs81F0qAFE13qRzcUlwOoxa8 PIjKfTUbzKKxB8xNH+BGx1BsL64vQNH68kypV77sz2Q+ZAZzuEHAgyzjPtBwJWV/Dlx3gcjj5DRn tZcRJECPrcjf4K32y26JzFeoustvjU2x7Z6WAu2bDMvTki1mIMUBu1I4yGtd2H9CxtkemnNG8G5A 0dOKXm01AHbn2XoqdkFKCf/r0fX0wrfvKeP2TbTM4S70XiECBi/TtoCFkZ417KpSMC4GRetRPNZg GVRB0SdKmX+5E5mXClZe0kEWLvVuKwFbBz77IFQzBP6a1SY6AynQpcQJIHlntmY54hzkcVK0n//o KMwIORDqOyTPs6fNebdaLwVlqFc0/unNr7gZ43rIkSfpPFBhQmPxKekbdXguK3g1gp3jhe8hpBOh 0cME9xOQSpA43cw9E2tfXIdtWIDuuTTPmWsjq+/k25iyt6dN/RpnYiGm2WEhISeCnC3XA1C1ou0a ICFRxLUY3A/4Eqar5rLaeqHWz9TEKGxqJxF9tPFyUtQw7TbcpgIkiD1GQkPUSHmMDqvecy6/j8p8 sxhkMhvfXMnMLWgSreKsVm+0ZpgBOlhhB6wwhOwIzZCQeenzECS/5bsBoDAvOmRvb7yMlUN6dk6S +FuDpnN9vnlq/s7svlDPIBjJYjA8CtXWGUlbxqd/qSip/RS5oVZTilJI483nV2yhtfuiiHlru1rr bggVRG22j39zQ2FHaXHXDSZ8V6mgj2oCGQaqRglN4CW2qbS4+nDpKcNLasPwYe+oSMdjz/ncv1Ge OSH22XF2C2WSzEbbiAsg5QdxIfbBkY/+L6PxtV/Z2EPJZb90L8VgQe1ahduJBDhHj9lqhpcMrSW7 TvXxfFhkrKGFLiYadUn91piA7sEewEXC1BzarsmgJtpZC06PxBTcyfPie133YJFVx63ex8M/8gyX I3nUpKGJzKjs0tc1sDKsFZxvt2PUojYGsJ2ScR+dpUnxHjHRfOsZkPe5kaukN8EIHa1+ZP24Nl1j z4PfGmyj/ivz9HhKFATqYsknGTCQ6msYHFFxa6ubdPCsrjb6qb9fmRcIE32lcNZOBAms4PSYbmoU v5lsSFUVGQbLhnMtfeMr5f4lIYbl8I1BjlxUSog6SrcaTVZeV1iAL/qz7fgo1RNa5GwHif2llwBA lhkkamo22OGiQXEswy1DeT9Gcj4EzhoKo75JIrkSgMD1OaK/yuMn42ZLGJvuQraqnBIhxrcmy4ev arNm19C6jXsw38v3FUdePAOb/ZtAhXpX5RrutOCEdq9dxsN+ZXh0drsGYBMYVcMhUvf7d47DsyCx WmSO7ofLNskUXRqskoSaOZLZMXZWRLCRIOddWBceUaiMg3om1YlNNhwYur31F58eII/g9ufUK21y avfW2f2Wf5QnmN4JWHiHgmFrm7bTVk5aVKbA3FCD42R5uI9nlhTgpgnxg17UFavgJFc7eEtROz0I c00QlbanE96KWE6NIfKCXkLABiCh+WiWMbJxhzseqsa8Au3/0jNFbUYyu6X03D2a1g2nnXU20xCJ ZYjhoVPi5spocJ87caIqOZgU2jLVUyP6jSukVvZOFm2X4bikVga9T0AgpSQdUYBAU8RPubxfBWNf G4cawwBBqEgp3/Zag4X/qNwJXbXQIb3qIDuJEnLt7SYrkEZTzsZ8qiO8+HgasNl5Kph3pI9LNTPG 61h0e2Hn6QiMgTi1aMuf/Wlz+k2N6AJY9LqS4RHE6QQazJo08Y7dT0hcWWJDqETpeJ+t1ktw14ex +RZf2Gn2mVGLj/4Mebyklwfv46cYiw359ci23exQS56UVMRbLiZDGcsNXW2q+KwZsUsAzRCrOnv6 JS7Fxbr3YwzDuutrVTUM7jyXvWn1h8br6xuJ18kdYNIH03L7qNB68HLjzF27NkpBIOciZNlSUBLZ CrL06dI6DAuNKe9FzGCWmnNPF4iIY670tuvuDmyWOMmNU5dbSrF8/9GKLVRfqiqykeLh7pdCa0YP uzps9+FF/pKU+W18aQVV8eVi3tbqHHsrWWgPYFsFDA6tJ3Yx+Mpo0AMJ5/Bixdtebn0RBWOvndmV 2M9exdXA+Hpm8L1YpVsRXOLOZXy22w2y8MfxFRbhBLMZHo1A1uGieZecTGtZgInbuNwHssA3KWcv 3frxooMuGDU3b6i6UHIaScLOM2Ftgn6cPpH1AVxqRKaFrTP08EDrp0Cj5Uxy/Tnb7fyxJVSXR1I3 g4T3RjLIoDy8Oo2zb7LG0i2HqdiQQBHsz46lyLW8+FNQDBZ+eoR8eGZbbMq1R12gyhGp404BG+px 8ziy1hz0eL34A/j0qSsaeq7kyRYT1NGntLR5LcMDw+z2EkvZIjMqtkUMiQgmcVttRujnVO9y0dqU 5dsOomfgnxmojp2sgKMWPiC9J5eLo2Y5AEUQ+j9LL68V1Xq3wZrNkL3g0Ule8YU9NVtBemhBtwQK ZgREJQIZRiNXwi5EuozYQfg0xRk8138SGu59WJLABNYtVMyu1+MKXZST/xF4b77MZJLThfw8BdlI iA0Hi6UvzhOFfB0QkcGnMBgqebf/tCrD6PiZRXyLjtmOoKHdXxZJwuw3buDh3PVdN+Lh/FAOgqBO GMnWXcKZwpsDttgBWkmI8FoF/vKDnBNsgcxu8/ptwIJbu5EJVDDuc5YXUJ1Kz+SwCLYeFrb3RBxJ UFUyf4C8jZRDfzc6BzoXZy3CaGm5Yd2gv0kAVz2AoeyMROZcWIo9idmJZ9QMSJPhkc2GGLFmL/52 BdBRUuqEYgBbJE4XQiW6d9y/VJKzvVYFycoJ7PaEOZacqK7oUkU5MbdQkJi4TrpZBylU7qEhMUKV 3zQb7HcFVt1Z7hEfsWeng1kiLVcGty2ORYLz6wXeC1xcLpk7lSIWW/lZx0iNfpDQ2iVKU+CahKao UIfThuWJoHBNDGwB2RsSALyLwKhXdswFt5FII9GF5Rw556/gMhLVaNFfGXd6T5QH2CypA5pgcRO8 VslU2nJGgqevxcIhVSjr0qAOlr3HQeRh+u6CSVxLmsaQM8CbVOzS4YZMzuF7fnj7fk4Q9Ww4mHsr jFJWBtAUHaQzyS8Im0uIHvOcLXBwWoqbwSQSsj+pDqgOByrmn8J9+dDGbokiujVF24euHrS6HFQM 6hgMGJ/HfTjdqB16QiKihrEsJCwqL53ItMbL7RDe7Cm4oYbW748y6fJkb7zFHWE++oA9G/ibSDgE jWl6SeTFzJxqw/V2SHNNzL2gNMGjmsok3zebI/8WcyKtGcAFvURKNWK62Ib6ImplHBWFw+IRHDrs e1cUiFT/iZ1duWAhiLtw0ZcCTKZT6dLIVWtdVCMGQXH3pKx5H6/NrFULOq01BSAp5agk7dROA9FG MD54TfDO9a5Mps/fyZ1VOlcTPsfJj2dYAXw5ksC2DzxyDjXe1NLuCNpBHGY6vspyGNtL/aogspQ7 3kRMVbVioy+ZYQ36xtfZaCqUBiAQCZq7bQWZt0U8+R7HBCigzCKhZVhwS/nIkfpzScU73mElZQyI VZZNgviUqDjnfWktYzGm6MT9Fe6GzB22NlZICbxkqlDpyLe/qAaflyup9c0SHD7t6IV8A/q9TZd5 6Wg655XO8C614+ql+OZadWrxtDWyGdPnhGSUUOK4Mna2Vx2qXwC0aK8U3DhOC+foiBNEPGqQ4Udp 3YrKNaUs0PkgEcgzFpFsIrzXnKkNSdLV8RfRuuoTmcMryzxsV7Hqm3UlswhHo+l2dVut0hGqPAsn Fr1ETTbH8iC1ALuOEhqQ63dJPuJImkkE0wGqLzBu45wdl54q7xp/jzAiGI2PlQGoApsvZ4eVrzwd C7yB6YX2zg7FMQbEMQUUsdv9S93eSf+KkPbgV5HZycgXa+tNEiAd769W6icZLlQPkp8RiUxbVrQJ u+etMd1AcLZSb1Btm8TSoXHG/pca/x/sAJonm+zmPbiytvIrJvKvHusYh79PocIwo8/4i753jzAE Sv6eS7kuhCISoMeybIvbhpynsKBjbts2HUXPnKj2JBfJRbhZ7QXC6ALkR0dJ8XE5NhhtET3bjOoL GH2HEzbczgRZGf8Tk19hLWK3TN+WO+OYSc3jdW/2MEIxrmXmMkJLktbApYuVFsre6pRXnjvs/zlf s6ngksQeUZ8XIpHVxRNSN+GdRFMgY2exEiV1CjXTUa4V9LZlD32MedHBgpXtgc9g7hg07xBKIEwT DOk8usW9pbNzE2Qcxep4jqECBWY473egs87tmiCKB1BAqxxv6EA4HXNJOm5rvNOdFlFd39TFqTdy 6FJXMDhBzXfikSCW/8fKgOn1m/9o2EGf/AJY6MGmmRF+0uL2hBOD48N2aNM8T+28nNbW6V3TProZ 1nSvVTAauz04U/lJ/oZIqzXwsNXxspG0/TCCgeNMuPGMav29DdNxLaZOAKWZ48OmR59CUIYT1Mcc zon7gIHPmPMB9abH1nlSalnn+RC+WnwgLt0XEGb1AdXJ/3UrwWcc1l2vEmoadmSaV+dRXkpAGGDt Hg9gAHzHhi69MaWOLuB9QXkprCxQ5XKseSv694shMdSAA9xKbbLRSxuXH3V/C/luzTrEwBQTGYNM gL0hwym/i6e1HLWUX0EOPRAABUNuyDBqszYG6KfWOqAkLtfTY23MJT5c2bu0h1iA3/BdxjSAz1/K SUDeOZ5Rkh4724TpwG5PoAso18vXzQeAEv/V/YYVpE9NYdonD9Yzfz8UGBGNGul5W8iZhEmNGslH 6xpHQIuqT1fpnuFsNzsfbydOOz2mPA/OHlgILoW75yVP+rzfnAwyOXVmu2r439sgnbpUtDn/TJdY s9RKR8hm+ecunyNnX3YbxgmXw+PCZ8J1jIo02xy5UbRtIbRe/hiU52Xequyjd5U6jkM4fTDjv/9/ I6oGxL37caWMg6vVLMDzKqGLEodCPerNCNjpdzae9yEWbb2/kDxgzwYFmpyWv+h5sZsLywSUw0PY /ORbF08gXhe0odl8f9+RiPYraXpm8UqwfFsq40Xsc/LADOTVlaS8mlOpeZS999MqOQU7UBFqJriv B3QxHw9w7R5/A5Kk1fVJnnlhpAgpdDvV069sAx2rS9lpdH39AGA1EnH8957XEINlcApXPDnLYVtl pFWlmh3Du1gsjDEib1mfNITa+DaUH8qzogRX4qLHarP8IM93gkUIsDVpRrYnWdgQLYV/7wV0L5D3 iOT3fOIDWXy7Je9qSWMq82Z/MUgfQeluMKZRpVfMKhN3uj0TXc5ckShrZw8SHa6EeKT4LB/Qq1hk PTzpwU7gtOecU4RVtR0g/TVFPSgxBPoQ/qZHFNr68DP0qRj6DLp40gcjMsEzUSfCzbI/+xJlqYkR +TVB+jJKmiVztJq71qcmHFbef5CVESO2kqdiCgwufQnxTMyp6kIKBb4rskVncrUWRuZ/TpHcOX8E uwW1WpqtmHMY9MdtwHbPlRjD4CuZRxoEXseX6MrN9oiPjx+dcbOwggC/S0R0ZxVdjvlDnCknd91T i7oPi3nHCVN7OCxEqrtRbLio97Ysw9S/LWH7y8mVBzV81Gj705XCDjQ9BKNibh0rLl6O+J1CQhyj uhleSrW/IAk4KTMVp74ZFIPSktarESvI1aXj/yDtoubdieWngQC5sCgIvA/V8kQSFibnvWyudgRS TsEFbcdt5Lvw6xRZKlHSzuUYqUxvB7RJulJno/9Cfzztc2VTVT9b8zDu2n+s+Ryi6wKj3tC4jK3p IeGSfqWCf0Jxaw/b8PnlIKh7iHZyTi5znu4FYSYZU7LPPeadxLfbFy1Ib6vHKMaSi7A1T907XkrZ oVzFemSpOUW5DJ2Y6PRlvkj45NFDwotfdByxy9xjeNrI8NCD6DRer9Ob3IHvPITyF2bImGmCkLFn t70GIdfiuocpYrsLsRa3DXs7EeTT94XRDJTpTtQjFxNZ0YCnnFdy0lz1psHuaFmZPFXhquEvnX8d fCD3o5UZSPehxacipNR1fTthkCIueBsMmvlrAVk5b0THLsE9IUMi2yU1tNIXYbgtyDxF3JMr6/Xl JPwsqAykPVi4H3UF+GlWpYw5thLmcP96Aw6lYoQr1os9Xw6uwOhOOj9/mq0WczE666/IQrRJfW1V 9xjnfnSI6JXQnidjDrAsYj+5+2wwtf6QQAE1sB6TVKk6lO4AyUF5PYWyoL7vQEwZyUYDc+YBkqAg raDL2sLP83ZzrU7iPjduI4zAjDZj5OolC3cqt+oYCO30mBZadakghuxVW1ysryJWY1sj4CyF21cn OrnUsDRb+JN84EsHoRLhSXUY3KXB4xGnkAAYgv/qAP2UosW3HpTaR7/0qsS6bRtDb+C9d6m/dc0Q OsF1RPw1twAoJCWAnVK97vOCfT8wnlJxnLssxHekunPTPs3FnaHhrhM8u67esmR4/TmgtSqtaSLV BKoKldE2Id/vTnNx3c+/I7Q7lXgItqulAkNHndYg/r1+XW9g/M/WR3/vFBD7jnlyxP9dAHmuQtyd q+8EzmN6l5pHmozVtpYFdMBMhiCDawSBcp23e4Ac12ONdvTQ61xmjnrc9pEtK/4Ef/Y8vdPtzyu4 2MNc856hkWNCAXDn14qr1i7Kl1PY79lnRlLlMhAXo4eOZaCBhM6lgUQ05Lh0wJs+/1IAGRFtlo1l brZ0UKwAJUmZsx3DAVG7dx4p+1XVioh9WxuIiCZFg46eP0F6dC9PYNdzjPFOcir505WoOcnauMX0 8OooeIj1rDhJulCy+DTyw1AVEn+irwLRCGTBtsNFE5xgbnrjBH7lFL3qjSXSakF9XFpsIctirqKf ZZMNTCogXEsuPeCfUGrwwiIgiLRiWzJIuF5DJrle7b60cXveF/0j+OfACjYWg8hcGdG8lZ8kuJVA T77eU2NW2rxO9Jr3lZJn23YDHJUt8Yg7f/jQIN8EyBB8k8XxuyaWevlh2ihw5RMzH7rjMel/o+dt MD8C2SQlgEOjw0aI9NjgCVS6NWbTnP1SoX5xnQiiEH+rmqV2OLSq021yZ0VIKoQ0FJDQxq/N8YVz pOfukINyqNuFlS8QrOM9Nd0WA8GByb8uHuQNDKetFDCDXEaxMAQYw5dr73fsW+8vYjjBsd/+HO7W nq010vxbUdQHUtDbO2MHZMIhp1/IzQZpMvZrvEtbXdacUpH/bStjNd9FzrOQiPOni5Cicjk7tvGU 33+ACTWOOkrQSIMSARZ7PQ3ygk2DiDoZWzuFV4/OGwy3jKiU9oOD5EsM9jAKimJNQx5NPgGhBmzC ISBfAmwW2iBSGrKR/TIml34W1FiSkbVBXBKHiUvEX67q7PQAvp8arM8Kz35hytr6LWcQqZJmdQmK Kc/d0KDR3/GdOV++2Lm6cuygtYC8F3JT6AsORuWP1TZp8LwuY/y7EPSnup2voT1sPvEMKBbgn488 ikBSup723UayGWvLaUg8wpnMbgG0Zt8S6XzMgP4OCzk9rNWW4wLSAH/ETCvDY4vZylqlmyFFo8Cp XwvUbPY8LrVS8KTdfJm+AcPn9azQORqjEyd4r4x4IySgvuT1deDuUGLIakIRpuSC6b7TZ9zkucXL rL8Qh3FeM/HdEBjtqx9eTeSOhDCNobUXAUtF7kO0IbCAB1k+HUGQqTVINaYqqmPZlBw0SgbGF6Pe tSGqNV0CJGMRYMMKdM0lTa+/cBqv8jVG5n5lRmWqhrqPv7IprgBt9p/pIPY8JJC5vqCpHHIRkC0K iUcsJAWRK8Ngtb6YbVeioWMRA+apyBz60eNsdVE13oFKeFZi5U/g5QmLfWjSHOneIUOwu+lkr//k KeX9wIZSiJFBkobTDyVQi35dWb84d5/B0B2QDqBYTzR0r8eO/FRlS0BGwOuCwiAc27Ssg4ms8Az2 VzdaoqEIwGgKBSyvP7v9HPgNYSw5YvSD/HowidTt1VZ9pDQxZo8ER6ANfx1bPu9a1i6tP+xaaDRB K4jIzyKuipEC+e6vTqQ7ETPDZ+Ksg8Wjh5HmX3XUyW3h9oaZjVLCM4eh+vTKnv7XP52f1vUw7FUP P4i6gred+k4dfFh667vBKYfcyP+3minT+E7Kzky3ty8uw3tWOCWJFV2w5aZ8RvlnnQlIKQ1M4OaU CnTaUd+8hLfPZJbXCMv5DFolyGzFreSEGS1X/XwiQz8kTmfdZ8n+wNbrgsLO+7n+8YLSQpSMG0Je 4O3I8J3JjOCPpC17jqMUaezl8AhYWsbgQy/htu3lWYUvALnWeJAZ7Qw3DP/9L+ag1eLcTZJuxxMK gBa+Wi44WDuFZGr/U9zS0p+itkSIsuCRZatmgfmzUijOClSkBbilZ6EmUlWZSoejBeFXrQ53SGK6 +juV+hSehZySslKaD/lzasI7lUXpIPTE82+8NZ0hHWdmpKbdjnfnPZlCiC+S9ckr4kDdHmuyt1Cw dxosVzM0pDqcMmEz8Q3mtcYFY8ZwVUaklzeOrmPkb/C5c1e0YlB04B9uVb327xTcdi1631PU6LP2 4seZB7OZx76NtALMR0rC4kE+C1o77T7sAflUzo2VUNhlJzw6y2h406PPORbfnXWWNnjcy8dXEGZA gJEgKu1Yd/fAr/bk8B0qIPkbDsjejiorwSZqy75SpMxzitMwGBlCoYqB6AgLpU+W/Lctg8saAlf2 9k3ktRd3GFicURhasaCpjXsW9PhmT/EnJSbz88byvDDE0nlzft8Ko/rB8UTxbQlhJUVmCuMWIpmh OfH+ZN676t36qmLT7N6u05f46zZgDswZ1Tv8cPa5C+ntAJ5pqlt6H/qDioQ3GrQpE6woQK8IIuOB Tyfaq6vbXKFnO1YDfiJ7AiyMMwtFxl3HGeGuIsC6KA0e0tsiCqSCQ5OpGMg5Ii0Z8dZBBZE/tL3o yeNvThLq8/AfcvWj+ZG6DC5MWnyV45Pwo0lSSnRvM0u/CgrugVrvgGNNyRfY3REqNoi1f7a+qOu2 tuOOCZOX+Qx6T51PCvgOuou/ljTqzVZkn5+IipB2KpJ7EEEc5Y0N3J/4N3G/YcKQLR7SOAH6pucv Op/c/Xpo5cNU2QVBhVFuVzKOvg+tenkKTI5Pl81ecxdnE5Dv4aSZfkYtpWP8WEV4YHxZhvzQTb7I jCaeV9d+iKq2RrF55k0tvkO1VFneba7HW0DX7Jzou9u8B00aCckFid9U0jkIVKknWnCvp5aRDBxG RzLJgNj9WbTtt5k21ZcXvP/ut88MxFgNgTsI+2vXUs+FQ3B0ojhYtccNe59chiraMUBOu3U31Zxx Bu08Gl9YnQlYBXoQpysJSbLdtz8ITta9MbsXKG9PPuXniaWl3S01sNUxtzjuiLiIiTMC6fQ/JLbI lh3Qak1mZfurzPBnzm9B58PHH2gvdpjhkwQPtg+SdAIbYXjYqUs8t6cfGqMmdEHIC3jDhfNMZ508 TJGysWS48cEE/wmtnHBwhB5e0Mb2H6eXh5iRLIgduREwA1wfTLuwAG2RZnbrS3tqeo2rhkIYhLGy UET9RZNxVl9eH3Kg2QomXB68EyDe+KxAGEmBcHio0/LB93+GdStTqM2NDsExZEC0rJmjFrg0VtO0 tGb2lekuVk7IZK64kgbeTR0QoAfGp3JUaSbEnNnmj/AKVwm5dhxFDhBs5smf9H0MdxRAZdFn7xUW +xR1cpHaqqn8TbrJBgqq5hmY4pXz6t2PgA/lopH8oS1R3ZpsfOUduWYZDKzETgGH5plKUQ1rFkO9 bao90VR1XL84aXknyAKtjHWhQ1QmzrdjHK2alQVl+RU/fiisI3b3RTnOFyf/hPXvmcsIEmp7irAt C4UkCoetPl/1lyMtT7lLFKVD/Dh36NpzeFtaWvGmMCKF1/F1BSbswsByUqZqw4HKj8Ab2b1PiVLQ ICVs/3aj44+b0Bn/D7NMwx/YdzCzNyMJhQejnulCcHDbltblMXlS50pJbDoWUl8PSllHY2xmhzZr Nzs+kfBe/jfl/clfI8OE7ItGe6+q2xoRpIlnCfkxHtWZDWZ7F3bjrJ4wsESSR/WjgQmv7snc7S7z 1omxoLfQ5oVkS9gjrnoxuB14vr/sSJK15iSRVDjYkypmpy87nChND8UXcA1MuAmYGeOQWrX4HHAO 1SmzhRX1z6F+5fSI0VqZ8mILep2GaT28rqg9HTC4ZzHKYJcstJ/aXPcYqoK02wmgscI23XIpRE2s 1muOmc4NjHLwn3vT1EN0bNHmTeviIRhqJtIFxCVvkTa8c4RjT6vgxlqf509vgxynExsWW5+xp7zF Zv/8u5I+staId1vbYH4HU6TCyeUc3oid6WyGQ+nF7s0heDrqdr40wDNYVjtWh6BGcVXdt9WOHJ5h gvXUP15Dxf52cqe8eCrFGsXoLKQ4Bi6P8NxaMrmbjLpD34SBjpdW3OGKZD6KpKQoKBhXAbFzifi4 dtE9BiSAhLBPWiPLM9A1sihZ+Y4DRGaxiTPeNSaq8qBK0DXfUVlCDZ6/L4COQgMre2+yTcKmwNr5 m/1B+o/QvCxQtgpQ1/cszDi09zilY49KUrE+n0chXxrYOEPpPfwlilsq9Jssk2RGDd4fLvK6E8CY 1Sj4Rp+/IBzWgfNF5lSHCGTu3z4hQNLXDOBce9TuJiFfc5g6ZRgN8GTZiqrX6Ufi5TkJJd7ac8MS AYxubdIZGMRQ7LhQsIFhTIAp3+IskPvpDGhfjtB2FhQkXguJBjFRFiL81ulihPLE6AqPzudze8p5 jWK1imyTH3K1hwzr39/iHSucCaXh8pM2kDTuVvqFsPHHuRTWK0Z4l8ajgmU9OTg5wOwdvlIRyl4/ wdGDBLzjXAd+rNsP5t+wVKfQxf0J+on7XMsYSzjknOPh+8RAInRJPpmW8c7zQ+DCW9LrF4v4Q8bm 4O6LE6YUDgAeF4hCRXPBKJ3QF/EqMpVtgsPz3noY3+GDJiSEdNzlNLnXbS/UkNfTUKFnK5VVfzGN TxmtwHHl0SBhDt0XNeDk9NCe2qlFswVYX5vUsNdutSWCOeOs2x+v1zSNtDcgktNzH1G/N+fPsna6 11eF3ot6vOH7vwoaJWdw5SpHSZhgvOWFXu0TNAFayzRHeOQNmgNNR/e5gcD+pHGeae9t8tyJ/Xnb JUPlK6z2iYPqQ0+j5pdJvxq7s+XAfxMf3xXzIa82S1Z5fPjKJh4bAsJT8mlfliKcseXg2dBFAjvk in8oDhveZ1wKAxkE3WgfUK6t6BDPTU0g9VR8t99A4D9zLh8gdGHmGahqvoJIt+gzg8XLEkozLzrt cqZKXcEzFxvm73skIl4J+5ynzZfTEODf5lJEzHg1GAtNkW3aWaTdsOQez12iSGmCqIsgoMIBnEfP H3tGZaQ159ndUjAHEZBl2KMYgMuzEu+uQAgWg7ZhNOSYNsBEGicZXWy8o+XLyGg7QcP3d/lr0/SS hqFjv8WZpzgjVNHD+ux3Eg8ZTmR2QAimk61TgGYsvXehdMMCJ5Vk1DFHy92Ln7EY/QBn69Lm30jd 0bjmaekqosvbSM6jOfEsnBN6zQBcZcYfZz1KDUPqEHrQuadFfxr1EzZ8gOm9IMEjOdSTIom12inP Z8x2MR6bPgH7+yvjZ7v2XK3CbX0XS5/Q9FMjhSSGkZx8Qo8ni/CazbnE6CUruvrLItmKOiKK0AIY KMM3haz88zZMTEnxT1fOsMi8MKvtVaHxh2h+hjzyekq5hzYroj3Kjqy7V3S7K3pW+Dy9r8m8DuSs WMAXBDqB0zK/mnCFUCxqAAycelmD6orNgoZ9ddslRcfipE+AloQjxBcW5C3ZHmQRggc+WOGsbiWI D96g7spnY9hkqMjaGCGsVaI7xpqfppyAs6RARN9XROmHT+D8ie9/sx0eIxNU9xAonSK+S7g5Mrhs zI6ZjqgRIlePoWs3X1Iw0PYViphLAYtG/W0M6BpFeau6bEJnp+rNxQNJTengbxE8GEYuxxLamLyp oyGJAXzl161Ye8Z14mHly0W5OxXFqurx48+kJjY/K27Yl5WcglPTZeFecvGAeg/yDNCO/dRmYrwo uYJNNq687LXiU1X7w6IA+dJqCzOz3cLA4rxEVfsI/iAML93Gg/MZT9tLXYeTRHMDMDVDo8fzolLj Y3CEnHY6yeOa/f6ZPLLnRgYiBu9h7G8Ud57gozZtIq53SAK4RR3UJ9oIvhazf+E/2euQ+k88G53J ooTV9rtnl5u648hzEQXImu5D9pyVZR+L3l22mIIDcs/0ZY2fMLimiCUzjVXSLxOumSdRX6IwZV03 3TECcZrWplk/74TvDXkUKMhQZ/Dxpv1gKnYtnS1aHS91fvrI15GIUIbdenk2PE6APkeWmN+l4FW4 Yk6zayexSCL32zmzgQ4aym2zqtRytx2IFpawJ6CLqex0bPov0gsCvSVWhRn9NqqPBhVztqfoVdpG CUQimQ7uOAvdaadAU1hfkx2jxKrVBh3M340AAnEKKXHEz870WlxHVjDfi/6Jn0iYMKoXwC2jSz3Y QiQjsgu+m87GEV2tTMuUakt3CoA36qClHHZ/oudAWPyT/jOlUqDuPbSnptkupjWy19HE1Mtnj3CV ZqYQ94fnXx3VTbguqzmR7eV3v2sazkPRgL2tURTfH0iE1gwoOTJ57z4QfJOMxNQMoceHkB/hFW5C vFU5nMM4LaDMtSFEwX6QfMpyeJx/7xgD0HqpEdju3pdazkqyKLJ4d/053uq5fJUpG/f9bV9NdWuq PUAR8fFIaSgOSQ5mEuu1hfQUKKKH+tvCnTTgZTyvEPPvEr6pBkkk3kag4m8Zka3MtLZfH1xpotzS w4TZh7UyWM673dPPaT3kzvY+cVNzaRRn/ha8K4y3XinTRFyXEuHqlpWkKxWZxWRgLNbV22GD3kqh ODolcr0/88Kj2DtLXmXnwI52zKPPQPuPjWEL2VtYbsPtLKOt3OPCk0FMHHhQqkeaIbg5izo0Ui4k 0Vk/wj2zt+s3YB6GWPiloxGFIhEYW2joDWvMK2NVDMCvoPh087nTwo/ZQDOdvAIQnPlDexSdvrao uBtUNHQuX18C3d3V2HgKuTdvRXE0xmvThSMOzZe/Qr8f9RMysBbPnjYO6BdbMh2stiLTOyrPEPUe LFENW0Xr0wdbtnAFtxmeVcjTjO/xSNubZulRWI2RODNIUieN0IR3Kiz5cINBZenUcNQm1N7dBG3U Czoe8P+Wj26JPDyZoqHnQLfqttEV7yjY3LX1sq8WtV7YphKSDyR95ilINGr4KqSVNjRfkZgxEBYM IDJSL7ueZX4po3ZfO3hRE51NjJVGxTq2VIS4jh6uPYcqfno8q3lParel133DuP1e/VjOdyh2xIpv PG37yQBM3KnNRXkZ4+C1gG9He7ub4hokXRYBSw08eX92juX0b9YL1z0ME789Ie+YP7yboFVEBFmm cPl14aFbrO5lDNhd0hF1RtYBfBHnZ3JWR8PhEqvNeUX4Q58OYzYrVg+7yFRimJoNIv6dvH0boh7G 8boJKfhnfsMVGimZE1fYrb3DaZXdwrfpE5wyzUhAfwPaG86OYkf12M1TX6QUKBj79r7R4sjNiMTx /ZOxoqyIFylvNY06+1yVsRIcdHU5NKVdcQrtlzoweeIg27XwakA549Y0hK7l/cY18Ba8CXEo9IOA YCPL6Rt4jnf9AvoZVVg+lYFn2FmaRLq+Hsr4UL0OVqud1zT7iydkQtr2wIFEpLSsweJIJteAcbAN kAp436ZpFFjPHWyv3+4aBhw3yUJ410yJGzh2dng/0zyvZ9dyy1SgDDnbJo+9Z8wDE8txbIIkbpmx H+4Vseetpkzuc73I424wLOOunQ+AKz8PeAmw63b4LtXDS8eDo84cyCm78ynLxxNN1LfGnVKhytOy 2m2/jbuQ7Ru+vX643aBZCFHK6WwcUl0J6EowZ90WCB+iqq3+It4sGcSiHINIiv9u2dZ53VN9mDpa T396JCpbvIGHesaoQxJKF+LBjIvVyG1T0nchZcZKEt/9jKBOq8u/wZ9glKkN4H4mcOmOEtaYivwa 1JE/vHiNu0WLmW+9XsfOnhK8C6qP9XBB1o7ErAMWGwS65WVi+Pn0TEjK+BgxqzgGL6yFf7Hw7SYJ Nme+ZaJWuBzopGm50BBXjzFCCWBE5ZCYYddZHXXrlRu+fbMHPflGih7wGm5OuStf4lRsrbVohPpE QpLNrA/g9iCtd8i8RgcdZcZHDETOJSqi/B/IDn+vzFmPfOu/wRvUbsSOo7mvm5ji99/v0tf20W5S NaT3IzbMsVN2n7eyQ6/gfnLJxbVGgelnrZR1Po1Zj1w5sefsPnn/7sYg93BUVNHUc96vG4v2uWmR X8KMEiq9QqmiTb0mkFN6Yv48AW32IDciqp1GCrmUbiPnf0DY+fcrFTe6P9Z4gm3dszYuTxd6Vp9/ Z7RjzaHMbqr7dvN+eFieWp5XlgIsKFp7oafhy16juIAXcix8Hz+x/YrEea0L7I/2CMo6edMRRcrU VVZ2xwjSbTYz6yQasxVCIoVr/altv+3KNZk69LI9M/pLpqMJeXb3IsoYCDzBU4yAB1QY5LMs6eJ7 6LY1fnxREudlULohAvRYTQxeAG05b1g8EqPz7XSEcyLKiBO39T5//wMexJBllDqpPPfxCZ//U4m0 FljBho9Rz8/BPN8nBVOHcAiFMRM8A5Ok1TuUqQqrCyTw2r5upQQQ5cbQvYhuh8zQjUT+cYbQzUC1 QRgb5q5RYdpM9eCktgWniHkdn8nJi8AzYhziPCrCfWQ/K9dCCRkuCkmlRkarHglHENiLH47iH00p ZGqFw40vfA7J5Q7CSGtmVwHNng566olFs5Yc3syuDCDzmpDiUCX4fvqaX5iEr8nGs52lzRha0qQC m9vu5ofG9ygxssLMMvuzxd9nXGigd2U1SBHUpoUrkSHgNIF6NoIhQl1j2WGOLkVf+/uYC1D3/dLL JHlJG7tgZJSVePb/g8FfEO0ZWC0M//JOPc/mz81L2DE3hqA/1o1CDK+nHYwpXbP6ip8aCoeYK08O nlLYkcEzU+yDbEN3xc/RjlbHEz6bfmtv/Odaf6yHJ11AoDMXEKylyFoXUsTBvPd2zwExaj20a5K2 o0/IEhDWJ3tlr+fck1Ak1CuRRDnp+UjjhghmT2WXHnewR8rl77KjketzH0lNTJSvUEvH7Q6EJELB q71Fa5iviXTlcHmHbursKs3QcYGc+53QUnq1QKSJlNJlWSjnfBWSdVczVIH5VavhECh2YC7KrDIK A/uo3QR6llf4Rtod5d7C1THhdlqbR3rQWuedU60YRzbhrgAkD8q25s6zS56P7PVGqKWSMhq6A6Hx xd8Pn03ZXuSUjT+1qkiudKAzEgQ3A7ySevz0SWSzHnxWSATlZS1/DLIrwNyRjzPsZKmnAZoedRC/ 5i9UMwDarfVYXfQZ1K23mMgT3QWYvdcf2hMIF4iAey/ygrz9xkm0R02g+o9jsbseJeIbs0M16W+4 WMpyLK6r7D/aBfhr8ldJdzf4z8MIwhpD56jw5jvJsmpQ6Z6fexrp4RVz9XNcP/CIBUKmNKFrEuYM ayRTG7dqFgm6RI23XlLzAbjOgQ9d3pL3PluVYgt18AYP8qTrYErgjG+O3IHtD00RhB85YYIj71Cy XY1UVpHXUtATUqNid1+BVULdXnvUNTvCYz0mJNLZ5wilP+RRHXjIJpx69qIZe3Wde+y/Rm72B1Nu gpfTEK/PXPYi7pPBwFzUg0RkTVN80zrYJmrQXgRJKb8FVt2mdk+dCNMfPZdSaPQmWGXvhCpbMVqA Tme8HMUPwqLSYTSjyxVnKAI1tIkYw0D6rzMYFkJW8hFz8JCAawPtopVGjvNsW8Rcx//nUpBqSf7d cFBV9oC315eGxQ1uAr5Q2efH0GZ3BiOVHP/O6vj6toyB9Iw259milCY/QajUOvsIJtIDfkCt6XkU 7dBZHfx4BxACd7fXlusDkY2XzvLNgDkQ+j6mftcZGZhpTVri3jxSKmbjTffKRv1L/c+tK5z+85v8 D9Rjq5kGlrhHD5czp5iH3DnK0Tt1OFhYn2rpt22k1fxsqoXExYbOjfNmhM7Q+MvaCV8X+ZqFp/v1 qfgBeMsirtUfwceCJc0SxoP1r5r29hoj3ANU7klPSZB5BKBezAYVfyNHl0GhZ8MpFsPnJBPOHBPC en0k3dF3NPRG+fufVh3Vfj3IgIlQQFdOnxTd4O0V2phdsgGEYzbMEdf8tY+NxDm3tqarU+6voibg 6DGDLhVRza/Rsz5hE1QG2DUOghE1/hL2oQ0BBldGAklbucCT1RPMhHJVafkPBF0VpMKhHbNTL6Ss Mm2uSQ8nC0SBf+lB9Hl3wOZw4teQ9aoWpOPNXzXZObN4YKyn+6SFJBjmgB9HV/o71VtI52/+BNjS /L0th5DPjqZdc8/YYURMIr8mtARCc3TH3W7rYEkxPslX0/Q2kGua2gY8pj/F89qpYYh+rDG9XlHT O/xoU28NDzXX6LJieHF3sc3ZCIAsq7OYrZZEXa++Um0VcszVQ+QeCK72MbtjOP2/vEO0EyIUAHF7 uTSBSt4NxCwwI1NtsxzqZKEc5ls3tLOI1hDqH07mTxZN7k/976qa1/PyPnnfNKYS8Nt6qWcsNAcm IvEL3e6MA8950gk8UvUEvX7YTs/Do1Q90RQXwdAHETLtt0Ud4EqrSO/i5a40tyPASZX0afyxLY4n ku5B9OALvWVdP7ftVAappmAJXplv4zGUM7e0/q27fqxU+Lss1lzM3as3FpaIbXFW9wGxi7WP32Gd 4Im6Azekh+s4eYuXGqFhxwJg/DwAGkxcbhYs4hSubDTjSSh/y8qBFkk27LmFE5mCLSppbqezT8Qi tEOHFluY35YmHDgarvkuXnc6pyY0iucUFIkL8qSzpdARO84V/MNi6XSVlAOeWnyxzHkriTj0zh2k hmf9/dfxpP+2dh5L0aZeEMxcv7V8Me4Y1sIhlLzRIXOyw1PJWgYbm3fCLpjK42AYQx6CdxU+ymZx l+MiM2g2S28mm64xeMcDIt0jYFMERRufqGn0MOgp1ZHqucBOP1TNAZX8onEJDLrYR2B33zCYpP9r cn+0FLKQr81DPa/TqXD6x4mwEJ+GaJsZrykfXrLUGvpnh1fRC6N/FbzrZbgHwSnI9FG2/FwftXNo IXJAAaYH/7SMRBtnsv1q+SxBHbgF7o0i45h58Gs19JH/cn/zno8pMxTRuPHHQoXUFMoaQ2Udj3dH LSSE0FI3um7hPCWlHhVkCsGLSppvOB3kx+qeaVzu0+Og6QnmgdGE/O/9qDNymcoYj73jG27Yw1qC duUtPiofUjKKBBv9eYZjiT7iUUKsB7Wl35kh85SsFIur4p5GKhlzNTFGcwdiB36uwGLb+Og92ECn zDlKTeRcLCwxv4ty/01yBqt7h/TciGUDPFD6Wko27sBvJEpynLlV6u8sIAqkfWi2YHRpI0kywLnF dG0tZXjOMHhn9JIrt9VBb5YSLVr1499ePgL050mKPPDb/t2VmXbHGF4gqaODeya2ynBbgETVHc7Z +2gYNzw9EFHEN5sxo0A34Y6BqUKTIaOHv8/7XsDHk75x0uLuSucEowsq22THAwtkAMGUsHBAmC84 ffk+SUBau7B4d4iVRrLPXOS18jAUP0bt3PY4byjNxUK/kNSf9re/wKyjiTLL3+x3YMaAKXFOGN6V 2kYelc8/BHiO9RPiCtupMWslCgbbgcp6QeXkUuso0hC2ug1IQ/ew6zBDUXq9zlYdlxZdA+CHgx89 dXBsQbBZOU4pPveNbmG7nVQprgdqdJQQ9ORZ3W6SaTjSxaeRUQkQUoHGlsLm5rI+F5TWsYViHavr E3l1fqiok1ZbSUh28Pjfe+GibOw6poh6YGw2psyPjE/KDATjeLy8p6O6QWDG9JEfSBBiyQqfyCEv MK6W7yk0lzoBpjQrHXReW9XIHGij8WQfC3kqY0MESHj+ZsOqB4FJRlsrZqXY4puEb9AIWSSsQV/Q wHb7ImeslHADQGEbkO7QYSssGq26hGRMdYo2HK5u5cKhqkdCjyv3JtSGuMuHhpIVNc6BNWEFzgwG Mo57U7JgFVL2IlVLpgRQeJj6GIZxdcqecKicp8oa4c9370kBWIbYDZSbZkWJMmu6eOHXHSGk1VLZ AB8IADStpOHYCaTOC1f/BhfE5Elyi0lcI+9pvgclKdzdsbDqOS3PqRd1g9CMf38qxpwbuIlksV+h vE/lGUAu96rIU7Nxk8HQWtw5DLhuoyJGeRUPrt7pEw8xKoJDqfwrbFEVVM9fPQiYTLO8JmTFsL/a BQvjW4QZJTT6oMAftlk4HlfxQjEiUa6b12p2e6zdsyHdRh29BMdohTlVwa9pjjKga0TCt7cbddHW ltFgnSBLmrauVLxgpTFPdCnAK2kosy+iuaAh90IHtPEvYPc1E+OZEbuuSYKzBJAZz3nRK/PKwTEV //tgVm0tZ23UrBgSFGW5uHXGCcg0c3ERqFY25TU8Uzj9nieV9YdJghjbDOakrPZmaZm8RSvEjh4u b69JPX/MrXlUy5DYgxoXr6UFUi6aEfT9dDNrrYGywTn6WzBOo8+b1jDQT1Gsyo44Rh9MIcLesSQo 0RaJbqW0pm3oOkC+32Rd/fpvVNsM8CQ5XrPcnnEDQfovUeTsK46dYNnXECAehEcwFRPppaTfd3mX OHo0Zur6BfUdFy1cB7+AOjnSIBAlRtNZ+q9nRGZU/+PC4Us1k/KYhqXBBb7uB5Om9ZVpehrlDPqD KBWYAAoClBnY2VCoPUlDMJTtPcCEZrCg/rMiwHfv0E3OIwDzslIuRZHwoLf85A5fWUhyzkKdDVb7 wbuG8iJ3Xw05NnznWnPhQEKRxCSfCIsxEH5jLNC57zCpOtg7a/zk5MK3VY060D52enuZpVhPYjqE chsUqn0ijQWgJv4MaYiRO8aY7EWfHCf8bVSg/3W1PEAs7QW4Sj0XhxCXhH8Rb5zx7nkGsmCRMIVD VUTSomaGvCiNa7S6c4udv92AgTaiPt9fo352NSmQIl9FVP8nEn5K/MXsdcgNXd1u37zsAiIIbVpk XpI3X9TSKNDwFT7NEQPjSiuV3oaivd2faB3liu7/ZF4aB6Jp2e5glkBfPLJNXfy39lkuvMDXwSmO 5RClOjFOJObAPumS9ApWwNY2RLiEJWkXob/bOpjDr2h0g517WWjOAjq814PRs90WQlAoRN4OU1Am 7CgX6s97hibrTaQUX/j2d47U58M7P+ceug/yCiwP5BH3EyY6EiUx7xqu9frayvavG9uSgu7Y/Hqx WAsnG9IRn9jgGvS/KdzCyLJQDFrqeYXvjMY5/eGXQ5UH8DvIjyW2eqbs8hVu5kHW1HeeZ/0OsAk3 pLHlQbHzDkLz6gRCboWymrRiUog/4nGQfd4ZwjlsXsKrDQ5gjFqcHPHrhYD6nfFrSdJJJZSs/o3y 8jrHR648CttB3SPMGDzAQXyajUbjmAzcAOxk/w/bY5VarqgIZfRacfWmdFg8trJfH1aYCLv80b3f 1Hk2oLjhVBKBpG3dvGkb1IhqkoN74RAm02ZzZWP8BGoyZTxAGdKqG2PlGe7CUbkKTzhjcIldTN9x rHNXJUGMcb/vn2Ggw5Hk0rUbpC/0Bf5OznFfZ431Y6oXT77QigOWaAY+vU8u0rLL7ebGIA8r3e5u IU2VTJ6dxBzD9U55t7KWMpw0erHdPTzr7BJHVITD1ioyaOhHbNLeJIcGgv01jD84rTi1IDWPvNaF 4sQ5rUdlSixQJVpeotBg07Fzk8HcIdL+Cn69OVqHeScU0cXw7aY8qI5CXemAd1RChmol33o5Z6HN EDOnecarC29k+XoiFYAYumlAANR4UeQPfAKq1/MOvdBuIA3WsJvEWaoIUWXSjMgr0t3lpG24/e/m L/X/eCs9x1BKOtDfnTMvv8CIOGakGigsuyk6+swWyV650RcSL9Ncb+uMyY1WPff9v9XI6b0oyivu TPCSIUtVR/3DWkDOWgbDr44YzQjbqZHdSY0ZjqHN3CBVTMt7rqEt5//12EnW1MdM3t7jJWbqwxNA T61gFTviApXKHkyBlg5LVX2RIwZ8ztrGtSOJ1Is1salM8Qp2mukVchmr8YhwVunShVw4rISmuo7X 336IkkO37luqOZuVywvFUWbSGmPhL17et5NYoN9UH9InHLx0uPjG3vUbYaizzwxdeGBd7KNHY3hA x/UStCyS8U3A3rPXdISfPspw90JRmJnOoEpLV9rm1If/J/nW0D84A1ubzU39Nc9MJZQeV18vvAhJ bYLwvzig/rDuIZdL4EW90BcO3kxp+CeTS4HbiztiddzvmiRPzbPrsLavniSuDNc95uNxfAJrq4zG mVZxte7pWVKhmHncux5Tp7q6uQVBjRZD1k7I7I7w1YCWX63lXvcbL7hdoUzJVpxs0psYUFasmI/I GXSofhBU+rQZ/d3ViRoJHAtkn22diGvuw4G7U7PaXOaXPC1rISn4qPdgzHBq6qFKgJfXg4/wQ/b3 Br7rJl37BFN6xjPWljwpfF1LCZ0jTderkTrqyJ9+16q+G5g11Pa2l/luqExYiUFNws8jma1LPHqh s0acrSUvXeImJ8sgK8CWTxT7I6R4ERAt/EA1eI2CYi7oNMAuCsgJtk67gwPxPA40n67q3QWHqBPF ayw/AtCOpCEuzufitRJok3PCV5CLkqwFnE8DXZG+KW+46CUNNQn2gcMa6HXFeHrXtW8lGeQHHWpA iJ1f0m4VaTnr7q7m2bLxdqWunxTK33aT2Cr605Exjbay8XsaWLOxhWoWuffWf8Is5WW79yXgyiL+ HWByYZs/MhSREg3aiJjOZHG+9fXlfZ25AexRRL9hAwWkopbrrdqY2ulLsHLl7e3IUlHfzBZOJ8rd Oe+OJLA2qam7ovdcyRWCFWejbzWA5pelY+RZx0k9KwIOWW7pu8HIwQ+jj8CEwjOWyK2IPf/gUo5a LSWwL8CxwuyGAP0DrfLUCEcBqt2roJzSH8F5BzSDhvtCD5JE1NlAoEwClUjhVDC3PRqSEIVyoR8M yyaysVovWBq0k6sjB84L2zmddD5QC8OWJZr4ADF9mF0OyYLPYbAorj3mGtyHOP36pVoIB2RK/8m7 jkqLfz+7QBH7LXvmqqd0xRg5njXkp1X3K/Izs6PhMejHxilXpo99Bcs9GWo85GLnRvWNeLVETqVA lwkuwGxnDkEAmRNxbKh3pBFiqd9zYh4nC4cLaBPJMgCDljExg+BJhN9u4Yx3NBTgUkf8OYsHmBwT 52MHGV2daZu0G8GDnGv5KTLK2Xe3muaOi0/86JUxtyTU1bySLVYy9frz27SkZXlJ/DmZirdyynjQ 2VTXnj6jE6/5X0NJ3fR8x0gUJUhc5jA27Qsk/JC2b3YU5RpFVd4XaPR/5QNtc5957Z32GNnvelU0 foZQmtAu6TOjaK15ugpBe+/GFmUq4OyHbMI3aKegTzBjtc3Qfv3yuyOISrf9+PgBm0lYSXh10E+f NBrAR5NsGd94YksLQXhUAhmXw+O6bEmJwSfjq+1xM5YwhL0RUTmQyxtIHv2+92HtcvXD4aQGL8vz jqda3f5xT1t0pzjj8uaAvVkthcZbqaVMeWTCgjcehQFgN3Ie7UrvDAdgiPQXOLmo/4hWdpyFUr+R dcLFy02XMFFyUV8advsDZhld/YYklVNppXn3tBtlu6mFo4DHakKHr25do7l2pKjVrfEI0kyqzYrJ 338NSsRWrqDxKHdZVOGpmGjP/eoD7SIu3LsiRz7g8I1pJjIE0JT7/GfhzbrA49zWi5WW4DSmPTER s093Buxik+rLvwX0jT9Nrf8lyxI2olC35AfXggH9Ah10GN7UKxjKIxLZwFMVItZVFoy88H8Z0fOM TFqSLBlwsuIgRzkjhCxrAvBilf0EnjgRBH7OJmW6dWmmE3DREwxNvw4cwarwrB3FKS4XhS7b/cg8 +XjHjt9NVhmCJa+f7LTC/m+MeQomfvdroN9sOQy7CWn7Pkzl6kBu2kK9a54AHCE6cyHmuoVD5gkA QcpQV1lOJmCgCEucapdW/cPi442QOhsmHpMiKeeiN5ApAtcRK/n6kZyHUyo2gjgzvEUDzO2rqymi K03yEkxJ4LqBkFFYrQ9mYYSvRBSb9U8hc1kt80kI+UzJ5vb1JkgeW4XVEwAgLzsQAdMZWedSjcLZ Pfrxuxfd4PsFAJaivzTyG9lzz1Fg5ZIla+OU2FHzckC8uf5gWfZ/QHDV1LUc5BXxU5erRismndsh An0vapmEMeYZfWxVqZW+FTB7eB5lC+sAqby/yjRcQgH+CxqdhC5zTyFz1ky2zHucnhJwbGFFEM+Y bBur+UIVJxr+H6wW5Mc0C3Qfn2/o1UYk0yrLNthn2vUbm03h8Pml1ucHj1hnluesGvXp4QDTvOy4 c2nsT+3DV0ewanR3MVvIVSBbWyfqS0wha60vySph1s78l8tlV2Jg22b8gXgITF/er8cAy19RCGFt ju4xXfd4lYdEE2oVJEr77xsxgpEc7hA5Nq8rR5YxA4cs8/r1z+YsjCx8xuQK7WIelDKgf2spAv4J e7l8JrLMG68nX6/PbSAk4nqKbKMJoITlJ3x1UWBA+Nv/x/Uan620cKOGcaeI9wdYbf0FVHM4IDJ1 U8AipbEQuDG/LFS0WCqCjebGPWs9doRHNoAShM38LqgRfdKVtvbvd03C3WlIujLZ1FOc4CPSHc05 0PVjq6zdEkFCse/ecCw6TO8jKTapmZ9heXN6CgzEJR/qQIwskm631ow/nhRN2LFSfOnaJs/z+DOx p/AF9YwdJw4RkoVj6igixMxIdClcFBfuBKg7ovQKHD2xUfUrs+yW5SIUElxhm1GhkKwywWpb3jy8 IYdURC+l1rmmYTZ9CvMJxy7EwCoCsIAepujp8RGT3PbwN4IVaYmJxjCNEHxEBBDumwM4q/JHvimn +ZbDdIbWk1RWdSizRJjY+azctCbVYz/nDWJafYmoYYT5j9ShegFShLSHEiU5afHhYcTYY3PnQKwI Czh0wMaSrti+0xv4Ug4K4qp/tBHHIF0L/SJHlk1SGO5aQEg/iHwkLUQ+ufTlWconOx1lzBpb+hOs /EybXz3PADN/49dLUNsjEJeR+0M56qcOeh0YnOUrrshWtsjBmOqHX+gofJymXKjaa4JRGj4Xy4pA Keo1y/rtBWNJJEz+6Wwo1m9xth8KPl85Gpq2arZN2UOXfHkE38uZM36EorNCEOazC/ghfNQyltkz UWF2CmzSaJ7ObOuVTFF3NEJCxSqC5rILQkkd0vokxD+9+FLoaoXjcxgJh05G9m3mk+ffWZsgwOj/ UoN0lguCmaUzuXGQQXEr6XASEiB20coCp2M2UVbA1ewJ88/F3Qo6X8WEArOcp/F0dnTSNpbfcfOt QRmLrohVFUt3vlPyzqAaLJDKnUZWQNDEUQw4sRekoDgqseKY+pf3aaYMXstEBtr69AYf5ttK7nMq bZfcifTMNr66pbfvCTed7gdzjaRl1hI+SypyqMFPbdI6ccxd9rCfpsPx0/fRtIbzD9YF8d85ewGc SfIUuUNGesZL2PdDqIF4ye13WRJzsWjOI5DZfm7rq768iPC/CRS1ENqh8hG6cIQylXnCnGBdrgtN /3YIj8u4mtsyYen7AZD4cGj9SOu2hrjhyZgJHE4Um1bU5JCHIyMCJPTyc9S21S8QsGcxKRrdkbnV tmbcgLqrHzbSm+FKcZkGtMPqRiI+n1O3G7/7jcU8Yjva6siDSQtcDDdUn2Q95IXRTi2ck/raFqVg 5COIv7MRFtWuCONL4ByiPhRbwp00whGygQxkP9X0hmPEl8BolYlJrYkx51VWTj/UxeAcDKyfTs52 XKB3gEfpva/8GVNdU1kwViUdGMwIbYWCfiUZHmm5DTxUy7aLdHNk5vprW/4qiEJGwE1WJ8vTfPQu IDLSdwt7dyMIdrQaCf/DzfQ59kuokbT5yl05mequakKy9iNxoJyfuDPBFYCbaowr7pMbgjn0E3/2 IBIcey1pSRpwDoKhD8TweVVZA2EUFQnrcaUuKinZ7hwp+bb7i7563z1F5A7ApCEXaXKTlhRjtRBK FdEQRTlXD2gXp3Q9pEcyHvdYcwoGF/bNTTjGicQf1te2cze0/BRoFayFuKPvKhrwz4i0qlbCMGhg bjhfX9VAGWFnUxxrewhJMlly2GWoBEziupga4NUGPl45bQxwCpcVkJf/NnKvq+o6yMwN0FFSi/S8 z0wXqbiS0UxZnyBmHYkzEXnRxDWikbdJAZDn2z825aJaqoKXKOVc+Ar0dsYw4nvOxr1LXKXk/uxB ijESc0wSay/m1K7peqibMDWd1Jik/6XDuOKfdN2jNVZUUwqt3epEuBac5re9HNuAQPrvC+I1S9Wt tbdBK1l+eBFfZlg2pRQ9yymrDHEQQeeKkn3lauofNNkvUCUWNhLJmN/56ZX2yCjeB6AKhXVtmX51 SSah3AIksK2UFr6qM5x+Vvyn4cLwmZlKUkJlcVc7uNIybNMRMtobCUCdtMjn83e3Bo8LBdEDAgYr Ajb/4LHe5B9wpuHY9j7EF+hf+xbOniYefT/XDDyJlbe40y9YD/sv3G4buA654seMtgfyDDwWdoML 2ShfWLoTEJe8NP49gJAMESWoClSkI7ygncoWecwMwVpGL2YMbxL0CqWBvwfwVa1m1Espq4ZEmo+V JVjVQEwYFUgOcOd4HnY0pkqfZCSZzfMj1hW1kdY0BNEDSO/IEV4W4iVlvkYjWtwkhkER1TTZTpsv +q+lmXPOInCO5AdJeKGvUOlwMz1TSy4M9UkQffmeqQkgwCV/tC7ljaF6bTPiJ1XcCi61Jwd39LlY /uz8AabxeL9Tm2DfnKKlJut8mGklOe0wvlYYKlEzRfxRpTQBJKeaCcBREFfHyL/02scIqpM/X4Tz 2u/TOHwyOg64oiiV3ONb8Q3qwQ9JnvOv3WpqGFPcv4YkSRJSgLH8C41klLWcCNAsWOr7ECz4Q4KA kFSGpKnAKpnwlTF5hGBTmuCRjggxMJnI/JgCBIY0nqHs4eyeOrGPJuJL7rldoEhfAR1zP+dgD45m hZ2ajPaKQ0YkKNdwqx/Kp0K2EnquhsXoFJ/exqPHBiShG7zhcXpeAqV5rFcGM/GxG1FroiDILmCE ZvI3/lz6pyFFHWsd5Hw3lO2eO15X4IZ4M3tClU+ZmkGXkGAs0Tle0hbBCFymyW7Bg5z8kKv558oZ F7DNVrdDWs69ZhtcjufSFlq/DryzpfQADrKIKHh4VqGAyr0Zj3qWfmKS5Fr7ZRJx54m64TvXy0ZX 9PjcZvBBOB3Usr4z9mOTsM3zbEyRh3SMDOhTW9GykItefg6kCxAVwyGdIXxheu5BDKW5JM3pyg6K sBIh9lZXb+E68hW1XTQ3FALkYdI3pcvALKEFO0PZetYjUbb+KiXqD93Bv30YvEkkNHeQfdyWeBMb nC72Q5l/KMOdLV4GoJRZMYtlf1uMZfokY9Zy5cFIKF4x7aZaXKIjIJlXDHfMFBwoTe2w8lz/pc+Y QddVwjUGkqjBz2OiHCqtOvCbPfuHx2McuK1VlgCEuzbK/p+QMKwwxzzn8RYxRKWe7xzlwVnceWMs gclxwSPCRcvfa96dpVHylvrYT3I9j1omaJMTP9WCd/O4xkpPycWeAk8qaTSYa5xHnh+imoPhF5d3 jOcbwFXyZ4/DwOdZD3xR8c6lieD0+5cEBCTKtsv3c8Jzg4F3cdrJD7xv+Ji3OliZdyqZy8kpwjjL 6y4+t6rcFmDan6QRTATVxgc1hbfTtLepcSRMaUSjUpoJevuwsiShvD/7s48PAZKcwyHRAQ5br5EW UcknCdl0eUDRidPNJOUAeGCWmA/sjOgiFMQZCxUWZgEiEB4RMEK27esCecyDhIj417OxGSkzC7MW fUXrB3bxZMrqraSJc04hozXdoUO/1Oui+hHuAz4YsjyHbaQ8vBX554qoeVUbXY/7yTccGLl8Nq8u UJ1nIKpcCSj/7QhxBm4RydMBA3awrCfcVUjm1Gyokf2/cgZYcsY9DrzuM+NKIhlj33OJJ1qcGbjo ScPg2kEaRojVBO+bjJU95JRowk1iw96Y/o8Pxdyp26s2KXvquWqlXQiR/BYbgFGIQ5eAgwIJi5ga hldwSsDAnx8SuCoLIJnBVgXNhrEO5fEtyiM+YidOq6zYzNI/6xr9YryOOIFozv2/xzum8lx6663J evXGutbfv4ToLhdDJsTqOcq75x6h1mVaXscT3DkpNzejlHJxUg+cnIllTNo4kHuItXTA/xsLgq9F dbBoL09QT/GU5KNBAN3wFKLVPhNfqSnwgW25eiFON2BwjP+iTjM1U/o+/V59lHJlOPKxuy8iYw2A vht9srXdNvU8D2a6JxC7q5B5B4i3VBvxizRrwaR/3fTpUnskiVMY0aSlXROtLK7hZQT61f/BNP3N ihdM4Wyb4j8j3EHEFhXKz+O/Gwp1fu2BR2CAGvrhL8nY7VZv5uAqnLcf42K0CGz/ziGcVu+Jfy4L WO43XcyqFebvBT248fZNwlflZdXtY9aqEbyeAzy4dxkNryRxwQKS2xUBq0+Sd1pU74AFCATattyw Jg6n2ci/GATBhrm9WsQrVq/CMMAO8sERaUhXckU29MJMFSDAr7VJO6uRycDPdI8lXOo5eZSCWRr5 0zDSPzR4Ous/f3hl54CGU/FScR2zB6bg97hsB/ge38d5mEkSu6sqp2MOP9oLYhV/bA59d6QQFH4T XyBxRrmw9Fhci5bBKLFtfrEbFDBgh3dxc5azP+HaupjOT1opaY7zAjgldfJ9Oti09mwxfVdcZk7d Ah7JUo+o0grVTmeHylxMgxeRNhnYalOXOvXqqc9nHkYrCfp7WTGGgVjmRymk9MVTv4QIda+tJK8w g0QIs+yVjjMdLoj0dN3B9cij9OOeLeBVNPuQXIDBTwhdwtLn+nS08oICkzgCGDPGo5Obz3Yqjt92 OOVpsptxVODZDmTT9tGgnLQT0bGrN6f/GfvlofoQ5YwjmD1qo/XJMkF4HqCA52pfm9HFpQDV4Z96 WU+LNovnfdY++FSTrSN7WDXiKH92bln6FPBKfMK0UR6383vj4OO5UdjWCgZ7uMMFEAgYarzBIYLU ILTySDbh9Egnua3eDA9O+DK87LxiFja1YKYQvR2BAItU0jMOgkpH2UZuJI33eFsE/9/U2zevaa+T jzmnm7e/Ge6myO0HYArDNkOcA6WjPAZdGci9kw2MquuYu00/Sfws8VhXIrhG2fJ/NB/pW3cdyGMH Lzt8CDmNXO9amk/6TtMQYLXrbg5oKmP2fHPaq56VAvf558XQAY/fSliYWngM1GspoNYOPMDkAXaL kdUxIsnDJs+rNR3AoXsDnZWi54t6peLQwpxThTa2C7kdYoNUMazwRBlKQQH/3tytpAHo4BdpVRzY rxtlCokiMxhQ94NNrRp5hotbx34+PzqZ6FZw7ty7g+sNvDpz7qLozq5pHAhMhlicfN3V6AnO/aon oZBnQofCwZa+FkQOsLSyUAp4ZTjYiGiTzJC4MAR4/SSB7kGlO35Jn1iSXf8h7JpSNZOPAQnPkpEJ pXV6AkQmytgnbQE18bjQZvawgKcDgd/xzCiowbZrNl81P3P9ajDd0RGdmHz9MXdfhc9n9GnI+CWM yQD5ii6lOPQd1SFvtZlEO1IdQmojSghFMM1CSnKwNKe7YkSKaOcJwoxFeLyE8L7+1g1ERCM8351W We8qgQWKuHXXNbMUW9oDuOZc3tp6IE/Cz7lint1wA74pufbzIX8CQW/CIspUggj0GKYuGNN7Meyj OBYt1r6L2uJAwUxpzaJvnkHb3jvq5PLs8kNK3LXd7Z3yI+dpfTbFDXfuJPSSrkpwY4yYFZ583xVr zBnb0s+70TPTwBY20ACCxlagszeDlGQLt1jLCRuwHb+6jcKxEs9Q/E/0pw5gfD++2sOoHUc1PK9J vDLQ7euS0bvm4FIM0iBk8ga7xlFpsHJVRkDKdmppd4P9mfDLPFKXAqAkCZcmFaPiVC9d19RZQ67y 6kUUObsPLhSAsplefSrylE9yOpM6M9rKj7RN7DChHLgn3a6cX6Tb42Hqos6hhS5GNq9dp8C/kT8D ncY4plrUwkUt8Z7ldqXGhQYrTRQP8gmTiddI7tNtV79+4nD8NGoLxGM/XpDo+CtT/KDN3Rx8fPE4 Nn1RdaDEGpkhTF+SQ4uA/kKO+9Q7nyWtMKuR9p/szts/HRPEDjOjC4b33cMGcUjOVgULBXlt2J/+ sQaVCwGQssiBFUAg04eUP7eG1zrJGN9JWW1WIfm8EXyPiFhl5Q7tco5Tviua8HVFb09CI6IBJFZt i+jCT4rcqLqGDTlmfhjxgwObtF7ohLleCEpijGP59vLuAyLqT6H5+75BkuY6kW7rg5EDvLDx/UE/ 5DvxnrXNx8qPynYcVhmpxsfH8iPck4RvEwXqXpIYcQXdgewjbfST4BlH7CDYmZM685f7Yfbki93L nW/PivkP9MlA2qWEDw/i0qNoqJmaM+wUzpjczNK5406Lk8GiIU7L8iL6XGItA2yfBVwSItGF6yKR bhPgdT9k9DNi59/QS5EwKCQF8zOzLnR448P8R6WoUBp7Cbtqpa5695greW0Z24J+NOBwWs+QcRSR ER1eRMNXEq1bAD8dtnnTr86osaWHTa6EZEWzlnEANGlAkI6XIW1uEdMOEJiGtQ+MTGUbn9fg7L41 Aa4iv4zXqEj1dmMKjasgdT6PTxivfE+BG3Z90k7/xS5lki/xFkKfZLsdXeDSN7CaRZFrzXNr06u7 8wE8hNfmvU01F4/6ViT0ENuLFskCreT8TWE+pLsErc5E4YcS3pPJ4kOp2/O+mvGYC7/aSH/q4YS2 9/WAbs+wAFvBmCaPFEEPevMScWEX39YPIGpWPT7k2rx12FulTUrlQplh+jlicmG3VmitL/iprjpT xWd83G8Znygql6SA+JDenCtb4H6AhhW1vHlsp6i9HxV6E1p2fvfEZuOpBR3OOVNpXHMVidZt3adF EPiSgJQmVlnhD9Y6VNq+ysK5FgZ2qiGsxBjWPmUNzLx3J0v/CJ02pT2/R6H/FxqGPDVUk1/swIor XvIdEKPM4thWEaYvHAI1t7piNBeydtHrZUafhI617/ZXmNc7xB957IrN8BFJK2bCj09pl8zWTcvL eDYrebDHvEeRVgVpPqRZw6ohVOAfvzSMPum6eOM7oc05aJZ9KWxnGssmrh9nO2lVY4IPSTnKW4zZ zIQ57FoHKvV7hK0xEtqAEp35qT+PwswNwSL8gRaw4Re3EAY/RHbOTXg7W/s6RqDp3X5JNslv8Wpi XV8v09wizf3Y1hA3DpBgIQuEubHbWAaU1N9sx5F41a6TP2rKGlJ5jV2EGhDbu7/RdLOs1xyHHq2V VP6S0LVqYshfPmvFbYp0anv4Isfg2yAgLH2M+lGa0DHiq2uVBgVZMq8cZ4juvSADvuZ1YCq/hSXS m3w0zM/hPIeR74x1WG4se1v51Isa5AQ2DpY/JdQWrMURZNerq36tNQVksJ3uS6IJdlUb6gkmqXkk FKrX8y8l+maz+Zp/tWgTukw/d1is53XLDuSbQDlbzJHmKOM1MlGPODlhC7CUgLdebwyfC1bm+d12 U+G7Qv29ab8K/JhKZDhP9Xhjp+j8Xgu8/xKbUAj8YNJFrsZ9kpZbm1sq6uEq7vl7mvzsC+Ieizk+ Eti0ZvYzfuqxlUciP6EZS8eG6vURa8TTCs15H9jP9RWZuEDHzBBfI3sffYRWFMF1fMxcsyobbkma ccFfT+Nhrnf020+fq7h3QxOduAKn5qmXSKvK1jKq9vfH6fXy+NTkRjXtp8zKM8sRFWCc1XVfohGT hNUhqmEZB/K2weqQRxa4uPsJg64mzbllbT9Yh8jleTNu7wnvOZN3kyxGcW8vN+i+rF6s9Ap/IdfF LpV5EBmhnfvcW98GB58CFQRxE8xaIqR4n7Lv4nl6z40H3Qs/Im3T5/dJRo2tHoqQGPm4sNHdYHQF XCZGQNxzTZPQmJZzA/nSzY8Q7CVwhAVjIwqhhfQIg61r5RVM/weP2biiTWlMlOugN6QdFirQ3TSN Ljd+KE70uzH3bpD2zY6xd+emg8SyG4vOwBcoi3THVv+qfg3We9gigSdT2EFYPST+uXksWo2slnjG ijDgssYQMUb8qMjhwoOKdcjsLB/2gvURUi6dm92+/jLH0mSNFRRufN7AYJw4Ym2s2Dky5ZhYeLRI T5c4OEC13Of9+rUuIDf73mAlOIhU4IrGi4oKUgZQUEsc3t5csno9DS1bUq7KsLhkCMlOiIo4wgmN JIML7xMdJFyM9SZXzxI3R871r2AqdjG5juKoP/TFNKKkAwVQq6AYoBV55zGPMrKvFmQr603VZUWi GEwWgo82yjRBdi8PMCsxrOxRKQJGbZl6OH/eOxQ4uN8RPlKaL7YN/K3hZl/9rhl9HxUO6jmFU9PV tKOL9yV5J9l65UdNyKWkX1WaVsjNPRd/vYYf4KYlQpDqTaXB3AbREfcLMa3X4xpipK5RMIcBa3CQ doxHWHt5KuWPgPiSN1G4IZNVIIZjXoLlYxAfTXPQpgbY0KW9uuZtLzvfUa9o7bqoC6yOSZTI/ikP /V+O23e09MHIAACei1DxtvYMFCIMImfBQWrB3aYzWtRTcFmYOxMucKrEwos9P6NODi5PpV3YD8De +xvxWoLEeIub7a0mRJABlCoUWCvKSAdhvL5FlMacAiA49m73JRhgrQCZzi6hvivV8TlBs4/BFIdS giJkVEaxxCuYX7bwoeM9UXKYaeY3onDvS6EhNblyCn9cQxR+XFmXicCdXfZI2cTuRXrIS1mnRKmT +dprl6auAwHT9mIrRs7qHfKJcPhh9iepfguRKj+bBapWenkAYzbycXZgpL/Uu2Hvi/R2Qt5L6tOB MRpOEOdSg4Tyng/9ZxO6BjK6B22qavdLMOhcLv5rIKbeqUNmyyBxucvaA1iLY1q1mLoRrYQvgovs rY/lq7tWO1sK+7bMKS+TrMYaRQ+rOZ834BtBG/t1LZ0gz5jUyb+hITVFAB/6AJRml3tZhoVQPUd7 U7Q7fHWdEVfqbX7nbsOOXaJC3fFHuywtFJ992nCxVTqCDmCfYC2watQ8YTOdbagfxA7iTE/TzGG4 H5ncC5Bz1Fo7SryV9dlxGMnpfLF0A1FMkxqFxYJkKj7xVB5wuLToD4E9wi9G2zZsKV9s7CN1hJZF CsKAMA8iXQ2z+RAwXYuvW17AapLl0JSNj0oYit2KlBvIdgCzA4hOV5Bn/kk8zlfOzXsAvCEJK2BR MVGs7O0jtHEYMuJ4z7QsqgNNBsS1jHhb/d2vFq7BR2OnGOeWW+ENvdo0CZXcKo7XS5DbbYHYRDBx hcbupTrzogq/0W4HO/FnbjcqBa13Z6eCx/WXLNk2U+qayBzu6bWyqNVEFKZKXuUXJe6tDO+3GAF6 joMlqd4fCvpAuAhC0tczW/eTMGRskBPGfN88BoubllXV2m7QBf5dU3wYLfK0Dj7R/XchzkNjSW1r POXT6gmoThCOSkoJrXxKj0y+HtgPuDDVW+vBwDagKcnhKNcsD25s+ZRJRaUmcsd0EfbqIHDZBAh1 EQQJL3yd6IU7hZpBN04xWXMkKOrr6CeGOmtRPNx9HRPa7e3UrjkdKjwmCMM4j/FVNT5Mh09AUyu8 m/1ke/dfkQzkUYF02ahY7jTFHqiX+d5gPn2hLR8v8JiH/Lk2jMNTIgbeR1vlrI3qJMtVyuBLjI9u C7sZ6C2TGMy9ydnVGWc4zQb28tKca9SLp3WFnMt/PCbWQ8ZKt+ug6CDCvBRjUSwfEUnwcLn6F6bP +2ZvGPSlN5g5CkapHPdbsqWy6j0pEE3WWpwApZf9L3vXtbS3DlUIK8DKyvSA6KNEcwxE7P00HpWe BGEkUDGqK4mPPmjvzGE/Er9KFQsps1Utuw0zOWz2Huo+R2apIHAj+KR38T+d9Tltz7QbYujSwqL7 1XufSVBnvsa94waqUTOBY4M0WdiK3nouf8cTEhPVSIwG8h349tMsFjjZp3d0fJl1w8qjIjQQYpvn obv+W3EaBV80odnpa1oAiMVoyUabxlMzLKT42dONMwhnf6WxUNdOx8hekSSDvLMHuAk9hMKhd8hA Y9vFBPoKpEmavj/amLp3e0yNn6b25cac5JSIxmBb5faN8JCVjvRvaKpxVyDgi/94ctkYG/Th1bos Ookx8fkZ1IbYyrA0eMQXKJW/BVpLbwb8wQxbtX0rRjd2+mvwLd0SkL9pwNMrFwqREwMPfWI0uD+M y6uTbrC0pqwyZkleDrzSitOneYPInton00+3Ff53/FRzSPkokw3uwhLHukbGbhzu94WsxNdP0FM8 R1cMmO3gs4WSCnfaHR7keaFvJhLsWdE3Kji1wL6QYips3sKxhSD8KG/4AFds57ZQ340E0c7j19N7 oS7U5VQU93z4tLKBazqoAW5sr/qByp0g6YbyR1aW+BTpkdFrcTb915xLxxX8R5GTZQdldm3cO0H5 DKo9NxtUcXRALv7BIvxHW7y0TbPeELNFd9TNHLvf2f9lzA60cFUuvEzZKvmiAT9eWXr81DVfA075 bCkTdft7487QLexO9KfcrxPEQjMqQ5FjwNI+OFcSFRS7xOI+cGQUIbOCs/NlRuEzCbQNZvMSmanB 2XOAu06tSAeRo4/xGFYhoJyeRXzDUfr79d2kyDAhjzOvG5U4itiJteG09gHYhnDBsxxlaKkkfIiV YuGWn54xNyJqi26o6M7j2YygbAVtUocaWEOhpe1h3PMbkzsgoA96d5ChXiBPmoMtf5DIRPUGlg2/ Ql5bDkSmkhEdlE4WyXjbLbk2OIpIRf/OxxpFKpnzFjteE9bnCTzMT9yl43gD4DKRW0O7k95VxYAQ ldgOfuGNLL3tSHmznIwlJHu4WSLjUpMsc7ckR2WHf3a+vbkRUeRwF1V4zA43H2jr33PMW7Yx3lko wn0yLDqamEK1m8IecaLuWWkPFgtlnNm9QdC/hDyvAtN8aHvR9RezsWFv6l3kONN4awrUO/OoySw1 J/Nvgorl4CRrmSSRBOFMnHFlRcV7oJDFwKNCg358+eAZMUZxSY+KGGxcEvQ3K6F4ReMZ/Icxq/yS PFoQ/npwe78lNpzYnFE5mhMTqC6ntOWyAqLI1DWfZ5xTxsJMkWVNYBz/NHxUaMkgfcp5lbsI79U5 ymlVm6Q3CsrOABTvah7UFI7l68BoAeA1kA2wjTSeAB7DucfvTTD8opljTezwzq09NxgZTdRbkNoU lbCEXKDlQkmXE5HFuCWoTNgDi43EgwVAR3Oy9uaW/R5lmFmwbNCecCf75cd5+p0ZtZFlXkv8M6uC llEOyo9D7AqDDOsXwO0a9hlkUPjTtuwtyq5EDPbPXymsHH+d0oAypyLh/yXbSZt4QAhdOGIkRZoJ oUMsOXe+o74fk2iL+OwvpqdF28meNqGyyoeM+B2RKP9ZYSxODpjXVAKeHYNriu+vYvRKu2aeWpNJ MgXeQlRnc0k2xLJNNcAgZakBdbXvctGPCw8vn59UUBZwTUsFy9k2r2RzeptywBm4LR+6571C3nGJ rR2j6tglq9BqaFNjUSqjYa5B2mk4YZgyexdmll+VGR7sC3PsjGi7UxQEK20Wls1vEK4hdg7NxX6R 3YqldNBVmCO/pot7GxlXCH9BmOX7OoWk7Q3sDcGLosKm3pfOl3Ry896LCFqMNBQTM7UFybVV0y5n RWisYvCjUFnhqn3xOeMdUrgBZeNPegVpm/Z4F2ZXBZ5RAZW6/I2ppDuR8Q/5lE634qNWRG88jBng hAWUXGCkIiKKEWoAHtkXr6udSQ0J5f0hhS4hVHheUTQ8BtJrBAJ7/Fc60uUe+Snth6JYpN7KBYbn VoNU9gDw1mu/ROSLh5SeOr7f3177tLI9R74XgIu9zv0BNtFFhTA2CXmC4gzPQvgKm1CJU0fs08jW l4cCWO6H8gHy+3BIsDIZ0QbeSTlW5c356pgARWuSIFYjt8r2cNpN2ATPale3lLHwAyouXPLBWHoJ smdfZS9L1es+hTzPhfG41ThDnFveqRX/a55l30yhB/i23pX0WGsoeWgC60HTuTb9XFzUOVtS+M+q MQZM5ytQ3f3iC+CC7+/FmkXoWLcYcJhVVwqOqzJLJq5X85FyPFFbDNFAkHFcQpjBVpmDlku+0QKo HL1k15F11iVaY4mnzWz9dSCWeixOblXnsNSY3tBbX28PUpWVD8Q1qLGfaKhnDCeQepaqu52sfIWr 5afezZMeNOgm83igZpWyJqmBHx2OqlPHMr1eMFxPMx7AmBycOE4Fc0FWW5Tt67ENlcnOombQjG/N Uj9t8mOnL6uqQTVsSKzhdSTB/As5fqbpd8gZo3ggHEq+BKcsNQDpLvVTiYtLHJ7Ub5AXLCCBwM5P FKQ7vVUeS4qdYQZ1XsoyMoyZ7NF/IbWBafejn8DAgxjn59+8z3yjKWMo1+DMqS8GJY3eqI9VHAdY yabr+y4+jjOwAI72unD7+3ifWUwE6CTUaONsbai+GbgW8w5hIjM9+ktkz+n7rrFaCX4RvQ+b1Yd4 5/Xl4QjshQ3HU75w2IIwtRjP1NNVvTy8Y/pm1DKRqep/B23lbK9Hn9GnrQf1sfQeFNu30wXeon1H V+Dy0vJZjqiI6+vA8t3M+blyk3B1uMkGTgujBRiqE8NASgqzymXtQi5BVUdnznw/OnTONyG2hJlT XNu2vNTuzuTIxfMeTmVN4a9T0nR3p/gNRZqb4uojjN3kZKx6s0D2fUzeKeh/o0O/OcfO/g9Bs4yV ULKWGTc+ZLGuig2moxoCGf7NRQJJEav2HAJnnyPMeSxX2lhBJmf4xQ6W/TIz1W5TTzbOSttJKb8F Ft6Chu74rcypeXANSU7Sp6o4ZVm2XpZGwSbKDUMuEIasFG0JxT5fnMfpDvqbv8ELTH57S7ey+ypy m4IeZWAReqWoNV6IY2AP87nVspo49G+9JQ97NcI1wQBejt6EUHEFBSJaIDA9RG5KLoFpbdxEzvkn aD8o2QcbPlAmW92/AezGby/eBwbU5G3o4FMZuaD+x5tGMsqhOgk1ZBmqgn0jz34kEW7jx3qyYloG 2aDhVlj71p65BN4SzkPuMG3OsURKHlCc32nC95Ibz9BOJhcR5R2MYrRbnWKbzhLRSOALlQ7lqpEZ /jal0OCKAC57P84SegRY5xF4vR3nm6ioT0oG2Of4larRIVCqNIksQs4k9fmjJfyXGra7Yo7ouv+q h+q3wkPivZubFwsQI2ZT6RsSN4mYyoNVYxM4dLMrOyT60cxgF56sI7/dX2rsn//KYeFAUEVU67FO O9CsXKU06+MeJVuLcvU1OwJJqq6Tm6KG3w1MabGA90B+Nvoy01Igpz3NiHulH00gDEE3v7szsgBf EJm9A6Czx2z3+whNlEExnCjfLBKaPi9u7uTRkHV7RRzZ2RVPEHG0yKXcg2fyA6A6a6NeZQab0SX7 AqBtifHNul9+GNg1bob7y5UWM1a+8vbmQHC5vRgXJH8TkgJdSc9IKywz1ZK0uu08NL4K+M92KXVu 0BdWnLASOVpE62J+MHFMOwxoEhj93XO8zCEVNDKXNjK4LSodgVDUg061DpCTFPhdtBTWHcifPox2 +K6cnEU6wgzSxf2VQ1z62VzRCv5XOgroiwm4N2P4nNmcIld+fSqWe3vB6j1ZtoSW8D0EBppRpyyT UHj3RpVokIP+nXWxeN14QFcxR4CdVnMpnKygj/ft0Z8VVnzaDfZ1kU9Sv593pRNYwyDhknMcrPXW 8V+n/aWDdOLmSsTEb649ycCPNn7qhjX0g8QoTLCYVru2ebg3UG0fRgqs7nP1xoSJSdjUKaX7IEEv QYQ5xoq5IhCkvZmspjtG0i4zheYlkoCuHnkby7EyWFc1jETYcizOtV5KBxBH6og1Ot9uiVlc9d4D wICaJdB9gyXUZgo+J5TXhzA6SJI+Ge+FlZbeKvpSeNcer8CA+5fR5llL198TaXvGMi9EosghthlG qcxGyr2yE4Bt5qggKxd96nPrAO9KGj16Jb9DxmxPRgKPuG6mH5D0YAWA7qiwWObIxHFoym9azJOd kIQPO37dANFmDtfbEfIrM21w5Z6zCdFo53/bLgdnI8UQgDmXlZ49WBbOd3osUL8N+2kRFXkpp5Sk BalGl8OtXynbCS4xnYzoJ7ccQbBA9LMnvDvHJyga3IZ4dpqJCMv8DF9Zp7Q1oiwfarxm3wkfkjy6 8JqnX9BgCJeGnWJOQdqDcZK5HYsJROcDH38R2m/oUdUuou94mvEZ4pzUoF+cWO/YrjATHcvVaFj1 WlDBtFN28F2jv13FNqC3DqadC/uCncOn4aVPIu7S2Y92DYsUeK/5I5g1diwGXmhDn5vBj+jINmOS rppSBhe/yEHnw3qYN3G6/K5CbMK6NXaGScO+B1gqJUwzotjgFCr64RqsR5scKNWJS5qW28i/ehXq YQGBm9tlKS2DPMhMDievzixqUcs/2khbRRSGL70gRGQijb9PqKs/vs5TDFcrqhsPQMJhjdOQi4gQ OgCd3m3wb1cfUC/QvkmRNKjiRjvFwTCoz0XsYSDRojhHAqZrZsnrkoWGon4l/4HqomDKyR0fFsNP U6th+gogG7bIZO3TmZuMcrJRem19+cBqpU6c+PQ9eUyW4mrLzSHm49bBFfz1MtsHFxuLthBb643o zBZ1rOqRSjJ5XXEJ0EAF2zX2vgzZeLuDtuBghyDc3ZxHljv7QBi9Tsf/3ZjlJT6VbQbr4lVzPYmv V3yka9Z5nOEpXc2oNJ8xOz5Khe6GjVLuCENZQ/2X29a9gywLFTdBXuU9t05GHtp0CnZ8/pln6zQb 3dyrV4HbuWKNhfFNVhaynxJ5PEk4/6rpDMNsWE7Jk+55MCx0L6lQJml8fQryPyYBtvGssuBHSzhl hHdes3fgTyx6zSvNo/IO3j9jIywczm9v9VFZLm1RNKgI5TidDtRaF6t7bK8i+7bsYAmOiSNlyRgO vUHW7sr3vbhpgBUebhlQeeS8UmDHAkyO3+ofcGgEwlR7O8ATSE9a2VJdVpoYzL5iaxuFYKvRaTkE eEiT1P9dCujswtrEotYdTNgl/VJFPiE3yexDQkI/QhJXRK4RITAXgKDo/SuolyIM5zyiS3auH5Ae lqMWjJ4RNm7iUDbYW7Gjs/EVKZBuOvGgVlkERcwJPgLdongwPn5AYyfS+Vc/Z6tr/kJnkc8mMs45 OAY2LyT6Zu7LTtu4jp1J8daqMmuutRYX7R9rlY8sD41a+awRZTtwI9uiaAVqL8cYLHMrRRIP9ouo NaarAHEXVkkHEDUDLhrEaWgWJYwmInM8Ft3wO3DfaZG+P9SNBqlyQwpbvI22iplpHp0ZRaGcHE0/ 2eTbqv2VsoktldHfd+NzgrY3EgHwMkF6UxPWSBHLekI3ROUrS2vypFWTi6xtEc2uiPqZBWpqAsu0 CgSTrfNYZZga1pH1P9IIj5qdI4xN21yyQrHpOG16nBDfVtIzlZCB/WNWs3jGY2b/L395J7dgrfvx JOw3SXRxIMDYRMEBf3d6iBezXOrGQ8cWcFZ8pWKCHOKLq1bzz9mD6WlP0AkSGQKU3Nt2xTSuPr1T erxLvhjj4PLYcLPqX5L64/vquF2dZgCTCBo4UicXt9E2/5eGLd427+wpYheXyd181Ta9NcyHKd/F Wqe/ENlsujMVpXwdRk0cm5iXXfaGtpaYbasyFmq8LddjlTlA1vEhqnX1U8F0CA8bAhrHs7Keh9UW ZKmPbGaDYpTSTx3s6F59dL7DHIDbUlatweYl7pQBiUJMEc9eSu1Yg5SgGIhOOQbqa0WyXaCBap+w OifayJr/033fNTn5eXz6RRg4+W0YTKlch/MDzz6aZRfZFFJXcQniPQ6c9/+h6v8J723JurNTntBo OUod+g0t2/Ha9mHYRxZp7PBFH/A+mZKtzIhJ9rrDhJC/HT2KruXKuNlf+sFxmNxVdzH+UspKhoJZ gHvv2nt2eMhnC07Q8qeGuzMrRurLwP/oJDk3B2DQ1NdRwVhdqxqgIfuYPIgdF4pl3NEg0Mrb9/kv u7IGMxMbKCu1B1PEv7GqGeY46dUntR73IGkdCW2kM2RjGwtUvC8V4OVdeZU0pcVdXrEe0vo0aYaQ i9eC0EgdM9+JD8YPV11frWyg+XTw3qCPw/L3eXVwESf2p3h+JQ73kzAHfaBnRoobb+LbJfOx5o+n suzMk9TruzaaOcQnC6KgkLw5ZIr+eNRSBoRt58PKsqhD8Yx5imf2oXb9WQ4zee/7hlYoA1jvOCQq SdDg2YvBX7IAC/sZXwGr5ecvWlnHhMUXvD+lf0OKHjQLrC93CuL2XUqAtQYvoHqcXsyw+W00VAr+ 5RvLcBBIDY5RnMJUAckJl8/XwcfJdbgCVrQKK3FgtDRq7SzSStFjF98fxsTGrL73DrDovn7NXO9f FXN39VwkveTAimugIyQNDZTKC8GdfUzjMTiA8Lqk0EIbu6EDL92459r9arYEHV9IL4zXrmVPhbpI JPB8dZfof2250jgnXzWvrmAvPjXufGlf8WiBL92W/PBLwWUGk3LNEhMFR5kNeJZFOP+zOOv5F2sm 60O6LGgLJu5VV9m7R86BDdZrK+yoWiJAyRrXOQEbMkbb8YnHQ43qIKan3Gbs13q5uXLqSBrrHhPC Itd9kQiGsONlnuz76+B/gv1QzYNcOZPuwOwCJcmwkbdjX8ukz+cPT/xEHiva4BKCIPLFFszTi8hS Hxwy0CX/0XPQRYF7617/zeBVHJM74UrwmGlFn1seBsT+xMfxWO4vDLd92rMFBXwN1cCkDwzO6zbv Za+JoYtTLu4q3cPOZlWpLxd93rIAFJAVFSphP+vhTx86XmisoLWnXL/L/zLlSzEWyr7gqhwEET79 CmTndr++BdB64Mqw5nPR55W1o/ia9vCHnRixyf0htWqBSt05iloXL2gbJJVyMizYyq+PBzE17v2Z Ash4JhP1bhojsuQt/HUwW8dm5mTxOJPx8lebt4XPuo08N/HazoijCPruw3uJydKG74M2ZGYi/XIC mJsNXQ8ylplWpZfC6ON2BysyMtUMdbSDlpOZEuHEdricpqDCWiXqpX4lJ2dOfkQJYYNi4YyfZUdN D7DbnyHWa1dXbmvMhxueY8Co5rts5zVrz7g0uT9/2tjSKeh75lAxqE7Ogcg2W8AhRdSIxUPB8Ay+ dSpcErEVkcPqe16sU3HJJ/mT5gMwYAt7TGZebnC8ouQZl7pMqY3BY2P8a8hc9uwpKlBjj5FAy1s1 mIEXf8zbC7H+J/Q4sjmCd8RDmeYEf+ErYDzNY6D93oHvAs/reC/rKCoFZcfdSV2HQsOiEppILNNw WU0FcpksvnSEp4qtfjTUprFcRSoCoNiQ/FhzLLY1TXsGBfVq2Q2g8pai3F1VCkNOLh+KbduoHZTG plMkvmBzbYQFLOosSLhP0moi+tz0oNHEzg6fVE2AI0k9M+tcdoDUmQCSvpiToDRvefx1/+IL7zHb 9HWVbbKChAwA6ic/R2MraHULb2qnavi0G6lMaoY/QaQdbH8Iw9+53Y/inxPr1HhhPP5umZ4PYztF VeuvYBYf+UZrXt/ej61WqBUuBzccZzzk1cAse2QFGl0KFaOtbcCcSSuduQJJ+8SBuaGLhjybsjle YTG9V/D1oQ3S2IHNdi/v56mCAR6ITkg4obWLsHMGbjdWg84MJCuhk7fR9v0QqGQKmMPw4PwW9NqY Cnq1hnEOzo4mf+BMhXOpmwQWO5LogDvyh3wGwHo1cdyx4gVFQE4aM2ATuD36scg6OFcrWNkNV8o5 ls4DnaxsdqQvZ9uDxrv+uU9efXQHSZadGPr017CPmxaK/C5CPvLgigWcOiruWCQ5fqajErnUku2Z Qfuq9Y8RPZhGnJPxTE0PMjpO2bT44lv59jRq7ey4MKizQzCDI1mS7gjB2CtShG8SKPrkuXnoEVt2 9lAQ1Smn+VWpg80eIrzWDOR4WYCDkCrTU0TXtf5vJ/KMGwuVAeENhrzMrNQmPPCtUvgCmFacbl+j ti7uvxDdo4Er4oeQPqvGSHG4rWpPcd2a06ycqqLBG1BEgnG7KdecOs2X/kz0vM2O+fUxQ5Jrdby4 iiQzUXigBajIG/mH1QTUPwWOz3HRC+X6WrFQ8as0riHluIebcWwPzGFbwOxehpC7PSxYiIOiXGW4 g0m4quVNCLb+OHAZYYxWZH7xIlSWSmtcqBLbfC2dJKXK+Ajk5RHvvThdNKztg0EG/OSrql7tdtXp n1nvds6VQR1BoG8Tv6Hk//g8Q/8xwQu8c/ToWsG1FFRPHoNH/cueIytIWNMGA7EYy1B6FdWnzQw7 tyEWoXVrv4aoPRwnoknjJAElt+ZvojdR2XNtuwgKNpIpWoACc3BPvU7i7jRC32PvC8P7u1WTXn8l WBBViDaIYmwMHKkul2mJ563b0zh1aWWxHKnbSa1xz+OZKa/b00HfdneD4dOFwshYQN6caqZrUvoM CfHqnP8VXVrBH4/S9qpjgO6H3jHbm+ojus2XMelDyHl/EogqYN1ZUkmaUEcuT4g5nez6/LZQBbk8 N6ekjepIWJ5VfM+rXj5JsFNclmAQ3juyHO1xgYBmlqCBkoZYvKemRX62Lyl5MOr2M+Jajvlxkw6T zlX09nnCZ0RNhGN9+yQWquWYX7RhYqpUwcrutDR7i2YFxPFOzHK6t6hWlbqD+4Nki45WpmdKTDFK nFeNEq5tyKB4TJeWhO/lKCnnIgx/7pWIimjM0T/B2Y/Twtk91+fgcCs/6W0u3K2KAcXqTuZ12Sfa /U64C4wRzC0TnzSlPSo+ntLkSrlMtcKLRAaB1QIU79JOurebw4FgWx7AcxVOh/EM0XZuJwmuELq/ hS5KpDzEuO0JX3o7d8WOSRsIF/hl1HWgIV4nGudjPN0eaIcZ7+Izft+4H3TXLOrodSa2i5nIz/kB ys7F8si8hqw7pE8R2zbWdN02vKfRmBdyepdx+xhlnmYu0+RrRQnPn13wqawP/xjvLqb4sabiM2Ac RUm/Kfi5CtUb0D8UmTcHXz2iy6c8wA95CPOI4cePJlGc8jXRKke+0U4NNQ1hL5fLKTSZCLSmm1I0 ThNqwaG0mgCLVw+ofnF5pjAkw7aRbPVZC2+4k5/9rtb8SrsLnsNo7gIXQX5A4W9RxZLPysDI5Jls FAnBiZ1NCDe+EMS7pjo0n8y2NOWge8R/5cCMmDCcCPd3q3mggIkhfm8eni18Q6YN9DVpOOA+wbsV tgDLyK6hMJ9vT/L7/GtCChLnqfyjREDOQj6u/RYRr5YoAaaVyPuaS0GM4C7K4zFbZtNhNXpWSDo+ JIv2Fuk3H8SNIbU/jfp6ZFoBBtxQiKRI6mQwmXxc+VUjZE9xJ0zKjs+fqqI1V/pETHVIu/gDjcfV cskkp3pZ1aYEkjZ89a+VJDUOi/tt3gbrREkdr3wyna9DZggJtl16BXe6TzHfD18d3Inm4908b07B OFnBPhdDejG/89o/LoStB/Hx0QQNMm/z7wBHTun/KsLxUGcip5w0eIWIFxbtkUG+v9ZUJ7FpMZN/ sYm+PNM46YW3erTp7CjzPGYBMevC32XvMIpE4hx2Ju+DL+v4KEsPh/JNxwwDkKEdO7lZVbLDxJFk bVy+4F4n6kVqwoGE2jmC+rLu5nwgM+CNJyR4EcIUiafAerIkI5920pxBWcRuqMNdix8rfDnWdyOL KtyuVHZAeIKHKQ5Wy4RbPhQZHU8CNaokGWACpAJpuQAj/582G5FnolH7Gmd2cJv3yAY54XWSV9pb DzU4eRsA/2afmaO11yD9bZS3y0dUWJwOt77biIR0J/4vJ/Olh/WRqxL/JZa/62JeyXvKQKYRFFxh kHwzid18lGzGVvyseirXRlXOKD1j3LiOjcwUjVPa1mie/TokUew5XZG6mPvm2qcfZybGlno+tM/1 qfy4ePMRSQx2CCVwHr/SFYrftbBeSHZZCUG2f7EgxlCLvbFHqct+bt64NwX8Qv55PH1lIxWE5MM/ bMXsU7A4aQ3bP0NQSTgEM/RARFRTvvv9ODGhdV13JcPlz9AnvgatAaj88ibgRpkPOFuZJhskyaav qzLPbOKVf8ZSrqCY6WNNCF2ItBGTIGAALSWHh2fsSDW+0fAuVOduapFztgaoHOZDT+YLx2R016aa xsDktQQVHoYiQVjH4dc+73uOK2q56PH/oygw9QKA+HfiIBxvQrwZaQeHIFYQWfoF2HyQfP5jJA8u atlb5a7E3mZB0i673RZ+VZWs0Xw54RUYaAcnSSBMYzAKy8EhN/zavA/Y0qqMnYSXWL17OPsoGZ3E axuAJ4Ar58lj85Zw02A6bCVfaUj5Hb8BWwg/YR79Fp9s0soiwZQhzky6+asAu6WEcwPuieZtvRm/ K7Us7xUK6jwgpV4oOzVgovYVm9Zt4US2ycFwWy8DphcqzwmRXMFO9kAAdOp7QLSuLFnImtpmoDDx BgkbHlnJHFzJase5Akj/9wVsfal74FUza0bsoizxs/+XeGxn7kPYEpKF4X1MN00qDq0PoAvyR66c gSqUCBnq7CQOEHwMjlh4c6GQey3Fc1S7X9OcGeLhsWyqjXQsAPnMlZxy6TNpJBRise422D6oWql7 Qn92WzVbO7NbdL4YakfJvc27hmsgKjcYS3+Dvqkxe5Q0H7i8Hf50Qx39A88c9nJ1IRjRKR/KSsNe sLTiElmlamlxiAUuK2+5NIXdoz0NuuxjuXrJUIGnCSjM9nOa6ViPDKADmRBTsncd+A+WiKDxHeCV EVSWhEh1l1v60yVjodKhCcQt4q0mAIxtzgX7G+Bu1BVEm07sExPctCkgqfturQypCDC02NC+zm/Q +oioE1Osf36vfiwUqM66G8hKhK+jozA5i8/4ZSNKrKPTWKL+aRdqM/2qNvPG4JmUgZkpUkCHgJQt on2vZU2dixPAowL0M3xwz7pqXvOVZL/Siu63jhNvM1KSmS0qwgF2WWQzHTWUIWwcwE/WToW9VW1u 4AJ8lw7XnbLQpELhvXNMEJpwbkIHpU3bDfL6oeypmz3MFgZuHIBR4fFooQnTveFLQYfiTOwybYHA SL92A9hYEQiCOMkmBTBUV9PNNmR+vq+GrHyKOEY5JGgTHeJ5PZDC4AewzFGwPVrJoO6KRKjP1+0r TCHmqybPEctpty2CbIf3g8Oy1jvhaN7HxBkdkbWtOXhd8acv1ko2xH+n/Ce2u3N+YjEWr2pivhio qWjwWPMocAO+5Ye5MuKVh9+O5QpDtsV3F2ZTsS3CtrHRAffk9kViO1GVp7bFbd0ly4yNt7V6yzRx +nYO+9jYjqkw698E27SgZM7JxbAPrw6T0Pn6CrQmUlLTQO3R/MAitw/im7FL0R92bJKTrQmy07Qn caaxs1kR5ZBLsF76S4UpyqxB85Xcoj+tu9Y3U3qt9VTGA+s4bfnBUosQiOdJHQHMYmbastprtm04 4I2x7QF79UrjUcTWfUkSekwnS8SbEq+aPssbdEvwgtQiyo4foXE5rGsumnDaRY+cqBZ76U72ABiz KDWZXbObB8pyE1BqKE/BQtjw0LFeIc8PwijccqwJmhvEiRtYxlKDLXJP3Fd98hJhbhdYT9PpNXeJ usP8uNkjlBB6Qii8CuKTmjSVqBsMrb8icE5fjMpwm2n1JoW2RF2a+9reYRtasRKE/FMMBhUTtBpG Zg94J1ZCNtINPmnBPMudiMlchm91pzUpa6iClfMyALJpBqYe/0fw/H4lr4A1GLByF7GcxAzcI4h1 PUmzvNdbOhuGQZHysmCMCvo2gxOGyfRnS1XoXjszzu1BjLVYR4Qih//NmzptNDBfaq3ZhJxyJgKd tpd6IWKP6nuCqrukjw0ImE1oVb9YGooEl+YqJdczru6ztO0EpPe0SUwX3hbyWyxGbySFHYmXlWgG LOkCqfzGOAhggP3XDcSoc3RUu4WJAoibTN4ettyc6QfT9YqKD/oduK1T5+gJbGhiKc44hKCjCCDT 3wQ3X9qUfzYKyBoqaecSyMqb9Pp2H+wXXzOTOK64XBuDPSNRKdakKW/xvNA5NJOecmeAqG3rOvQm dntiLuTeUYav6CfTz3gzpqERqnGarezKhl8Q87YkPAKDSJ5lxaUvnHj/+AzrBqd/PivByPlOdAmN TPFF0FWCvF09oAtQWFCtC/qba9hb6OcRdSq4Sq8DH6kwF6gRVSo0u5qZqYikwxmdTWGnznS+3bEB PmfY/EZ4EPJuRLE5/8SEuYvyhUGfwZYVE0/iTqsmCYf22znbBAkQZYfa6ukgniA4ZMyQRTcBI/c/ 43eXbbUQiTMrJmPAtozJv+63I0w6ilcIH2JxF/cLqXprC37O2ROwoGyo0oUG6WnJFPuIyAg1S7My ryUvoGmTntRHYrOPak+Y9QF/apMaNnSFIlFlaMvYGOtGC64VgZ5VbPUNhHp3OOjaTKN+xwX19UQo v8kFrFKbONwnKb5LYdA+vMuofVwS1cTMKbwEww5yh/uEPq9UImh7aIF5noF9jGQPPTuuChiqWl8O 6xEOYOWOZ26wbwkxJqbB2efpKfZIi6klz8Tee9dIrAXYZBD3YtsaQetF6EwmEChn9EW075NzBhGT JBfZfqm1ZWYuAfTG6AO3fvbKMk7/2Tw8op2TT13rBlWT2m1f680/BEhP4H+rLKdQwt8MvK+VSGXz PrJ97CloAhptvgHmL+kA9ETQpFXCBJKbJt8zXkLlsTwXCDC5Bve9i5CMt16myA/eZxBjsPvT8XLe 1V2zJGDNqlryb5mHX06e2iWQM59y8EKLuUblcigenFerZqacRFxP+OFE3AApMQvOd+CNWP/7uNJt HAgRuUEG6Dbh7L6IUBIsc521+81lfo1Xsk2eJG1+LRGbCLekIcR4Cm17dAQhZONEZ41FiDS18n9V J9jgV/1ie07j8rfnDFdldvQnaI3kScf6+Bfv93yzh3l3t0Q3fEoNWauMD922mNKKByRrs8xF8nAd r62+6bsdCJDojBEGC0IgkovfYRTDCsgDBYLJalh0U1BTKBRdhnpbLskYwFyMazwEJwB9tQAZ0Inm KJMu/7fnIvieEH7Ip7DyWTImNGS8q3h+n26h7gq5ZBPcxE3sx1saiwF19Hg7xGHPg6wlNCR0y71A EYyPsbZHA7ZqpuYjhU6Kq07jtgycQabdzvfIvx0Sw88fDI4CkGF83PNm+Fink9CzuIw74X0BrJhv fTzIKffrkzzn+n/WI6OHJbPQGcScCnGpU1nf9xqROW9G0NaGFlVcTonMMx2LMnDd75VfB6CSUphS ylpjZJrMhylKASPJ0mhY3ZEuANMRtSrnQ0mGxVuHSCidyqVhQhvitmp68gJL7k7uIn2Dt5ls6xfa E91iAxY1GaX+yeXAPgr/Yp0KgSXOF1HYyYm3SuVKpdZoEXr5BVGWmpr8j7/NEXsg3IvQj5Q+5z2e o9KNNA7dlAHBbpIfnpPFE6IbF5PV4bGoZgS4ym+hp24zcpb+qyIuRgD2sCok3eJe1HABQEWZtIu4 S3SdlapGQV57aN84Z6ku7IMZtt91nDV4cCTsl68Q+u8+Nvk29zIOFWqQqlsl+VM0Yr25lFP8OxJU 5Dqns48AZNda+Mtf+DvW4dLde0lVzDnsgIod7tWmID+OI994tjPX6LWq+sO8awNTOsu8d7jOXP96 ccSKLtLEWwJc95D1Cu77yQb4F9Wta2z4iuPk48NtaqO+6mAsmxmNaFF1t7i1alHlMaT2Ds5hwFNl NjY4dh5OtoaINhSb9OvpTnjtZFbcYZNJeuz6gMjwEKTpNr5sQJ6WEZbtsyZazaXqBzJtBeo/KNVf CxVnLjzgbJLd/PawgRkZ1gWJ9ctru48K4xIeBpdFBr+U28seYrq5hhjOytFpiEkPtEt+eYgiMC3A ygmaLwDpRkyge192MLK03S2kIB5eKqiSLgW5wFhdgVHWROoaS6cwunkan1dD3PfqIVJwhGRlFVy5 eVpqQUhQ2ksC7hrSZ69dp4Tgb5+UNMz4as0ImYqfFF1/4q+shwgPGDSH21LUgk+4pIf5mKkj0fKb rDrMicxiEqTQXb0dSZeOxR+xSaz2aecIJo3/KPBJnSiTGTxBCN3XR5lBUXY+M8vHxyhfnxMNer0U HnlN8p3OqlJU1ZPuNQdSYyp93ZIxfifIbrK61SohnaWzxSUGEfksrJFvawr7vWCcoKhCIFw4bKr2 XhM3qXbXfnrxsjNtFtWH89ggIqxTwrMRnoMjRTVxi7dA5eNRG3XzFsO32iitjOe+/DSn4hHcnjWX c4R25+L3Qy/8t7KA37pqDFx3gaWLMv5dJqGezN1ebAejStQGH2uzaGGxfT8MesWH2Kd+rmdGj+OT cIZL8WuO2t4L0K0a2uYXFzJR/UVe/PZ1yqUAoyw6CalTaOwGI0bIYa+mgJvCxeS2RC504yyGpbYe 1SttL/vQki1DhGmvOm4KCUq61F7DhplTaTlKpQhuQH/Mah56yNkqM7QjONikaTuza6K2DbOui1kI Hic8hu2BQwFTsbQyByNLQClPkyiJaps0HOpH7OnCJLeiQ2HVfcoXhVe0zcioDVOnWlJ49KwSxsIt HIStoB8gg/K9pT28+Ft0AkR1a9jRdgNyyMy21baIAFFvw7yzy342R4u6URPPqtGZ9FAFLIUgx1lf RyVQ6NvZJOEkR8MnYeP23eoHrgqPCHZerv/FPjr/NwRC1sVnb1Ne6VMflG60wW4MZ4FVSkSXyhdt ZFqcwuDC00LrtVevjKpi+v/TNnNEwEntJtySJIZPvr0P4C05NsqPWnxLvirS/pd3VCVmKxl2oOEj jokUN1CU0qh47n0i+AETysj2r/O8uTXzjPTXP7MedvnMMl9D8GHfygs6VWsqKufJGjeKCH7BIy++ NCpckjROgFayN6F5UGmJUmavPc0wmZHgo0fwnEkQ3xB2czMBZgMk1hL6yWGcIekdK4ENB7JDAIbo IrsfZJWhBXOhKX/6TGGGlGyjz/2TAeqjjFpNqhlRoFwDWDpMI1UmBlItBA8fvUdtdCxR6AVeMJHl ZYaFbWH9Ecs1d1TqXuzqYBkQeNRBTosYK7AUAtoE5x8dBUibkgC8116zDYj6v+23jkFEOUrGL3TX SmHdcam0W2IpMcFgFsDPH6VEQbR/rYE+x7LVAbO1KDuv36vaDUOf+xhzG2eExnDj8Dl2Al8pMURn Bcq4zjPUkU/N+ssqBiHjJDHgY1sqDyK2Bsc1gXXvkoctto6gD29jWLtqp0xTbKmvVGtdv4IGyilG 1+CEA6j+dFiajLjYfKOvQ5CZ4Y46t/xCJq4dPQAyaNTWr55JvrEmP7OUKybEqKxF1p0DhmXfISJt apjPGJEw1C4vJcuo/7OQJ2OsFY7gCisZLMEvz2h4R+raIV7T9CtOG1Ag7HrJdHCGPcFPYDSDWoLr rjVVy1g0Nn9yLrWqYdUCgr7W+zrxP33HegjEc8tj2hywnMdFyLesdTNV+XKq0ZmcUJU5U9okYGgB S4uBTR2AcREnVlCLPPGDZ1r1F+zlZz2+BzIPEi5zXXeLEwIN3bZZ13hVP39DV9HQLMcFfBtKSI4O HChT1LVqHEyJ9zzYIPcQWo8x2mn0D7KCB6bOXzHuBe++MDMR8NWqAEfjp+TnQ3LRG2+WtoZfwQQw 2vzIOiqzYAFwA3BwdU1Q2I4dv5uYb+tysZftECwIuHSdR1G0dSvcMxe8zskOMghRTqaTPDrZkizE GSnd3E5EifX8qtBRnbP37RmRrVK8iNRRHzkn/Hg9ztyPgc+UXcY+iLho1d7dJ0lpG6YFk0RDOcy1 LJ2bkPBNGPoN3faRklkft3d3Kv7XtR5+qtL2AXhXSDNOXoZ188PaqiiWihDjISAT7u8t9i/wsHc8 0X3JcuI7E5w8zfWZoMLwDbVDmDikZsyl8qftTr8agFZ/ubvYM6xsHQ2bkSQRTRSH52srSEPWTVa7 qEHSuYGPwmf4G9Hw/uovSIr2X507KeBQMxqXCr+EhKW9mxK5AjPi3nXA/55QrDMKZBod+Xh0rR78 crrEZINLUj+OgbBa4ATy14zQ91af8JiCyaSWutLiT4JSXZwA4qNUomCq0w4+nTOWwDC8ifop3Dwh J4c2ZIyzvbsbtPgWwWo1LCryX+glep33ZQidk7XHZf96c5U/yYxebIhkC9rbp41kOddBK4BcK5kW 397tBvL5xLBL0qCk+IFz4/VY5/7hSJqPxQXHIb5qsxudLxGk/Z2I+fD32TweiYg4iwa4CEGkvFFo la98pd3lA0WJU5SgG4a8qtLRL9AqgWIg7hKiNeSyknB6cjWhN6t4DUr79CW9oLTRe4Kf6KvypYMv 70SZxOnyI74GSHpSxxvUhYD1m+pwVvKHeXoXra+Rh57x8sT2JYByw1lPW2tjSQLIw3fQRiib6grh E3h1Cq/Qn0JKcBv0XIYsoz/Lpv1UoX5jLv1SCjwZoIepXaIgRQEzP3hjkZKCfah+5j8zlSRerkjA OI7RNkFMMORRYw47JoydgKzsxfAnPU2XSmD3A2By8wy6iD7Is1NUam/+7YpkW4GzyVM4fGP4IvtJ v7NusR1+bXhDPstWIAz6c6BPlrp4icxuxmPMzYfj1tewrZ0720svYt1lhHrrY5JPWLj5WlM7lEh1 F8TSQ6pFcXistG/cglai9NiV5SrGxB349QN8cClrcwW4frCBve0FqtolJxkerlelKnGBfC8xMB8v NlAP/TX3kBg7770Qbkjq9Y+S22DhWfc6H02MumD7cmOIKDui7ZtWisoK/gHMCsUinkC21tTuxQ1h obI+d9Zh/ZhHsnTN/2BLoAyYRsqXce4w7xm9p7drJGY9s6a/7UDCFaOhut4l/c5w8BpDQiPTuO0r hObgVqp+U40n+HOxAmZy+87Qd0nOrZzAhn2PZ4Hr4Rdrkca30Q8LkQ7Ps6AOBuE9Yjzjl3wsTyk8 fWaIyGca2oljPyJXqtd23jl9/UcFrULgEl0alKTSIMfMHPXEH/FAIfKz3cqQZ6fZB+iaPDuOT43i RMpotxE7TwFXKaoN8JRVRt6DDRKA3b/2P7QDRm0xN5DZU9fBYgfRVVT/nbu/boAqmaBSHoquVLkE lgrIX3RD8LyNp5UVhGA2cce+AkRTkHhG3kbLGeal2oftwDpvmdJ+1mw5krrklAE2zWQTDyQvLmQA I0D1e0b1kKypSj9lcxVgEixNOI3pxj9EldJrGTae9VAbpv1p3sXMDur9BA0ecR8hn/uGStsku4wx CnHO2rxSaD6jMtlj2f03OzLFJa9s5u2HezstPexdyK0T2RV68xd3mtgSD4Bi88S4ZVh96OuO56Oh 0dfOBdBhDjUEWzj6ItK/9+UjijQRlnicKYsexZoA88aUwWwYD9efFovsxjEzEzj4gGN6QlhWzcev 9HWg7df4t+yCbmPNpPQS6avds+RfJQRukhLPRwj9V2HwSSmOdNQqITrfyRhlfN5T3/m2d9jvLIgl yfE06SAklvznvo2y5t7OIxJeYdcGEwWaiKqihau4sTZThRcuxLK8F+drKRIyUQgDlLLlsNz7P/B7 iIuy10TEvHlSpZLTTbhObNOA0P8hi3iCvHEO73QvztZJvo/tblUwqiwN0jetrkaYhU5wDcOMgUEA 4BugCjtWsP+J99uXtey2kc0eRZvEWyWc0zfey50WDUm++XWQ88YmMzuNcGUhaO3MvTBbsXIV1GsL idqz5UnswEl+IG5h5CPuQS54wFk2C/iWZCjRNUuuTNGC/xHpBb3lt4eO0QZyNzjtCwcVXNPuT/bC cNIcowyQT7JkJdF15c3O1BvNSkFVufWdCGPzt5EwHwguDvwmAN6+wEQuz9fhEJHcrJqkpiZ+pGhT 3hLLhKCYrB0i8H+5+o+dIetDwbAT65qHlrChNpoYzKEAbkA3tzUn4hh6xacwkmvi4AT6PKaDaRxY q083afA+ASgrs8JmYqaYjGqDQgk02P1n7UOlZ/yUGeqcXosJXvPM+3peHXiWYkj5pUf9r5D+Cu8j PGNn0UdeRDmq6qwIYSgjIyHbOT292ZFwhecSZsVISCR3XDVo76T+5XubNaUXt08ULH9C/kBvW5Qy D/n9KQU7lVaHi767aNVxrQxe+ACRCtqmmTndxa+Y5Y+GzNsjtfXz04vCCEPi4fmKCjZJQuVKcOGl GPNf3LgLJ94WA8yRtQX+aevK+0lWVa0Opr7wTtyOps5ZNxN3GFmN8lg3GDhYBlgPz2PtU5grRwSq MppPcSAv4g7QNU9+xCNuOqpeqGyCg0c4Re3617hendJ87NvOwWVsOWV9DPVk73qKLXdhFUTMdxSl O463iQD1Ey8RdTYyhqXG/7hfWmJYdy72LOmNflwvVXDEC9WscEOwWMPpfNoXNvp05b586qma/GhC HzgzlvgB2mPckvWnfo6YiSty+cHSIaoIbC2mYcbhreD59lEzDTYncw+I64BXikNGKBmJrmfBOJoY AIESViQWKNaIkzNTBvba1ASWUSdfZ1jBkVkWzUJCsZ5FEdZ6uUBhDRO9hvBiD42llfdoFUt8rb3l fbd5fU3oEwyQNBeBQ1ytnVjP/FVLFMrqsDZVOY/f8C9w0rD3T9kbWG5ekyjGfNfLSLzrcRCJYqcc yy3XUvAQc138AiIUR7RQunEWX/lBfESHs+dfeyj8Qi/MD3Q8JNO8uFCiarAT7i5pO9kFDlqvZYyo jyIfRYkr5lcVypEIzELibD0rurDci5Z1GBxXROxEF3hdWrjEFcXW4nT7vUH71wtFkHvG6yF0fSzb RmYD/rP7DPsA6LgKQzWfbStfki/HoXa0C7uCa6fQCfVeL/W6G0693NMhEW8QAQAONe3XGA09JNdH fVWTCuPPnLHhuGTnbgxaUcTRNcO1IA4M96hA3XagMAICO1xVYmGGHd08lbsXG3lyXnhq7/8by9YR d7dVYwyW9wpAXWd+wsX0y6uu8M/szl9nbdWAp09nmWmBJ1F0Ms7zknt5XShcOgxxzclKBTS/Ppb0 abqJqiuXYnUtwJKWFcCEDjhlj8ehEdcmcEpyD9TwSSj+7RUrHAD6X+Ietog0a59pyB18ygBELH7y fClYjNR2FAQYCeL4s9b4kuY2M5l8cOKBAtyO/gKUxxcmZrcdyVt5pL4XZ2S0Paii4R3gwA/zCvWQ 0YE0mgkiVkiiTPMSfF0QJSrYCBxHcp8QW7Xcl/SKvtKNwYurhRVC+Lv4CDjritUjTUbGwcltTUxY 7Ef8l1VXuSaNxirmwUPhrHwqTaKyWG9Ija8erx3jQsU0J1aDYr7SVIzlVdMbTWjufMxxxYOCHjCe vBroLvlHqEf6YchklwERSawQ2Y+lPWgQeB0= `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_bit.vhd
15
10926
------------------------------------------------------------------------------- -- $Id: pf_counter_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_bit.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input signal and connected it to the FDRE -- reset input. -- -- DET 2002-02-24 -- - Changed to call out proc_common_v1_00_b library. -- - Changed the use of MUXCY_L to MUXCY. -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed to call out proc_common v2_00_a library. -- ^^^^^^ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; Use proc_common_v4_0.inferred_lut4; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_bit is port ( Clk : in std_logic; Rst : In std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic ); end pf_counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_bit is --- xst wrk around component LUT4 is --- xst wrk around generic( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon : boolean; --- xst wrk around -- synthesis translate_on --- xst wrk around INIT : bit_vector := X"0000" --- xst wrk around ); --- xst wrk around port ( --- xst wrk around O : out std_logic; --- xst wrk around I0 : in std_logic; --- xst wrk around I1 : in std_logic; --- xst wrk around I2 : in std_logic; --- xst wrk around I3 : in std_logic); --- xst wrk around end component LUT4; component inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end component inferred_lut4; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL --- xst wrk around I_ALU_LUT : LUT4 --- xst wrk around generic map( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon => false, --- xst wrk around -- synthesis translate_on --- xst wrk around INIT => X"36C6" --- xst wrk around ) --- xst wrk around port map ( --- xst wrk around O => count_AddSub, --- xst wrk around I0 => Count_In, --- xst wrk around I1 => Count_Down, --- xst wrk around I2 => Count_Load, --- xst wrk around I3 => Load_In); I_ALU_LUT : inferred_lut4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, I0 => Count_In, I1 => Count_Down, I2 => Count_Load, I3 => Load_In); MUXCY_I : MUXCY port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, O => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, C => Clk, CE => Clock_Enable, D => count_Result, R => Rst ); Result <= count_Result_Reg; end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_bit.vhd
15
10926
------------------------------------------------------------------------------- -- $Id: pf_counter_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_bit.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input signal and connected it to the FDRE -- reset input. -- -- DET 2002-02-24 -- - Changed to call out proc_common_v1_00_b library. -- - Changed the use of MUXCY_L to MUXCY. -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed to call out proc_common v2_00_a library. -- ^^^^^^ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; Use proc_common_v4_0.inferred_lut4; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_bit is port ( Clk : in std_logic; Rst : In std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic ); end pf_counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_bit is --- xst wrk around component LUT4 is --- xst wrk around generic( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon : boolean; --- xst wrk around -- synthesis translate_on --- xst wrk around INIT : bit_vector := X"0000" --- xst wrk around ); --- xst wrk around port ( --- xst wrk around O : out std_logic; --- xst wrk around I0 : in std_logic; --- xst wrk around I1 : in std_logic; --- xst wrk around I2 : in std_logic; --- xst wrk around I3 : in std_logic); --- xst wrk around end component LUT4; component inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end component inferred_lut4; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL --- xst wrk around I_ALU_LUT : LUT4 --- xst wrk around generic map( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon => false, --- xst wrk around -- synthesis translate_on --- xst wrk around INIT => X"36C6" --- xst wrk around ) --- xst wrk around port map ( --- xst wrk around O => count_AddSub, --- xst wrk around I0 => Count_In, --- xst wrk around I1 => Count_Down, --- xst wrk around I2 => Count_Load, --- xst wrk around I3 => Load_In); I_ALU_LUT : inferred_lut4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, I0 => Count_In, I1 => Count_Down, I2 => Count_Load, I3 => Load_In); MUXCY_I : MUXCY port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, O => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, C => Clk, CE => Clock_Enable, D => count_Result, R => Rst ); Result <= count_Result_Reg; end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_axi_write_fsm.vhd
2
61464
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block q/T1Iy/+MUBBlCcGvQX+JOyPTRTh6qcwsjx1LpKKWPOARQH0sAb+u6zC8Ncgi7zkjfu0yJJXVMqp Wf8VCd8vpA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M1opFEihVmWFAXOD7Q1IGCTzwbpujUxRNCRmHNjJsd7UgyLrke3GKIzEVtt8eaET1PMOPoIm8fQD HZ/rjM3Mv7wmgvEtdC5XK9OI+dSBT3royWsH1IhbnRz++qahjWaXhqUO+4ofwzb3vG8UN5N7nRl/ 5XPAUPalGaYGAmnuI40= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ud9YT2VeZwLBE8WkFwGik3aMFUpP+j5zsGS6qy3s+Ubw0O7OaB+MO0Q390XVW/u34cTYFBROKr9z PvuwUv1J9e5X11udCwfno9JZRym3I7oBp8uZL4T49g2XKaO6uf/IccE4x58v6mucFup5Cv9j9kY+ qN05VbKY0t04tWSDDP1ELf9+Twn0RpLNehcpdlIwVNUH77gv/LmoKsXicSOZ1CgEJ25GCvzwO4cw E1828nrMpOjUFdwDMxSeod8/ZkGIdVMJ9YUBro3GR/+mW+V6oV2bnCZ3dpPKlI4qIdSybuGTB90+ Af2649x6Ts9W6Dq3RyDc5183kwukGy1bf/oB1A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cLcLLOLJTqARkcevlIPrmHxDlZTIawUClQ9tBmSXPARNTFiBw4hCE46as62gNM3JocZhJ2zzZxrG V1sfHt/1QQ2ErXgD7hfR6WScMk3jIDuVcI6FtnEVvWBH4Wp7KmXw1ilBNSVP4oZZlq1M6/13wJ73 jbtO3poVcS1IGPGp3So= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CgZEXG6KWSO8i1V2TS7Ukwn/CzYGfShnbDog5GY2MAsh465RuYecO+AEILUIkQyS/NF427ttFnv8 wYjbNj50hJnei/hHeA0FmdLcfdM2D33yLwUY+Lp8m0F9rDhLFcljLhkPNWixorSsWjKmF6cPoHxY oGiMLObIAlu3bGnhSZchQlqK2aP8Pfi3PKHG9kE/+4ZAUmg6sazQ+DeQue5S4kEu2y6GBsYroSTs WBgd8p9w3Xr5AUXBGY7V0tHxYxNa4IEd+L72lbQNhvymwaQt7Eg4P9x1ZYeYWqzLpfWaQYTLF9y7 vdmR1OAx6Exdp9ex9+5Ah+jkM/ni4UO+GwcZpQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43760) `protect data_block Sl5LNLJiCmxiqNb9bTMzlE/nvumgt6WYRnX7yUGhae9fNAyuRPY7yQ9eCzMrcR5CTdCbwrbUOXbC NqSuEeS+FqgMuNSbYwNwk+KGE7fbvUWUInC+6o0VODDV/tvl9B0UhLPzgxnXh2bYKl4ZC7g0Z7Up 7vAYBhmZr+Ef7LYBmLacL3IQkwXniAddx6k6U9gaW5CVBb3kyqRDqcDUvj/PGsUwMRXPKRYwR9t5 AR7MDih2ERBt4FmkJTTEf3Jhj4gTnp/tpaueE2Lk9gk0m9R8lXGXghqfQzmtQXvd8FVRCdJSVixX MygrmQP4Wfrd+GTi5zTgh5sBuMGUVHle0NDPPLYWUsjqs+cPvEb1t/eeVHiCwik9PqdlWg/hWZ8R WXXoCLaecUQ/vatqn037HmXip42p8mXSKBFjUcDr5Cm4NfgYuXbRkASTybmbmZJcRkqX4lb+YWD5 X/FpVwCwvuILAajlqyNHiYDMaelcuG9+not4Fx/HfTFCKrkrw61ukreJbOwhXAQTPy0unVlhRuEc +2balOelx3XUl4PDr5LxtqY7qpHtpfjGUfARXcm6G1sqvVLag8eJNGw1jnf15f8I0vupFvzJFM9s 4+ajzx0wgVA8mcLAXUVhehxDJ+qAKgREGCe3zcjpV+F04Rk0AU93D4AQAgsImBJvFOzpmb9tOzL0 sRUT1NTS+KvWr1MH6IKrOw6kN4ZN9nHRU0HRVuvlkMUs0TiIvavdp3z8N5NQDcGoQZNsp8qd8c8Z plIsnZfDm7SgZ91Z4/oMtf2bxlJhmYbyEfGxVPiGN/n8+oICillabChpmlne3xT6707zrf8T6myQ fJ+80PvwzGIt2lRxIeuJmy3mcwd6XUrH7Bi8xFr3VmZOi9DSyPA6DpVRPk09wa6Zb3WnbuVEJdT1 IhxWPXMxCLV2CkczbnObeRtfWxnRcwsG1uI8wMGb5PQ6R+cqx7Lb5SSm0eNVPy3qp1OZ/+0nw12x NRWbpEyDkpOMWS9cLxmopqSNuHBW6WgwgoCZG1tduCVYSAQ4oHagOIkSQis2NgusETHmPtVw/tZh ZuDBiuy+2QaPp9Zf6St647XIDVF+qGbaiZ0qAxSX1SP43YbQ/m4nv72rBzbs/ogrBLMUvTPAPl2r QRhcFuBKGdg66/undxvyqGztm7Lt62CasHshioS02Fe18CCmwVyCpa+VAFg1GmpjkoIz2rhNXC/7 5WiqMryy/NWjw99sXQUs5m5tKPI0RW2Xiex323IzZIRjZW6WkNtYH7fvIpXru8xQbXwwzN55vows djiT/cXMlDmhXqNour59Dh1jC0H4DcD8EKtkHD+CcBRPxjSfCbNF59uRrS3wZyb/h4BZhOeI53zd RtdT85L3wzjOg8/skWfxoWOi7EwMjk9hhmlNGrMcKdZQ6GdttrwjA3nA925aY/SkmHQbec0tJvS1 MgkhafpfRmsycVfYpRZ6HZrWhQU4I1bO0T/FmGaDGkhBXahK7rYJHQL67u9fw4ERjQfZGwLrq6Tm oWk5QethrSlo9QWjusaxKrr9d+ZL32PVQKKqRsgVCQnxjRMfiYMl6/nLsN8dr0CUgOF5MEuU+Plr n4R8POTwemXJTqvmCchuBZy5PiOULC5B9ppZmYDcu0xQpOwmQ46uBfSzH6nzYclvFCxJThpxbZgC +Mz2wkw01ADq8W5qJGmVPM5DxFuiQAdp30gxg6s1IcuhdZeY945qDLhIZ4dCSaVRAkZ22glNTNGx gaOVcYWeHuSKVIQZ3B+IpxMO42mMn17XoygaIhAAkkDUVVaDnedqqUKzFCwBJUhIEHvlRbxlJXbW EeGALwiLHosmKYU8BbmsbJA5snhQYWCppsKnt47zMpwxtL1zf86Kwpm0kgXn8KT01kegsPa6i27x e7uPvuz5BqSPvgXx2d6VDOh1l7sLVFH4Vmu3j80asqrzpixMJGRWmdzb5dJ0qRTbCD6odqeqY2NW xYlPr+gUqUOUeNYugvHGTuJrBjyMaZSTLPFpDqJD+RVWIKastoS5tHLEvvprp7B69uK4LWRx6rF4 b/IvMFz8vXGxSVq0ftv+mJCyuC1e++kgyCP0WTRmVFtYSj958P/CGBqILK0yX3fV6Ykr7tIlg0Od +oj6xfDECIcGOalRwyL78Q6ETYMOdyQ/Cf1p8QwCVCmM4Y+MXlztoNCJfU1nRlacA5Epk6ib351v 979yrDDqlwYPJIScMpyKWLdtTmN9I2CLR+wTMo3fnI6KIjvenBoDWpO1se4MHM7APybh0vBt//MT MrK8zjNJfQFPddFDCn/IPUJBmzbQ5iV8/gl70NisHeKPRIZTa/yyI1jhr+3YyPfbBGTqSqYnDhbN uSEXU9+IVFu9uQ3HaESGvuqfflARWFSXPyZ/fpPmXWw6uNlQ868dNVYQtNC3VOYiLm++VKyKrg0s QlJUlYOXSnoeu2TRYHgNtLCbFjOQEdgWMlKozB6nYnDMPswbhXUjTASunQaXZjUpn8rnbYpRduE5 hKhnNRmg0snmM6JBtldDx3rB7Z6x2/QAcaXob2d4Lgb0+e3tGTBzbcUCxdUy8mCq8mV0MrJ5ziYJ wZ4C6LJmDZ2dWtJ3ocNbMkL7nySL1VPv+HRV55Y4nI4SOcdmsCIvSJ/MiMPmCIv/DuvT+2KutiiN XunsiIA80A71zdcsGSP3LOXZH5WI4zovnC9xdrw6tBQcf9Z4QIUdKF8na3y3W9esrpP1nKL+++BO AkMEjtqjIUDHxaIVMiHdg5FmnhqAOFanLDE4OAcvEklGFdenYZ7CRw/UcPANuLgCHC1jedloDaF+ pdd5AI90rVKHf75IM8Chmmxx1ZdkGajFzHS6g19nrR33d9oZIJ+pgYoYfweis/gKYXFs9rtsbrkh +7T9FaoTtAq9NdQHNIqZ09RF3QT9pNFVWAVMpbQwrTeyApqVKYcpOz0m7ZyiJGNJR249aGpij5Gf RpCRVlc6IVsQivwpzaUtvplLZRLgaKKxPpeVVIDnKSfiNyzxE4OjIUTTaqLfxpVYMlSfWgtsKHAp zQkba83qGRMNLSOafyCDxy+6iK+J8lVYdY5MDWp7z8VYHRz2W+wTJ2kC6jLR/NkivQuszRq6cDBd nA0jXxO613G3gFPr1EiR1Y9R5gtZZ4Jfib3a9m13+cMTJQV1vBHdT4qTHiSMonYWgakzEdbUj/ux NxcQscxNVt+iIOnk5ljEd7yJhqOJwJJ3dX5phSj/QAKykkLVE0uNTd+hUqSaGe/5RT6B4VIPYYJ2 22EWkk59Eb2JtwtBw57i7NY78A2MFjFGPET3di5rqyvURcrPkWiKnr+z5hJpfj1CWDSyBj9JjD9l U49UjANhQ9Hhl4xFU9c3vx3eUVEsRreREtm21PXgBORih0NOO/rkhs1WSWCry1FS/qK8gIAc4j6B yjO1gKd/VSZeskVzyAjxS+FYLbFrn0ciToGD/o5Mz7rWrpge9t8bjF7DqAZo0g1hdU0OdCS8tcUU Pm5J6fWRIiWKpNfokjSv/JLVFvLZY3Kkk1AVhk1HikVRWFMJYzAOaCZoC+TUsAOZPjN+w+js0kFo jVhgNDP0W7XqdHCwHHuamyMg7DrKRQ8UA29G1sctEL2Zxog/MHevAzBaebo3lnEyKRtDYI24eS9u OdNhnQ5lRZXUbt4euMjwO0Krm1O6VJ8DNy+58nIUcpS0nEE2IkOx09QhNFYw4vo0PZXYl6YqGq0G 0pDe/mlCLHTvKfLp8M9VZ88c3i4OYwvsCNNlFzRHYlu10FulfpQwPAxhehhp4PhITlrYSEh+hMJf pDpsk2i+viKKlRM6WHKbciU2T0kaJ0xJx4OkHBsTYAgaqYoQz7V2WR6J5Gj7gjxYkzZNgZsEHxrX 8eNEgno7a8qIhZOWWKCqUFaM48PkwAqa8caC9vdpEj1XjYKyeqx0kVWV0OI10rgCpcg6uSJx9Vzz uncYVt/ZFX20ruR40C0gySBIg+ovDuNV1KTFAwnLUDlInWBkQ5H1EEHlWMUmAU7jFd1pZM1OjT0C c3aK40iCrJbvVrTOqde7GaqrdLrbUef7EqTTzH54muIBTpvSKW/J0rX45gTNSNYKOpdH1vx/HYZA mB1o2SPjM1iJwZjS3iw8fFa7uZwHB2cgVL0qn37kNqwxd5GbDzvYjNzQlTGSKRW6PAu8MaJJFayn zgLY6Q491uDEVWflOGw9ofcfQTI/8Hqeh1GFVkjbph5XnbMSTBWS9Rwg1bW13CTbbjLS/JiqRpW6 CSH5NnvCY3M2J3J8d4lYmtpGL3I+8zRFyDIVsHITldW5pFWn53/x8gXCznXFaf99O1zQR5dMnYVr FRv/JkkQcmexCw1qdJ3ceyPSrVLfoke16HXFzgxj/3en+mejqUPKWjc0UpvSMWY2+W/xRjTsKF4A G7v2U94z7hM20WWDeoznW/voj7fojdxC/+OLun99YX+HKyutCDqf0jEEcEJxBLjcvKZga98alpmQ x7q/bGYbBjQ/8l088xt1saOfDthqZSfji20NuXzTt4OPluI4fR/NcLxtBnKos0DL/MEZ9RACmwiJ xL8aVilIT4Ov4RWJqqy2W8cwGpuHLB8SotD6p+lSCCC+Ed5gKa2e+10d/GzuzZvEkcT8y5g/xOln 4Doa9NS+Jtk3FfXpRcx6f91UcJOLd/XWyONxe+j4YLmv5gXmgEayPQjRUYon9DTLnPbSmt17B4tP tUIqlGcpDbbljjs18RniK4i5Lr43kQc+ApsjeOVU8eE3OXPN6ZTsmCLtsw5TYUpKprsHoX6v7+sJ anTsJCmsyf5PXpf600MHsWWDDvpxiZbicr/WQfj7RRBvZLcKarTKMpyv+r8h6+nnjwMGRw5S2rlV gl713vKxB3U6Torah+fVTVASJtvCrYtQ1C4AYWekJ10KQPiV4DRvI7996KzLfoDUDSsxh80qtqoo kt8FmTQuWZNtmqdZwlQrOXkJK91t8GmtEYabPa+z+IhLN1kvdTnbeFQlbPXNuYllEDrbAwNPDfEd /Nmx/VnyGVnDslrG0/02BrCzZ3P1XTb7558LOvMrhQoBf/PoVP0fuCL4GzLtku5HkenHuPB8EdxZ pbS04gupsPtSEcbpJ5umbMIyqu8p9ZlIr4PLXJRslyTJycheUVa711HOpjcJhIuyW/CjzIGkaEKP j1Th5g8LcxmiLOWhegK8r4dhxGoqMATS4ql9lhVKZHgHfRdGGkLRWkySKGE/pjBGPoNBwLe3w2TK 8VPT0+6IADI6iDIK1fsHw50b+a4hwg6WvLRFdkEDkMcbhEY+4uDQ0pfgUUyloDO/E4CMQPhLdQLN kgpVAQI7vGbTtJ78YMJWuwKOLiFkXTHKqEMOqsvmJzK5MRRko0rCpeDhJHmFM4G/IlgYpUfz2tsj XUptnWaG9udBS3BWkHfhLdPWPzeMl57G3yB+isGSPCReXNkwHh8rMlCOTCyjHrAH7WsePv6xvngW MvkG/WQP5czIqkiWsvcMSZmXKvXcnabr5cwAsELJ7Hc9wt6dREF1sfGCuanFz0TrPz67uCZLNfsV gtJHF7+esaMEeoOmhN5B+toeJnAWNU9FLU2Jm5P4daIxZVV/IRJG5+0YCF6TwVn/g1WiVp3Qs2iA S9e9OeKwtJBkLgxbMHHVFHbEf4xFGAD5O5o3BW3ZjM6PCX6DgLyaQcN4NmVc9xtRukh3tFG0+ZUr Xs9/T+/RD9NwZ//l1AVytrP/q8X0YoL2C7pwg98sNaIp3IiHYSN3mwrMSJczVxMj9vO3AoVOvE7G H8fQPM8Dg6+B/AYNX2a9ZQubV522mjm6d2nl8FWZv04LeiYPJtlERbSF+i2/Snfhex4C27iLqLYm dXPpusivW8ZtOUaJbV/ZRGjsLA9wC0Vm8kWM9dtkpecBSM9jl9tYsf7r5vc2vb4JR8pcUTTcrySl TnN0+iIA0UZvkNkImr82h1n2aYYpXZTvaOSmfj6KfREyBZdeSg0nH1faCDAJbvaDM/g8+ayNPHXT EUMbnAf41Kj6FvW4lWIQaFtIawvwHl2JHb1Dli8uN8s6AcEXvnIsWUZES2umePMmPc8uGsfX5PAj RbWinE0i4aIg53dxRITuFSpDSNg9XQstLknsB5UPt1kIPvHMoVIfgLvRE2IMKexHrdZodgleIn+G frc6bC9hwWnCGi+dWfsP3skGPxf0qm2LqSnPN+MoBxdYlPhRjPOdvS/4qjO5JRtwmhWyxa/n8AzY zl5oUwukYKij/+hmMlcZQru23qzyRaYs2k1h/eOv7EhDt5HHkQYJniBcP8qnQy6/f72S1kQT5BpJ AsZGn042SpZ8VOPRd6BZYHWyQJJ5bZss7jswRGVoi5CeHxLTNU5NRcjPsR4Yho0JlBw46d3naiEA /oP9GFSB+7Ppu75Zn8VbGkTsYFzhoH0ixqRS9VYiTy3NXZ1C1555zp8UTEW2t+E3gwcLy+TGFjyn yLLYwP2t+yiS3/ZIrLRDIzUHq/PDyfxQmj0PMNewmDTBVNfPXFS7lxtApIW1jOiqSeWMrus2KMYG viYzTxSs5+LMfnBzGr+eOc2/n/ALMefRdOY6kMpvJ2vaNvxDtmX26Kll23LLZNEBdh4pHK42YPtM IYMMRX6v9ctGamX+ofWh8pp9J5YWzRr4XwEtDJIR/+YAdTfy+gN+cugbHiuqD7w0A9noj4DlPXst k9rKaa+N4xai6lxTT5q0eXyCyOpWjGSqrXHOTBnaWcx4Iu14d2PizJtxyO4Wn2sBUGGTAk0a6aM4 NJu+Y+MJH6LdcqVMrsxEGiqwinhFouQ0Xwig0UDFTW/u1f3Ftkne3jfJm86kcznAsWS+4Zi9ywin 7CidCgsUXDOlu9Spc3QexEfspHlcz9zeH9vAvaViOoGHUreFbRseXSsSqnJ7DZVBjmf8Ulko74f3 uZsTWls0tzojD7shBdOjDUG+XHxcowMYXt0gK07a/ABxUgWl5WfMCaigxuA8+RixGJacsgf3Y9vf /X2wqdY0akap5eYIYSeRwIYH9pY9xOneJHed4QlCFSmI1xETVzXqO/4kJE3aQ9QnVk0srveH8Bww C3TjRAhjIY7miQjspVuB/VIFGd9e68N+HP1f20cr+EbiwSqKuwe0GUC+Nz+//2Dz7NF/9lvtZCzX IgRyHMZKCw3SSpMdtgIWRxzR38Ll8pxjv6PNCz7wTBkO9A25KT4owXt02P7rKyfzmuUqJ8AjwouW 4n81l67pctqbRYT1woZTApjChFKsY6Qr42NffC4bH/COI/1hYFxddk5WgT84daPLrbtoSFVRrAQV PynWvJYCKDvttyYY53d5jccW5pmmvbGgwBDHRF4qMOFNngB1Y0UaqQBpeTE7UI16Eq3xGx4UwHW9 xGmvgy6k3tUJ0HLl7lO5xadywkJHMAG35mHk6h1dfCwlgmr+qCCTRo4zzaCjgbmFFeFqWiGDDEYM 19qYGnb1//DBI1uwgVrvdryBXijRcXAbC9GEKtZyXkrYj0AbbQ5jpNECBJ9u9suHonLtcjYWm7kF PdWwk1929WjTWwGRg4482/YU80V2tlDTtopFRKN/PVOJF61g6Ancsw1xoINsaPaQkg+gS/08LMyq 0sd+xbyZstMWRrXcW33wiAz/sOjle+U31YlO+FTT55H6auGsZm/RyaCPZ9+NWYcEMWJTNAIJU0QM wdOn0Fk6RU460oTeX1MvlIYe+kn25GcGPqQhP7HuyzXQnP5TTOE6AX6xPQmZVSX4E86bz7Ire11V 4SJEYM4V44DIqKSwABZ1VSj0SPVv15c0dvQd+bPzY1h1thj0j1SEtkeeIH3EHDgvWhFLLqaS1rzH 6WXsADwjoF2agWdORN7AHcbZJqY+tS6khOUHVb24+vxGzf/kJ9cmOKY5i2ACaT+KThQwhr61BJQX i+85oTJxId2M5almx2qTgtAWrut+VBQfUsQD9yUmc+9tD6SL+iq9gp7wI7H22TEA61viSoGayYMg RnV1AnGeIY7JXjDGkII7NbnMWN51pzQ6vXyeaSYQ1Hz9RLWp9vpc0isTa+XRwEiGi13Vszxc8VHO EysKKftvSX1vIJkAujQTG/twmGpetInytIhFcwXQzDtpKS9vA64RLUSE8HNa5KhV68ugx90B1pER HB4SdG+Rxws+79uuQacaQ9SbMtm6Jg0fsZpEoTcSEErEygxT/4DKz1cR/qGVWyc4h4/dDzSq4Ekm eFhTo1GI4Xq6JZMwfi8GnlRbvhOxzZIyatnqRnR4pmR1B8vg5fh7FBVdAi0aAvGQJTl+QYsUg2Qh i3h38dlM/69C4o3b34ac9r5YPdz73EbFvXKsl675Z87eBGO29LKY3SZkL0XKJ66Va4IQQXaTFE+c IB/jEGVpgYfWPwnVXTYxwS7jJ+NleOZe9FClJToohbjfEyhl7NJlMKbOfy5bmIA0vd3mdI99E8ou NXZzYph01HsWCWflwfA882t6KxNC23bSMaAJMJaBN1+/vL2oMZ1LbG20v+QzgJz8+cnYE+f1QTt2 kz9ABfadw5tnbclhgoIyVQhZL3520Iphzt19JGYHwhOkGoKMjavJYPS+YlphWhoaG7p+RRqYVMyq sh+sJ+YptMwmT1cAfAly75TiJy+sOvzSm/y/XN2ttfg3Jb7IxR66rB+WG9r5E+BVr4UYLht5Ejyj TfAxpsmqhlQxlr7TMSMWPCj8h9f5VTbJb05FjgUwXekrijEJUpodMrE/QaoepiDbGCf8xS/zLlTc Lm059wBNt3zqPPD1UyNpZtwIyzBme0tEV0DBYqtqEelJyuCFpv40Qj2VnhSYwUIpz8x63t+0bMjY PB1dNQiW+n06O19k7VngWBa/vcxDDBY1EmC3ObQUk+1sw1xV0YI6fEDsdj8GFSUBmLibxUCJkr9Q gwAQotNSZxxbg6+38XTHctBlj2IE/RwdYRMM7ttUBfwPpMN09C7fClVeOAQDzkCjy8A+mRjGHmjg NfUxaqO7jotM+yaEszHWB77uY9nRvUw1Jr6ntDGAAhH3S3EzXSSyiuFoRPvjE3RvBTMsQ9H33tYf 1wi4VH0G1JWaMQF9Nod4MtX4DVJfigMenREDs4NXgSh4HA9FRZlS0uwPdH6apCO0DVDvSTSaHKNq kjErQ6n7HfqWhJTuffoe6e2Dh1jwwJk8s7CSmeb8+UQaI7/mLeqdtFsbkAb9IWp7a7yEp+LlKV+r AFS+pcBywYB7Q5tj06734k5qePE8H9XrGeYCmPY+eDR7S/8B165KL5tz2gBB2MNe+K1z7yUvbjkD 5l8w77s0LD7zypUlhIrSmVG16go6gmCKJq7xLDNFzyCerqWwEHD25OrGEt9YEfG3ykh0hrmXbjq1 lkZySyYt10keigAMWT2YyQC1hVeLCpGqkkDvLdQdfyDUCR0/ix+PSxKaeXiD3aBDx+zVIWywXzll 1jLjaPFXhfgwy+5jfLRZimtlCBLMIrdxk+hIYnwt+DYiEtZGEKQnoyKBC4JXNw38kflYANFNJ+IK wI8wBE4Im1kH2+bGQPcepebrvTHmxsaAHzcEZzi3uT5t780NDG32+WROJwf1j6JF1otuOBMCrcmk WVguhfN+XM+NoSRVgBUF7BwW0OJ9+/drz4jMOHrWVWNOuLmdbzCSIRxpAs3TwDssvY+RoxvhC6vc LiyKzL682zoIGnIefv4nCczURCCNW/Bql0O3h/IBY8R+f5s4s898ZW2V6wnR8wRcUxdO5Lg+P8yk tkC8bu2wn0TrafLawAQ0GTW8irwQlM9Xz8ReIRmDRfbd2Zz6+acGw3ACCkENEhGkET7xRRhfcaTh VXNlE3rgcYgDVcO4mJgHH3srnbCbZMdHfwSxQ21lgDFgf1Jf+X9EgVuPLnDdBThqTehh7pzSx8Dt 84Tx4f2ZQXETWoUKL/THXMJEvRO9DrqPlobkwa6YfrblUhVgX0mxIIDtpUTPRk9jO6m3x9luUUiG 4qGrFN5NSCvOmyBahZovqrON1I48B26ESofq40tAT/IAPG+t4hU/dF/2mhXCY7ZxZnBrpNfggq8D ohkc3kAf0InS9qGepZqZqj9v7Id0kDPLPFK+OTy4/vRnkbAUhr5kFvpZEJfWLzXXVVObaY3sCp81 3natNMuxTDzBdzTAl4K6w8jQsbUiGwQGoJPOFd5sJh7Oeh4K9X65fxZk8n0/5Cd6sXgh9GQQte5g xmPqkqtDYpFhbhVLJn7ouNAJHpzw9dY0HDGZKJ/OrI9w5vdB5w81GROD2LMey0Z8s6HRDFMi2MY+ XCM9+uOXzXagpB/QDGiRB9q3mygG6Q7g6vhejzOlZ0KPNFSskt4YGa1nfziG8Z6cJl9Aw06LK2dY uV2UBJIlTakTvnK2XFk4691qzTLr0bb19/BGwdi31K93K1M8Ak9PBKK96tEz7UIS/3H8VnEvRKzv JvEbD2lYACnuyv/1XforC+55o58rkmrtrTJTPaBP2eVu8TwuqlWigAS4TzDILjVMZ1wbL6Ayc4vv Bufo6aE6gjZ7czyrx886TterZBl6/bkiHBMhUvUxsgEwvbPfOg4CVy41FgyCJZxHvywPC7RXS20t 6Odvwgj14WrXJt05oIKd0LaWRAhvx+ejbhoCcUet7r+4ELgQkoLofybFzGyGhmjgBladzoxsFLXy 8CYAcfsbnIw+mTH7W/MVZwApBIjljhGhXsLRdQAYK32qMzo18gbXBKDx7APR3CKTN2oACILO5h/F uIa9i7Bf5BnCM0Fmsk6fbcQOo46zmM+88NoV7ozo4onLXmEy9OA/0DJ8oF26qZeI4MmK7E3BNHFu c8PJUpInNfhbRmbOh3HsVvqYR5bgU3Mb3SmzsbwE6koh2396d7m0+PxrdLdp5umSu6m5N23NGgD4 O//Cbo3P1BvrzExE7ytAfyvoRoGuVDO/F2vUap3NcJq25ck/XgA8N84Z3FA6QW06y/zQcZmjO7Mq fNBjGzRtGbMffjzaFKdSgydLOi6FskJ7wYzYgSRXyjFPVBSprDIVAZw2zm7xYXR4zFVHmU587fGR XGZet3O2jv07aiHpYB2czJQWWT/dcWCqnqY8spNEPJXZ6Ml//qR4bVCcki7fRSKbUlQGF3pGIEdi C6v7R7ISowctbFo6DDaKqemIu3cebexhnLFIA5O4ig9KLWDdp7NFr3LRGh63ku6ufR41dF2YegmH cqNJED+DbdQfWU+8/UySFSreFH+M02c+tIJBF+nBJqYcp5Lu/bUxeN+WwGfHDxTyU7xNOvlMWk6L rp9d4zPpR/YZJf21aD5cYgNeAnpIzhPDW038bPeFwTNWDSzhzB+uM0QLMjPEOM/28Avnbg/qilAU XcSwtttsGETUHFR/DSIC5YJXHu4+zwp/UI/LtjYZjA4KsZVrnWLCjVZxfjCb0nH65Q7ofELpcTJm C1Sb2eQ8Qm7zHO8q+iECdiFj6vppn7WLVZBjJxmieyTpOJt5x264W215L+jPDnLnB/VzRFrMr1oL jPV3yc/xViS+KMjFzlV5f0m/4TP1r7d/QD5V7BvVhB/3gscKC24LlfSauE+hNUyTKTjhMGU0v5aT 2XO1KDR5B4ZoiUKRR7k7JsT/1+Izk1KWiFNElvmfKcQ8LXqYXsnqZrqp1JW0M9xdSFLibDCIRV8i 2EvWHywVl+br5hrdfFUoiN++a0dkcioVP3VRmjG6t7Kov2QJnl4fmwUJj7MGqQw8ajLcHZlx/QKr H+x3aDiaD4rWIKSoIqzHfSHlMIqPja/xu7sO7IDMOBOohE00xXraa7k4Fq7238isigkpAWCndW/e cJABygTXxYzU5VlAiictmYnVCbO/O7G3ieLMD5H0AgWzo6jaXh+LkSDkP8JjEDkE+BOydS6iLzU5 1eLVct1lAMWfU5rHZTXGN8Eh2FVbwCvXBgi5GSkNRTkvPylvjsexoKrgWAZ8iqn7Ui0SfiKoJvjQ QPHgsCKgyad0gK2yptRRG/iogfY8+gGo1oCq1aXHd2a9virGhP0+/mrw26/9Jg628FIy5eQxpZ+u 2hTv/MAWIaPIfhcaBN3dknjlQQoCvJu8zqQtsUn0vArPT0iu4UBOIWSip66qnB9R3q4ePNS9hhXh yFlQtH7KA9ctZKkHA6ufeZUWpi6VGwjV75qJFzRpDlMwLTjHsyuj+LYIPEL4q5jFYS5rj03tcoA9 NANW2Eyc9R1rwG/pWKZUgbkBgcmMmRDrVmfZcdc917qyV13guomplsF19D2Gv9XtP4dFX81KtYwy ZrCABLKy/O+ycfD9nb5zo4sxaLbeZ+3FwkxQ4VMwWvMf/44BUuqtXC1p0Dk7J0wUvwRzFSnUg9QV G4+byObT7m2s6ca6nWbDX9TYLh/j+uy62SmrHNSdsnbw0DC9OKpvOGu1wEt6VYJLjvEozSwLGIeX zalwmAlTNAOoEZ9OMXylFWdK8ONcAXCBmI0EXF6UGo9kkTVMrasZ6eEycce1IY9sw/EgpT6o+9FK SJQ4NpmeJt0/yNdSkfpcA2TGiMPoAiJRPdCToZU9JJ6viHiVP31R9NwVNlOjtUIUSagtpev6viTu fnQgXH/4IpWJ6uobEswQAgJ1qUzcxSeEq3+2vpd3qPfqCqd6eIKNWyoCfY5k1Wlf3jgl0voRPeOT ZW5IVkOFcyVzEwpEE7FECtJ7f/p2Cavwv0td/BdnJPHb4TLQDvbaZY0lfbBZuT1VZwBybrm8w6ZH CeOZMTUAlZEcN7bJ6SCM2bWPVMyFQc/ILDjoXcDStOdTxeYsJG/ZhDnjUNpUg6XRGjmucb7gte1G hrFgEv9fOHcGX4n9nTE1UbpmbqU+EFVD9N35EcZIwU5szZCeIeE2KEkaK/5Vf1Mpdla1H8scW0Go eYOwfkVukc17p4iugnt9dLKXn1Vp3iLYqzfeAoDgBL369+M1z4pFCD7oS6hXd41BLdpvA7Va4j7y 8iFXI5KmCQFqlC4AjEIQO1Xl7AhrGzQIqXe2kqy+HoKDdovAMVE0+R02tuK95CR2+UwpcojFpFSz PqqiE8seFQhmNIu+VAkh8fowN2eafJ/eMMIzmy6iADH0MpMnkvhZ2NxsMqmC5NpCyh3CTrOgMd89 pWO0j0fK8h0YSpj2M8AlWHmE5GmHUCPMfNJEqR8fT8RYBhQn83w108EHcJQVonAoX7PlwA1gjcsv 2Ll1f+JZQjXW9PKbrzdeTPJvM4pyg3zC4P6DRvBzs6Ctqbb2ZYRZaIm7MJtilo4tKylZviu7nZHn ZpwbXVIVwgnViLv1PC2Yo5pji/P/6QGsGiXWgRFV8b6u4al0wkLMylr0ulf3dAuYL8RFDjmyu5N2 eRYP886i4nYbhcYjfrBRmnBqWhe4oA8u6PSXCxMwwwwVeZT/yU9zzeu92uWDmQ/lJ6h0flk3wPML l2pfLuDmtUZsVlCeqtCxzoJZ6H0zKf/FLySIjxN0D3j0knDUH1Gv8i8ddpSODO+uzjNswBtxgs8V JRix+KtxjTpXe2H4eEel3HCEebw/FSScRBcBeXe9W1urPivbxdlZtAO86HjLNbWNrMVKPQJpaENI UQAW4jkRzgSnpet4Gwzj2po4gAsljKUnEE4HjYvcgqGSq51Rcft/qa1rxGO1/+ccnTO1HZVroUPZ UYqlmTqteQLsVlCQyVFPpbTWW1INCns4aFvYzjVeOhXfIi9irH9DPjKrzX/gFm3xWXYSvhvabVpW ADOcBV/8x+REZ5kE3toA36sQJPSA7SoNverWbwwNy9ZQnjnibmGGjGd0ep0ICTIOK/dir87wP1ws IQXz72CiDeiFJ4vQ5saiITrhi/hCRwHDvqjEWTvSLcvDEG05RGG2sE6itEjhmmdeoaVA1XQU0B/l KNtsUDBHXkirpCX1dKIFwkppMfoUiBcvk6LJQXrsOSSAIEJQunqRiQ8xPCfQGxqCJGlqs8zf+CWL srZTRyl/qOBjtngi9hpbMMXacAE+8t3F+4jgUAmLiSlph6m0D+8M67KP87igsE3t6xjsZ9mfiplR QC9dKvHFC2NWVRwSF3fyui8PK/fvc8v3mmCsLzmdZa1Qwx2M0rubVyspYj4bORFArL+zZQaJbiA5 f+zC4Rjj8PAPhbMBrVnNbD1MyFxxu6hZJk9VFoyoDVEYTqUe8pOXpG+PZn1pAAdzLz6BiRVC8xW7 lFkLMmm6tV744WNCm5Zk43tJ9dAf08azGmkkaiOfragyRdU4XAqe4NeF533CnI59MLU5SEr3VAg5 qkJWhfwpQtwIgmdD5JME16FA2ia5XekwKGvVFjPxh17XCu30KXrrdl87uYs7ajLX5KPvLi8tB9wB HoDq4iJfNnmkTT4BxbdH9ui9METcBwPqm9377+NVexvGOCibGO6uMtDs4BOHQlFxUmf1kwz3vHaF pslrj/7UaFRphQteNAVAfdq4DfOBlCb0noETESD7bRubFrZOh/hYrcQuoIk57UUipwmM623GcgKl 0uORwKsHROBjaNKbfpNIX03rD7eH7TYwSdFmrtowAfOnNmD8AyZ/8RsU51cQuvVcs6t4yEGmf2YP JxdM35PCM+Hvl2tl+rlhZNwM0WOSrvXYHlNC8WvbAFzWz/tR0XuuckDMI/I7h/LB9KG3chBLcDEF pynLOns6PWxe6fVeicXbbA3ATYRNS2JV4g3Qhlcm8MKypz9z7CM0ScVOXRPJohFbfTtr5GOhrvvD WjtspAvv7USWqWMU1e0lYCe+AvXWxZhu5ryLKTeBOOR2qTaAnAF1Xt9gQGGCL7LGXPPE5EugU1IF 0wQzNXL8HY93tBHD6a/e9GaYNMy8rDU7V8tDGlP1KrDxv0ZlVnp4pTCZfoPKaUDHCXHZjESVa6fs J6SRJfKYTvaqA0BKsgT2QvP1pqBkTFS7yjcGwRn6uXb4UogJPIS+bw3laLxiUqt9YPw/DTPV91ed D34lS9sif7JOVwJru7ESwAoSk+LpBZgiJUSkLvx/+LKPQ0VXptGI7dnZIFo/XtC83xQTVnnmmO4v YWKMJIJ7SEU+X96g/uqfpJAilWpUigL/4sh98XUxXOZgdJg15TpikOz71Cdu2B8h1uSx3M8zc8cZ 8OIgG/tECrOJGeMsT0nPXvFAHrcv5mbe+fCttEqtg8evBHnlyFiDictjQ4wgdbpJfRCMlbvYi9NY +s6XjzOedjQIoh0gcufvn0pUngiPYO7mt77VcSX9iN8ob8IBsCcOjbQ0HqKttPja/CY9sZ0wGDpJ GFE1PxxTBpYybxnERVEuZnL3tc9Y+Wfu15XvbwzxWfcMRG+Au990lc3fMWEY9wQPETrEOupGSkyd QdAB+pyqU8PxF/LNEc6IkDrBVP82ZXGS5IVAPO0jhtUsdtkrZR/N3LChcOXrzkepyX5wRllXDTY+ T3v9sEx23SwI10lNYMqc4+tUyhnXiJt71Vi6zD3lcW+jXyxhFS95+UIqDqaDuvn73r2KbtaBau8A FPzRXm0XTukLIRuV2f/GqU4pBPytz9KgMEKmU90kgpwN+pzOJQ06Rph2GdWD/VGG1elBcMBt6Z4F 8cAkw510kZhGvjzuYR6MbTR25fEXFnRp57veuvO+WbGV5qA6z9LkvNX+oXEacwzLt98RbafPe1Pu mS9/ZoSvf2ZNqOhqVJNmVURrr5r9t3VCvQ+exprwAXEPbx1uqNZN0K4ozAIxQ1tvbd5l7I5AksWH 1W49HMy4AU3BCY0Vz1SvYBV/O86UtcF1mfD5u/TONX2J0qHT6ODi6AB0Tq8OsP6ZUDxIsVATC73s 0BR6SXGOExleOMR5Uu7Tcy+fEI0rga9PSzMfAbu76p4KzxVSDO3Q/m8HBzXJItgbo8NeMNxZWGsL CgnldKyjReODrnkMzWWD/0eKtG9G5oMQoqnUbl2+QY4pOEaQSoFU83MSs1k91u0VQ4XERaCNtXEa 7DP6/5qX4R4Qz1xBPtWhi9nZnNHe60plaJqgC0ldw0uy23hlMrZF2WnzFtO6C6kv1psdjEOAj7LL mw4SoUEosXtOFNZ2fnq5MX3SJFHMCBai/gHtivivsw1d3HEjMdqyqwl+gn5L3HxAuMMYoK0iKW7O ENlvn87Ku55jVSbFhMpMy8mzsn8XR1b4BC8v/3x+MJnTW2uQ6y4mAxKExL3+o0pqKCgOT2W1a0LU eUNiMl3+aW5LcsetDJPQrQ3HVvNB46HW+go2BsfCJpONOBEPz1BApt5qhBDpq3H8mOVf8oQVkNT7 aqF5oKaY8d0TCNa3tI/M4cHm0OePgk69c1K8ejLp7yBcegZ/gcDX6zQV1TD4q23DYVDJS1kW5dlZ 2QoO/oFOzsd3joD7JcbnwOhdaemvPZjZYy7WOfZoKB7Dn2HJ8CfCWH7DSn1BF1UWsiqtQB+vmV/s PquXYdzowCWmfuYExQVKdur9sjJj2oJZNg8ZnqZFZVHNc2mAYYKfu6iFfDqdnwkthTv1JEWF+GXw G5ZhebjCoG4Fc4YpVob6qLxxibUqvLmF7HIGdUsAdoR/mONufZY8uOjvID6eOCCe2NzPbJ8vajmX 8CaR3oETpvDvc+xJqfTYt9jpcbDRDr95IPEF2aA/DaBX7UbwQ0BYhuQ4+7Xl7aniHMxquJ9fr4u0 pN2hE5y7YpiogEeCipV9kck/x/3Pf0VpZlRzfnpZphJitw8fZSM9n+0asT5x/MD1GE7baCUMUnQh VQu8CFCv6JTWlykinEr03RcxALbQbGNSVZD/KCHieSJO7S0isLplQJM+xweluDiwA4KEeAkPKLyi QZKeOCCHWmN/SwB8GLQO50LaIFLYUxoRTHhrW0eAiyBwCjQNPBegjrX1u/BP+hfenZqRJTc/RF8i qdQeijVD6so/WNOSSF+1HOsLvreEI98E4gMYEt473ECYYD/pODsBPdR7h0CjZEgVm2bRZwvBtbiU SgHyMLUSZFVDw7v6QONW+LJsmGk4hsKRRwU0OCUBqyYYt6vXxi0WaFtFguaCD8X8YVGU/wksnDSW 4oFbN+evKmFyXXQhoz+G/L3xPlzQgoJu7VSkGBL3po/HDTOSBrqO0+Ur+0IfTQPPv6IbwyBx0JS8 0Gs28yQUdq1ZivpcFMgEusdxxMlQnu6l49qg4bpgQPc/d0blEtFSPBDaF+wrHl97sxem2FewdqDn QOkZ7e1cgmbVJC6QF5hY1LbGL22MgIN0VaqRWOhsZDjs8HKK9TQcMbqX0RC1JaGdXRffmgPOKJuK T9YR5ArUaMGrYGWm8NM9a4pXuf/AiPLgYVsojIYQe58SEKhiZnOJb8NPmaeSxWuTfmzfd3JKVGKM MsmZBd4yxqCHtRBQz2vQYAhipKK5x7QIE/nDhFHiiTJacDYVk+UDZk9q2EniKYRq/Qqcay/P3wK2 upJHu7mnMalchYl40WeSnyhwn3ZXZr3dZ0efRtrMz2Gxz4hnSMx+fKoJRIfwfdiQAd2jGCPSQ+/8 V2IimApZ71SOunl3+tc81CEZQvqJksDggnZNufyyfdGpCCZA7bmVqXq7XbxOE6tMoteh4SIxFlq5 EQM26CQgOkkimezLAbWiSAYLJ0x481sQNoq7pXUV4eE/yHKji8jKfROd2n/vemQxKeWmpwqNU6HD V/s0tMu7nXTCcf5wGc2oXubg2gfIhMAbm1xUfqVeAf0ia2qEfEtTxJ34n4EfmGSGZA4uNLz+FnFw lEXocF6eKTnL3E3zICyR/Vhg302ok4u1sHrTh+m/QK/bg8tKg1hKjEDpMYJwu79yu+KvYRzY4bxS 0X8VRohRwTgsuqsrcOcz8JD2RU5CfqFbzwkObuJDN/3zG30l9ScIJetAw5/KKjmkBNKbD0S3BQ7e /VLSm/eU2wX2rmNCoRfQiQhvl1YBpkCVKV396OCocrmAVJfe63TD3PSlQR90jyEaaV4NkQJGR1Y0 LV1hVvEOFRIaAAMNNXRmptwzzoGm3BlHI/1CMXqcD8SmVUxA2HQpDuRNAVTPUqeTiQyUZHfnqCJv LawBgyfGrhW+Pm0ssMeDGvrv/hQd0N4GjSc+fG07AGDU2QInZWHWkZHhWk9qBBtzucvcQleb9tA1 TfDUv7dFqQPOxeJ5dKapFf6ekJBTHvRWDl8ZXH3x4f5owLxq3bqDIl4tXPj84HyYFYAmPJf+h9Oa u3V3hhvjfUpetLUskuD9nqCO+vPaAJwBegvn6frZx2lO8IurDsfMN4NM3tn2HdX0t3YVb19Ivcnq Glwlhg5p/Ej9XoMJgxcPQGGUVn5eA1X+FHLLvd22eVBABek0/JwAkz0M6tGh0oJldqr3380JXqRp iNKe7k0pWOCyVoa//HVFFg3uq8NZZ5hPyZsrtZlVOyabm/g/HhdvwIQ/qZcLZ0xKXDeBlCieFNf3 F5kDSwmORTyctEbc+q900xtbTGc9ekicxSIwE+BmIS+7JmGH8jxgohtK2n+uBGovEORlRsxXV6cW ujy+oA12TJ6fXmEeSidVQTkK6Uz4mt4RA4CP0gghW9sf/EMFun9/9Pe5XkFIsZI/klD7IcX33tNW izeN6N9U1+4Spc1UmwT8e7Ur5V3wLgq5eMjuMPhDvUzPat2i/xOJDmKnXMk27L19W/wmJPl0CuVV nP+mfJEzMZFyknKZOjUxjKe3H7UwAoNfP9ZKRpmEcQeg9AeUqEa1uak918Zecmycu3cEpUJjJM9Y 0JZkVsVLvihiwemfAg2S7e38FsDa7VL58MX9aB0A8JqgWxWVpR1PKLUz4+tMnGDnqbyKXY79GVqx Y91n+etRb2sSSHJqSfl9ih5dYEqTy2NEKSkUgun4s9z2J3Dj3PtRf9Z2RH7SsAG4Jm5E7TQppxjC n+mBklLoqDxr3NO1VifxEWaN9KBm7hCe4IqhiOkG8HefXmRrOtdgu/ayDl6F+cLGB5mOe7WoWCz6 DPmlD4fut0I79e5RTZBumUCCb8VfTQfwSsWFKT2roNOOiRrWYhNypZ53Y2PK0qeAFGvafo/NCQd4 AEZ+uycFTP29W81+s3bbUN5U9obpPhg1EjdoPNHOkDhCnjjSPRZj6IWxbkKhdC9lrCIDylditU7c 3ocsHYDOFP9mRuAp8HLJkSv8PR1gD6CZdSRW50raie9yKOqUhvTC7rPCgTdT6bCxV0ISGsCAAma6 NkD94qgm37HCbBekdi31zPcjvYfaZ5hK5+N3bE4z48LYoYHrWlyNJPyxfxr/9fYH5PvmFmPTwWIU 7MEQEdUQlH+zSRieEbxyJfoGVyQfVH7gQkDWorMzQnWtxurJbIQLjdgOF2g935ItqTWqW5YZ8X9J hWyopwYZ1wHiSuS3RYhBuWLs77XwX/a0RtZQs2W6EqoLt8A5fj5XNYPAk/WfmMvoD9/qgMCzT6Sx m2DrD6wOIxrcMreCSYFvSQWSobYQs8WPMJShCPQpOgTpsmZ5AgGsIPQoC8d2WFXd/z5ef0/3bVhC N/ENepIELR2khWtRg3VIUq28Tk//+vIOm7P/KXMXtwwQLZPDsshQN2ohacrOM93oP68P9Pkmpnhl CAILek0Lhc1HghuDiAIVlJcIfML9omNvadra1pB2PsnjkAxhgZ46CRVH3xjXfQsP70Ae6bb0z8OA a9hQx9RRPYOVks1x3aHoWnEzsfYbf2dmptFtqo7SJ2iyToGsRrRaR84CdC+C93h6JZG5I6Rh0YTs eDoMjXvKZPN9o8OckoEMAQn5N1KefdFt3UZAi4mEJ0zyRJ5R98qcMqbE4OJJjHbH32gPK7uWiORy vMFraLxyFwcntkA8aKFsaUkYcdrwszIgTbGDHlslxLvRrEx3qECEzni0lYylJM89wFE9KjyJ6l+g c16d/ZyfO4/vH/wJ805GGCigR3C9oJAxZ/aEpt6G5kaTF9vRkn6/6ELfHlj2chzxqQZXXU3B+F/R a/57u6XP7UaOB+8Ykm47S27fFiYA5cQfwsloXDgJwgOYlrLxEc5YsB7dKE5gkm0Mvch4wPDxm37y N7q9+Gx53HAIor2u/H74F1bZkkEiMrbQeMhrQ3VxLxS6CDWvTEkqhvW7iXk+lupGjzVnsy1E+GeS xrkSThj61+kZRcQaVoXWdN/CVNhuZapQiSYOFZxiarwZMG2WJvGn+6diFk5za3nWyRuLD4R4ytZD UOmjAD5n0R5IkNGe6BzqvVwycI+hQ7uymI4gqoKOfVFnv/oXE+G7IXPDBBWDW2d4R66iLfQeYxuw IsLRWLweXqWMBXycoEnVoUBn8DASYkYB3y5fKLLEo6fck5D1l6kSZ3yTdDUc/P9bOeQfrgIc07sU W7tgkU6PBt84BIBSIoRNRXJXG6VudHHY0BAxTIEKTgsc9hfHdHhfMC6bL0i4QdXB6WEHK/PoScm0 fifHieH0fy+a3be3OgiR//MI8Idt80kviKfz3SEtCqJZ0JmmlaBdM8TJkvoxFwtVsvoVgbYailsL PMxl7Fn9BRIQp4/eCVIm0Y7v1gtX3XbAjQ/h4b1KHNWWm0e1l9Y/zM3mPdlFVW7TLrWs0kK0yPdC VcHrHN1XmmpZ6bnyoQb5D+sHbgL3VQ5mLQ7W3QenCA1a52ZkH6ty5/MqlX9nP/DLPndED5lxYuFY jFHhQgB9V3ttRbhtsxBPdBjoN5ciDjmaU4wbXclUWKxm4J9eGFmV5hdsSv+PmrFubgZXYmoa5vj2 iRkT9mlNvQbeXVz8c2fdwzq3T3Zxg6dpyVKgH9mu4eLtEUgVfFcBhHxD93vro4qVNb8ZZd0JL2Cl POgLivUXbfQI8kcEcvyJPzhcvBiokjUGADvUJWljiajqFzPhzWAE4I6NPC8T3gpDEIJEC3HhtHQU zmv17gEl+Wnta7G6gM5Nz78CZ2MsYQSxw8xiyKEjlT8jCwSzU3QzPI532dLJlGQOekzi3yr7SNkF WfGxcMPxzRSqvkITvzpScpROrD0KkSKEZyZm5X96xLoozOTWlAQxYKYMOuOeqFITfkN1ECty0raE RFfNg6q+GjnapW+AeJn/L8XCB6/wE/G+O+4sLKIwstInHEurM/0gfRWVKzx4Kex9kvPXgOIzUEv8 xy/dFh3CTo7h8eU9qEKRDk0qKF0AstuyCUc3BJLAfPw8cA/3n6eRuz5m5GyAemi4PhvN6nkl8zfB EIfqxhSwvy2X5IxCKvSlDFU7T8WYQG9eIW3xoccYgvMLU6jdYK0h76y6UARHCLaJnzcSlf8l4+3D KZviJDnK/kHbs+mcAnNcO3ufJEIdZzvaDnYmqXTIWLetKHAEtwBqkpHjD+/LvpkAi9Rg3CqiPfrm HD8fb4YcBB93ofAMEPW/gpLnZeZ+uM2emSWRTqH+d9JNvTgyDiQ7XiZJAmgcbV5+9NzYDUYezEsF 7+99dGfJgWOI6lSuVeUudoNweO7ra5jEjMhmbG3X2VlEm6O7Qkg35npwyL4N2g/VQ3zqXOz9cijL qTIkjq7TCH6AjqxPB82O6oyKNer3ib6gTX3P4wk/zmJpC3qoKZZBkla8oswpoVFbc+xQjWXvvYBk vgIorFmA7rgsiIDMsVRLBRF3cQ19zQU6+nQOV+/fwPofWCDZ4PlK9KrYRaUBkbMGePGPPSVpfJbU p9POVRcONE3GWvsLBc07DkgtPl4jF5C3zaUwSbEBU8s86gQ03QqUXaqJReC4o66pShtPr9byPYN6 eRBhe6EmflEH3PTDI1qHrW0SFn/rSA5Kf2QMydkqQDKLDlSHJF3vtDFsIILaJRM2LVMOjMDJXfWn YSr0B2Nq0zFLjFJ2vrtYIMcnlQJX01KQasaz3AEXDkmlTZRkQffDcd195iShaRRy7PKrpytds1pF 7YH1L+G8NN+wXRTQyBMZvMAFWTimULZV0/9ZjF+LynjuD3rugfRB9zmTTPMA6ij8RUQ6dAe/h2aG pIUi/21K3yHC0ROkxTnPrqp/qhvJm3JQ78vzu1U27Ob4qOCOoTDDC1JAzUz7hWkKZP/VgXEXAaeT X/lxF2xRcdHAVMlAFjkF7BptRdgQ4pXSYTIS9ZpLQXfSNUyCJtdo9YPqtlIlESrqtxyT2GvAt3gO rq/FzF2WaSVg5HyYQY3ZbeYpUgmPXkvtvSjA7iTFisswXrlDJy4qOENBKLgtmvzK3qOlQBlgu4fU eDEAd7u45GrYmF8vrNrqTyhPLOHetTWC3Cc46d+1nBQGNINR+vDOuMCNac2L6c80X36SzUTFB9+6 ZZ9HZNk+0Ud4IWL8BUvgy0SbFroSEMEAsBkxZYSJh+BLGMMOMMcknsCNprKdfved1K+PSgL0bj2H zg3XvsGrQlDf4eJqp7W7ucDoXnGhaa15iAugqbioqGB96v7vFnJZo6PrdNINxoFr7XNDcvFeDhvO BO9T9TXbIKntFA9LVzbFRe6MbJJGLVf/2HZh1eVCpbVXl65jgJyE+ptlta+Ow23Bbe24ZfewgF9H Q5cbMNS5kfAzW8/r95/AeX6PKW7XTrzzsTjxAXDVLFT5cg6Bl7HXT8AE4UmS0XO7S4XEVPczjpUx 7CdbagNx/7uWDcqeNV/b7abtQI5MSt1C8VKn2lGTEyaQTeicIERo2WYmhySRRyV760FKIuMJ1qyG 5ivd46Ip0opWI9PQbYX3uNkLFexGWuDcstm+hNW8SkLLE03nO4Jb4mPTxSk22FsZfGIK8aO9DAb+ Jx+ZgamTsxdGOiF2h61Ju9rIoHomUKHDtJB61oICnIxkicrpFufSe6/iz15LUnDI1CprOkx3Omcv tIY1CbbqeLvgO0mvKvjgatxLK5wbGppGcwNfxrTpBDQ7pVGAYpZIvJckQjFwM+VoS/lUNajLmO7C eWE5GL3aF3DTkJcvjahyt6e8Zrwfs4/ENOI75poRvTege2Dp5hEqq1Y/UV+/IKkpf09XBbGYUmeT pRKKadiE0mUGIXezGm3h1kNWr+JW6qJnOWC4e0hqNPs4DFlYJzmdlYjjyIvbAnRsQlvbuMUdKpUO sa6xgl9JlYI0ESBUc5khzdBB8JswigclADFUtL1AhLoIlCBIiG0NP/ffH3O/VDXoMcuxJETbIonT WwY6wK3iIcKX+udT73FDmpoy4cLBFZnOcHbAbjAALKUqlZ+kzdoMj2eo0KGWhhPhCFABbHRiQTHS 1kREgT3Bw00mFF/jZ85I5MvIZEVcqoHRHoShEOHYEZ68pCg/+kzbrTdZd1+DyMw+Q6NU+pw2/Ll2 PNZzvgZfZdU/vw91SJtcwIzgj7gw0NnosFKV2PGwCzKjrbKs+kcFn7Xk2X7i/sB0CVBGPWr3Begp iuoRVUEYTneul9/AwOFGWZ5dgpb7wtjlWxat3BL2AzijX5+vQVs4yyB7Z4Oe5KLiP6Mv7t3OAKKw i1vy7hknaTHGrbiXVPRKNzpfDwZerllyRJccd4sX3TGZgFT0mh/oehIczxP9SD7siYCnuY5tB3cL hR8kObWAxKazdBvEEz7CX8SrafIk1LGIfaz4q3mWmgDyH29ED4YvZB069CtV7RIQPLbeP6RnSMCx op4WrXP7BuOhKYkqKQhCvsntdnKD9eGGG23HKTpzYBUXlpJ+0zxfX2POOKM+G7BOItARkCDAAR5g GxQWOh5bwyYIxg9D4+W5ibi1DY83aHq8PhkX5h8u48z/AVxS+MlfdKa2wzSUbYfT32B2Jujj2U2X ZyWif3Z7cyh4wBOoF0pPWZ0y/sZbKbvXEYgHVqhvKSYbIVxfMmkmKiKLPkfTygTo4R+SKQSFeplL yomPwS3ndxtTdr0lO/ZtPIo8Y/yXU8fNfwCAUOFelIn1ExC+a8lozp7Sq6jRRlD8GRYgr2NkcEha ARwNOGq+AArNCdLLexeZy9JdudSjK13dd0QVtEzln2fZWc3dVHGB/6QaKyki6Kgmad6t0cvqAysJ i67Qa3hFIpSrOEhqmQl29EhAEAn/Y2Imbm0FVW6vqFqI7RJBdWpDgBVD2vwV6FSW5qdplq45OJrg /uOgJNRMtpdzgS8jJkivzoMYMf2rLTOWbZBFx5m8CWiOpTJLhX0iUqNE2mdtdqQBZ4XRWbfjIMch hasFiYOPslfa6ue8YRPIO9n6Jpy/B2sD5UWPMG3aAmC43c6n6z4uuDpN1x6hYLWzmVvuQVYQAFn7 1oOMlXyzqNS1jq/UJYePiX7LZb2LhxJqo6LUswlmgF9mLbUh4EFgHjCNSMfu/eS8/dyo4LuAIgjP NhJ0H0NGVNhyipSBE3XvrUaNBnL45BwRMjyPfoWVV5YZNMTavk/EO625ODThx4+oYNHBKo4XCye0 iZKtx48QtR6UUVeQZjmmK26+ztcIsvIu3PUdT536l7mTGHe/ReqZWrVD2lAQepY2ZOIow9MY2tXL DcH9HDcaMqyBRaxjOcHurZ22NGzEWL2eDzdIwuUcVIP+vMNYbLG+8cdGYDx83FDbZ79M7Q3FWzOW WVxnmoXIHA7JqYzortWw0mvRmeQOqP0EkdxwCsNANGWnZwCeHO3/JF8RtT3ltxxbS/jptNpvqxqe q3rM6Hikelpfid4RbiyI9y+HR9j8msOz2fQZSgxUJCfF2ShbhSDYrln3FYTiYNJHC7dt7e/lYSNs XHNCiOcedo2eNQKqduvFeOHDBnh9rwovgdXznAib5wy2Ck3ABkw//gyuGwn+oWUnzYXqxNg6MaQt 8P+nAIJc4HOYt7UXL2jvjwNX1Ru7NbEFc2cEzmRio7FvYgT16HSlHTT1pBvMjfHVyRqpJ7wYJnLu XyWtO91P/J8KfFG0thS0csADkHISo4+jAME3yWe5uFXbVKCvU4Jt96UD2GkrkZyzl2O+JzTD/a/Z ItSMqRgNzgA2X+1YiOCDV31xkD3tXLeVl/hSUzMrRx2I6S0nnEsQySp7//Y9+1K+GSOYZ3UBP+1e AITwGvv+aTjsxqiaJF3QyG6tFL2FgTb07C0ASg86g+faQn7Vfab9hiLuwTuys+Bq64DPuTq8Y+By yRP+/cD27XIgD/Bvxxa/oaj8mVDweyOO5yFjGPCpdmYUJ6h9nl0qZqJaoEFHgRsWbEHDsSQgzCaX zS7EfmIlCsFCOR17dS9VI3Zs8UdE9JtaEgQyr2pABuUTWrZRXDMRfzloNmrZrJqTvWdRrAjGK/Uv 9/6py3v94cg6dRWhHagm4x0Ig+DV1psAU3Fpptq1wjpib1E+wMUqZShXX53kT1amCipLwQk5/Jt2 yUeTEfnpSSRz/cxCZTdENo59Jjd95YyITBUvAKZpWPFbisD5LKfplGCQZ8luSKs7JegYoCw4mPNY 4s1GLMtR9e+5bcuvfFT7fbTCmVbNFoqNkYTBChepuHmHpXE0bu/ua5gt5SMQkJyy0zcfW4XoKkFy 2hXtf0cQbowg95eb2x+x74TGuAc7qGFmXsPaiML8vDf9OUak9P46CXU7P2xx7FD/UaAz2pn/7AfS V9JzHD5ZshIrshTZJteK595K/+rSGgK7z8Pd8MeahWOSNDjdANPoh4Kh4AlNFnWNfkqAdZBqC/P1 QuODBpjOQ8trgl8kiobBW43H/BtcA7iBSN81mD2GSC5NLFIEJ6oLZnOFhmvlnnEULJ9SzYGxyAay jep9+VPDDk7KCQ4LO+dnxIJTyAhk6vOLsXjbP+aimNuOCG4u9Fdh32+7y2QIIPZiDe+imiKqjiJE SVGChcUdn8nbWFjQFXNp24zAVtHQKtMn5rrR+sSXTaBs32ZHihqtMQhJ7xTC9mnWMSFo1hyoC1rG QPVITIBfH+3qQwsLi6f+tIvlH06Ofqw3oi0HPGaWhArDPWtwzRenaDFGETWdwJdOQ3LSuKhhS1Eq VIDfoLRhVzTU4sf2TP6lng/JppETKznWadUexI7KUNBS51mthadOHO93vYXFEjeUxdg/G3LavFQQ OjPnP5I+XFAk3IKOBHDQidpvpGKaBKrDsv02qktEuy4ejVPEuPxMml6hHrqqQddeuc+NDk+H6S9P n3Hu5zYe/js19CVZXJbYgYyz0rayV83rBFW9xSHcvEq08NMh5YF4hpDSzSX5Kmi6Ma1dIKwn9Fgp YkTrxCSk47BwkFVUrO3gldCIMa3td2IQ/cVqVVzVtNrAJHJJBtZWILWmtqLM18x4I+qBcjqw4JY3 47ENkS/fmfVDGL5NG4NY8LYP0FEIuX6G1Acca2HPyYzGl4LRMsHEXXwTb/xdEsnAgpYtDSdohIV/ lLI0GsBsTAe3sr81+4FY7lZHNyCaysgCmJQuuNZogo/PBf5b4QDR/juBI0ZtzAolEUiPlGyjWXEl 57JunZ/+eyGPGUjpV8Zj7l3kuVeD0SmN8WvQ8GNOzuDH70z0rEjd8zs5c/yoH3WgsG0zqQery7Er +4MJoFPAnu2JlJo7xNMryPNR6f3alOo3Kg689UzYNQcCas9SYGC0FCSZ4iy8Ku6pTdFR9sgDo8Zx rU+i0fXpRfHTXnAafvQwYpAcu3lF9cSScModChcZP3gfwRAmCzIgCTBCfFM4w3ywyVdSTBh0Fa7w EzvOREFb4yacoMnP+jzQNlqi5v6lsr8eoiUVjIV858MImK6KoJbFe9AGPMWTQiJrTdpewyxRDg4U NhxI1l8cr9lCJqYVPZS7e9XSZOEg6TtQpMZHkIexUsT/KqONyB+9/ghu3Up+j9lg1oTD+2poVe0X xOmShIaWyjdjJC756TzQznH+YarDQh2DaW1a/3tpa67IuoLgO0czqYdrMrRXK9LRMFa3u7IQXz3O z8Pk9rU7D6OSRwV8wrQvXAfzIMDwdrREhQE2usDTCYmI77hq30KvgTA89g/Hnn7wk/oo1i3SZLoG L0yyRanjvkoI1hkfcdSFyH69bz5jN9A0R49tl+DLkE6Up9FDh7ezkrCrvS9vTvviwJfwCMJOMAZ5 Gt68NNZeReyHNVhV5nrxRvMgkmcwIyRm2MdGrHr7azSNlvNBby9fUx3cUUZwGwAeUl7wH4VxDG4Z jHJmFnxN8IsPuSwCBOzran2vmG31lNa0EiQN96vBJdzwhE/s0UPV+dKQqznIVVZwDqGttVJcyoCK UR/dw8hJ9BBxnBWcW1OtPpMSopnQFYCGPN6hFBJo8pb2W66S1lLaCCyIJBkJSophS9bX/xkyV2Zx jbGVBkHBgfF6uzpWpXw0jT650hs2kH9BpPPTv/1HLmNTg/+ipuNJC/tBnHnHdc0pMXW6bFa5ZbeO k6OLwGKTWC/yX0s1T4vPV/YDvL6FhYVjj3Np1ioAx9ZCX4wMl4w+fZBzPb53c1hzUSq1lFeI6POS nqtWhx+OwaBTD883xX7+ofRF+S7J4KttWOID5ed1r8K9Kpvlim05rjp2rxWLirg3etOgxRRAfiGO a2FhUtnZdnExhPB43BiIqKLgPF9+ga8ZSj9AL5jmy+s5LWRIyXUypMgDn7gvoh4vbFEtvB8fj7KJ y1fyaELf+lUV1NihJ+Noqaswja/VRXnuh5Q5iQDIi+yldgkY9so2oWsUmcjGmRwzxVbOImK6bNHv LW2sqtREIXqm74B2wh5BTrRW3c4jX+QNqrUni3XMdLtezaqzWAUPsggsvcehq7VX/oC8uzcoo+yx C3CIiKjPuRNYTxEjOovHn6NJ9G8MvaobM6SVraSk/fluZMrCLcwk7gfGj2WidDBkqH1vd8gXUfZD gqFLmhoVK5MVnIN+t1Hd8KHC/E3IBFKjsVZ37PofypdmbWSna/B7Q6NAd+9zxHpLsu3h2JicJQlS M+PCqD00iwcizIGQqZK7ejPR696Re/t+4eOkL0S7orWll3T5QkWJoShyZSRiAl52+0EnfcdUVCIc HMmGIM2nqK7iR0jpUK904csfvqQlcXVnTSI9go5Lp5RSnrlU14Teb/yln1bkFuLx5sKFBPu42vu1 gteUMzsfT8k51on8wB3ezAF1uboJo728rQJgEZiC2y/nNMrhleGHNZPa3N9/DN/PiliABILipVkp sos3Jistoh2rtH4+drMmBEjT6Z1zfocPpI7lOIFrTeel8rC4s4P4sVcwonDxXmRoKRuANklz9MkA T4FWuV0ykXM5wb3WQExiAMAhP8Z8Q86qArNYKIacxoq5Np/gkjNrgsSPz7vSrsW3mh2PyjmPGHOT uQ5LC8ru7MeCr8Edi0mups4BEqGNdjTQ+ZkKbjUQQs+S86+0xGxMnQtDjkkAMlH+E1TkApnXRKWN IDTLVpMLZPaD6D6zdA9EVv2AJ2gli5fA7pgjRclxR4MNarCfJqKe8vK9i+WQdrSOncwRWynUljjx ia8vu2Hn9+WjuMhg8VYCFm6DgG06plYQT1dMUAUCh/spGXvLLV9TpvCYBFKvV7JBi9pU+4TeZmPH tNRdfDE7lAQUNzkulHPqhDXbLU/BQi5aWkr5u65IdGxIBnRrcNBVmJMp0lfaqm7mpwoeNrlb9cp4 vzQYYXoJ/+423oXBerXCLbVEqBUfafu+30eKkX6KpwbgR93CITlJBcxHlbRMadSn4FPzN5gMiqML wUr2fi3guzO1YXhDQpo0B8KFR5or89OxX9DHRCLsAGmQ1c7lf2t33iKdfEB0W6zK34scw6/Hw+yq Nq8SEAzKpU33w1mhr72IEQkIzumaQdJwodvyMXwK8yY3bmP71rBoSbeSYpOwcqPUyfzuVhcKaOWb XsTxYfH6UwHlNIUTWHYkcU8lA8ZULJh/2pBdwCdL6DkkUPtwoDVHXA+dX1FeF4F6lPt3UicrcWAJ vDAESvlgO147UeDF9YTe4/cBJEH2xJL+yJVp/SgLutJIv9IVnM0ifJ7Z4haWahHbpevych3tPZZr hdG9oEYwUIT1cm2onD+torMwtKPzzI/mgjD3owRkwjjjroU0pdgPOJSrYptb1w7/IBeKHl7xwENH RJRBW0KayFDBMofK5xYySZKfvtDL1AROpY8T3UnCbGfjpmkm7ITw7eWrN1MqTFhVg6YwVgodTEdf YkgU8/oLXhGO2QpeFXFboZuAwdxQpT0SBa459x4CU+1u7/Y9uA2ezga4X0iG9+axPmU1cApqOwgN xQ1VJLGHkVhVkeMpWN6piiTxjHu+yRUTGwbOes0cKsCAY+YloFYAuUSO81UWdsMCZ/KDC+3UYapO QwYT65fy4z9o3pAG+Ozwofpz7XFA7ZKKBzSDI0HirTB+25fFv7EnSd/hK3FC2UvN6uZUyU6ciNAD HlLI3nOqwuz5TjHmQvCJprdqUdA2PfHIK+h8eZV5KUIQuQ97RVbSl52ywQRrjUOYxGz92wBRUOgJ KfoILw1YlwpIZ0oZ6R6GvAYv8/2JVxV156gdRyjJeGdLi5v5dbUdUuLnMTGoHXfXO8Ix5v/TxCyU MqJAlN8qhVXApgVK4E/jhm22YX8vRyv45GK63Ln3qFfEUe16QYff787Ka8OYCFxJvUykgxqx29Jv dU6JB8Tv7PniHyhgaM5qBQFuOzGRvqnALeAj2YAI+A18LQqHw7B4QcyJUgO4rN9su9/v/vEiooLH xOEK9+JDi9oPVBcBSWTJX/+bEyCxblnQGQ3wNWQSne2yxI259Uq0EE4O601aCmCAddeVEM+mDU6p 4iTBd+KKZh3PZ9ZAC0K8MNIRcGodhckCp7G4MkjFHq3PHUf8Ml/eLUaar9POEr4wSQhWVuvqxWn4 iL1dKxc1wy5WqejOQ1ixUuWQi7PkhkBquMZt4p4GxK+gWadZ9azlsTcUhQ62lhe91kNd0nGLajN5 AqUp7u0rkrPDVRaeEF+HhpqufMQQ46HUkJVcMxEzHrVK35nWEfhmH1geSn4+zwmHYv3nsT9OjsHI 6nS0a+0asuI2zey47dk/3xVIHmT7gOjxLPTj16Cm47Guusy8JHAVGGbo8hQRNKpzXMVcy9/UTKfI Qtgeu36urg8YRpCvTRW2crt1fgqJW1i1Gsjpd0SgHku36FM4LHp1Oq21sEJwXxz0M9MaBiSBZaa1 ke5Yz4LubAp8fEqerjXqx7k7mEdk24AlpQg1AYqM4aPioq3oppVY+1PEOjnvqsWdO5z821M82Dx+ iA7c3WdxAFpAMC0nq18eVjCkTMHL4JNOFHnP4QZucMyPqA2RFRABNESvI7+GCngOqdRbZBx4gVBk GYJGbBKadhK+bQU8zZBuAfajP9GOnhqMyspGfK+yyFYE1x/SRES2+6bdwAfCcLF2CPfNF5JqJVFY 1KcT21I4LK0jVgqp9BdwEGOfVczdQEL4MvLL6F8Xgtft39e+fOIadUeg9B19PrcEZYqS5LNSQTuo J5epbPZHHYgcCce+UsTuUb4u1g2u6Rz5+7tfvXV/AvpHv9FxUryBx/7ZYHmmhWkPM3f8BwCH2F1x 9nb4PQpGDmTKUD16yyjp+14IhXZW9l5bTbdIeX03GgfbNQHg6AqSn+HOl3DcfNAg1bJxHDbmprKV Gt9tuDhKxd/E1YW/IyaeFHeuwye0bQsU5q/siBDDJCek7wcM5Vy0jcvE6SB04PRDdLsDBR7PrSpm E3gGEYayccBCfH2AYHckZw40ZfD8uLhl2spojeir9H6C5UQwoaLxCFsZ9vBN/yVxUTZWpQQ0Pwcz yELeHDFS61yifhjAKM+rYRmqEKEFs2U4Jm6+wRv2PZY0abwBUmYDXSMctHHgrPIfUL5+dGYBEFw5 c3r3SzJTxZQXhdO16Bw+ud/fIb1yg+qiTSOMW6UN6nB0qV99qhezzpoX2unyBjXAz+0IKMZLoygC 6GEzBqydRx+wRTRNqJZEjYM8iHuZRyQ0DMVcnPa7BT3u+x2dFFlhwkFbcBaa+kAecaeKfB0j6jKk 4h2kfolPk17nqIBVFDhUAHp77E8lccg81EN+06dXq6YRphK6IFS7Y5vLiFFlt/NkeDgZb06+Lf9e 3duRoqQBC+F2Qyx3owp+x750Ad5FTGNKGZ4AzGQhzbVi7OV97WxdVwhzZbbIcOxyL8S0YaQ0rGEK WUDeUlBn2xTSJYoAkAer2MBbecSbajJTmavT3Vqnse37KzA0hi+SO4Fjx29WSWPArTJTe66v4E/7 wpJiAi2i63lqMIxCtfSgae1Vqv72bs/aKhbXx6HM/vfUUZWFlK9fpzKYI4sWC45wLQJ/31sooK4e sB7NMzYpE+nfqJ5FBEpt0mC5xfeBBU7SuX7r2aSkw7B2qW5HJXsEnbfvAmVGpNGQltXgfucdVbqS Icn/+2Zaz2fwZJo544IjlaZPDd78so+TNDxiib8B/M5DVArSVah6K3WatVVZusibnMDKDMy23F1O wJWbTNUZma0ZNYn+tq26/YWod1KoGoJZBmHbcxTM6heO8qTEnM4fk3VVSY6lmLkvvJksE6Zo2/fN XbbIAYpQZ6yyRVBbYk2S1y17mLpHE+eXTdrAm+7w/zlIVohwSzWE/H3Bc/dlsdFyd2uxM1IOGiRe h0geiHnjyTeZM91iq6LBQjUdA0HwrEKxlsCVTo2X9IftAxkNvVHrOOorlM3faxS1rDOxLSJMSpRn 94tqopu906RE28RyesO3L6DrXLn56UpT96PudNsfD9fgqW5VzlqrmYkRaf66oqWnoE8+JZEQ1y0T Yc5zFGzmafl8hrsk2o6MvCFUvnt4H8tBFslU2XauvZAzKZAts3j5D6+yrj3fXgUCbrvSKcjlXO5d tjSQxEqfKSnkWWS+UC7l6XOFbQjqnsRT+qeyDXqgoQv+JHi4wjVJHFLoPHMum/vi22f7RKhn4gSY qG5tCVfCPxgCpHd2W9Sj5ftbrMvXGM5nyAoLIgcpYkVKbGBuIE6yn2AIQVQ9LOhYEXsphVGUc+Qc 6+4BQlsngsd5Zq9B9fdukd8Cde+xsoGEe8OLRwW4uuiCMaIze6dATucXzMCAsMkZRUnUgfxJ38Ke MIvQb8ySfFipOolwqNWpKMaGUKyJSs/Dk/MYSt7qjXPqWtve8z7ozMSLpA7hZ3kTEdWE0K3VxPZx AemdPZu90Sqcu1tmS9BJ7wWYKiIPtiR+kLQOLMVhK3/Gs9wGMsg1Qx/fxzcaAmwUlvGh8S+97CHA fmMfQRfHI8uZfYq0AwKhbMlwsyilNKhJPEcYC3f48sD97YFk6mFZeOHFvR55Q5w3nfj33NAjmynf tmfIsBX9LRhR93RdVrJzqswsBdXHPK/yxCiIZod8GejB8gjeh1a142cnFIQblJZnQmuG1JdhmN0T ixeVK3dHg2zBWmJCiiXpvysqozD/LszkgxB6naXXeHtWHYsPMzGIgFHj1qf/fcJSBcBU3ddVVsEf 2DILmDL6S5ltYAVYD+qnbQPHpUS8SxdCDnniK0aPE5Fo5OTNp5siWVhvFQjCoLAVCUpFbPsJH6kk fyYr4lnt7XGGduILuReyh8HfRiCdqWsPaUqdh+WPIAshQGtDc8VvsZxwYzvE3XFhZjo/U4WP5Po3 VKuo52hDiJNTdJE5EKXZ3dtohufNxzDHGZwkZQchBslJCXHpGOuu1vWWiwP3w9L6IrVknQq2W8Hh Wt6lbcIc2/a1B+GdfjgllKymnsTuj8/+pvWnanHBI2dHl1EEUO9DuO1vvuiyiL9v9kuUwfr60vys etOdkHo3nOZPNyIRcqPUlUUFDjqjC/A1wJz6VOiDR3vsUi2sGJML905PovKZ+5JoMrf4jUYwZBKY oDRbV29JmatIoxb7/RndAy/+O5ovWTgqA6eDzTGWn9UY0Pcaahx+V1GOycYLhMmaQ4J+Oc154Qa6 BeYQMbdEfsbApnjR6ImB0kgzf6XpfUNu1UPxh5LQsKy6fogQTGjUPHnyJKhtl3g1f/wtwCTC0GeV qlU74uG7fngngj5FEjk38YYsTIrLKmIISp4SZC3So4Zv2lZbVMa/B0PZIQGVDbSSVrQj0s/ck7s2 9Ac8qwde5CyZlHpJix1SBSypx1nvbcqU6+d22upEYYXlO/dxOsOkx7eHtzzU3d8RxmnF2PTj1u3W ahFDXnuR5QqWNFPBOS2xT7QSKn6FzU0T6NNBRgnVw+y8hxvNJCtlMaC6BYgEVYtttBgvTjBnSB86 sV8/eu3lSidJwy9yCnHjXtg0Hymumy+R3p6pGcaUAu1S/78nEtxP+6R3GaYSNWDxYDyO4scy4Vqn uO9Kl5fSugdouzDTBhwK7VmkhpJfYhv+5Phfd0twf8UgUL5wU2nwu+r/6+J92msxnSGx1udRvoRW BdG+Zze5NkfxPGUdOZTzmsRFg8qnw/Wy7raTyH1YwVIGZU2FvC3TIjWf523H5d721C+VqiVSNsuN 0Apa9YHLaQkT0wIjiJdq0YKLkt9oIIID+jOt/MsbxoxO1Z8XZd4DeZuKARASXN5bm8BD/gwJn6WE zLa12sPXyRnq7wWsAaQXCXiRtS18bDeALqnU1e2Do9hHP2wu6mHWGA0aXNoez0NR/eCka/sgAriz +aOYpVhy3zN0cBHgw0aqPLNFHxXR+V3s9M11ZSJ/NdhSVTV3fFNC4EutTl8sRTUfy5m0NytuM/xE oMCi4FTiGKvT7qyxDKw7yRQuihWaIcJV8Ks8FsahpF2uhW6u8LJ/SfUdD/JNUVWfZbP8v0sBQAHk 9S0LzrhRB8CtG+xPL34u4fQQciehL7U0QinxpKxo3GYEvhDYUbm7JLtlTPyJrCXITUXq/E1Toh7w J1LMT1hesXfvsb2pg0pSENCnqUlbMDBtpnBPwGNHwHW4HcDEV9a1hnl/su9RmzIyMAiaWIS62NzE kS+hF9zIZF2wOxap6r7pE53U73SYDpTwMUi+qFDSz4RfmrH/3QsZWZiZNWB3EplNFkSN/Bw1uyvC Vb/lttmTylI5ve6+TB/BxlPcal6Pr4vwFHC85HrgLoEx7fgQh0FKC3ZUWZjPIb4cWZFEGr3BD81u 3Z/kJ9YxzfIGmRi1tKp2R9d7Nj51wpZcAPcX9Y51WoTWG6Q/7suKInaETqXU0/IVff0XESDif2yY a0Jslf2L/qjCO1HeziPjr+OWJzIfl81p7EnLMAsKAl4pm+PzY/xIz27hFCXNS5x99xJFBmJOkcuh Dtbt3SW33iOQXIjfRgyp4HKyBcp4lbHythOY0cLu323TQTkepvrqcoWK+04FM1FCP7oalR14aSlo W1s8pLWwRTo5pi7GZj4jNG6Xyjv7prW6SlfCmRhStuDBZ1qcc2J8Gfz2idEMEeSZO2UhvtrDH7+f prXqnMEubLgOnPuBifFl0RRjqRQFqV2T7Rc+x9Hx+6bCK0Ln7cb8nLwgQb/h04zpC/EefjAfci5q znPO6wg4gbJqTYGjvjZTdt/jpE/Sx4/SOlIji2qCwDZGl1wOChzHMOETsooenp5Wc/0PCQGQ8kK+ LaCGldJ6pw1/zpINCNNo2kKqzQ5ifPtHqjBu0Q1oB2aW93N2x85TEE+4/disA8n4s9AyNmW1AWrU hvDSRDndmrfOrjWY3j9wjAotyIofWCTIDBVha5IgxIiimpHcPS/3Q9FkYw5REmkYirbaJFLFX/4S EXywz+AsbvvrfW0bNbpJjWZlwnD3ooXOBqs1fn1GgE/5KQqFcYYFgih2TG4O3WnMZ1SRYJAY22A5 DaCgIaCgU9QxJ9Mn/mnOu9G6dGOlvurd94cOQEf4+NfzUYxdCq+eX7FUSTDo+4BkGEgtRS5iXLY5 DAh52hJ234Xq3e/8t35RAAvplfpfTgbwgem0vdGnEEhx/+kCL3fFULjPteo7T1LvhPGwGGBvrlmE 48BiaqHpjTq/hYCYqOKxqu61o3QaEg5dzfR+4D5L4bFzk2KXXnPll2miu5dk2aXvy9lb+3Ch7a9+ 1OPTAsMUgvli2LKFVyJbxy++/GELvF+isywjN6F9ZAgdV4hzH6y6dVMKeShXZpMgH4023y+x//3Q eu/VFGLUA1rxeIpX4VtOU0Me4TLsXKrt9iNNJtLHZAnV6d5Tyz4CCOMMWhu6eEoNxIIqBjWpyMoi hpaWEuXI73HD+dG/AzrUx1p1nxZ9cU1L4HgnuQZXbQl36ka7qBZIpyYntUX7LmR9UcU280nx8r46 MXYi5kepDYYagoKwgE/vHgoC2OZwEN9+WeIzp/SPXeKLrOzMsZDp497K6IpxNMvmNYxNnt6cIF1V tnZm7WlA6akVxZn13XZma2MgA6XMojoBeo9W0A1tY2vbWNCmBT8PPAsSObfv5TklVOeEf68SJT0c r3G6Z9Gi0Rc337p0tf0pVamT2sQls4heHkgHaykWTWtK0jhCuWBv19GZPoX6kmGeUx7F3U2j7XdO QDPaVnAHJrJtQhMRQVLDSWcUossETwWgvt3jrxshoqr9F9lFmc7M9CN6DgTnbLaZ+ltZXeowFbPs 4/B8RbmJ+IicSbWkP2TORuj4yMSNfFre0NMkB/jiut5Rg4sHz4zMrIHghLVH/9A53DfOiDH/nIrR 35xyt33D8dpy06nT/Yk6ytJc8OjdyCg6CGcsPSeNNauW2pAPq+HH6n7yQIsCt+Al2LEn1QGQL3S2 DYg5i4fzIyQYlUKjSL47cPyswr/t9CaJTCRFQUbBANeH15/Fyl9nxhSXXtV7D8PqBZdRrhq/T6fx Cg5+cWi11UZYxrRynPr0mEbFDB598SPjcBqJuJD5QrQTPfvWDYG2Z2MTqNEKXvM0OfxLihjooEMm vt8VvEGsli8MR0j4eueJzhQMFL5XP5uVihiK7/xc23R2wInWNN7F6CpfKa9fkTTlsRfD1gjEXgyu Vp2Ea/4ZciDna5uBhrngaXNX4qLj7V/1qPHg+pZb6nYnM2ft1ysiEB088xu2WOFVsSS1rcxQZLyy cD0Spunjg440wflW0zFyqjjicGg1AdvgK8xGDH0p4WxJtkFtWax1PZ3PkgJ8txoj5PoUvBSx1OR3 evNztj8meae0JtbGtjNFcnfQrrf0LKVpWTyyP0RSMZmrKv/T3awjXrZtRaWHf7FE+1/b8jiSJHz5 CUPvqRSPA392goshI/phSbHo8PwhUaP1v4BBO6ma2peqOABr3gypKaE34cXWIHi1pO82SD5/7mbd JH7UyEwpsWaoaII4V0ltTBWQsTm+RUYKdize/UMFYaXxHc6fl4QICkmkNbJwkucgatqpknJVDZrQ 8+MrW7ZE8xNnrMiXEQYIu4Ss49rn7Y0HFUY5V+DweaaNFzNAgfAM/+4ayfE+8BPYH+2s5qOeWYF+ DhzxI8gJ0NHqfNP6l7gP+NW9DjqiyfCxVBO+yvVMoyL/uGOu7Tscd+NTMIN0B5XGvNzIDFbfFLsk qFohLaQCnhJfI1BLAbGrUMWzeHi7l20mlVZQHRa5cFcs5FYKBDspXYjL/nZd5iSguk5hhb+13F/E C12M+Nb1j4uGQEwwl+jxORWtDhjst3puhb151dPkTkXFquOgn+Xh8LuDKCl8b1r6/IjkyHgcofsz G+QizC3XMzUenOOFZJASAvpKX3dxIXwAEuRzOLoeThpdlaWzI80tyKOz/CF+DhYQGMbsAiJYd66z kIdb28GMeGrR9yGEmXkkAW+Gnt9eAS8cmKzDD42J8ct1hqmCeUy2itsAnbFFJdVrLjN76GUhPr/1 3Q9uPYgzouF9N9Zk7AOWoRpQdXhnDfZXjUiGljCA1q0+Ztu6kE3SUOmc9RsNNjYGO07OEWDDfHFf GpS2KxbUN7o6cD7CK43TndLIcMj0XgCxlUKcqKeC67xJdhAoTUSMXN5yPVvlrOQCaPwkrNP3qx0k sTdYf4fR9ifD9FMnwZupYGXgloz+MULC3aLQSTCbe5njUDb/HPTzErGZ5WgVk5ZdfkJiguirSRPL /D1K+mMrgScHT4+RV5GAI7hDjHESXrwIk471Tg5CRVYWT1FyxByo97VHLDQujiur3vR4S02e0st9 aS+RRa6OOCLE61lRRbgMhAdZq7DD1TijrPXzh4dPzdbjGMO1hNKtvHXVLuL12itH8pBfWmJGpkkk o2Q2ujBO6r8hTczIfYUkinTMT6F4fOhUCNeCAK6vtorRhLoMxIZWiCKnqWtB3OBaWb1NOBig8gHN J5ilspmEx5DyyY0kuNlEBsTiY6bowvtwewuhitaCz0yqbE2FAydcn0UelKOcgTG836t1zRb90yWY oRl9GiZaRYOZhU1TSReUMRC21d+/z3mwDUzZXAo2YekiIHiWbiRouHcL1qmMnapuO+fKBmb+aa7o rLDRuKPnUsRnye8ZcTgec8yedgtRjjq7FCb9Vpu9kPDiXXtWGPxD+J1V5ecbfe9zs7oq/qNpXG4V 8ksg4Yyc7DajYXfSlW4NxP3lTRaJfcu5wBUyz86N8/NhSxFWmusLX9qiCKZ3M8IVaswx3qzeXv3M OL5IfGn2pZjEXjRamzbm2UhvhlLOBpZAVpXEFdlLsX41K9Q03H2REuucfE7jowCP7e7bhuqP91XZ WYxYraiaxeV9tuQDhtmqkfnZtbuwoeNKm0eZnDMpNTMZScBxhIp1XaAYrApZp/hiiWFRo+DtpxWu BhHXX3zckaCwP4CheznZa+tFoie2vUSvfLmvfa9vbGkH3jzR0hO6YEoAzaTGsBzdZxfAvzsF+2vn aWwUSrid6zlzfYhTBAYYnrsI5JpNgSBNxB0J8ImM8grkEGuf+Ldygw6OxHLlSGrEvskpsBZzggGm 22O50BV8EcsOG74bkg7ym3nTUtl11emwKw9LCGUd84T6HZyBNOTfFQ2jGgCCal8wuHeBbS/Krp6L PjR/F83+7OUzcW37Bci4hrZs4/DUNNhQENWrkqy79QkmcDpRSgJKoEUzDvlBo1dYfngctbQfPjVh mLvmBa2yGSQgGC0QI/eoq4FuDvBFrTDNzu4O2JkDuUKFOSdSCYihK2gwV5rAg0XV9JK1uXM2EfrJ i3mQJuXSROas8hn3BZVKc3kXe8+aC0GDe127cTe0bXe2UfPsWvxEHtMSymOos5TRi3fdWT5RKu4N X8qlLRfEzkHm16SOKs8Max+ubJS3C9LC0MGgEDyuPYlHxlkIxV1A5ciS3afUiTpKuCSVpNpjfMtz Dq9blbTnPx82Tz61c3f7prCiu8g9xl5ztFkTHbOI8QrOB4sENJINwOdVlaZ7DyM00cjcHIO6KxG9 ucEPFDYG8PLGgmFtFDyUJF/mT1ZmNKnMdY8sRmA1j32OUHinNqexGYUzBWRUOr6m60yJqkXaRN/j ju+Q7Y27+3TEdabpFuJW4YpKegENMQy+kKNPcGCZ3U+wzyEy4FY4kdj56Skh8PbGVN8rhVu/7ruw k3feKY/oeuZwc07Er1ug0KsXIr50+5vkht0L8JJrGJLBPoPcdkzM3HOtVl7TxF5c0E3+a6vczh56 Ud8s5Yw/eYAaUHutmFr9JC+amgd7d38nIpToz27aY8Ud9INE+NpdWN6g0wnRy+GxPVDjCCoAwX7i muVvihXDP2wgwasrxbibzWALbTY54ZGM/ONy2oMx2fPCRSlQb9QejO6KyW1d3lchwPomRiFyrxND RPrZ0C0j1jq3fK03zyyh2NF0euIhf3PCYlT0X4BVTk0vgdAeh4Kbd62NB11t47rdKpXVoqkqPQD5 k9goqoF0vVSL+mkROedQmF+cCDNmv+CUQwCFL2G6JkBPOXj6kPI2WTKdotlQJDuBvI6br3QmLQfj kfydnQM3eMJq5cAdIFMHsL5/9okfaWJnsS8i610/Y+OyVYbubv32vVeRDhU4p+Ryy/rqzipkz4fy nJmWI5DmZchSrjHojoSxClzGah0aa885CBQbCvhI0KtuKVIhESNM4rr1QNa0kxGpj8IhrQ7sHjER JFFhIjyNhsa+OolQ/JD6gNR7TXJM3DjvG03h1vH3QZW+InxDfgmNN8nKwGcuRx9b3Ehqp+sDMa0C 8ODLVDu9Q5sCALS2liyz3dPeQB5zE9z3gp3WRMJoTZOXO3kreQdc7MBCW/qO7mcw8oqyssztgV7u fehrLW57d/w9q//bLrxG7GlQTLmzNlXG1UXPWzrL++qCwPTO5LlyoLaxyu5QBtPWUcJmGkFzo47X MACCqT9jDsFMjUrWWD8gIiUWkWdh3ahUqAT8+q9KL8r9ofmT3lHHLOnRlRqBFpJzMzntmyVIfuYE FF0gcgf5IP1nXjrdfKnbmx8YFpwGwwfKadB+oSeyiclTLyCw9ceFO+LkguYRWjf8Go5azteFQV4u blEjPyA/TlHio59Uzhj7GJn/pZD9bi5rkiYiyabuo7sDQNR2UqUTxODNO9D1Gj5iRiYC6Zg8/HRY VZ7jPbaD2j2V+GoSBgIKeNweEvo5w06RDHVSL6B0wfsbYX5YQ3PbsLc52PCY4quEpR34CrviILx2 2Sl8HDobjfqmFsqNTMXjIGC5N4UsWOzk5zXtDpCyxrhn7i9fcMKJpoAaHsMwyfEj78kZ/yDUWB2v y65bxdVjiAgmj0cM8GOuq4m2to5zwZdxVFm96oqSTfTfejT6XBAhEQzqxltpljPI2RFqHW34rMNC 2BcEv8/QCFo60Lruad8DKHE1Y2897b7Gecmidxa6UmtQ3gSBp76CaxLfEaxH8fgsRvko/9i5Uq5I GemM5rUtikdF+Qk1nCdIoYuURGVxHpInQ6SuG4DCyIR15L1s8blcm4VXR82QgcVkZfn1hwrDioJM wQ/XE24zFPiwpgrlhk0IhrIP+1i6ydBOdbYw6/qbpxc+e80nuc7AQHExFnxQ/Nm9WC6z1otBsfLz EbeKYBRCATd2zW/hCsZiGvdFtzo64liswwpVQREdqdFUdMNVoEM2HjKhQ3NgtVqtQVDLOqLbdJxJ gITc1ltwTOpazAQ/AzkbLhaFUfwbv1wHaCZt0gzRMLdlyzgqqpMAxxgDQJwj80rl2pLYsWqJs5Q1 xCcA66Lp9I22flx+7dmF4uTfE04Txey8uEY2SjboM6MIQuvhC/lPguLf9VqlGTRqoq2iDFm2I37M gPKAm0piH1W1blWxVnPF/d4XaNb2qsyZ7igw31ccWs3C/tQ0CQckkDJ7TNhIXf7T+buMPqkXfoSi bGEIdXf6uYHdOvHmlcG3M7bkuf0Wq6QDwRNNLdVOCnc9AFwwYH7Xu3sN0ocM8h5Rropbl1mGmElq daNyKojGAoxW39nmdNKnUILIy0lgX05MkCjGPCR5Vpwc4FNY6xLD8of8cxutIKOEaF2EUJZ823iN hUGXNmKUfHk60JvDJE2AYiJ26y8Ep3ivXIoxFCaTHo8eLQ4O9hLHej6NN4hXcfJZWoGK7Jl+VEww 8IUyCQTQjhMouzVc8AuydWA0/fSzRUAI+C0cyu0/+5eVuv8zmTaFFiPqdDkYgFYO4gQ51MxCPI/V G6dpZfkfjZ95k/VZmllCdj1S9bhiIotrluqMrXnm7iExXkFPpsNzyKfiSfmJowNhBYrGxfQ3qITs AtgPgSBO8MHNDM4meNmFvNwWf3kNhPdzNsJjnWVxrg/QZPaaQDtNtJ7G7Uj5SGOuzzBfLAGrDEVi BYIBwQPLYzXM0uFTatiheLjf+00nONFYks41m1PU864TV83UVQZWmUrWWWOtUHj/PZos6OSoBtyB NgRrvbpKMvwiF7bxtzdR/+ztUaUtrFTCnQIemPIEQg3FbRBlNzQ6tKbxas0hgDegnaBCUo/MBy/I S7yWnP3MnoX189tFBW4CSFW/N/PhfQz8UPhLtkOvMAoMzEBvTdnjqMdRavm0ar6XSTmmPPPVM7er agbDy3GvpKoqCqDds9+w/dnOoOmrvPvpyv12MYa2zKnrwA/uiQq0FfQvwuBf2FVJMGAO+H/9WPHL c+GKW4SgQ6NPrcd2LXSELW4gPJXxXX0LAOBtQlHpNM015IpunbCMF/44jvTimTzghBWLKyknVUOr 9LJhyXiSkAfG1PUWzg+F6j9FEIo/YyF9On4eD+o35J+/NS5kKrhiULXZs2B5/TrFZXht9VYsej/F WyKc1+6r9cLRThIszjkWv/wgKj3G3SrD34X2Emzm33+aRH4onFlV1jHolEDR4hvelvjQets8Cgw/ TOjgDfeH6THztFWjupyfHiBHydrnQGUj7Uq21nXOWvTB0GAyTcMYFMUNYEYYtKw+cOeq93IXTAh8 TpYg+QRZG5HR7p5SqlUVw8KZQD0e1ZGpEEE63HDpqDG1uVlslNxMmHPTY6ZOJ7akgZugza3RP7UL ILEVPxwhjeDy74s1vKO4cuCGZoVusJFhUvfXKMGNwpIZWqBq1zclTfYwsPpPIGW034PknH0PW/q2 av9WKRgB0MqmenTIqdsajTKvin2llKZ+dbWMwg5sEqxynWTl3lXD14+yk9+Op4Txvab7JHGHeRaR kdyuKB3g6G4KEq3KUtGtO8xsAM0fxhaiSuCmK/EIyOr3kaGoBXidVzbtFc5nPeUCDya+njaGD2Qc ozEBQN7BpzopbGfJk97In8qkMcA/+bFKICsUVbIbIFSUjT6KD1+H4Ajalbp7zihX+zLeVLhRun6y 8uuAS7qY1+y2GNTQpeWs3fg8aatzbPMf/oyrEZHAqF+QnwtnkzSyBXCgNshoYWcQUGMLREoHtMY1 ++hfKxSR8GYe367hneianv+w64xWBiuYU4ZtUjI4fATO1rf498qHxJQMc40K93WZ2kfx1a6lVJ/u TYFdzlUcV7BHjfW2/9vDgEt0VLS+kvoZCNgf7PAKg6ladu04IZZ92aYs7AeV9RW4XDNYPwm1P0Zp OQ/0LlQWkXhpPJy26HPQGxAr8h6vbgcDTew1g7yA+svyDOqz7hiaUsvuvUxirbmmhhdbCgKM8YJw hvYFsNMKc4Ovq3bra3a6dbU4BCgbh+hGW3B3tDnhVGz0oaiO0AYipxJim+tRl/TR/XDT7qbeCRQl OZ989kRL+d6gdqgVPrJ8UavP90E+KdfcpLsngQKC2IswUlWsQbUybY7B/x5aivsvdlBBdcRZt4ya L4x06AOqtHXjOkO5oCicrv5Q3YdwmAS26BjW9yxYyOj5BNpCnXsoComO44m3nx3ox7TINmf6Pyhb c+c/h8CzXUnADbw63MHvrrci52TSOXgw+c0QLVTh6TbylJ4XGpUzcxSyjPNBoxTl0HzMr6M1JIsW dM5vwMYawO8d72k41e5D1hlIBxK/ajJqaw+GANlXoSywXjpGqXDLmiv4JrgRN0bao4HTaek1BdTl 5pRsHov1B2P5dZGwvpKw4WhZ+oQvMdjjZ/sDQC1+oh2IJTIA5MhHbIb6NWkGVjOTqbp9Fbt36v/O sq5o+/kqKLNaaL/r0WrCtCa7TFwMwG/XHsnP+7CkjOQu1WQpRRODyhiHXzA6T/9tWmDKzEJ9+Yyi RJv20eyjyPQsG86BHpz04zpLj2QXxh5eJW2orPqdgkib9FTN9fDo8sp619rAyG5U/jZDjmscF5mb Zgvok9ccHjQm6D2o2n/G3aCkazMfxz2G2abYzoTOTjqSc+OfdLuXxrH4l3CYsr2mXKvt+LCSLPt2 dBXEcS08XtyhGPIMSz5Z+imofy4W79Y5fooMUgAgMKtZG2nj6oJKDRADNLU4o+FYwTMwa21OAOV3 1gjjx9w7/JK/c4i/eVApPsVDhrp3469KRP3ZBA0LapKKlmtBS5pWAsyJrv4F8amvzjT8o9JH3bTf XP+vrFaVsI/TvNsMLX8ZUmcOg8p3vklF6LisC5scfN/xaW2QL6NNmhP/RjWoBhf6cLBm9K6wlw7/ S4BXAQaHGD1pAgCjpx0LkT8Me+/WSYDfYv6aOf4Q9KS4gbCHf3dDVsuGDcy41nuwn3+HHXvwc09d AQpLm9Jcw2+BV8poEXcWJvyKLlWVNnPV5G3GozMHi17wSgY7RHU1JUMVxGTeGclmW75IZD4fgyso hgtWKobqXAMvtVWZAa1zpxNg8l6LdUuhyglSzhrIFrKmlehjao3XrQ9puoxMZFdSzOPSTXMSfUGJ 8kFxdcLGtKx32t1B6hDCthyQwMVqdxjAzpwUv1+4icLYcPLMRI96TI4aK8JLs+DAvx1v3gfRBFXo FI5Mh3gfmSIualPyjidyqw3163xjy6W+fCzJzfXH6o3MaIyVtk23DBJ864/FyG5vCVwAHKc41WXL m1B403F6C/ucOCq55MgXEft28AhbER1ZlxLGeUmBBK8DblGthNap2+Ip8suXgC8MlTKd+dHkuq45 WQ7i9dv5F1WYvsYEDkjxZnLyEgSJd4Hbjqf0JUMvFUrTNbNHIxj8eLHcnv9VxnHXIjee23dsotP6 DV8sBXkaqrbArXIJYBXkH6qRuL39D10VSqpf6hhNw5q/8UAohtVFyU910QlHt0SEHNg1z8ZXTVfL 7AEzOL1AnIbc4zQmY73pwj4kAhc2ie+CnwwTPuBvNfVaa6suYkvWdb5aeC55J5bmu+T/UlgHDZop Y4QeTXMxxJqAojMxDdRy8ui8/Uhhyl8pKcJ9NwAkuMqwD0KTF8LAVdkJvuEVWqaUSfBnbQI6N+hE IVqSN5ewJyFHcDPlPXZuiaA5SY3uMX4HifFQqtgMlyYLiIXpJWdOkqDl2KT5ZJ6dqbJb2XR9V8d1 02a+NWD62FmiqhCkPHXAjfCEaRvj60eRTeHG5hxpEHB9l7XYJ2GvCu6nrOFJWkjxkV8GajbZmyET uBF5Nwh6SSdfvxIhW8Kqh4oGzQpia93MvgkRcb3QRibc3bE50FghngUeceBQDeQ9z0kD37YIl54a 9oFD03sirPO4nI+PAjQGR+aJbgkImFbxsb0nJ4rhPHqAtp4Q6MhoFqj2k65EMEX+ziACtep1zzL7 wmeOu7Uilw6GLsSSi2Ri8vg2wO2iz+QIg1VZXs7wDj90M7OYf21J4bPlJDn3ByaPu/ozPD8oWRIw 69ajJis94Jc7BaUV9JgbHP16ToqE+JkUUcKdZ/wQd8WQCn0g28X7C9jDHUyi04jQpuHGJd3Q59Oe cMnMJOHnv8XcBPi8dP3xkHH6DIsslt791CIqphuUEsyVsPJJlZU8b2x/saKExDVyVJd9XsHMKZaA /3DdePyKg99WdgHOjUdG+o0pPca5JAK3velb+z5CRO9GAzo9I43p+DvgpD1jp6bbU8gtFvXcY54j y6icHDAQaTo6V4pttlmeEIaOUhHq+4KzVgUJHwKAkYeYnFEz8uWtOU09rF1Ps29NWlsHSKQ1TSdW cdxNm74Sl4HDXSr681mDcyHqLm50f+BX389a1uKIOtUcbTufAn3XSzT8scRojAKdKUmoqTs/IWtQ +SWSg7/qqUkWG6oSN0Oo3mfiIu0xX8+zQirU32KaLIFyeQFjtE7GgAnOyWEnEOCptUTrdJdeNSBX 2mCbPRQ2TfsjyXG1ZgkxW1wnx5mhyT6+xFvPyMq7aPmWfahEeeNI6y4fuqYkQYCUjs2w64oP8vI0 tq+8VoAqwdunjVDDGvIloUVjg8L3AUTJ+g2cL2F3fNTD79EqcOpy5uycyfa7/pmlRFBYk8BQfaH8 5VwH8kXV4KnOX2F4oyztv2B9Rn9h96JRH/Ea5Pc4aaP4alYZ521/VbbWIGr6igt+Ihzm9Sp6I+Js /IBlOqa+ZoidF6lutbYa+lBd+xcAQ33NnRlR0oJrF6sSVb4obfX8w2Q2TzyFPJtyn6aOWDMFQz5H 0i/ALrYGTno/EBILURDM5i8HNijcdf+TzG8YDAs4e8rubM3QAzK3bAJjzyLovPZEFdm2Eg2BxT7J aava8jD0NiJdvodCkc0ieHYHwo5k+qYJMKesL5Y8ml1MhSCPXPHBmNBAsDV9SiZSFD+dtUkrWFXQ vLydUJ/qMbqdNdVk+Earj2ZE7cEnWKtBT8t4dItXMaLIzMLJh/kYFgBDmDodCCUxE8k9Jqxh6D97 u8yBqV4myXj4ANSqU5V3Js+7cAAfX2GnYH2IwbFQ3z+R2tcNmTAcjM1yRjz+Nkue5enw4mp5yLMM ly3UhveUOUg6yhvdaoGyvTj6Aqnw0lpjHUMIXUFiFVP3HKO2RMPvKs8iIhTNt/v1bFoo2hzrExnO o+NuIsFDqcW4AvbvHcrMBFcYfv37hXHaEFqW1OvdbqDd3T76U4jYszgYfiRiyYCELDTaOIOyJ2nl jhmjWsW18SGz2zEB5SkJulFe3wOKh8JlT+CShmUYzn6C8UJmWQV+N9QwzEkLniuWpeq/p7YRzgUB hPeAJ870P77M4iogRSYIN7AcV7nZ/sQe76FKzsQmZgqStvxTf5+vrmdSrsilUcgwhR480mogZkM0 FtvnkqWs2RJGFrpEmy1p0R8dbuqe/zvQgErQPpnkuVJ4g4rMbimk0HEX3+OjL6y0RjWwA/qqQKjq 69kel7V/450fMD1QqVv+IVEypo0nsWLAz0xGYYWhUi6zSVtaFWXuueDNH30e6T2/Zhn94n/LqZhf Ghl+Fl2hZrQe/HiOTJ2Mwy44b/cyavG+8AdIIeliu3K+pPoxa4ywFq6wlB1+pIlUxcMBpR3A5Ier KwXslIGDFR673Xh7DcRew+Z7/cG5U0AXXB8czdEEiEBIG2QaXMhGqMXN71GkbGHp1oItaN0TDvDP Rq/JYFOfF5zCVEssXplXy50LUO4145KNkBtC0zi1a0YuX/LQ2TcTWpZWHgyZxBiD+l2ZAG2Z6FEJ iWJG2gkmOqKTOYU7d5MSox9iY+AHTbZKdsLfZgIRCxH9bujcomS0Np11iyI/nqnRTIQuM9MHuhDN LoNclidYgTGczDMcyN5VPZm/+GFlmC2bcpWLDoekLx5NBxw988me/HWm1P5KT8huWYRy1ohZbPmJ 1/MIS5Oubeo2bTjsvBvPj9rio69D8v7PfbyPk/3NqHch8blVYVpO4Bg3TJp4Fz7YpAT8yuq5/TFq SP9Tm1/6LbrokHcppVguyoxWkoanomvf4qH4ki4iChbOLJrESBdqzWq46DvaEYIBtXCgTv/MhqaO UHwBK0GX3F92/8DPYH85Xliz81kuq0E1SS3CyWAl/0rNw4Cx4eShmyctNvzxAFqHdz9AH3dPyqZ0 NEsqq1pjOynAzJoKEknaMRxKjgBpUOLyOerVULigwcF1fWWC/SeVa9DQfo2aj76sJ93R5gAEqW32 97sHRFSpxGmAtkUx5vuAGeOmvQgM7QmiTZvQuQNDJ2n/m7jKQRrCSIk9rKJ5hHYrxmGN+H147AoG JzUGII2Shi2b6T373cpklJnzAA+igUgDenFEuTzmwR92vIQuTS4e3sRYfEZZcGdfSKLQOUbIKZex XA21tkPnIw/NdBHTBkmXvuvSuGwR/WUeigvxj5BYxXTGwcVJ28svDj09JVX6WFzmdp6SSt4YIWpK iff9yLHFzO85w+UNJxjdD4hWxUBk4QXWoZSby9jcBAij5/Yqn3tZcSMDP3gE+aXdl/Z/XZkL++Ht 0llBPjSw9wnIk4BcKiy769JVD6e1Alw6N1bbmed6vMV368IphCRat5mPITpQe8pze+KAqhr3qUgF 8wnPuaUT/3ZRQ5VsR+4fnyKW0yT/beOR45ftJwAC6Oz4+yWA0FxyZL7UP+cJwjVR0E8WFik2brFU hvq0gmtNwtRouFbJnCKJgs13nDoE8CbOgNh8pqsKXixbP1f4ZIh1b5t4fSc0lwU7Mmux2PBEJSi+ 6kLv/iG3KfWSIN8VveEG6D1ZvRdK0agpgp4dy2i3Akbv78dt/Hd2GK/dl07vneKLAw73pnPf2vbL UISdQB1L+lCkW4MUdYgof3FXqe1O3BJi45xePTAm7fOi7D5ZSAbNvoxidrU4et82nyk4fFjVf8w6 AdZ0Ddh4p/rEiVxHF1lpqYmgnI8sL23Ycu2NnrNnS3gngT/D37A8R0qCY24qNC9xqdASMwbdUD8g JIIsFChaeTHsAif0IYxv+8ohiaXHj0YyBavNpP4DnVufg/QAZUo0GGYpQRreHkQdt0FjgGz96Rf2 T0PN4iNBQ2UNb0mN/Eh1IJocZWqzokWQ12iSktMbn8YBSo6llrjoRJpcDCk7fcE00lTDLm1bM/pq oIddCNDu1m05FEYpaC+jUF9Ki3kIV3AopO8Vd1XcKkBfccxdwFfMbKe864F0GzldOHIBkakQmV+B SaFGyQm6iCy8bqkipAi6sZ9y6eMs7KzxjUFARrBAChVkdyuW+6p8mGEqHYWN9kCfwAcKF+fPliWk 3dpemzrObyTVJYjJlQ2wsBjOn7qYgKYBRwOn3NhMBuE6sw93ckQIO0WnGtvjBwPSUg2EqAVcpjif bVdaNyK07iwertIgtX4qOjRF9JwyMZV7CFPkBHEeFi86OKgK4ddJJ7ijWQ3PYkkhR8h1OZPDhvC6 dPZ6kbGxCasd0Be0YX/4SLUw2bTOBdlGJTAyVd7c6/k1riqMS08ralVWmGBETiN8N7iqAPZqOUPv P1kGwhDZ7gok4PxOMTnmtKtUGkkHhU4Kj2qvxXymkCLXsxm+CyCi7gWd3SrS13e5pfBcqwAji6S1 E9rbj0WJLFJX70JqxEbtYida5Xane55y3bZFB/6G8ghNXMVYC4Q6xDeqhqA4r1Db7nQhYjXBNuUC /wExd0oaGQ9gaFePxooU9RDDZryoeN/2+uarkOE1BUvLVDRRwce7ZsleL4bLhoLmX+vmsq/NJJox VxPsn1KXp/HoVo8MsY2nw2w8EFfxcQZ3wt0yaHfWG9Yom0h9vroimoLHV5DEE7gI/kY7fl7JvFtH deEoOc0BYzDvPmYyHL/AulXBOMg/IQQ58hpCImBoRReJfVwlxiW+PPeP8LX1DAHfOOuT7VbhYxZd WfezfkpTbpLjx1uT4t5XACyoczHgQk9pOpQKt2e0TJ2ILdzRLagKk0Zz0uPhBP4MnqkmkGGPosww rjoyXvx4Ct/usVjOqFY4MExVt+JdRSRdDL7xUWekolm+q76GWRuPTxxXR16VmtDVG1U95zRDY8a0 xqv97syJpP7FE+rtTVN33gpLkbUmgK68ysz9q+nXBiicXMgGIHQQzggpJpjto+6xHlJLGTNIKTHT isVFc45gq+xO2TJL6SLB8t27+JKCTSuUYo3Bntdc0YG2NSUn+bf95CdZY+ZfoAEcV6mNPaXeRCvo hjb6jZYsXH5G28O3RDwoKfihT5rXIc9apIRGsypA3AiZ2WhQTRXmpgo2T9yhIUXcIpBIfWSenW9m pTblToiucOwqIJfzmuRU0oi2Sh7kOVkavMhvlCmqlNQQonYx9/C73yQCH2MYPzJSSQFnkKjuiiUu ZuG2rCXDhYrtzWkZopExB2COQtfsukBm+l1JRB3VK6mjnCkc/u5lVn0TtPk54YTwGdejJPUcvslP cwVV0Lu7kHKv1MLTVObegQ3hUCRERWoneMeDGudaUSrm+77BezxWZePHRKvhhxuUO8wrW2bnvpXn 70iXCd3BW2Ovc1+Q1fFJBoNp6udW3icYQuePb6TYmMmjW7Kdp2LjD47hTR5y5F9cik1FRSOmAfzu xvWczkpor+XI+FByQMx2IKchBNog64BZrZd+kk8Y2pm4Pg9q+TniHtIZIT0M0Tb798nZqiMCIBbU +4ifqt+4r2RrzpxetomRVLEGe0LkhCUWORlemvEYacy0EbSeH3JuwhTFUddUNJu3nO62WUmUL4Dl u1H/kCHS7C6lP3/30tzenDHaoHSi5bs6UznuFkxXhipdEdjjNk2Rt0u9TCBi7nsIliDzdEhOAIuD r7/+np2oAXf/Ss0G7bPHzHTnCmLJP3K0fOSkNABq+2ruGfd5EFNwZ1h3Sjbru5X/vWR7kHQ19q5C HKchhjkPY7R7aeEKwlHw89+jhCygtrFH9rvMF65QgmVJZa41QcOg0J7mD+3Q1cOmNgX4MtmkUTmD diGTsSYC9fmAVDOv/Q+FceOYMe0n73ITnt/KgSxiCDkcBvWcKSF0ddPEtgR+jmZ3r95/hClhWYcm 2Qh0SZ1iPnSfdPr6yyxtUXpShxs4NBFTgqF8wjOrxLeZ1JUmRzhcEZezCMAxFc5iLJbctpU0Rm4s HBKpbB+99Vswd2OWugZoSx5s2ePnqDxGU7PP+c8ffYaX7q9FQmDWe+jZ7+pkQIavesGD97Qe3cAG Tfwxi5tQSas+MwqdF+mWyn8+sfArxc2TxEKLvM1JEdD53g4qi0Mr3Qif46d36wOeK0XiSvE1GLnB 413iDDtcCENk8Xp9DY8c8GW/Xzd3wIatCo8yAwgOmat7TGCL3cMEjk4J2dqsRPqGG28ERL2Sk1EP Fzz54uMEIexU6sFPWcoK0tU9MPnKD3hjwYKfbIa2n1lKikcpGXd1r+OdDlPQfotlcXo1FAuFTTXS +T6ouF27PJ6T07i0b0YH1/wWpySsjwOoK1D+pm15gwMVMzm1btWV95iutVnKY5UdHwaEUNiI+CVi 8lNw6FNuANL4oTSAPuE58j2nHVXQXpzxJlwwb4B/kpdy3wt8GG/nDkjrHAa3yofCArh1PBIxBiVD WQ3L0BBNdC/dw65WE5gqzuSyO6Nnz2uCPOccK5F068aA6BLsqvP00cmfhfM3cZAFaOibHPLiXXo1 Rql9WNW1INojRaUxagFHbm5OWc0Axa1AlmRkw7TaRwxUz65qZXW1HAZCunNa85ExGP43t3is1PkY jIuCiBoCeAFxCKJELm+kj0kZhdADy0wLtfLSuBaG2BwWEvAuwA9lgdxqrJGGpcwPgVbzCd5LK4RH GEH5MiRLqt4J7yMxbzNhER18khb3EcWE6d8aB6WAYUNNmjOI8ubZoCSyYsddkk8MrdWmPUYKbth/ 3aIMkRRDPodDi8vCP7Z+WtHU9ZXBLAQJ1OgKReGgKn+nk8ul/Hmw/yPoAZUW8FxKYsS6ic3u5wPC wt5fsBAUTAzmfjr8aamAFDpPsaPacRcsf5GMD53zV0Ha+bLPDD1Fkg9KoPNXbKIthYcdCwhWpek+ M/s5EMq16LK0htcVfHO+2/9ql5F80DdzFZmsNCRfa6ieXJZH5mdeTzLCfXpiTh3faGZZPMtSvpg0 5UDnHD0KJVjApttPZM6HLLnounL1Ot+abg7v9EIfWh/c42XwSWI2/HJ+YuAoo7oVKhuw+YY9UUbC 8bLSSbPdNfL5g+4d/jFAmN3DMtaGzpCBbCuz3SE+Ps/QM792UGKxVxkZn3qR7MdseN9WpBK5AmkM 9bpEVp+gYi8UFNKU92PYCtOjo71RLUsB764I7500HVdlyavq8+uW0YYdJAOij1FeCiSE/9tSj1hA 46xfCfYJSqvuD03qEOfsPbX16wlbsJMA1+W/mbG73q9aeDuzBl1MlytUBRTA2rnSviTcFUO6zvHV ZHkR7GeGwHdW6ohDxtDFWfQ/QBR0fMn+U1t0ldBkh10SEOquxfZDuGkx3lj4aNleqjB87ECQjM2K HLuY2uuRE+O0tniF06O2RKz8ySawj23CI1yEycvepgy/LR9qLpGgSJUuczUpE6qHWJtMwSB2K8yP 2HPxDhw7JC3X1NIp4de2vAyhbDFX2/b+MzZOeuQqtG4lzBMkkGj2JXVKNdvG+ihjC7Sfg8x7hbtc n9hTbhMMUetMrMzE+M3WOBknBaQlZxZZB/eAjWtgmKBlFrq/sHTdJi7CyxtVXHp9RFGNeMQdyjAQ SMW3ig4ugmBT+1R9Bc3jz7lkVyYcsUmIW1vSQRy+o+Pb3hdazfw/87Vp2f1kqtOVuloXNPQ6p6vv nYX0VPKmVMBZKpZ5GNNG7Kb0Y32AtzYDfi2AZMo7Uy6JVkPnDdcXgsQlGfpl02IqFOrCi3DgIwZn LZC/HIMhBDCd7vcg1v0utadBfp5+2lnQZRAMzKng7mE/eToLekuOlJJI7yQeESWktfOk9KgjiI3Q H6u0bOW+OzketnVwrryZRUiYTqoi3QgZTfoti8a48s0jgpd+tst+ZXHOglbzZvx438B5Z0fOTAkk 5hadwp8BIUsPCqr+YneIJWRpfiAM7L3RVdGL511y3SoJEY3PHW5oBVIaTlsXv6Q+cCqebDm3Wuno oTzoy/8dIf9Es9K3xvTTsvnqMZux2p4gSq28QwipRf7ZnXSMQ21KZcWqcsngo8rLBMuW4F+dvOvg yR8D4e0fpolDf1dCv23Ykw48TSbY1Q9u1fADVPr0sWBd2eODK624vg4bnG73DgZ0YavrSmQbaPs6 mRjvZZ1tEonE9PrMJfWC41qlLTregrJedHmcBUAvpVzkTJrQ7vHDDrL7HpzCH7C8+ydHI8L/ABUD lHNx8fZwEvS2nGsMiZ0v8dQPxt8xsHB7dV1v9/9Z7OtDbm29IvAH+Nyo5N51ySpRwCytWfr2gp5K f/+BoX1MTjVgnrageZKxVNEgrLOVX9vJOBmaZJidZLoHpP+mMKGLWRqcObuWLgTncuMV7LDoo9Z7 sYLF7iuBxoxgdUMZZJH2EK2qMJehjTiDPf3N2atqH/r/SRwfvSSoZkWbCD8AMqLWZ0RrcNNb9g3d GKZlTBiCKogqMH3p17gkqYyyHmRQ3LkNfISfvme+RP01EPiHnD0mWOMZ5toiqeDj17hui6S/7d6O 7BuFoXBtwv2Y8+l/VhZQb7HkeC020uQcf+M2oGivnbnvCSK2uqFgluIqFEaYW2JqsaL5kMOrcxz6 C5yjCbrY6sqyJILCM33I+1eeqhlyYLR4pF2Zlzy49dtxTO8qqpnXqSFARF7qnu9GkcEPkIkuIiYF y1j1ahJRR4bETYSbHkl5Xb8l4sP0o6BcD99mSdLb/9w/AqEeIFgDnO2E2OCmjnPQFOkAX/R09gWG joSo53E6wkjrn7wenNgbRKyFz2uzZrkj2II9bfsU7w1aXntf7AEZD7GRXJ8+WKbD9Uxz+ueJDfCy 8qskQ+Y2GmgpcgSazIbzWLrgKNEvMmSFaCLoOGvExgmzeHwhpEXmXx8ol5S2jJRjVaf+LeLOtce3 4lWrcSCU4qgoY/SNUYLisCGiVaYYahs5OxhmKKPu5zd0u+jA98VlB1yUdNyGwWfmYCy2WtXeEb/q NFMOHstMBpzMGAfUA07Xyman56xP43oCE7OiwZN9jscbKiGEtykDdGUsqtCyv6HRIYIljp4+0VFG eRpoVddWwIIjiTT3RLAVfz+GebYSljuh1eduo1LJyh4QTAkne4bI54aWnOvBcTrJGp807bIcJe7o gS6WPMwlLHDCVSyRlJ3LHgXmwYOvJ+L7LcBRpAXCJHtpfoiQNiNhb5vtwzJ3dgRfNK/dJ52d+OiC 531TFdSL9oh0Rpkx19LzVKMUf2sB6mMFUuXhywf9uf7/CgSujZKEVRWXsTsTKCdx22mvuT+GWgRZ bMIor2oLAu71nIKnX4Ub7iCzcLWOvsnI2Slip8qW+p2dI7wTrOcS14wIJu5cmz6t5tXR6MGobd3D BNeqQW7Lq4rbiDlSyWh+n326A2ZfOoQuVk4Kqvxjn1aoc4SpxgdxK6SjlRcFRxa+Q5kMeCrp/iUs TI2lvfRqj1Zs+QB+rhlzdJZmfaQy+6nMr16sRxem9eI+JjUfR4lqFisRaGVaHVWGgN01qArjzL2K Z+zbyWucM+kTOL3c7t99x9hll+y86MUohzK/sprdwzpQ62XfWP/F0svXblLF6gpOX4/OxC7PHtvX +0wkuFuYfinOo4+9aiDij3Rj0bak8cY5mdzilptlgeu4pihnNcKy4ph65Ql5M/3fgMicBIUqFhWT iIDEMdocloZVo8ZtkbbnDi743f4zQchpS/PrlxequCUQh0kUbH5HZDmz5nR2NNHgGMR7A+mB/BTJ Rzs0NXC6PxFFY5C9n/br1D9Ro7Y9DjmX+x5LhUByw6gPAeRgUKwyf7z9Gsb1J4eKBG5zkYA04tGs P/4VNHmqrFBEMGYJZ1vV7/GWXAyMZUlQ/SZoA4KDJg02JstKiMFR9ZcIq3THUIEvx/lUpQPSGp/V zkhU2g+HxmfhJtS/dhv7JhBsUzm24FOl73KUT7TtZ29K1HUomYBrlbiMbwdSDfnblfcR/U5RJ1vE A/RHkOZ18XmNr6lbp5HqMAfjB8tnuQPjDtJAyXW+7t6LEHLn6IIQZJLiYKtKAZwWyic1qvkYKO5i xN15uEp4Ys93q11UyWQLH1c7Fznm4wDG/HG/Ni4J+H2ukejjyh9aUojObEW9smNzmlHgHJILyqft N1V2JmLISWMKBXDwKOf3El25gzlHdfcNVXK04LTDfw6dhk6Eky3ks5NFu0JC23Wa0JzersXjCgh3 bPsxpimWf/sjiwkA/qxcjhn9mynznQxOeV8LX4QUcbqOETbRUiX404rdlOwCrDOov+N2/ptmrbss CdOkEdNC/6gdq2y/iuf1XFvn7MWX3zp+DyI+POe4t+FtCIGbBjS9V3tdNP2G0YdoqiVmz3O9fNu+ 6PmMGvFO+b7P/bAn8ZOFz1/pKe6FONDZHGRa0Y9C/hjxKevQAlKAxju+arjmWy8QKyY6v6kYauZm H5hyQEr8h+58OPHCpwiRXodEyiyxgOz6ZnPxWVMWwxnggck34jpFoxPoSBl6/GKCb+WgF5BVracs JzUROaDUEHc0JhwsjeeN9FDaN2xWJZB5G/mqPiVyoQa1+CFuUtt7t4+v8OL3b7scncIYGqJG3plU 445tQDDYbwmjWeAXeF2uGC2RC8SzSPiYMq2c9L43j722Yx5YMnmCcUhP3fgxua23WiCWC51F0hxK 4I2nbZZkhFvVgzwoKo6geMVPaMOEoFfGDXXRTugJyueDMtgl52TPwAh+c/pUtoLZYqOkP/gNNhbP aoAYeF95SPnkX/WgIJ3Yj0tvnpS5mrzlwFw6kVOiRTqxzuMoUzdu/wJfaQNeCTK2nMw76uSC6qpk vo2QYCQjQyZTXDR3A8w9d9kcKY8vGUlKJrNlrQpeTQnYLaGDoY61NeoufD/uev2mVdorV/Ow7GF/ RQ/lLwaL0HUXWTVh9PFMgWxOtbFfyMBXfUAiFLoCrfDhSEJRTncmZUQ/KFZ+bCyB8RItrIaSK2AP EOcDEWZYZKst8oD4pShrGDDMbSS5AZHz4hawE2aCRlxMd9GfgxYFbb/YRksSe+tmt9DwH37hIpRA /4HmP5d+BtkJXV8iaMkZRPs0yij8c3vmnOAUifmFYsdD6KoLYBrJjK1QLYaUiZBNUF8gWNlZtJEd dgXMsjLhyP2k78visDqD1FYFinhPkwJbxov0d/dp7odc+9LOaevbxB+4S1KZSA2vNkwkACqYgBmc h2l1HlDcZ7QiB29Saok0jk/nJqfnLCdHMUD8BZjrXLqyHH2ntDXFm2LkvMEbIxaWevOMhzQ8syxN brEEddNn05bpNth4p8RTl3egszZR4z5XCrvlMC/KoQAma+7idwd6ksswg9wjj+GoKcjcaKqQPuej 99ZF6tjA/B5/zLiZt6VOyWHqmucO1JBxHQ1c34wz1ODS3dEftt97Y+bPvNF/1YoHPWzE8Bn/YwHR 42WfUOW7/pts9mEZVPYEuhm4zYqGtL/8frOt/B++XxWUhvHMmrh2ZfCSLvaoYIKsIAB723EDt66j 48rqywz9qgEOd/2lhiEh7ZgjmjqpsVrypOZYTaYOz+/nZrtkRZMm+3aaywmJmcLsvVreqtUsrVKv obng3ocxhmjQN0C9CxgSEWJu1meX7VGc3oirZJdNq2wNsEvAhU0mvZgxAYoL8zFUhBwA5HgK4Je9 hxRxAnuTog3p1Cu2tIkD8CLhGF6bHvpiBi1MQPMAg4gupGDjXrAO2d/ae7R6g7imFcZ7dFwcY9Uw gQFHzY2pH5m9N3CUzjZviPEqhhASZj92B5us2ATPy6Uw0CTTtsWI0judINNP3+/MdFfuHDJeiFtj iLmpFcOfDqefiJ6IVKsL7kxyinJe5eK9j/D5W0va+biYDnHeIKm2Zge34uOUuweBSzqnBc/oNNGB U1HRIwCxFd+F74teY3r7tiR8MH0hLWZTEQfvUK7xe4k4D78h1zJrVFtDH253I0H2gnZxLA4sOqBO u1xpYdp85v5uslBtZI9pUOFR8wETYcgDm/XITjUniwA+/Od/9Ki70aZsYiRVvCqlvtxacVf/MtnY 6EEzUFkeGcexYF998aeO5Ml8dSoWOYaX8RKyfVgIjhxkE48C83tgfTKOzJGjUEFnO+/qS5ANvZLj Kdax1G5BVYXjsZ0BwedXZ/Oam5gEMGK1O6Iltcx/5tCnwVW24q5BTzhbHC2bfZU/DV+IdzxNPtqd kY5YzMP3c0k3eV1P5oyx43iK56iEoDOufrkYE7eoRamKlCvUHOx8Iq17trdpETnYrnDIFHO1YbaX p+LdG/1bl8C3wczcssJ3QkmTbwCdswchlzoUZLoFspBrsXUhVfrQNU1h6JnuDIVPIud07NbluZRR 2it4FmjMAKKlH6EiR5zYwpgJG/Yl4PIRZuyLYwzI8y7C5/sYIDUxPaDTc0fZBJLjn/yk73bj8lL2 kI8xZaWZu53Nbzn5KrK5Zw6R+bb7bsPlETu5pzs0FnGnBwi5/Gey4NNzJwCZcM3hJpLJJEC+KqF8 UyHi+ux37O+hiZeWjElVfRDf/84ykzzSVM9WCgM+v1mNLSZ7gUP9U/y1bAI0qSxtBieTsIo31fyz AdM0IoVsz6H0JNuZAZVkpan7UQG8MzPYHtcfqaE3z3Dl8DMO5UY7CaP/oCmMepKV9Xb01BXnQxxT BPZW2sBZ5VOX2LAQQAM+BLVUr6HclgmWKJdnqi2GmMomevnsUaKjsuslvtjfZI7BfoST5Sdtguqx W8awLNwWz+8NKvoVbfNuQ/X/AWVPnJ80U4NeEHe/fqCswZJmbCyCnnqmlpPPm1C47loGdK9GXrD9 QmQVcPtHXlOCM4AYNYmMxuQTclW8gITkF4AeJUS9sVdNcOpTuoy4pcdkT1AbKEGpQ65zKpMMgkkS EcFxooUNR2pdOOedEpvnUanmML8urmDUYq+GqdNuM4H2+/ySvdTKBcByS3KCKcYKvlPndRti5fts ru+9/rhnbdNmrtsO7ohXWy7ydvNpxWgJkcUBQ6XLsm2VFw9BGv9QwGEKb4H94re6XVUYMutVYlx8 eTy2quqeVjOuXKyS32BMftKM9ETOf7A/hL4uyuFQKNKRzsaFe4d7zJdonOvBiIhfyV+MxCLlcp07 qaP/tte928AAkSHQDSPL51qAt88SHO7fRxBsKckPsetOly98tUWYquLovCrxVMOopjhNQpbx6L8s xBWn3tmI1rPaJiVmqCu7g+WJuyDAGd8NiDoTRsyvt/g0Xt/LSqiL91iGXlGmWDuGCIzjzvNPYrDx +9OjJ5wweLezXqHQsQL+noiAN2Xok7PkAvJiu3i3WnM9iS4E8V9GBZ0pLhjDwO7Mi7xBsyA5IFiX l7ELd8Xjrzo2n8qitOIGh93CWJO9k4PCy/BzkRJmDZPGigyJENEHpEoaewZmte95kffn4bf5kS5Z 84p7zUPkvSbLAVoE+8FU4FWrx9Sy9/qIv9xU4m/BAsaKGkDX8Ran7i+BXeoYXHZplev0LAigyRG/ 0PMTiBAceWv1YqTyz4M/MBWQ187LtN9WUgO66sPPW2fl6BVvkRwQ+whMdrdBP0rVr8CREp46Bz8x eVwlK1Ils3xKrZ83eQPfrNPz8jhqbiVD97xEPRdERbPlxlPHW55V+870nhg9T+4fzlA6G7xVYrH7 5pNMvHoh30d8G150Guo5QNopiRglttB8wnEgvyljmah0naJ6F7QYcaWEXk/wjbQekrZYpWDWcnV5 b5BcblHSM6n+YZladTD3A1pg8lizRYYojw9h+3CWrq0zjY3Gy1U1ClooiBH2t1S33uNaHr46BWUi ilqHYdXGfHAuK8pXN1Ok334kvqLuXwcwr/eAY1teXC+eSgoXaE0eY1oCK4Ff5Di4KL7OsrCVGHIL wDHzy63FVBowTbjjNfWWCwh2qm0wpWPfJ42uh5L50z0jdfkz75oEWc8w7DFdikTmCtXZTD63ZULM Cs5TErcmL8KjxjHlUak3N80fBoVZ7ZRlnsAW1herFc6+MJ3ul93fEeHmh9A+hFP4EK7W+sPiUYvz RivN0Cb+rwUyjEA9yP1dPcRX2ZXqF6vpz5Wn/qAEZ6NMVOqkoCjpek+k18jSr52Q7Y4aPeS8lM3E XQu7XUfVfiaunSwV0zJT4nC7oZ3QH/2rHBrHJbOdMdHoanMB2m+JX8tGbt7AHIbh50KN/37R2X8y m+KWyUAgl8qJ3P35ZhMdzqnpzthKWVzIy4NiaiLoLnzhjUti1PUtajYhFrMR2czS8dsSoQELIkXg nqqf6kNO60JTroyCktZmS/sE1VLVFIuMdtHSxCPBCfqnrgjGKz7gnoTLKCTb3FhNXHtpKYUmjsST cGpj/aWIQsF4rbI47ejQvNZnf+4S37gBVrL7j3OucPt77mAo2LwCMFsCmndbNMU8uNwWq4y0bsTs hauj2Qrf3BxmhQoZaQhzIASF54J1Nk9bj9RAJ/yKrbF5E0RW1HO3WTfE2/ac6yf3ricQL5YjnrJN yD/yAjd3FvuwoyAuM+KKGyQXdEsB2rc9zCUv7q4wit11YWTCiFHilXMztCwmO9DQa0Yv9RshtPV/ uS7s4q34ioUswSpqpEzqf7Upvo2mAbDbHnZt/nH7PmAbUoAxQa7UIW+XSKTTEWMfYwlsMjGrVaLy DsEREB/OAR6z0uAr/Z3ZE8kFHUdklLcbi2k0pSM+up4Po8wXWMFlbYbphBl3aWpYGXuBA+WeLsc1 wc6d4KB9BGq9BIYTEvTg8Q3poGeMXZOacxLWIDlKjaMcdDY3kOc85x0pNqCJRwO7Q8HoK/xdv7Wj ByJoUh8eXXHaKeuVoESh2BC8c/wtNECEaN2RfA2Ryt9luDUn24i7F0urIPAewKcq5RfuUedCz0da 4pzoXB45B8UYtUhakm+x3QR/nFFyqi/XCNta6D7uFckjWgfLohX1BlmNjGopJ2OV8t99rkZ+Iehc 19+vZX7PLMfjsT42a450Rdd97BXu6xjtWHg9gZsh4kaKyngbbKWTBO9memgcaMlTcZwt798x69Sq QiLzqsKgf/vNbwLXsPEfXX2czIUcAHfI/QJpdEdlUpv/ARzY4Sx/cbNzzBg0ZVY2c9RbeYFUsiR5 mWadajixAbledpMlYDhMX6HH8/HK9BSJPJhw/gtNzdUchZkElccW+t8TTOPme6hDVt/dakIncxhU zs4USfrwDekELIcR+iti0sOJSKrendSscqHPNmKIenz3Md0lI6NAWOGNLX9NjIOQAfWNfd2VQNS3 zNK/e3y8aWj5MS9v7ZGahtH1dHekF7bH2o4FZQsCoQilCnsZerKjRpPgcLmya6IUX7UzEhLcxUbX rVCsUiyJ9okmn4hSuT8xrEDinyVJkTIqjM0NcTmega4n6CmHWdwYgEwjF3sv7pmKdNRymrm/lexC VEr/QQ8eEU051x4G7UAfqL2JslZhAzCvILZ09K7aOKl7URBru70jBS/zonizEbQpDumers4vUQ48 sqw6gYH/dkXC2dolRnzpE0kR3K2vW6KeJ86tuEq06I5QewxEbt+cgXdN9mjtvUbTA98xy+xcPmDt 1Lm0KAaNOmE81f5F0cwbhkOJSyhSq1vYO2EhY8bekCIWB0bzcMfEMpscxfz5TDoflMprc3fBBqA0 O+Rqy9H8cNIPvncX3A8a61YBvWy5o6EMTMZYO2v9DTMrBM99fBUnT2gBf5qy8UcRL595NUgr/ER6 nFkh5Bo4pNCE51ignw5ca18k3xZqUOoRDH/4j8iDSFsHifk3RS/VDNU= `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_cmdsts_if.vhd
5
13180
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_cmdsts_if.vhd -- Description: This entity is the descriptor fetch command and status inteface -- for the Scatter Gather Engine AXI DataMover. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_cmdsts_if is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 -- Master AXI Memory Map Address Width for Scatter Gather R/W Port ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Fetch command write interface from fetch sm -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_ftch_cmd_tvalid : out std_logic ; -- s_axis_ftch_cmd_tready : in std_logic ; -- s_axis_ftch_cmd_tdata : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- Read response for detecting slverr, decerr early -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_rvalid : in std_logic ; -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_ftch_sts_tvalid : in std_logic ; -- m_axis_ftch_sts_tready : out std_logic ; -- m_axis_ftch_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_ftch_sts_tkeep : in std_logic_vector(0 downto 0) ; -- -- -- Scatter Gather Fetch Status -- mm2s_err : in std_logic ; -- ftch_done : out std_logic ; -- ftch_error : out std_logic ; -- ftch_interr : out std_logic ; -- ftch_slverr : out std_logic ; -- ftch_decerr : out std_logic ; -- ftch_error_early : out std_logic -- ); end axi_sg_ftch_cmdsts_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_cmdsts_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_slverr_i : std_logic := '0'; signal ftch_decerr_i : std_logic := '0'; signal ftch_interr_i : std_logic := '0'; signal mm2s_error : std_logic := '0'; signal sg_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal sg_rvalid : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ftch_slverr <= ftch_slverr_i; ftch_decerr <= ftch_decerr_i; ftch_interr <= ftch_interr_i; ------------------------------------------------------------------------------- -- DataMover Command Interface ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- When command by fetch sm, drive descriptor fetch command to data mover. -- Hold until data mover indicates ready. ------------------------------------------------------------------------------- GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_ftch_cmd_tvalid <= '0'; -- s_axis_ftch_cmd_tdata <= (others => '0'); elsif(ftch_cmnd_wr = '1')then s_axis_ftch_cmd_tvalid <= '1'; -- s_axis_ftch_cmd_tdata <= ftch_cmnd_data; elsif(s_axis_ftch_cmd_tready = '1')then s_axis_ftch_cmd_tvalid <= '0'; -- s_axis_ftch_cmd_tdata <= (others => '0'); end if; end if; end process GEN_DATAMOVER_CMND; s_axis_ftch_cmd_tdata <= ftch_cmnd_data; ------------------------------------------------------------------------------- -- DataMover Status Interface ------------------------------------------------------------------------------- -- Drive ready low during reset to indicate not ready REG_STS_READY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis_ftch_sts_tready <= '0'; else m_axis_ftch_sts_tready <= '1'; end if; end if; end process REG_STS_READY; ------------------------------------------------------------------------------- -- Log status bits out of data mover. ------------------------------------------------------------------------------- DATAMOVER_STS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_done <= '0'; ftch_slverr_i <= '0'; ftch_decerr_i <= '0'; ftch_interr_i <= '0'; -- Status valid, therefore capture status elsif(m_axis_ftch_sts_tvalid = '1')then ftch_done <= m_axis_ftch_sts_tdata(DATAMOVER_STS_CMDDONE_BIT); ftch_slverr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_SLVERR_BIT); ftch_decerr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_DECERR_BIT); ftch_interr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_INTERR_BIT); -- Only assert when valid else ftch_done <= '0'; ftch_slverr_i <= '0'; ftch_decerr_i <= '0'; ftch_interr_i <= '0'; end if; end if; end process DATAMOVER_STS; ------------------------------------------------------------------------------- -- Early SlvErr and DecErr detections -- Early detection primarily required for non-queue mode because fetched desc -- is immediatle fed to DMA controller. Status from SG Datamover arrives -- too late to stop the insuing transfer on fetch error ------------------------------------------------------------------------------- REG_MM_RD_SIGNALS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_rresp <= (others => '0'); sg_rvalid <= '0'; else sg_rresp <= m_axi_sg_rresp; sg_rvalid <= m_axi_sg_rvalid; end if; end if; end process REG_MM_RD_SIGNALS; REG_ERLY_FTCH_ERROR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_error_early <= '0'; elsif(sg_rvalid = '1' and (sg_rresp = SLVERR_RESP or sg_rresp = DECERR_RESP))then ftch_error_early <= '1'; end if; end if; end process REG_ERLY_FTCH_ERROR; ------------------------------------------------------------------------------- -- Register global error from data mover. ------------------------------------------------------------------------------- mm2s_error <= ftch_slverr_i or ftch_decerr_i or ftch_interr_i; -- Log errors into a global error output FETCH_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_error <= '0'; elsif(mm2s_error = '1')then ftch_error <= '1'; end if; end if; end process FETCH_ERROR_PROCESS; end implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/pf_occ_counter_top.vhd
15
12619
------------------------------------------------------------------------------- -- $Id: pf_occ_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_occ_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; By_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1); almost_full : Out std_logic; full : Out std_logic; almost_empty : Out std_logic; empty : Out std_logic ); end entity pf_occ_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); Signal upper_cleared : std_logic; Signal lower_set : std_logic; Signal lower_cleared : std_logic; Signal empty_state : std_logic_vector(0 to 2); Signal full_state : std_logic_vector(0 to 3); Signal sig_full : std_logic; Signal sig_almost_full : std_logic; Signal sig_going_full : std_logic; Signal sig_empty : std_logic; Signal sig_almost_empty : std_logic; begin -- VHDL_RTL full <= sig_full; almost_full <= sig_almost_full; empty <= sig_empty; almost_empty <= sig_almost_empty; -- Misc signal assignments Count_Out <= sig_count_out; sig_cnt_enable <= (Count_Up and not(sig_full)) xor (Count_Down and not(sig_empty)); sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_occ_counter generic map ( C_COUNT_WIDTH ) port map( Clk => Clk, Rst => Rst, Carry_Out => sig_carry_out, Load_In => Load_value, Count_Enable => sig_cnt_enable, Count_Load => Load_Enable, Count_Down => sig_cnt_up_n_dwn, Cnt_by_2 => By_2, Count_Out => sig_count_out ); TEST_UPPER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable loop_count : integer; Begin --loop_count := 0; all_cleared := True; for loop_count in 0 to C_COUNT_WIDTH-2 loop If (sig_count_out(loop_count) = '1') Then all_cleared := False; else null; End if; End loop; -- -- Search through the upper counter bits starting with the MSB -- while (loop_count < C_COUNT_WIDTH-2) loop -- -- If (sig_count_out(loop_count) = '1') Then -- all_cleared := False; -- else -- null; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then upper_cleared <= '1'; else upper_cleared <= '0'; End if; End process TEST_UPPER_BITS; empty_state <= upper_cleared & sig_count_out(C_COUNT_WIDTH-2) & sig_count_out(C_COUNT_WIDTH-1); STATIC_EMPTY_DETECT : process (empty_state) Begin Case empty_state Is When "100" => sig_empty <= '1'; sig_almost_empty <= '0'; When "101" => sig_empty <= '0'; sig_almost_empty <= '1'; When "110" => sig_empty <= '0'; sig_almost_empty <= '0'; When others => sig_empty <= '0'; sig_almost_empty <= '0'; End case; End process STATIC_EMPTY_DETECT; TEST_LOWER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable all_set : boolean; Variable loop_count : integer; Begin --loop_count := 1; all_set := True; all_cleared := True; for loop_count in 1 to C_COUNT_WIDTH-1 loop If (sig_count_out(loop_count) = '0') Then all_set := False; else all_cleared := False; End if; End loop; -- -- Search through the lower counter bits starting with the MSB+1 -- while (loop_count < C_COUNT_WIDTH-1) loop -- -- If (sig_count_out(loop_count) = '0') Then -- all_set := False; -- else -- all_cleared := False; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then lower_cleared <= '1'; lower_set <= '0'; elsif (all_set) Then lower_cleared <= '0'; lower_set <= '1'; else lower_cleared <= '0'; lower_set <= '0'; End if; End process TEST_LOWER_BITS; full_state <= sig_count_out(0) & lower_set & lower_cleared & sig_count_out(C_COUNT_WIDTH-1); STATIC_FULL_DETECT : process (full_state, sig_count_out) Begin sig_full <= sig_count_out(0); -- MSB set implies full Case full_state Is When "0100" => sig_almost_full <= '0'; sig_going_full <= '1'; When "0101" => sig_almost_full <= '1'; sig_going_full <= '0'; When others => sig_almost_full <= '0'; sig_going_full <= '0'; End case; End process STATIC_FULL_DETECT; end architecture implementation;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth_comp.vhd
2
18409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block h9n9zuV5lMts7E8xcOwd5OEmBQQTWDUfOd1CCpJtcRNKaLNoUwHfGMhoPZ3WDIQ/m/MGtplKUoFx i0ug5BLY2g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZAtlDW7Tx/YNYYE2jawvMMCW40921zRgvYomlY8wcRlNVBEsxE3apQL4IIwUk/r0NSl3FJUcJhGx bQnpoTAX9Ea3Clu4koloRBtU2UrIb0exCd/DMK0iUTANkETqlKdRXQmfp4uhLE/Mwb1kY3UYzJ49 sjSxoyKm731gwTjgRUs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zmahq0NBzWzSfB1lvTCKBHtcaT5fN4U5k3LSzpiyaronk8xbbzR0dP9ew/cCp7rP2xsweaYMIJwx 4tmNaS7SVhNupTu5LCXNmztcum/6LIM82sP+XQm5E7P0stmksBmyeSbapkfjB3tOjixv1ipZ9lRT 2jAX4EzvQPFwL1SCK/TmWrKTBIMU3eqF/utXUKRyj7DjUJ0x1aH9jKDC27lR7DlDP2SBEkOmgqcY fTCK/5N/0X3p0e5mIiq2SvHWuPYbZ7BMT//QkpjRJU3GGQzTUnWlASUM6m7MfRJi+M+OFHeWmIB1 L8QJdkXfxFeLLQrNhvUzOnNIZLmBjvdfQ87R5A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WsmxTCG8DN8fztPhtVEIEPIJmz2GzQ5Wdg9bSDQl1VF3JlYnfBA+IyOkDekq95uPzD6xo0LmAdhN 45mP3q7RIMlQDqqjev5Ze2rMOTLhI8TWgOLrSla7VmvhnhO8tYwKCxVJUylQ4E7/oh8VrC7Nw3ew p2dO5WqGm3u7Xcft7oU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block npmiJ2K+H/l4V7AAYSTOYU/3uFzD/iDh8KDcJ+ujzbpP1wELTkvl517j+UF8hq8Wy9Jlc7X49HWY t4VpDPll3CSI+Ftj1wtWAwLuDCoHBFLyHJPwcRoy6IeCNPyfibFC6V2Uo5iXcC4P779DwMxlxxky 0XNIlA5nWPpVtuz85emt2UvJ1pAyXLeevu9blapiJGoIha8GQrB8wyqkPvvKT9MI6pSyZ1GtGhUX fUxqKljAZGqWzTtg2PAxwy0F19VQSW/vc/hbOa+wNtsrL69a4qy8LeaRMY2No8kIjF5gTCchKrZ6 GxMPIk/mE4d4hLlcMFX5ZGeyNa0b/a8KsPdd3A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888) `protect data_block oOvEnJ/GqdI/mBAktuImzUEao7fJyx2acDaKqLRNaxqMfuEg0PFVjg4IPVvWkNQXn4wEaq01cfJ0 dykKujaQ943VfNYnxtBaq7tSOPbfT3cm2hOm4vONVfKfNDg2uWW1rtrYZmHa9JK1qx33EI/v8TLt zjabOEP49gM99I8a5F7hsWisbSXjJ4jEDc2Ic+VfjtTjwKXZhkmPGFd8Q0WQa8CRNxxSjITveso1 5opJKiUzUESVmOL1vxfj7lkIcNmvOndKKWS3CvL5MsfRSZZWb4/JPTKCuhheFTxajgWZ/xiNehO4 JyDkWW335v3Ycu6Z7mHC4uPzBJL17zW1ce7zGsuVcyFCAzSg/Xsuq5g6T77ZkTo/jfeBbtVvnyV5 0nQim3L5U6B2/OXkPFPclas7oo5pHeoOWx4dgq+p+5B3KkAXnb/ydE5nYSpu9jt1v5VcG7S391Dh 6HyTbIXma1lAWOnmycEdxoQ13Aekc+YcxJ/KQlX0JQNE0R9Jx+ElcmyCAhxP+wBT7KFmxRlbaSXy k+IaTcwm1cIUTQOqCKg05ELIBOpKfTsa9ZYFG6I/M+ktpcVrzbwErpfzVGhCGc6Qzfln+nWdnymt 4Xn7K+efHnyOKHuNIqeZjJUZEi7NVYFKGdZCz2T6YtiQ3chmQB2pCOpoSrYgtFLbAYy5q4jfhHEM 8wknAMRATQqLwDK+g55EYamIVomMzE/C6M+Fc1Tu4G7SfQcW0ovIdNsYEFd2whiqlPw8oerXbxDT 0otiW3S5Q5YiidZNkROuOshX8JrxX8eAWP/HNiYgU8bf6nOmjESDtz/NebC/D5/ejbuJ8tnATTFi vGW43Pr7lNaAgTVeVucxTI/JtuBz8hxcX3RCddI67DKOMR9RY2CIjFgid1z7RA6ETpdPQkB2lFKq yYB3GaMhNXr8ryC11xgsE9xdkZd2ziQ2xnxGM02+Sji4BkZIewXf2OZVt7JbweoZBAlxH5HUNdkB UFSdt9Je0Xa4dX0umh8yLSZC4ash7XyBu4vr/+O8RbmwIiczUW2uzlzrRDOAQenpaQ8Ydt9OPZbM 8Uhq/QErtedF0zDjJNxz0Jdpz8sBSHmx8HSaTQXjThmlQQkaDy2jSpu8Oeh+twAsBtBpFJD9gnh2 hA8UeMiV/nqniCIbpNztZcsJATA003/mePLQzU2xNs1JHQ5beKRSLTWQa/3hswNL7oKTWHtJXvyc HTA6ax4/lbdnLRqE1gr/WjkCnY+HZhWvUlhQkv9O6lq9Ku6THkBP3qO04kX58T0H5u/Zvfea/5bP ICJ21L12jfAwb/dbFI//fMJsPUa9Yxhcx8ClwLTXapMnzuqgKJsv0x5T/P5ERa4tTiC4dRfaihA3 pnLLiGbuLJF9+1+kpqcwZ4JH4iMuuuX+PYFvtnPI6b5Gv3o2HnhTpHEofoko60Az02bXlzdcn34O 7mmuOAwCT5gfza9pvoD+QnEgA82AM/ZEHIDA9NPlr9nipk75y3skHQkXx4F45EDbQXSMN0XN4G7p CvBobcUoFCFQkL6GGDCSvz0ezHwrj75QdMZ5pLTPtn2MUklXDGuS/VHl/fpQ6/4PH1IkLKgmQ87K 0N9cQM9SIyVFRDMw7HbjmhI+FbDyqKHSTNxLzVfuX81bvc4Op+gRZUg6iQYHYjuFLKx9RoOz/jZ7 nNRIwN6FDaH1gbhvDILmRHpjGmi1QiJOHQcU/cVQEDe3/nZwAGRZlLCeH50mQegkkoS/2rD7xDxP Ji5+CsrJNdVQ93e8eBHwZTgBwOc4xSJe7o5iilamnXry81OvuiunaeawYyTv20mDgN8+ZDmugOYH R0IKRLALek7I9BqhbI4aIPwm47zSZzF26GtTRUmF1kEfIaTkFADEIYNOuNyD/4Rj8YfiktAw+arN dsLD1dKVlRTAho6lKZwVLGp8mcluvWJ4AryOfJ6T82XbGWe/QSZNxLwKhYgYP1pDPW18kVHjdu18 NLq/TngSvoFWwxmexXXhSkCi7UlrC4Vqid15U9mJsQu7dgrAcArSB8D7T8Xc9sqbZs833Y31GsLc 7IgtVvQ1A8JzPSXpO1fuOhY60nwg9M/3KKkOEmGaedehH8aUA4eB0qGpmrz5OY39RR0imzCtNte4 ku5rIMeATGA2UbGqgZviQsFW0uJ5Xdl6fyJIlG76G9XwClIw2I+HYt9PnRTEqYpJfUCIGAzQ3p7b 8YA306ysBvHNEyIlCf6082AJnVVwrdAjdNW8o+YQn/JUawh5AfGsdUPPB60+yCACnpRC1YGLWAir m6TMPg5l7QKTx/O4Bx7UoBr55L3QJMSJOm86hTl60zGE7UPkjHN92KOQmxYRldExMCAIG26tzZ86 AopIJWRGj2+0GUzJ648y5p1eotUygxrK+M0nXfQffWCX4h0vG4pmZ4K445BlzEFZ/j/DjK5iwcDV h2ZhWNSXXVG3CNJX1aGna2vHqTnKbhCc0g0a9WqCr2z6QlNpT7axOoiYh0+ZSYGs26J0+8buG1sq eHHA1Vs8JZ1cPDM3eM1oYHN0OO3ISKKEwH4UE8fNqwgIwqgOHkpaK6E0qm4SxgdmwVhRkNNkUKeG WUgHIvEagwDWqUC5erlHAVC+8TwczhmJj8kI/SXCHeR40q3tsI8MfYfeJWMBHB1biON2oDaLyUmY 2ZOVZ7OeNot6LU1JO+NWBiNVu10wwO11yH08cRySslMc5koktZBwiUf9jAMq/xJxyYJUpdoJdJci DoBnTpLX4K5qUmKZ1mPx7sIWhyVDlMVG750vsQZ4PJzjFP0ubi9ycaxW0ucVvTgSqw5Zqjdse0+C Hjrp2WHLC5k8tGe32jF4mjezTd2LnUmYpLd++l6W0F/+0JJtdlCozR0sKZfsBHEND9al6RH+TCpb hFCzDhZb5s93ubDmq9bLc0B70KvIuW3woHLzKlAzcvcCp0S6YQWQRE6BL5vApebKJwlsrY8LrlaD YobN87XShGLVb65SEJbzJ4m97nJjZQKi3QMhJk04Lv5ZL3KSVydQgzKqi+NVdmHSZuUxiaFj5vIx QFQ+tJviyumV7/fjVF0DPficxkdPvKhY5/vt4oBsT1oj4VQ8caAzihxriqafX/lQC0gYT9TQ8Rhb gFzL31Hp8thM5RBPuVXEe9lBmnECmUeO7TOCgZj/0Ya9NKP9GH2LsMSQwrhxMmdjy5s6PtTQhElx +2u6Gyrejzd70TGuxN9+SkKqHiUp66m7/lSyucJYm4zQ/uPD5H2L99tPqeQLbIXm4yK7q0qT95bw klKI4pAVCTGRhtxEaZZLg6WRXTto/o3reo3KjW1xMcAgKwXjiOJm2zmVuw52Of+mjAQ8q7mhCcX9 xVRlQeoBAtrIy9M8R3iILsHJfvlNQqdVokeLnTsEyGtFcWsdnfqeeDUERI3vjKVuk7nhFv/4NLTB KJ1WIzfZ1TqXm7VK+WynzzV8U2mBsebakjE4bFdOiR/eWto6rOEWHUnjddz8hvgQteYhkt4kQue/ EtEEsdVMzNKaPdUuVzM6DYpsKjczV4QQTI8/vxAZ1Gda0pDIDFe++Ga6y2vLn7rRT78hRGQ2i1Mf 2uuWljrxhTARlBsEioVFoK7LwqpezWLw7ICaawKEwRamQFPftQaXkW+jOGAVVY2a3r8d/fnwBHRs RptIGic1qFXNDxe7NvgJIFev7OELHnLUc18x9R2Hm28S8VwCXdpkGcn71gkvmoDbesyWL4DyJguR NLNWjedBQEzTyd4MUpFXrHW2iexH5wd2qrkY3I72MiyNNDpydmGcahUmrCRoNRxmEGAzoqJcG0X+ myQKqAASz2JQQCRbp0o08/g3M79nUkC39MNQ5JWB4dCslihPNbz3shGBhTbP2mBtptZgSqvQ0tm+ 3S7WGMme75surxuoLPKh3zbpYV2rw/nUA7bkkh/R0dApbXbRR6KNfzXVe5NdS+vyg5er0tZo5bq/ HymENQdJal7OhTuS9dRlwoGLuCEagiW6PiRWKCsuYRKrj2ISjiamCWcdMU5/etlZIqPdMJ9rjn8L uxWlWIACq/dJ2VDUHoGT44TC9JxZHXrnDa4l003eYSM3ZbN2VQ6vZnQ6+MftjwaiUePWW2iEQ8+S APlSUgt77wO/RcUQL7qBE6t2iAw2fTL3N5Fi11qqUZJyMHx4i4ufbbHVk0epElJfACwjF9IyWJHd uSs/c1oM65KO/oVMoo78K/SI9Vya8bSGpYfT4NdIVMfH+T/Fg8lAY423/35rsAUT9MYr9C/p5yyz SL2R8pn4Ol2O0SXC8cRUrfZMbj9PhxpnNNG7VYU8kG9BoSM+lYK966vLWy1fxMKFahVZaS4ZEAIe wTUZJoLHyuKYNFYATNA0rh8qe24DuDgrmfcMLjZeTunRNWYCB9EW58NMLUyayg0ME2oh7wwiEsDa SFYSrgID5DjnFiQMZfi5bhCWh5ttBhpILA1UHF0SjyvEilD1163DnoUC9cMGswrA0Vrj1mKWGJ6i TMc7kK5XBZLzoc4V0k61/gSxZKZmNCG1VTweFaYmgb6LeRuBEJIDSeJC3HJ+GBWdOIho4JdVjRon Mjem3cwj3QVjo9532EdBQwCqgXuhPdZ9vLVNyMT4+Wwg+PB0huTLoObpZvqpsacShO4ukPTo+fng ZKpOoNWvEU+VB5OD+gj9Uqw1wX5FbHEUUq0AKH8c4ShTNNHQEN70CFdjoS6EO6DUI/Yl+m004GIq MRfgup4gImqdpiw+ivoodtPt9pe5bqDuwXUusoyj9U9+iNn15yz7NnF2b+WWK1XLOjNlpal5Vfns +dmG8S2SqnQZQG/ecq+OQHh2dnanlhuexMKHjy9CpDrYqYeJpep+DPjITEASosQAWQoAVrNEXtB+ +0sJMORm3LvxxR83+XF0mBGAUqIdlKoDgtkyfJOZNfIaskkdSOF4A7mEVyekH4QtbytvwPbwXWKk LxG8TrFPh8YMZNCKlZv4V+yR6jfoNc/RKPgpsnScEwpOKun4FirjN+3U5nkvpSvY1hGWqMrsKBRE sOcW4pq6ao5vycHZ5MOPTjwdQEwtPHiMa0ld5iW4TzjQlaty3Ta84brnTjYSOE29nZtF1WaCYIEb rp+5ehfOGKkw7OjNVEw1SVw2rCqG64v1p0et3cwvGTtteF7LHeL//3eCRm0f78YKKuIx5sV3wOgB L3pkxe4QNzXOGg0a0X4gUppt4/PPDIjKHg5KpRrdF5NbNIesiRv1uWSkWOPrtJs4RD4+qcPPlWsB lQ/do/k2h8xyFnLQ3HMl5Pu2ZghcLvMs2jCsR4Lq1d8jKJn6vorOaPPXpvSZQQG1dzekwAIJPv1D Ae3ml5E83i6tuiGnzMeBKeZMSriGBkyTsUoJtHnM4r5wq4gCr/63jW4eHE0ZDdRA9XtY4SUayo0C SKjtOnI8DFIOqgqkW2OlZF6Qpo4aA/7mc5CjReh34IxHOay49NBivRnS8D2lDrDv60evVqi9m0Az sCNBy9UsIPDouDwmdW9azFeKuWxO0uczlp0bOzJsjn53xKmryyyHjL9ANc+IA26ud0f00RPaWf+L XNJ8xvSx+241WOkTsm8AEwqIORS5UZfQsmnBMfwUmW5C7LjWmDxShizvUeHNN+kV72iWhdxHhdSp hohDdClXcTXAa4s8VYcuURR0Wq7v1avORB+ADDV2grkTZ98OOztQ5hAoMlx2jImBoahTtDgHiVNX 8P+vGmZi5JS2hhIY0St2vY3MAjk3vQyYyl9CRBCT2+I59A3t2y3e/04OPtuN+Ds1PrvqU94o4Evj sik1rPrSUIAEJ4hbfhwfNX10KXQoE7BsNBJXjLpuMYvMxA8aQHXdhAn/pU0VpsywBVclwBGdXZCp nhm23wco1uKx2vviuqxR1gTu3AS3SzP8vE3jcIENH7FnSUHUuVYNZEru2YPKFSYZ5BQCQdMyua1H s0RBFTeb7GZQlh1Ni2vjaOfv2MaFXKi0mZAHRoFkAp0vxxlB5FhOXpTZlyj/NBVQScyLJ2cKK+ZP +Ysq+hLIKJafWSUAt8c1oMtsTdBvlYe6gJF9+DcyGVdQ6A8pIB353zTghiDkWNwkaZhG6n4oCkWE mKVa4NRINkmS63bZy/LHwtuPqQ+InAia3hUKgqIHFuq6z+fiy0J77E74urYh4spHUBzNWpPDB/YN ZGM9LPJKpJ6dhyloHtEgXD+wm6sX1POkpvvyqnM9p9CxYaFmEjilPM7BdiUNBBWFn3SW0caWkWQP H2oCbRjnTQ1R/F90Efy84Z1zsAUhZxOtd7qlYH9aBEuZp+qZeGitzcV5G2CG3FDBZ+Ibmk/DGiNW 79iF+coXVU8IW+N4lOoZN0U+LTpguYmg6UTsXsjPU6i7kI7qMAmrH6Kxxo4Pbiu6crl4IHl3qugU 3Od51347rQ6SnLt1PTNeVau1LB6oO2YgSRUp4c0kID1CiHlBnSLW+J2p+67WwB0YVcpJxwd35WjU fxLvgtkjmUo0c2E3yICLMgJdtc5PzW4VdryXu7gz2O0uqoje3VJSyub64Lkn3YDBRkJ+BsGSITjx 0jplK7L69ZcVP/kkc5a1gFaeXlW+bXWGEBMI0wljfd7h/o5Ztz2KKjSIXzd85LVDT648K5526MTV gdj+cfVwql/CwUZxkKpRlofR8un3B+gIThmHABcnlih85cVQYtEgROjEn6grKQrOZOoDPZZX1At/ Ds0X3YJYHVCVDzWIx9wTrqdMpme0QbxzcmvW0LwoAbJ0wcF7egf1tVF2WyWzPVlDHsD/sqIM7Rf9 rLhY23CFA/e120Wed9+LKLGaVIoGkQ0lB9U1iKAwDni7mb7lVDidQCFy6eX1k6F7cN6kZOPuYyy/ wl7zVoXjtjUmNAfxPKGgy1/ZXsvb43T5XvRUFzM/3qRpZf7iFNJG69MrnCU0RBkFqEYVwWb+rn3I dtp8lmcDQRu1+/FxRqhMzWjd+3nbpP8166kvFO6cU9Hhej4uCEskz5xsTA6hRYOu+AZZYo1v7OHP oKCpeUzq6TOcOdR+qHIW7YecNC8LQD3BUbY/FYdSbBT6Yuog8p5tzrECHGEd7+NqSuQwvJfHqlNd eWgy/o8NUYgxAIFmaMfWdtMS1aLXMhW14o4CI8ghvi7acM58ZTOk89MEXmgVPdFpJbp/HQ/3uDoY b9bfzFN6drzZvQ984GQSByNq8Zn6S9JuaNmIyvTL40SEL9D/ytvMVA8F2ZL2jCQuG1R8ukhoOqnl QphQaiQeocalDIOt0I+6sHBK1or+nV49lbi14TQY++bquwEfW7qZPQ019uBvbjde/CLPf02WvMVS +oxoQNQ3zv4S/wFhLbIEWbrePShZ0VLHTKmkFtTbuvcIaQLTHqF1oix/1xI6e1+CmPxQEmqAV1W+ aDtKICNrZCJMcIgGxNWhXdd+8u29nobOsFtAXzspqaLfGWadnVUE87gpWKPVoRBCC02eYNaUj++I RtUS3Qtp2OjnQ5fEb2pNJ9E1iOhVCCyUDEiYQjOrgBefn1zPD6fZRYfIho+fgk64axrWCewiZhVM ZsaR6kNvFospo4T0AufeVyzz2AhSTDyapDGgst2PCuGd1VnT2WYSYW7DbWx/snA7Fmo8HxUgg+H6 pthaOeVZ+nTRPUcv7ca/3gvKymwCNP+awemiKiZ4t+ZXyjeDctT0h+mmlcT/JPFLu9V//FhWKI+r kwKRniPufZxRrP9ylwg+NqK2dbna1w0CKrJJG+FHXssRg/UVQyQwcS4G6G2XT6syfBs6u77ybEZI yLT3aqeSK8DDdqjDo3M2dy6hEd1LdjKSw3jy4bAN4p7vc88aXquHg/W5zu1NG075KsL8za8nj7lw GfqsGnSFgUBVZltMDj4+gsjEykwF6mdyKorWHo1U71qBvCuR0CJwJr74VlrXDJlXcNGOgjmbTwqa gWJhLbVfEZ5E2bLGWqtVbNezWZGuJzCFk4gyFcoq/MiIWtUVu4ezm6IV2TBDSS1vweSWoySHZxuO 7Lu8iSlCULBrckTXL/MEh1U7wJD9diTqb1p6/LuZsvXlmVgXS0ckdmmR6M3lqu2jETITuahgQ5hz UwA1w29zUtnTI2zz7S5imY88ayteApKkN0oAsMBwEXJLe1W4eB5j62moNFs5Px3TkhIDru2Kn+dv jCkoo2nbo8J6MxNwblnddyu0IFxaPzbnRBXVd4JreR/HR7BqJw8X8WeweEVK6fLPW8oYYylcGvGO 2ChR+kIG+wwvDduDiw13qjaK2cAtXZQPEqiI1+ZUl1ut0jPh4D0ShxqiGg242UY3gpRJbPbiz3I9 AZK6jsC0S2AI8sKtl8OZnQ6YE98QRGLk5pW71CZBT//5L6JSNKbjQzSeiGmpx9+xN8goEZnvpLnw MHfE1L7BZuFM77PR3YygOMJxPTZ3u/oqz4XULraoz/aCzH0nMgHFg/VV5YcoHN3772+1XQhAGpk/ ZkMaz9e9wiPriQVxTaNCEdMsgX6rYRPeIp/Fwz3Z56pQzTw0nlFmEbvKRqKiGN/Tjz6+ov8QHo0U ZL91OkKEcBperXv5Z14WlkUo+LICQJIXvcLrH9ytizAgV9bkHVZewCMk0kk1GQEVu/iqbG71IHQu M4Ysav5/PZ1EUY2GcI7luz54EhvXhxPSfntkD+GLZfuwuhEWaJJwqDm90N/+RNPuF/KGpJV8p0EE tcnC1PfS+0SurJMpNgNAB3JY8O1TuI0CYrMPIkY+4IVOBEc3NtCcHOmeOjpIPXmgFdpk5DVV2MyY gkqlPfpMwC2W0pFmQC+HGbMOpyYkUJ16HtSUSBrpBQwZssl0oTxGv4sh46pPLPY5LlH1knRPfLbr WfICyIvMRrut9SKFfdGbzCxqpkMurWQG2AuXjE4Ly8XyUHVauhdxNVSx2uGLDVCW0eOjZHgVQZk2 5L0F1BA3ZlheGmO6O7xn6kaht6Gxmty/heB6f2TplsWIzQJ1sJ99e63DJZ85GDK8bgkZL6AGyUmy HWNkRY11Zjw3i6H/kfZQQ7BkJsIr+OVVdCLmUIMzysBkyfMgSqOd30D+ps+D7cZFpe84S8Sk/hbk tT1WrB/StZV0BIoo/4uyz/FFmfATBB3fpLDXcARqcbPRugE2OqOZV0FNtcGeao5z4MsTc9EusMob Hl24ftk3A44rdwK1l78hJMhQz61ezGqIaxyh9BX7VLqN7G25E2HCMIdFLCSK9DPaHqdUhvd2B+mu 53jaxSZ2b2lldIW+RorQmhLsaPU8/MX1+LAulDjRxk4R17l84rVjhQxLLXTiSC9+2dJL3VDVzHeB V0djUtGfqProI+0SQBG7H6DuGz2vkadPexoteYC+LuVtzuyi9n6YN3kva9bqSdWJD81Ycu/3jNeO 0cQMZ+H8/MVuTy0/dS8YWtD0dgFX2lYGNDWTqA7TCJpUwPTZaBFf2djYsSdaw9xCMJK6nihHSRbS 2gDruxkiGtDY13BAqZ1XH3i/P89QUYSkzmKSfVxPNT7FypmQb8hHUDCMV+CTma++RUtdUyU/PpC/ svAsRb8kl5mwg5Uw5JaGcQnqas0QnpDKD3gM6h6GdnJ6YXdO/Vhmf5617+xW/BY8IHioSiC9+MCx Ymp0X3MB7Qv8BZs1oA5zCdB6Vc67ZA13TiRYx68iTjshnpH6NyssA760UCTwDP+8fWD+ntYze4w9 UgcsFa0S8NLDhDzG0DCzk7cusxLITLUrl1YpFVTUG4p1Un/EV/EBY2jzB7qBsxS8MFC98vpk//fB cs55BuM8boyTaDpzC3j8cAW96e3on53MEpVtCXBXuqbRENN2ajRDBndo1143Zg9UsA8SAtzdIIHD VlRQpcaXWaHm9OSwXD0VSN7HG4F8lpy/CNVvCGPFIht5aH1LYjw7Bj6+0rlC0h2cDa22f0hPdyIw GrV/rZmmW7c9ilCwMoKzAbEWQEnyIQxEFEpYz4cFN6BV+8CA3iZ17x6GLWcv0i5zW+6Xridk93w3 Oh/t9b2vRUaVE338vvPf3wqRSzsOyANWBuJEJ47LTSQTVJh7dqeHaEZmPekaIS+OtoJULZBukT6H SKnj+3SPrVEDJZEql1sghPB4c46qNPOJQvyh3GQJVOrjD+TaDD8A+loqOkudQPS83stmZuqDSIjl v+iFmNgWyQYK6Djz6/fGVcQZAVMWfVe7XMt2koD29tHfxSQT3oTF2Aw348Y2oC2SY2rLy1Cza1eY OTi/eYTbeMtM/hq5ucqNfOE5nADvL4SjAEr0WgM+fBKT5TGUjL87Wgw6DQS48UKlDUV6LWnVj/GH Y03Fq+rEWyRd/ys8SfR61RIaX995b9RX5DezYTaKP7YRk125yekIDSLT6MNE3MRzelwu/Wd2UGZr BE4MQKVuSlfs67Jy3ixijvZ2GXlIzHecAeYjh7yEdiEryO6cTyRLPabKivtyzba3yOJu1myqcdKV B1E42v2Ehz72/fe34/5BzDET54GZr9yOhRFHJCWL+LCT5ViYTSyyrYZmFtCvdTVEo2d8RvRJqVi8 g/2eCDG4rSUboBE5BoPqkm85BvTgCFgGJB/aguxzp1mZzC1W+x6hAfL0cuIL2KsXh+s2x/ULo9mW l79nn7YNRuWfOIHOwjjYIYrFV7cjVptg4T1kK3g7nuuEcCRvEUivkIiuns2w6NZq4lGrc3XZEwv8 ZxTZ/Qy+NXMA/FgR2COWKDEuvAutTUpWkAo5EBpmMENkPL9p8YyZtAGU4BZUd2WXimIk2DlsGP1g AFX0Lnt0/YiFfJlm6+IpKnwG6Lv48bVjD8s8OnYQLRm8Vhdn2UFHeZZdKCYxmKVEvbE4PDWqH059 KDENkT+yzerIund+DHfmE4BSQLy7PRDG2o8ywu0GynxL2if89EBPHC47MAvAMQnItPWJ+steMIE3 mHNAOPaJsTbucJMFLRGLmxVvncL+dSv47otxdi7IOZlz88DjOlM2tbbVAEYpOEQvNDw15w79RW4s 12/Pn96Wd+vJvippM9VBaURMKTWtZUOPiRqMBLxuTzBg13UbnJ3na3R0hyo07l+O+eA9T37OImcM Pp0BS8aUmjoNVjFg1rUaCLUocvtOcVvhvOm3oY4d2Acu6sB/jZIdFslHuMmbBveLo9lHq/hg+2VS 6fHlGMlfy/j8TROEjWxwpvU7xB+f72M55/0re+DqngAeVPj6f+utmI53YsAfMOFfCONGakG4HJG2 WH7AknRwGNeEHGcPeFz2UfU4BOG4dFAqODrIJMsQRDroR0NvvXLB+s/I8UNBxK7Ruqz+ATpMibyF B2aKEum1MtxmGwPuPVJivXWjkOjDbGzR7kz4yeW7VWMSp/C7efsv1N6wUpq2H4uPWcQrIayWk4nW 2U8Oo7F+dUMqiUDolc7W2Bc7kJBHFE2PGhgKO/416/BWWuo1tQeL4ZcKLFn4qX+50GRFTNTiNsM9 YvodwmEsT4u1BiWj8SPafwxkDclNmx4F5KlTgQu17BdBzWjS1kV4XJhb87Q7+n+aCuu+d+MdBPG5 hIdXwuAWArUPwolJC0J6FW/ZPKyvrrtCejlXV8+/bINhhvNI3w9ODkS19djHcysms9nbTXL+8tvG 4iWJtKRurSDbFwFd4YDEheyEfN8qz+uBEPwqPIzlFavOHugijjwiULwnhr5jlgqelvVD2CsKsn+h O2biBWIlcugODe0Jxjv/M2d0+yKQO5XznHXn8Pca/aWnmQqxsLmzvAA9TgAfk+QiWLyVoG2+2Pe2 zYh2m855jiRnjCFUYqUilSLq/70LQ5157T8W+q/R5CffQp1qJ7ZM9PYObapqiJ4Gpq0AhdmPCPQg Hik+WsQsWTA7Q8PkXjFLOevK1p8fMo+0GUQrqb/daVT/4buqwkg+kGDcJ9W5jQPLsDa6H5qE/+Ca W9bTb6wlVpO62Xfdg0mqm7xBiDiBVZ81VmhYfeNEF8Go7C4N5YORHHpu3KyrOzQFVh3My0lPSTBy OCmlFsrDTJzIacLT2mIWCkWSUsrEKo3FomjSC/MDamukkxfo7JZ0ThJ0N15C0EGATfLNKqGPJGTF m9xKHl1s+pXRbVgdKhDpF3s3sJqiJqIky8tuPp56hE8pG0clEHIQwm9fE9Yzbj5RbI+jrA/Mfb19 jeqsMpvG5boLDjMVCN/fQ4t0iyr9aK/F/yeewTI/RsunvYSjSbCiLi++MDkeMtTCr1bfNzvzFDPh Os7ZPy/bqeWKYYrhBT/S/xQCMJLiIiLEXhNFGHtv5wU8eiC2pHdbi6AoXRzyYWVFHkQ3nE0IeWB5 Q45nz5GAWhDS05cg7OAIEtESzTa+8VGLN97dXg2QJMv/cQ22Elm2Sx4XfqnlkM0sxF+Cm6h/nGDr aXEdasDB80n0tEpmskhjBVvZgE9zXsJJwkoCZ9QUdoBtk5TDiFhemjwzcUZATFNeQbFcXZTdG1bi WIdSTuX/qSgCidJvv7hBPMNCFttAtHjjTIe1k3c2D0zzzRsXcLXIJMDyRH+tpyvZh/NAXIQqm8bX 48g0G6iMt0lQkYBs90BJgIdgLkRW5Ciua4qrcbgwSvOw8beDwyUtbT4yUpT1ks3EIcEm/v4poD/T Bu7gNs7pNAcvS4y2gAIqmCeBOCzD2VMrIRoUT7/flzbN93crPs7mRAU3k+DEhHScmIMOLtTaytxJ COQmpoCC9rbu3OJ9PrVjfBe0Wkv72er0topLjok2VpHgwloTQbV21ghjXE+ki24WP/9IPdwk+fOt 4U3cLZGtTlTu4L5oOe2S/ekfmQqigE5wD+YawYkpDm8Fci2AwYpqC893xaJ+LNclRunkhAvXrDsv fUF50Tk5O5gR4cp8v/VbdYYJP3uMYONeuBA+VFEVe7NVSER+/uBw7j6UnrJfdItASGhmJ8VNpUVM Ufr3Z4TPFkhxKoE0P70pW53f4MBVIxTmbQ5sMwYVbdv5KGSIbSwwGjPt6l84yTHrPndwA2EHTpM1 7HWZ8QJtiFY364omzkrIoYGihPj5u/Oy9wbYzdgBF6W2cxKsNDQaEGZzC5TmocGn5xLVn2+3E3u8 BwIxKgKeVaedfxb1X16lQDWJaoPgh8ONMwtXvrGr/2we8pbGQKasWiXNHO1V71CkYCbUhsWzNvaN ChOpG85ws67XPHNZKYYs1GqlmRHDu2TCHxwD2pr+cpqBs19IpiB56ZFw6b5Ou1fQvrEOmY4U62DE YHdS+6TF4Xv3wfp+eryV0V9KDbl7PJxwMVMh6ySOYGJZzG23DV+YAaY5y4EzJXJlRX7NYdScGcaV DUoeD/U+JRZvHHPlHiIzo2JfwPO09uW0J+gxEGk9BuFnn+Ve3+jleWBAPtIAo0UZkDGOWlDsLQKH b1Kb2LZI0xVxt0GnJGtPuZawOTdt9Vg4PXm2bjeXdFqqbbSyy7ZAg43JCNhjxiRc0UVgpSefSyaj 5LerS3x6OZC+xLc3EOKyY1Z582k7psNJ+AanJk03/B8mL3LW3/c9XHceUXbJRfaGr1hH7Ge9s0q4 Xs5xnhXdk7eIE1wiovWcY3K6+sThTPomLDzHW76rYR+GqCi6VQyiblEwBCyBZrJM0TAj1EA1lTZd 031+NyYun9dz6WwZM4N/ZZCTgpuXW7Od6yHr7kpKQLtgBEfDcrJ2ty7FDbeo/La2X6FJfeNDdki3 Aj6pKAttsyJuV9wWtJsP7LqK/+jBJHolnXZiXrf8Hdw4w6TqQZ3v+JOEcCXvGaIYqwb/uHdYgkLz PiGRz2wDWn/99pI/ZnyvI+UUqtGTTrMk5E91IU+5MkcK3AFbCoqgY8oag+04hsBSmWVK+YMsNFd2 qQRHCKCMdySyXXB0bVsqXa13luZFTnGP6QDyLLZj/yrP+CXD8R5ze2uk4cRdv3qLNFOviDPyjsbL RxmNl8qhkRTq9oHZZCiGhDzbiFx9FIgA4IHbBK8dPyl8lUEoqF2wZVfVMNFLe3AiupWyUm0+CHII g7SGDzUN2a5fk8z2WD0nb5mQqY3XRSNj5cwaVFOgvxCmUlQW73iKOC/VRzcX/uPVl2MocicHnOyJ B+PQQDH5pJTBdidvwbGJwZJhsXho2a2QU9I/xi1NRAsqZAI/D3TJe8g2Ivy/Yhzt1SmPm9EAAWrO rXoINM+bPLeVe0aFfefJaJZdTqXOkVcOEQBe5oT02Z6n0iah8NNJ695nb5OUZZ5/YvDZRKxs2EUZ Nc1q73ccpxq/b7Lx9gEPck9PQLI3pAt0yPIvFse1E9ibGaHbpcWohlj86kUQZy0CplNfPkOVTZrM 2dcQkvsGuoU0oO1N5XWTURTIg3qSDwQAYHBT6XeXHw6us7y/byow1F0M4nOFOc1q9AF4C3b4GKZs wmdC635Ppowh3+plnleR+By9vPFimoU2lJOZBLnZ/jvWL16CI2DZRzvGHl3QmVtCFDeN6A/reEKk ntjG+Sw13cIVhPwrznAfRke1jiW8Jb0L6lBSAguT2TnYFYCKS+U6si+1JLcfAfUwZf8BSV+ewFLu hm3J6kETglUZ8YJC93RIqIT2rx2YiA1Kwa2odhv6c94aVHIDlPaC4Jtg1X3tn1IVA2cv9kSO5Uo5 04vAKMNayD3whd0ews3GFt89HTOL9c4Y3EMm09LtKYis09Fo+CM0cxBlEciRqb6GkiccsFLSsgzm a/XUhlQOL+9yhGDECAiJMLBbTkKEix0kD3Ry7fYMbO5eIu0cLf+Ib6n6aaMuPZqiEUubHvvAK3JL dQHNcmpS0295tjqo7RLMMEmeW6TvyrvUHO82K9b1fiCL8xFzifkrFp6fDLS4T0jf3KkLkkI9TpoE DzLeoECtSFUJ7MgqqZhzFHIRfbyXu9jXDwek1sw9M6UCCg9wpRypK2yVPiuqO5aAkeV8gpliv7ET VYk4Zp4UmEvt5s4BMEcXP2H4MAPzUDbawWedNKDtvnUc7jjY7S6U9CaOhLbjNcBz2Z4+CZfR9DJp Wa08m0BTsx3tuJ2ncpPXGirSkHf1vfnaPNMMMF4jnU3xNZJ+hO/kBhV6nAHpqEYa1seG1+tcShQw aOskJc1R6tSUFnp8iUgAWHLh7tCX3kzTWF0BPZgE8MEkIluezfXejNo7aD7PigFpjGF8by9VXH0K PfYUEnFcgWYY7f61RurOIrVccFhcw5TrnOFr5gGnwq879RKgK1/NEnWE5qeqz+TpABuAz0jQvcXz IlKYIAboZLW2Aiy0LxffY06Q414Q6DXXrtqsZ8uci7FEcTBHWmVuVgeYlr8HBDZd0AFdfuhqXHfU kzdIoeU2+hXOFezWWRWzLXxubZMEXiNbsrxryBgPfkXpxkt1qONTdW37ez4XP9s+ahTEcd5I3CHz Z/bQRnpvn0kR8kmYnGDBiBppoUACJGtk7PgeFzBZeFkX39+vEZZ+5wTKD2F09jfDaeVzTTiMYajA 1zGBUGrg5k6TmSkafcj857lajy+blpKR4Laly+r98TOu58uSP99C7VJgWcb3VcNVPivsYft5Dfcy A+nL1BruGXxlcoNv8PyrkuY4rvFQYYOegvpe6sjDJjUm42xG1NyahIlBhIiMcnPDZ1xO9lLjVSDc +y5eEC5d2/CMzn3qD+BpptQgR9rFsXzxapYCJUWRqNE0ME8gy+T4IElf2oBioynKU0afucX0TYcF IAW+ajqbSnWB7CF/JRnFK6pZ6ofLVqFf7FsWQFuxLQIn4hl0yGcOZ8AQoJS4PBaBnLWnoSX3B8dY 8suH2kICa7BfvSm7iyucUpsWPKS1HQ2IZXw1T/3hQIj5fIwQUrKlnpqD+zOd/QFBrw/rzCySkgmE sP/LQwHPw9OtJWI4b0IAz7DVwxb6+lUybaOAE0+8Ha4K7zUEcGzco12LOootZp41TF2IiFQJnktT MqnXABhiwxBeWzYwNN8AvYfMMzw1UQJj3QOE8hK1iFQ= `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/family_support.vhd
2
328971
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 863; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/logic_sshft.vhd
2
29996
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HQjT2npHlKTpFMeHNMQFgUK1P1qMs1QyAvpc6Glp0hutZKad2OG+gTA1K2INjjc0FPNledy8yMOw s/zTGQaiTQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ezm55XP23Z8LtllGXal8WRtV2rwJQt98cvOQfOHAQUTE85IHG7710nI/HzbyW0XFYPn98418vinO HnkY7U55XsLHTl64VaE+QL7uooedDQL/W6lb0gXbDimjeUaF7PSvsKWKGn7INpDu8vXjv8rrcQyK CcrFmKSp5jaOSB+Pj5E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IyE9g2R74+H+dKCRCncYM2bZWfAMatcHFQlm2+G22KdAlBsuK5MnxLIiqaAyt1kAjtHAHS6BTEep bux9I7bTTJLEPmvMnE0xjYZINvpBFJ9opFj4k9mVrBMT1dygdeIO1IeVz+8S/97cxP2RAqQ0vJWt bfoi7nIkSFLX+7q0ynug7y8rmvEPt414xi61IFisidkf/mrXJKn9Bv3rlRI1nDi775J8PuNRZAgK UGsaH7BnDkA6+gncFSKmQJT97WB1vJ+H4dntzdkKGbrcpoOoW1QN/O01xxfwHdRRWthZ8zD+/6+b zrTGj6jFm6VgfwzpSRVU1dFEhg1Z7+SR6cqDiA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block f/X2Kghg1oGgtIU3s8SXlw0DmXg4zZ55IdlANkek2+Ok67OHUGF8lqcWFrzN8xwEa+NVJDGkFM6a 6ZAjBCHwBi3sx7YjUCr4GG6DwJCIDVqjt34qqZHpsyL3ETnVIw2bUZKPQsoIXAIZGpCVhouqhAU+ 2adhvUpIlsT6m5zn0v4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kfKJVsroNa7gcq0Rnqbh7AEGTeZm8LA8w3kS7ZSrJokP8Voob0QaxXuq+t8lR/jvKi0R4wMGliGr QhULBcfdg4/b8/S4cYxHtBEGLb8lkLWir34pP6IpuiSSKJ3r00et11kU0HSZvUztgsGSQ7RCY7xb sbupwmQX7X9ukZiLBTyBp8+6dbHynTGiW4t5nn2jyH8eeSqlAoSrYBcoc472JzdbQ9hsQJ4/ZTR0 Iy/cZ8oKfl53nddt8nBFrSuuUFbs799wSMeFIy0jGyWoOkf5HuobAXumXbjU3ZfufBEvoMVG+ljq Bm1p2+oEsGj226HNZxq0inddC3FTlWo54bak3g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20464) `protect data_block rTxHV0213BzZFX2BxG9NT+0lWgrU75jO7UnzNy6x1kuN9cFnGQ2wAnk5le07vrAsMZN7/uWpHdt/ puC/lB/pSwhueg9wpo/eHVh8PdqWodez36xjQWlDxkPoYYWkoHMzerg5Vm8yIENOybBIcUizC8Fx V3mQmDm7yTLxxgIzAqfC10QI5jROVrverUBwbAuYu+BhoE5kiPrkoYIIsUrMpKjj8OvicUNcVaxy Rgw1hMRBXyONt874ji8LbDL/9oPRrHLwIQAdboYS77zhFlTQzpJydhnFk0vd994gCFDqB4P/8ZHX 9Hjsb95u4NJ7HAuJrgmtoN4bJyyHNLw3fIiz6wX4yeOfYEj0556glAMBKFtU6GCNmbAOyArs9JqP qh7Xkbyz4cDNBelb3PZQRtMr/MGYQAn99fI+9/GCqcQn3LARqJdYEaXkxPcmquFv/aQfianqcXDw uVADHnVPY4F9Al9HXbgp4eddPtBDxpV+fLnScUj1Y8Kh9jCi3LP6xZO5kW41ND/CCuVQmwGcdncN 4Ykzu2ryAmxNgwFZ76EPzDg2rbqVO//QTZnUizwcNNRMSzsgJAdyMl91Htvut7Ug8kEkcBrJ+Bh6 Gy+GxcbtEzrbUmzF6/BVbQcSmfJBJ+B8nCvlFcAHY7G+CyTpU16QznZXE/1pTluzIIIFlQZeBE/I 67cd04tzWCt3BActsDr89uYQzYj5VNPeVUVN4+zOpiQPu/7wc1CfJiG9z3WqUF3iI1dnO8vRjYVM YqKdTkpfB5wXUAgQ4/1/CQwhGw1DAk+TjCKPQ5dtI5uPMjuzvue0dlvQQMv02VFQJ48Fz5PZmjcD K9YEPRfjFXux7iQyO4j/EbY6f/mH3lDSx75iukSGJtJirVYMw73q9Ktoa64kInKeFaAQabOyqbaT hq3qnV7PTnlnfMi9Cxp9sfx0J1B6ZRtFnVbtfiNIijenxzM5MWyI0+9nzcE6hagfdAbv6HyREKBY sFam+b32RldR9Mx5/FZtbhFrLyj7kEY8ZimczoBVz5u2OVo/nrKWLOyPhdq7TZJZ3pNO9Br8+SrM kfEjOyek7bp2qbFwTrAmuzBY+eaXrrgTsExm/MqfSXIdHPtYcA3XvUcfV19C9ZxUj08NMpOCQwWC CpC8zF7mcFrib4Ve5QYIpp43qS6XhKdNt94b8SCKs+BSYaQa9u0PDJzCHuyfgnXCs1/vAYHh2f2h 6q0J3G3WcZwy86cBo/YddQ1ZmkFrUZfq2r5oTqSNDO8cic6rMcLCcdy3rjrBAWVXRooogJHVjk3q erA6oxy/9z0PA5DS8UupxT++GvovIV8Z64UAVGoThx7lZdd5AZ7eoHiZIo0H5jqWhDXfdbGSUz7j yljKVTir2qLuDK6FvFjjqvcfZSEuQrvheUNUkDaLOqSClNXpQSuYFW/fikF/PnUHLdKRGo9cLlTh jPT1fl66J34y5njAaykpp9sv85XRysNhPRtP/UBA4G0w1V/OEFBfUhxQ36mBUHcnDKy1b7C5h4Ng 757qWGZ2zcFGnXbZNpBTAbJJ9jqEiCq7o0EkfD88/FtGyDYm9pMYHRlUTHyH3li474wPI27yO3Lf TbC5SEaG4lpeQZcEEJ2d6HBF81PXcoHAnCHa1rlLv+qJRoGdgwVXxKZrXLQacoDPNgFj99Kzu6Q8 xAAdeFrY2rZlLskQQQEn/hFb6Ctq4OjhNDgAQz4i+ANyyjfbCDkV1F6RTd0zn03/OY+/dKKXvFuG FUQF1N/jh2FMEa5ym2BZBbAzeW1XKVD503aF0ky+rzSPHojzsS2SbyHeifrpX8ZAIyN2ChwWAXyJ 5BaPu48pqO6wNKdkLC8H6BPi5noI3OLggmu5oBufdqD9Z4A+DCbQtixr8pJfLXA3gpdbqgbjAxCH +0mFElFpCAfogPnQqdSRdAZ5OfPlXSglBEu8yZdRxgWWl6yLbWodF/wx2/fvn+pZAY9z4TpHh4Gg LfkYH12AhppN1SqqDVyeBxKDCUMUq6QIFQmHSa6/ZbgDIr2yCCaYuHsfp++slJg1qS717e0SQvDW PVpdknv1q2opfmJqGv7xirCopBzTyQq5+Jq6IX/fT4/B4hgqKl1PVLmdHNIIJlf/ElDlMp6CM0Oa 9oKgp1HLDPZ7YYyPoPAYwkkm/i7zoS7OWazR5Sj9Jh2OBhbx6hwI8/d0lfzIga3CR3lajGqJ7ESp /Vz0koUPPd5vpgxe44uSq5cIxSkUGmRck2H9GiPGlVeoyeQAvdYj0CTnDfQKECIG0pLeLN++1hoc 2sqvVt85+UeOj0CJ44+8+R3RovBDenbQj8Y0jUH4cLEGb9l8LPDagh5Ko4irL4E/fAnG5CuB6bXH 13/Er9DW+J95VNy+BOt5H/cfQkf31+UIfADk1x5q9m2TPkineqQc1k5LIpLpDgduthZ5nSAQK7rX 3ttIODUwKCoG0TEPsjBHUtjpcrHk9cPQd1DGmwD2xIjH8di0xESWxrpoWRKJp7wUQwvyUzJsY4gu /QsOIJ8krtiGXDHtDWzFTUonzAge1TA+oIbA9A5vYlsxZtzUlYU3j33lKptDNWD8GWmoPyhjK/iP AcUPBcP41Too8+Zo6NT2VMf+Z0L1dXzOvastgbIiTeLrDYo9ZjhC35Ocj2JYdmpF65M8m5CQijqv lTHsffILOkFbpdTCptOCMT0joA59i+qW4AmNyD4AMSPvMewpH/z6ijlYGWCCvyC8GWTk3GNvc9VN yqmXOzpUeXu/8VH7honB3EH78T8fuUTzy8atLNX8Wh5eg7TVd9KkQ0VSL+y2Z4QhQGnJTuNrPhAa P7gVo2w99YNlSb4QSZ4R9bMTQLc53wEX3VcZNTgc3TwZwPeBdzikQ8f+PozXa4BRYVhFqsNixuLy ftlWjP4o4trh3Plz2cLqTPW+1H9klusCHtofQW7N89swt7m3mgxDGxYGkCEcD+bqSKpXK5A+msQL Q7jPyzrierGG+/xeExJSZJn9XQyk4Tf8cRWCMfFy89+cuv9yVYXX1gMMnx3rOIMXqakQ4agCsbGH eIVa+0kTFAIK0ORC3gy90PIQ9oYj2I2aOxpJT5S2+mZwfWUW+gAyP0tnxTFfePLYXT/stcFTQqtt BuugiU9L4ueC7YfnlN/LMkV5OBLHDb5iWqQ7op6fYJzNxaJAYLzFGPPZNyyjbxfNQBprIYFdvD5L 35ev+GoajJsHMXXvYtc09MBUwoUl/C27aUTgZjFOKK2h3SEldg1+SixK59wH8Llhsw+gJ/Y2kCur R8KZEGEXMCuO9JmgCFtDA30u9e3LYZTj0A7jm7ttU9fbFtHeHc7HwXD66pXuYoxwQhv0bKflcm4p 2A31ybcKUCxgHXWjS9FexnWpK6+Rf3QIvZMp9+qpnhoT2VYlEW1KEycoTy0G9/IEdEnq/4GZdoK3 XT3g16ZraADXfCVqsnKlUYi9mzx0BQICafN0YCcXQx2hXuqhLLipMb8819UN2v5wDsHnLlT3ToPh dMebBg/gSmLFBk8DKVgM4ZWWsRogixAOptVuumPAedNTjSPt4XR20QuW9bbEuTxm7z8H2ESBbONx k+E81LwuFMaju7YuskzXAT9jKnnL54ySxPoYtGcYhOeojBEP+6nBEmwf6KR1H9De7K7XD2yeWYcw YzlFw6/Lf38Y1JUF8daHvIJ4eydZM89N5NGDTVc4QHTyNEL7c2OTY7W+WXWMED23NywWr+TlAD8F feNun2k+K3hFSXIBtJp81snYrknok+NxgtOi0ELWSZ/Bfa2Sdj/E2EGQmLrwScToneUTlHuueTil p64LTwR23VPdVucJhPo6pxNZrKdsUvm4NTzdrsvOFXjudVrxrFjRJNABul1s61fRAnZwzSb9nJu7 9NpGDmSmrRNuAeq/U3sAnxXEdt01yPluz2fC2GInu3sf26BOGuhWlkCh0eeZdGDspSm5Z8nOu2d7 FWM1foCcyFq+L6fyHS4EAWhvh00jHm6hc3rYZ6v8poeO7xTDWPuqlaVa+aMz5ZGnT6wWn9b3Py8+ dvKBE3tL3DtByonj1Hj2fxwEhDMWDN6xyyzbNBvec1R35GPihECF2QymY/N6z0TS0ZtC/p21G12M uSnpzxzCYDn+ZD7vwHnCbgdtj86mgkCMgBEAz0cE1n+DUHeuOUW23KHxLq17KbZQCgxiS4zCYnyA KhUIGBcHGpYMgPXfN84eDYrgfDH28Ml+LB6IGu2fucFUY6zlID6V6lf6YDctjvLJvLuAG3Jk7Sx1 bsmgK+Z+xVxagC7Qjz7W7H1IWX7WLUqX9wCMGXJbR0ieAgoD1znkZRSv7uiUg0KNn2Cx8RfJYOBC FKCmaMZ5S5eX9vVSpDvomaJKAuE5fazAEK8bzmYk7U/6SuOjbYPI3uQcoXR3A0BLWqcgqLZKfAlX DfMjcraSqR2rtPX7GdANwMqkqwcF11vF5Uh21eoOZZ7DeuHTzRIzTO68NoTjcsMXHrbgAOMLewXa 8x2uUNFjaiCss+4PF5D9QvyqsuyiGmia5FqOFjfVk+LN8LhGnkMnekIuC1MkIGK5DlwSRq8jgjde Pe4Wd5uy9J2xkWJrl1SYJCeBujnds4UXSliuLi7QYxxHtWFe5pC7FgX5KWMHpUIchqvwIAVbDHCV ZCIhRPf+mNHItyQjhfoOMgkaVnKyXfNAykSY+ih81YoFaAhmcvh9YGR3PivptKSfszLGiodkvECd zZukRY/h2IrfBhLTNwpLPbytEaQF3ZNoMFFyMQyRX4mqFEfKflMa5P3/6IcpJO5UhavwehpPdnRo HLI6Q1Te+krjDOg5xhKZ/CB0jlDqarYa3Pptv4xgQSngh/f/HhWRfl8tcR8akLuV2AmXdkKi/6bP tzLXJHKNx0kWzWDYGUbNwN37xu7EbDbbkiZAixgH3p0x0TyEHic0qChN+6caDNRw0Am6vGQ6lhzL orB3+L3ZE96gxnQUzy64HJAUgHFe4ZAH4VUttR84DjSx/DkoBRi2+vSLtpeBiPYlQU8V4Ahwmf2Y Qw6+CPGQL/dtLEu17h74NfDLGcxCdIA+FlGhWa8qoR1aVXFSpCSerPWty5K4vijxfY0i3lYS2+eA vPM/DNWefS83rvEjRJuz2IJ9kcciZgJfprztXhFKunQ9oChNZv5nowDzJSAQK3vd9GtpauObfWlC Hs3kjnbDwyihOBWQHDgtubVt7zj0KHQycWgV0Ln5swmx6p6cAU5b1OH+PvIn9rid5la7snYgsnqv JLZfdYjQVxN+Vh01ZgPJpPulvwd8wnCHpUnZZPYSZX5Q3fKUPD9T8jtD+Ov2oD19w7+1FdrHb3fw +QnoGfKH0ngVoLzrKlgT24aA2kOSjfGTa2BgLRnEftvIHpG63VOWVzRhRl97ThYb2foOqFArP1Ll M+umS469uvBw0bWSiAttDHrZFado5Sjkt1tCVcWQTO+LCz+rc3FJXLACG6kcli4LopvYIep3SXFX vgqDd0xhLW0niaxh0Srw76Zp8J6Zwgoe3sUpwmECD3ZfNgMgeNc2UPq/tXDAAI7LOS1qcux7vlYD H/qqMe0UDnkSwS1nGyhC5j9BZpM+uG9qhX281f7+LTDUuQPcjyWnR2fs09uuGCCFdvF3p0DFhQGv TnpSO5n5nqFiBDt+XM/Df+nh6BUNE/ChsjAyu4Dzzv9poOnqm3cp4/bJheA4mwnhAhXN4TpB0W1d qDsttODXA+LhfPsLTVislNc7BlbqRhoPW9SEn7USGzm4dZAtisl+2qMt8a0I1oCL0p+XZnigIgVF vQXw35nQ70xfkDepfMEgNQjRGoU6y1yOJEqSvASZMD1gYpk8mrI4Oqx6SG6pSm1LvyT4S6ZMQ8tD qKTTFtTpEdpbwuO1lh17h5twYUDJLrSpK5JMMy3wHbh4jU290KkVBTJ3RsB4a+4VFBvo2wBuycKT /t5g2pxX6+sOnhUUd0oZt4mVvJ0zqgaz8FDR2+iDX+4MJI9+xVFRTrPZ9oOKXp3WgK7z2ktRA/7T 9NPMOOmmxDEN0GBmgy+NWRVJndLxPy26Tq4tkyrR+vo0PWM283EbiceDT4YOB6JQ4wn3TXq7IfGA uZ3dGNexC0HoKB1Wtovx9dCxGkSJWVxy8pPaMXDdp1BvK9oCfGGBmSp9f8g6Yw9pv8gO2rJk86SH F+ufPCqrrG3MSklw4dNxLUzApCG6i2+ck5Bk9888osv66+kIfsfTfsDEMMohrrgHanx84tuU6ZKb 3f7Q7E+eD1UY4D5Cd2mih6tSsXSbZb7zDkCMILAUo0vo3Ic7sMfXdbWb43O3Y784F2vQV2MZULUM zsG5kiEimZkR/n4KRkpM7Cd6y6GHYg8bOF9YUS8TYEVQi/beFcZekPrawOEExSqrgor4H8mh5AbA aN+1NxkxsNMI46HlTldpddOYzIc5lc9RbZrUo6m/fWZDYVwT8g087Y6/upsaZB/DOYrR1xOv6QNd kid1xzR9DUclwQQj9hovTzFk/53OkEt8n6sWSgwPQbPsJdGSubPiRgRKj+YzdwNmF34+4FFsC2Kw dvLBC5wMcJCZeCeB7N4PjUouUrC+YeV+M6LTF8Pz68KhN0bSr0B7nW0gTVI9Cysubh54blHoBlTA jgORQZPyMJzTNrD51U+uzn2aPexrYVSPcn/5SXhlGTEedARJIaEBnNitlbbD5LsacDqBsLSi640i 79g8JOQhPgsbh6+AaQkfj8/bhHxOhI/QgHig64Tyoj6uPILoQu0G27jT0T8zVFbQ9Os85LNlJnbw oX1TIMlIvV84AFzynLSCwqADrk3UgehAVPh/FyBBhCKlEScvnggTfUZVgfwFuhInlm5DZ2SdA+jc 3F39lA4XtLzHXM7ak6THOYCQ2u+wCU0GQ8EgyeY4g3z/yZQNc/hM4xm48qi19yWPOZxVkCKMOt7N fEq9IPS7E3+EizHdc3sH6sXvF8QkynWozgJUEmxQWWySYOW7ncPaerBcUNrPx78i2BOzyEt3NsB6 6WAvedMVtH6elmgxhHKMkRX2eWnaDZpxDW49BrxsWOjuoQGUiI+kCOwqk6fFBDVdDDCd2zi0HnWc xhOZ2Zu02zeqxkdpF9PB0lgi/YDdIk+1TAyIG6Y3BxVvSVPqxWw9yheeRCn66zO3dWLR+hVPa7/N BMMaw2PQkz90nSXtr34cPzm8mIWWOTuvrX0yRylYkEL1uhDPCuecRYyzb3WoLNquEth438p5dGEP uDT1vDv/RPn6iIiOl9w/XZy466LMjo2JjzQVREz2u3X2SbDMnZ7Pudoee8hsnEn5af6vbP21Hd8F RT6/G9f62O5rAjj/NbOc6ECeHHKiBvDw1iTetTUkzyHV/XB6iphdlxcvWIttZBYr3nDVy0J5rZVZ K03eLfG4BirZJCl0FYjfT2+gFvIPEqIt4LNRX17//Ms3+1AY28uiAOkUASCpzxNGAdYK/39y1LzC eENiVe8Cak2TJxTBoBihhVog0p3Uh2hOs+d4qOV7JtOFAkaBjU40JeqHVAfWhiJ0XOmoKjP7WrcI nbIFwXlG+cfF7/OOg8kGtq9dW34+uL1rKSvsvINMrrIBrZrchTZEP5RYXnQebRhHCmvdXzf4LRC9 1mhyZrjVOoW5fNnQpgNkP4bC2uxOl+tdi7rjRUEjDeZluZwb6ZUInrmkWcve1q8HaEXdBHHaxWeU UZZu8tyXiEergF56pq9YUZ2CdMdthuNa+eG0zMiGkiPDuTlS6+mDDp1Uf9/CMveKQVT7207CcsGv +3FRf/wymUfw1igdPpZXwQVLvc8GUrLW5lel9ErZkUIIiIr/EHpojE09UQ7wmHIY68/tRa2sE6DC vfrHZiCMgcvv166P6OSXD3XjlKtGTeOkNoRLDW3doHJ+CVeQFzZH/xYLmB03mKsZCKcQdOl4FInV secLHcpnG87Hale6SSKxS11wkvAgLXuBo1m21F0jSURsRt1dJ/5WTg0jICwFvXVXuhKvX9qiMjm2 T+hxOdsbeyKb0eygI60hJwnXYw8jE6ZeO/CBtzoTIs2FNmaja5MMiAcSCODUXfWJ1ZpnZZvH20Lo wkx6hQHKWjLMHnaAwkjAPDtUUidxjUvEx+mRM6fDEWY+lj5SN3Ne/3qHz273y8AtVoRfCya8vpC/ 2LbIX2Tx4r5pyQecNiNhpX6SRJFsFI/29LbCEAX32S6cAZnZxky+8T2Zn8BRnjbBGOqRC8tpU41+ PVZDUQI2M0fhaeRgjEUmkfgY4ne5rhoQxLjLxA6LXjxdN6gbkVU9aCHYn38eXEtYPMmYrRUR2Nhw Ipqxj4hSRCpVPkxts2gt5V5C0Exhu2QH/b5x0JaL5JEN+CI7Z5eopdhh1QVOwcEQzZhuGaq3snj/ RGtabcPdRN+XK7s57uwEqNFKGQ9IQrvolYwdkPk4U8K6Ggb1mWbwDo1SVY5ucH/j1h6Ltg7Gr9RS hq6A3FqitCHtp0a2sld+PoyTlwO335q4jLTan5QQ18ZBS1gLOKT0Hx8QHRb2xNxYpJjbQ8oQ6wQA I2fcou4MtgVxB9tMMJ7DhDc3LmMlg5UBreANUqxU3/orBYf5k7AxfR+RFLDuIPbjEDQa10Bg6x53 9QJGIeykANC5QCtCpq/222cJELXdNqVoRdlgy2WWrh8wGOMrklyOKfW53epkDahbeB0Nqk50qlwO 3RseVxOQoGKXdsrkUlQpZ+RBcdt4tSQV+FPePS/h5hrnP7i5BPF3SLzY3fjap+KTVB4HapdPH03K 3objHXElFE/Hu2BZVAMv5vcrdqwOvc8Nreb/TVjuySMqUeJWaT0/Oy/2Gp/lGyryCjrzW7bGmJ8h G7NBNMolb2aBD9+4W4Ie06T2s/B5yhz/fVLr8nyLaG8BS5I1ebT8JWpebPM0CXLK2gozfZW4LOII /fkvppDxuLkESSpcAFgZv04de0RL/oPKwJpBhyXPj+ejhP8lLdkL9nal9JIwltuSvyKYavjWfFX8 6CiVVjxnFSGjU4Oi616gcgFwWkUhcQTu3NrdQtvJmhs/E95ReL2AlxLgYGrIzxgDG+66CKAmFBoP JhAQ9xYoMHAuErz1SlRLTPSMbjBYT63K+Sk/mfZ3zFic3My4gsFwRYr/EQdm+OFtskS0/HLW3zOV KAZam0Cmb1oI8GSTAruCPbjYo+xrladvvQvrUTaXEVKKFi0exmOoVq7/ZWLdD6P47zjXohS+G1OV px3EgDrG4zKkVoUw/tcocWNZjQ/oLVqMuYCrJ5aYSG1zxHBtocBoVf/AoGUDEZhsSgUOjHuO49Au hhb/uvgO91+p7yywV6Q5VEFHp5atorJUfrHsUGrSTTH7N5RBOmEw8aqbPkZDJtPGxk5+Xy0rYuuB ii7qF7mj7p67WvN/ZymIO3B9t44ADsjHt5evX4awYdGJ6vXb1W+rucKsHZJhoYdO3sb8cMU1bxjb F7ldLfUDoCVgXxI2SPtw9v16G7y8+SHHNUMFxsz92BbVaMV1LLwaZIBMotgyM426k02pgn0ik0Rp 6V2PNNn5xxmsz9ePQDR8ZvgBfSrJ8jwmyQC8EByfr3q1Sy2g/cOF/8/OiQLvsoFOQF7t4STGZXpQ pq3iwjP9z9zhgcZ56q+90YYivo2oilZQgGZ8NPX86nLSt6JnxWHmATiVFmee5LLr1szfJ6Utqjx1 ZTb+b2A7hj7ov1MtTSBfVmyTHfGaHfyQEELnfryurVuyYdt6gH9qsRE+Ii03mU/9rZmqQJOVkNT8 oS338i+Opex9ycGSn1A3umiXa2v/xtDYCJer7z6RGJFmIW+LZ9KyzFtcTgjz9vvns2AhCRuD6qSi TDE7HjE2cE8seczdT0ydHb9bsIInRyD6rUPRA50DsIrqoDCBX5kW6VfuZeSgSAoP1oVHETIy8Jxg iiR32p4TEEc756M8r4xNWxDD7JG9fVOzAIGg/JlNLDlSZVU1KpEzLoeDyWoT2XlZ0YRG8JW4mUnC Erweoo5BfrQp3frTS6Yn5LFLz0dLGeSEVlkwMajTGilvgdyB3eeITlOK/vS4tX9n3lj4ZytTqEta FxMB90up4moy2IIOsy20VIiUeWc3Fa3gSa/bJ3ftHux2lW2ajQUIy66hh9sGWmxfPwAD9WpwK9ik PxNNlYf8oSHwZcgOtVZww6C0UN+t8d51cArdELgPLpgvYfzPjt1lSJ3nc4wlG8Cq9vFzNk3WI79d XARvAiQPW8zqsUEZj9Ph+KeCQbWtJbZJQvRaabfLBls6cRYIQB0Qm8BInvAA5x9XoNbB+wf1vw91 09AK5rKYG52cWo5cUrSwfCQhj0WYXpUuSFn/Cd60x7+J7aPA+fQ4L4IdTkfs6vFKrjYp5j9t+CBL FDaq33cikyioQlCx1OiS5ddyJjrhNif9Kp+qOUywp5jcfYf4uK+g1PEf42s1NEKNMd65Bq+o+0jy hmDLo5gwVNdcCcoSkr/G/Tkz+5FKLKl99+U61GpHXrbvFL39yDP/fzIq9B1nbwkHToGfjBrkBY4w G+RE80xccsDHHmj5IV02EBs3EwkRiUiaGE7MCkbwZ+aXh7u3Qv3U/U97ynDpAO9PC/BXZpML9OVh KAOgL1gQOu+UQoX8w6XFKnS0XZNHHJg8L3p2qG5lavHjElgmUdWh6S0sNC2ieOrUYZ+zS00dRjYy 8/QQC6/NnQfdtVp8NqB/IJIc4lTkAtpmNbzqCUlBJXDKGWb4l0EdliEHY5BO2pzP38/OF8bOAerZ onXojsMGFAdN4j3rPFfzvDvH9CTDll+BqrAEuvd+Pd4VoITIy7g4ozGRP7tKu0wop/EndQ/BCNuE KfSQ1LaHEdHlVRTy6zVDsYkROlZkwJebUcD4gBl61rgQritaWT2wjy9UWRvq3NWn9zuz/Sj+5E9O vTnRCKH2nOAyoWJl3pnzS7+UaQQioZG/d4YkT66383cTlbj4Vm9LYAYh+c4fu6Lx2OjqZW9k3aT+ Xq84Am3LEsXFUlWonr2BvrqH31X3LKs1n3p6CZRLuOsGFXmtf5W4XHKjdKX36+u1DOzQMXh5su28 rG1Glu9/QFO9450c93jpBZjOG7lMVFK6PYSt8FH7hPsDbToUEsr3r9bcGJvoICj7lAda++VYFmyj AdPqoqEIUgURf3JMXCuQychaC+68XqhN9lixT/WLEMqJ/qrEujCTocgQZDxUDOMrLSvA79pQA/jG A0KM1CT1oPxo/hdWYl5/GwvAfJX38UGCShWdQGfhEGjVlYidOexT+gsTvKM7ryl2Ho2sgofa2cwS 9hXdtt5SEPeMnZUUP9ybf8yHDnlQIzXiU9NQ7K4rZABFxdZgIK58RfoGIxv14VtHMsPZVjIBeKos lyFPfvxdIwUgUnIygnGIWbxRrczFDlkX0+GbRNwm7DkayzB7P28aIol1VBYLwLXto5nsgBqkrMcO m0Ysc4nA3QrFM5l1c/oBM0mleCYbCp7g4ZzLQITtA7Vu4CZY2TlY0+dgSkqgFPDrgoyQ5kDK7M4b jSsCgXU/u37Gn156Q+G/p4zeyp+6awHv4o/eV33Q3ckLKW2jn4D2+Ti9MunsyoQRv+gyuGb3YCon kntJmR9U5YKSXrPIu2GyY7hRGfaLkPhIsEB8FK/IItkwqzUPdxLqeSJGUvPiUJYJpyfCyDroTsOm Ms5DpanZbdMvADq91Arryzyymzudn6a/rgkGlysuS7Ijt/a3jvN3d/L6ZNiR29eNA7hwFP+SqCC6 SaC778PEY+Ulotpu8xAPipaYt/GdiyA70maPMH0lq2P9tzbFmb7mY8MkFBrcskVj73MfylFC/uZf etAefhVESp9F2naXx8MwCL2CcHetLnmjqyJYhAHJ8QSO++RoKAv12ZOx9lNzXmZEPPXsB3OilSIv EWD1uNU9SsBzWaYv4EvF/TcZ3bxIugj2yBegAB15nEnh04y2/XoOGQBCBHJwPxUvLNAaFtL6QmhI xgfaw9BeGJFQx4oVp6Hs+0x2hjeKa5QbdQoCt8omScBs6txDsJNAXm/t8cxFqoB3nDVFHQnYylSn 2f3ej+iO2qc3CedA8IGQdS2s8DqVFQQexCIAmLFtxgJf3Bk0N5p8NlVz9uU6AcmQHSU7nkc+5juI xkFUGEfzTg0BQKZEQDNFmBnO9vv5nEAIzlUZSItj/z80PBpBiGmT3LKvmoXnKFWeiRB+gVIj32Nr 1U9HKWpTFhTZz2SXLoVOef6P4wu0ajUVsQl/La95+YEBWXGMTryVPzw541IyoPFK/+XTOI6Ql8q/ K4Qj23V17zjAxXlutI9oJlTKFBZPAwTITfKpkYJ9OSCc3pFpGRxan7VNuCgjqViI9UohQuED+N+i SkJILxcS5I18FUavHwvZWX2N4ZQRAjIjNJCfSL8N9pthJsUMfq6ieNrh1/g2zi3LXpDoZDLTkjkM IrOOSYneUmz3RZnTUMvU1wBvEEY2eP/jd57pZHK2V8ZleE6aG54gawCPwYkZEgSIssbLxNChvxLg LieF1HvzaeAX142KT9pVrydHQta9TM7R+D0m3vqUwy3JdddQQYbQfFqvP9UJu/aHpnax7f7GDHnI rFoKC1xmGjDDJ5pkJ9AWDM+AT4w2JzzOu+3NXOfGYSPV5VSXdXjrw6a5H9PWvQOA0f/JVWsYYYX2 agf5npMporaEukDX95jjTTa6KZkGZurnGuRAr0L71B3qUbsMrkzRQdnlvbZ0XrSMbbjbGMXDVwLk Q372LH+WaJzHYrTBxTjSutYTpuk9GXY2s2MaKzYWd7ZS4Ji3QwxwIeE6f97ZyXHIje5qpsLuSuAI zcbg04xanW28TnOmMerdwkuBGOMLsXjKyg+5KScydLWda/xB1z4YxIvPUwT5TMD1rTK0RT5kE5Ab EVQ9WRY82s5ICmMkFBKQRDwMIUTM08wyFkavFQRylB4nCUJmwLaIlKarVUNfL1TOa3P66L/2ZT0B 3TxBakQI3j69N+uxTg/9nmXDF1/P1K/N6qWZ7hrmGiDDrYJD9Gi81SUwomWNEtLn2KgxIgHkwQDd e017p8+huLrBEMDFnJtDujAmlvrOIHd6DKH9cUu6hY1rnwxEwLtIPk+aeIP138fnZzjtM/RAhbkx Ue2JyHT8qIgjtfAckwt9lvdaHrN64D3mEzJ+y3Jq2r5sJUnWtE2juek8Gw9pqVsl4fGZGfV1d32N VyBNwOc6KK9TtsR1UYxyHBdLvQPOr4R5eVGvZdijdGn4E7PJo7IUYAp3u27pE1rGY4LB92UcWXNn uOurAbIerHGfyNebPS4yhDuXTvqm0u6dcHTJm4LrcDlIwIu0to4FssYyYHYM+LrtOXBuWTe3tggV R4ReZ+B+y43vgjNoF/BkFDNbnrRgWsumTqeW8ctSFizcjeHLJC12ALkb5JyfB3I7VcJX4AQ5O92q O+OALJLmycE81iRr+myEy04a+T6ef4Af6T2hJ5uKQcHBHQrc8v2WJm+s5BUs12OjWLqZIh3ivkoB he4gU4Gma8uBpXWGQHNUnidndENS1sE4GMflXYRIsvqgBHznrm1kKVx654J9/AYKEA9xE0vLUAPi 4ALV7MJ1+m48GeK10qmmZ/lfAvYOzQ2F+7H6lLhcQEwv8PNpJ93LvUcJCQw2/JxAAdBXt/FuO1Kt 5mXxCgBITN0blZCl0fJOuWnbBUxJY1bej8Y8s7vH6GDPGjjGXGOye0mg2OKaApYm2bItp3oo4O3e g7w6b7jHBRw9YF4TcUIr9ghLcV3MiS/paR3y70lp5U8TPf+8z+WZco+5x7lWe2pgWNDybSK78C/D w1hIDMuDqXhQkU2bBC3LBS+QnsA6NnA9T9Rjg3MfLCWJM8hiMSgmc8dO5rC+1JqcU9tdpRw+Fakj AM7TYkNfKsluxCSp/dZ4B8p3p8Jdy0VoOEnOtJdCJ6yxsvqE/I6eWBT2fRBMAXr7gR9ec51yaKDv ndbCUR0bdaH3b34WaCabA9gQWLnlbB6VEzAjSYGMeAT2E+XBT6494IlEGM/l6ZTBQkWb5m283vvs nXNb78QoFBDrLjQ9ZB5viLJTE32cn9J2Yfe6zji05jl1cfAbOt2PGOdlnO3D7ieluTEuj3Q2SKta tZEx6UF34tVd5li3RUpdRdTP7b/NeNLWng1PTlsnwo4rCUwb0GfJX1557e70OrwlHylZM6E8HObt fvx08JlQcYeRVNE5/AVB8pVIkAmuAXC9YgBOGIYUfxqjlJ2C04B1xq2T0FntUVbcXm8IP0d2pQof hGV5wIHMdzv/4C800L85u6JzswtCJH0oEl/oIyEKQeOrwmWuKjdgfJBBbBis0WYQbNEABsIMt/TP v3atdsN2zxdQfN1fdQQ3+wgJPkjgzE9t+2MP4iMyUyZJuhSfYydh+zgjAyB3+2EyH6gxus5YDziP NbyuGoK+tJ+vczdbQniiHIt4kLkBmyTI3fXap6Jftud6RIf0sH8uwES4nZ9PUh+c06yqvNkQSU0Q 6QChT2rFYJTdkHJdNzQgHmf6IF3PjuQ5haJ8KYw2WCnWCVT5+LcJKvLWRLGnA3WQfhkjQXLo4rAS MKOAKMeFuo+xIX3t9k8DNT8fkcXICDp2eDhZHvEXjRg+Uoj8V0JI1qcSfxOQN9Fc+jE6koqEPOSN S99bjqs7O8MdUajlV11Geinfy+TTuHdxNkvH5WNEmFpiYw/G2ohAzUmnN6dF/JbedU4qPvNtFSry dWtre7q/5nE+DoP3BYa++2ZrrBMNz4PSSGzumNBIFGSC9KIVDeovPPJaQSyKQDR32hyh97L5E36p +O42vCfIFo2umAleCxpixWva49ir1XmaoBQyWitu7NjhRrZCRztzUEI+WORF3DwEdXnNWaavUqqa pWCinH3o7Vfuik8dw1vs2ZIa/up9ObB792LFakQiDpm4lLY08CVD7ft2iTIr50OzA97j/ta7az9o eqQ4RJq/wqX+X+wukC+G89YBzg6VFFGjb5EjXLSVwDELuJTYn0+q+KmGWLkdxMnND5uxOGY1JtSV +EFquZx8QqZ/957och0wcRFcrIYysyHCyZi7id5UzszuXCOeM+d0YPUpA8EkZUhu7qZZCZc9yuR3 RONbztAT6a5qBvNxsZHPhEd0EzmpvPudcGk1ffywxopjNF5czM9TGzuFXStTtcuXQ6UGUzDsCXT2 6B4pr4ipbl2xKxF614dpE/IbYlzAG3GaaaTglMtZWtqlhQurCeiia5N5Re4/COGLqrNXGyEGGENM D8Sle7FZeX9YzOHDay+VVQ5EgsWItMJ1tAUKb8jpJKGbJucZGKm4daqCW7KW1cS/O9EqPzcAIAR6 MMx2p+BVGVs5reUbOENNMc+HVLZIemCILnR6SNI3qr0W0tiHFx5H5eN7UdJpTUt2NZzNNSYfUFJh rF2lwGvwOy9QoQRvbsbbMynbh5mn6asdrBront40AcZgR5MRwX/qhnvriR2e3Mcji/iik11AyAFD /L/0rIzIYtVcmCjI08F8emjWgajcDASs6BHwMgd8SnsIul0yeQ1sna5fxeCFJcoS+39pecNGpBOn sG3eP3sCa3LAGdBNWcwQrlLYzpQtk2B6mqwxZXo0w6++Cn6XUzNEyb7af6kgu1MbF4a12SgpJS57 3l1XEgdip48ettuNDql7DAt6OYZheL/8SI042PcRjGXZyZmB+pJhcPfeP30THDdsgykPN7wD0K8A DyAqhcyriHRe+ihObDrpUDYiJSybISd4gF6dRUCGy2BesO+arK3FUw5RYk5yYoFPFLLhLGn946xg 0XvPe7cPlfm9f+Rn1EamuIFzgSen3gDMX5Q6wLh4lGMaqESvvmBhEgCjOOqcUl2NuqRWjj09TTnH qIyzy4I4QTYiQD1ccjmRikkcHLJ0WRKfYhJBm1GiPcwQ5h4XHNuRpUBhT52MXukf8i63NncO3kMV Lhs6xFvrGXs1+H0W2HG2soA7hDs5kRiflJg1tCSqOEjFdmsctB1nGfXQLTPFJgU6eIw3RsQ6M2kT nHVjSLDZJfBEUE4HCzLlz6peFCHhfLXPngW7NF7rHpMVSTiiXb9nL9AYjq+jIqHkMbFJ1NQrrhWM Idp7nyRmQmeTLSWNg73tvJrC/H+rSCwHuVSEsZwKpqKe+fey4w6RKNG29EhsI2vvzgtydhY1jSDM tLStUrIiLPgaiu54e4BGn9rdwU7S+bPLyaGBx8EOAiv9cS64CqdqgfJKnmjRyFtNdE/i6pmRyw13 yljj2lMidW4ixPWub+55t3cxj5aIFT0Y29hCh9J7ej3soKWPN9K+p5zlNdkljVQOqNpHil/XFzag jcsi+Q3QQZOxmroDqnfsglDwFpLlb3U2SaZ611oaIbgunqWMfdQtwOARb9eQbnLo2ZnS9pdOXxqF P1Z6cuWVX8gmISNF8XJ/lccQMnwzxNb5Srd1hqVYTIFK71gfPZegSrMN0+IrmvyPiLXzRzTrurc4 CLlLrFS8PgaXPHTIGMrt5GwcWFnzORyjPmJXTiZrfMF2qv3rnbPgOXt2o77SBdiVB0OWzW7huHRc EhW6yE17Okc/2gqzK/ZolWpJrg4GyplvnXb7CRNy/V8E2CK3aMbZaYKGSBYJvsLWjpq1JzBHgv56 C+HXgZiQ2OQU0Gd193ljp8PDCTtpeZY3DCv3CBlXl+5cMMV5ywpnbEXzeF0NpX3tzgN9+qQjYy+G K6VA2EH2Dg35YLT8Gcdw3PhtU17oiJrBnjWe6udCcWW1ssC9IzpsZuLjA+dMFO4yCSOC2+XO6VUs dG20GWU9d4bEBWZCnDOIeua0HQkcb5Nd3AC4Znbjj8fSLwemLwYH8XZS0nFAqDsY8F/tx1gO8E0j XJDEKAAzgm61XsJtmLGc1Cb0fibrAdYD9jTDY+hs1TLkhgaJa+J+ssSAFsuMNyjvR8ieHx8xE/2A 6pLVzkLQ8lMt8JJzMcWhVkE9RCWFLaO02XgM9PasSgf4TmMUH/F7fRFy2HckTN7YjtwCrTeyBr43 Vc7mLYkA/bBz/c7RoLnsFtH3y2sTwLHOuv3V7CN8dw5LZSFfX2U1jzE4gny2BXHsAMomVrqFOESq nIkYFrgonSZw4yO02ZBjhx90wwtZSKahLhniTg/CqPufWEmuumSWDQdmuj+cNDALgoJzr7I91dOQ hWm9+iomroNVuGhdwB/qt59VZaknDy686ciZ6qZRRww2JoupdGRkKaQkZpTdE5RvT5J6BJSCLNa3 Vic4Rq3E//3XVH/llvTUF/HKl45i4z/sEFYRTadMOyY2HF75/mFZ3Du1+gHYcoeLSjew+3mzsoHF t3nz5NW6W/nkunaXyDaJBLPH72rZYRxbWvv2Q6Qk85ivTuqKcen6egZtDW7c8TqAW2PCTQeW54P4 sALBrcBM8+NUMzxWj6D8ZPWOugUQUMOmoUZOESrLBf86bQKmPo2SBuCYjtteKuo1SGY2fuzwYMsD twVhR2IbRItUM9IKyjJ18y/Iw7w5Rc1i+XujR8oXtUkEpxuceSWc35nIwvg8MPGHjdnmQodHRuv6 2C3J8OZpQ1EtBuzCXtrRG4Fpqll1uhA7vQj6CZqBVl0WwqZR7SnQuDp7QGE3DLmSbPPsnc2R59kc JXdh3TNMRPRfbT8vE0kNGqon9Ap4VFMApcbGBKtgXzBJTPqqdpgk45OP+EHM+T54hf746PhO/tpv HuXGm0o/aFS6qegnnZ7RWNvRr/TZcUTFwq85zRGCeAoqKX6yDIGrr9sTADr4xqkDgzGKsxnjwQ9m Q5/0fOFetSeBceiMykQmoCkmWwdXwl74h0hVR5iYmtg65lAP1xW0p1cXamDe//1ZYUzGzrNsIXC1 bdZ2m4KaMqZa0Pa4p2Qggy2iAsbLFYTcwkyb4gO+gLFey0T/BVfw2nutx3mKgzKwNrLIBgQcgdlN ZyQAxNQSVjjZQ1JeQrim/Yd3JIXH+TSB6Tq1TKDUBs8f9u+C0WHGnGL5fPLsxiTVGNUvLUK3xaoJ +qBt3LWvZXGzX/trGedW/3eFyb8EdmUNrFTBV2hPcsLeBsqmvao7/tCgET5Pjna6jI1/m4KnARVB nbHpbp+I4aLw7FRK24Z7aLb48I3lq/Jb2lr1de9b+qSB1TDDMa5lU1PIspCbohb8kVZTGvevnIUq KpQ+dVhwfZEiD4k1TRq2vmLF7M4GjJkj1vXjO/RVBijfBIsDbt3K9aqkQMhGfvvTYFEVGblWXg+u Nisw9oV+iCnmh/MIHh8VPhTdxDaJ3NQwLqpLujIp2+F6e9KAgQaf4MHX3JcbqAS9jBdNpUO3XI2k IJFFrw9LawE9LfuqTzFweejj84TUuLgsl1bJvPzTlg1/FvolI1iCRTeXPgDMquHkMkiou50FckHI /fWAZAzxI4oHd6LLZgh0UV/6T00nL34tq32VcymNPRMsOAMvP6l6BtyBLFK9lNAfnBGsckmUy5cG gc45hGmZ5ppfYLelACYx/oajcmxVzyE1IdpxixV6H5+sIbjQwAb0ZP5qEJKD48lK9IdjL1dt2PBY EAcd0fUl+KeLM3Vahmb8/oktUEJ/HSAEBtQcGi1GrWa7TNyUY0qk1Uq8w59prVLZuNr8LsLtZkT+ GfuIdKmZtL3IR+lu6HeEJZve5niYVcr+NKIYDyU4Lgx2gxUnbWNaIPgAgFlyaZU1jwwUzcxFEL6n ZrKNLILxwbSjYkZ0Pxcn3KSqo3wx2JTyaIk+o+au8/ezRDII2FYd1sC5jKSjsX5KttDmQrWdV56Z M+0LTBcOq9QMWecwuB7ZZ7GZB1nwUnI8Nnk2tuzF3Rhlis93/J0mPV/cEeoWilDDawx77x1Ph4iF BaUHtlqkyp8OYZ8yXy1ais6Vp3OOIMaS4Elmln2QtK+TJdmmicyhgg163P4csKra7MnnJro5PHJs T8P1Rkf1qPk2wG6h27Cy8g2jumnbBSrrNerYIHt1SfU07CNsF6LnM4Ie/bSy3L+brQNdgsqssucx ntY+QMfug7zX4rm8MxtpMw/2lWHEOHhtmSwMgr8D4R8pvFNiuJlya9ttRgTQ42pQmBk3k0Tabzd5 SpQlHQGf4KgRt9dMt3e2oxSmPMKybiH5yGX22iiJ8kU40yCMD2DH2AnriTUFA8oHak+Hhd1s6xW/ 2kgnbK5dIUrvspnBKuMV1rXM94KEzrB2TqrjYeS3Mg7IbFG/PozkhtbllL6DyoDS1n30oDbHERMa ZpEuAUBhcaIaGtcfKbJ48gL82VanmouXgtpEQGFFE0YkuWlfCslwv5+YfKWFFO1o+quVWvzlMCCu FtN0NWO1QLxdsmxQAXaZA15WvAjZYDPkXZ5uHgnSaC8Y0qQSEJ4PUu1ZtL644yKiKdKZdq650KJa tHo7uaM9conDfjflpUpC/dpu7OBIslDArNstTcZ1+FrtDFt/31VY8FUJFuJxqpIMX1Y51bVFVeMk o/uAxpp6kFAlpXEfgPyHJdtIyEXIQSyDJ84MWTygD03mUb53dgZFbvlBIxpscDURXPZ7nWmubC9G 53tJ/X1V9/uksOkFSj0fZymlbK6wLe+oIL17iy/AH7kSERjy1jEx+O48X5fuGYfAG4iLCAAZd7/G aFXJDL0FRKAEZPiTofRmMjNT4hyUx5W6hlBHAhrY1djtp3j5V5j5U54hhG7AYtXTVe1FCmXndQ19 lQZWUk7TR2buaCprdqM/aODRNams+Q0sX+4szW+zbCSVnTB1SGUtH3/GyFVVF6JcW2MoO4b87hsp zW4GmYIEdx6Hzt2g/Wjizc2PrIHWfowizns5V6FwqACIBRDMLP2ZPxH+NYLEZ+c2OQeTBCY7SO21 cmj4KbcOtiRsD955cNUxWiSeW9y6Gqyy/cE3hstSZHqPpnZjPW0Ba9QeEDMAmoNrPSXfA/yM/ehB XmRwv+fGzRL6vN3Ev9mppd+YuH38Mdet7l+LfipjbQUmvJCWmyJRm7gHynFPplnjXXRNJqm8Dwp7 a51UX44IHrkG36d37KVjIuY6y7AmCuZjCs/wco3ubSsrS2l8zc/Ey4hfVxou48JupGJQgzQTKGHg 2d3qbrtHaYVNodTACsEicMDYpUVTzam+qTSP4jG2fsqHcZO9viSF5BomfVSa6Tfh7ruXLztclUvV TnqyijgpAqZ9LlqL9V1NJ8//YpvXhtSvnIj5xtuKiENGgwoDMddalNbVo3oCBrwnMKD+6cOn+N5G 4BO1YFBhuXq86vpFodS0lUTmhepEBSna+LPbnIZAHYkbXPvgw1h68liJrDDRKPgopsfNoYamm62N Dn3xlGMroI6hK82Un1+AXmwRt4BYZMivk4KGf4/HtBLuBDNzaK+TC2eNPDlAbplleK4m9nxoZ3gJ OT89xo+bab8FNtDGopMO5aPByYL+GbbFPlmLMMhbpNrS3gGJB3jqgIGYdqib818NZoQab4iCB9De 6BdvyZ5nFgSTg5HVeqLGPJGdzCgapagRj2CB1Z2zpopvPxvPc17PgztRqoqSuPCxM4dB4VMIUqO4 zkFAjp5FH4XprdrgXF2SRbFigypVu3UHsFIQYsaxoTQc4PrL1zMUpL8P5LGm9x051Kj4TFeWu/QX gC9ioWmf5u7XeRCsgC/mK4nXuDw4yQhV9XBGr3iuyAOBeRbHjNjzlFD+KTG1FaTu32A9YQrxZ+jO ZZlnxFaPwOhV2MpPOUtb6VDfe6pzLwrqgCc4fVx0BvgKIvFY3siGzaIxNmsGqVdqfIli2qZyneG1 +Bpfu92f+6Ihgw+yExt8Izy7NKauFDXuRWVM/tVVyKI0ps3smxh9XkTc0pzFgs4j2EXW9mZiSfLS ovFM1CRKBUBRJyCfyZKA14CjVe2/H1cfTm9aop+SokyCiNLxqeUIur6BFf3+6JmCPvYkOu5YR0C7 wZfAzGjoi17pmh3tgFUfvzCr9SMKJOKGgMZtVo1swsrthXnFxJTXxE4WDkHXRvHJCWfqnGUnYC33 StACBoD3ltpdK/iOiF4FmtMzj+1qLx5FQl24njN9CPl4kMMug+Ljr6TJUf1ZSie+/E1pcBXhjMsX TVLz39mT6NrlYYtGYXylkfxrjKOTwSalfsfZP3YaELaRMXdwd5tUleex4hUk69Gco1XpCHj0OLSl hTIqO0//2WmeSVoRsR8o/0sk8+IlUSNo3THlbC4O51uVcxLyJgHeOut3RXwp2ho9cViXnErGFePb FyHOvx5TQ6vWhW0M4eag93b1iuYp8obMc0SWhLS2Gi5icX80RPUIIcW5yomyIPvtXWxXLM7qOuW5 EjI83gZgQODKTIyY5bNZjnwSaOWtX65iBr7Ajf7J7U/C/6AiEs4L6Lv24wrgh10zH+Ii1NENo264 2Iz4KZmrh/rfg4SM1wzRlMruPv/yS9i634cWf1cnZay93kZtI/yP9ZFsqCoc4KCpRFYpC8O6d1u8 g3H/IlaJnezl4c1AeR75uRIzBwWFGLFqljTyYyd7241DaVFwvQoDaksj85zKyNm7o7hX73qIhCPr Hd9ByTPQZgq3svb7nznSSBQEzocpclfBrrjEL5Qqx5QuEiOOajkKYtcmC3/M9KMH549EFkwAo0Pk WZoSzGK1a4WkDsaZVtfCe0iV+dCOEwMT7GSVnVkssTSPEsqVFbI8QyQHLw94G0BpZT9Ftf6+Hruj vZeFtNZ3eMbpCq/02uBKGLOoW3z8OlQwy7AjfFyASEK7qqlWGPBSu/QzV7dLKcwjV8VwKqFd6Z13 AVAbRm6NcuB7PXA/27h72R1LfUkUgKLJvFXYIjEDM86pEIVzS6FBEK2158/PJs42hsHcVJqOYmRR eBRRXKUJsFLggcdhAPwLhp4l4m6PUxQW1r7pCA9QlXMrWXmi/MJtuHTJaEHKMV4BhaT5Szx4W9Ga a9E6warEHuPo2OUdOE6hn3Q6rP6k7K0AXCB0zU2SEjlmQVOob8GKUKQQaupOSRFzN1ei5jbM7094 f7VXiiY7Q/Oz3yGpHRH5HjuNIwPtGGrdMbJZNSQywfwRznXpjrpLLUD1UBghixIyXX+h8arJG293 ViaGi59CFLNz4+VOsAS1BR0B3T5h+VzhA9QtYcn2eAngc/iM0rtDTUsy2v0ms+dtfnkNk7T2MjtY q0fHk57ES6+65J7zccr902hCl60SyqoTX7TpjpQ6gH6kQhtVU0ZY3O/+Zo1ZljV+FK0KyLx07uuA PJX/uKcWF4FMDahDqPtLiFCtefsjr1Ey/3iLlKdIbX1mL1ehhb6OlcYZK/bB6ohNCzWjXtRUfBxk KGkXpuaEskC6Q34SOZVNMB/99O2rV5llU86KPUeGaKSKf9Y9wZi19weVi0alOw7F/wpBENa2GYe6 vuYDAHgv8WRDTGzjo76sfisJkRpn2SdX+Ib/HEOzJsuGuBLsySuAJbmHtyW6icY0bHT/FZ2U4SB/ zW5bgr4DGdUWqUrcIcQpFnrXYScxGU9y3NS7qb6NTbKtuOoAJ/0iItnbv4MHVfBcOFu5mY25SCLx ocmp4CGCsPEkQdq5eC7ie2Jz35Q2appRaod19KwYuaw1NxwfUgMnVlP7frEWCA1ulLhwsN9dIGZa M9kR1EjLTScur7hmdShQZlp617FDFkD4xQmaH/oVaLRyVlKl3WMFA5c92QaviZ/864BJBbtDHbkq Nl3JlK3QVdBCMlVkC/RM8jo8BUiLHhgdUhlP2NSGi2HDEs0+X9pBbVTlhTxYW6gcorQ02398spAP DomYjmvX7gmY9p6BZJfE1HRGA9c2SW+3l11QGfOl04SFNI09xVz0e+NLcxbwOgvL4974R5qHTKlt pUOYWL/IuIuTptEh2NIST6js6Xus27BNxpX570J/YzyNMMe38tW29oLxULBti5Io7TBlH84HOj0S vim+FTlgK3EmuAur0S0F6EC6K3c2KcM++RT1Enkn8zD0c+NZ39DLkLfESSZC4DisCYDXTfnEgQqu KFXSLr6o+/mDSnZFXFy33nKq4RjFQVcTmXW8kM1TiLufx1tnWmibh1OR1aC+ioXwlop3nBdCoeH6 Xrlk1G6P55eikldLJvVFbnNjpj0QU0U2W4m1m55FPjulvivFheWZiLMWLiqk1xC6u0ECvx7L1iyu 9Bpd3/OwXLkMyyIY4Yz+m2rUFNuOkMkqHqun3RUNsnCunRCzS2DSOH7Md8FdG5tbnb4rnyId2Pu1 xsxBV3ugC6uyWYbCYmQxHJM58V2RvkSQAmMFcJn2eYgSYJ2QcKi7EgpNRLyrg8uJ454jH58kSzf1 cK2L8NCcnJaBNpFNhw8PHpvGAULuvLOLVbMnMll2NcXdr6oKXl7F3y3XM9dX2eK8cVL1l1zaJuHM +urFiFW5dK9ELN6Zo1SWRxCaeUO3iGnYM6AXfvrdf6QdLYIHbKiuIlmRnwb+5yAeTft2ACNGSB1n BJ+ZhcMaITPF0voICs/y6TCj+oayFgrZLC3G6+3DjQJ9h8xOJXHd/uGf3RPywH/I/D7SNCIgR5Nc XELLbgGwfErm2u2Iapp0EmCOh5RoQc+NKPLX/AfENNiUvG0DWIWhM+BtDfrcnYhVdA9cn58qtQTX N6isSswtNvqINMyXU94GhAvC8ZB2jefUs8WNejiyBN1wn5l4/vIKkJBeZepBJwAM7ikXHXx8Sb5Z tXi1OsyvxB7Ycjy7EqgFVysY6JU6I6Vg/ffGBUPgp9hSzoV9zs2qaQpV6mp/TdiWEe8ixOfFH8y0 1McSYAZi6AFR/c6olzBBK5sCGStIuu5xTnC/jjmzP7hxWLJJrjGW2aQ+DKXYSbMLzxQwuu8qr6QM iUoeegDAsawaXFQJVFOQKA4poqsJSujsPu3TxqgKyovU7p6v0LOFw5a7sqO8iyHO5siVg+z6DKX4 Woe+0tfsYVgfQU/tDCIPn7eqDsOC8HWHJFEoCsf7XvB4HSLrTJos3wAaHqq3/+/7Dq9yQh4Ez2Vn 3Yq9CH6urIB/RyLbazd04HAUk8q3fmK595uT1y5dy/+DyYDSWue6uaGB4oSR5HUr9ZwQJsIXdiY/ NF/TcZh/0z0+MOQgIPMdFW5hmAZ1q8TenYwy2n0Jp+bIy2xkZLdPeH4NpP91rI9LzCL3fxfPDd2A uxyThc/kMsiDPynE4PB612X8cD40lcODGZQOZXAA0yxthtRCXT4W5t0LnNe/vKN5kqcO8yFtagjA DklHVLVIZeNMjmhXXABmXl3YIknoqujQm3y6yK7KvWKNMzZQADhs7ntMaXAlIg2tsKHnFEdHOkna wX8Yoc0OZY6H6CiloLZqnxj9RFmf4euhcnNiFoEecZ4fqO7s7KtOnk6myXk5PVI4Zf2546toQVI3 mzO5HsG+cCEwN6mbUhTeddBSPqQqRognZIdoBRty/fGb8W52DvOV97l5nWM9PTZFVP+sZx6vO+tr A/Yap7A8C1qOm9QLrdIJ23JpfXi6QCQHSf1ve4A5F9reVaX/FSsvHlIJJH7M8hvJjbHYqvIzy5a5 RJMn9GHR/J/iZ8EeKN6wyRO1duPa86XBYzDNun8EsEjypic7OGEWppOGXsngb62CdkozhVPEkM4+ hfelayUptnmCMQAmao4FJUExLDd5xsNltnjvwC+PZutxDp/8FGPK3HWJ019Q5/vRoaHV4Sn//PMM QxpFZXCEADwQe+giK8juzoEurqWrLMEX7G0pI+5CrEThMEr/tUAhr+3xWcyxz9Zg3kTDN0bmpmLw 2Wg6mo/UMlfVaWPLyAgJr9Uek+ehouM6PwJc84NZOWvCR3klSjWgRuUg1Zx22PEK1Iqhd5E/bhZw gLdxlQ9T4gr3oUZj/GL4wDaUJ+9Ua/xnn5OAzd0H1k/SlGI/QtXcO7LD81NGKWFh5nQj2FqS2DjF DMz5gtGjBeHstiaSQGsLteeQuJLDH0L3QlAGJ1J8qJu5fFbppu4TA0K8wv1u2S/ZPZ0MBqo8Ar9F ouA5ieD6016n7LT8/5mkGRiqOxOdP7U0VGgkJVzWJ5tYxjIRPsYI2W+yEuNsaVl2WxSRRVHMMuQs JyO6MXCNPCrfMmyUwBi97Dr1S3hD4hkAu7zJvbN2RUgu8nz+fWs9wn8N2TSCkeABmp19ipKQNjIC 6JYUrb+TJg6maeH26YERqh7nitJxqU9joSh5jJVSctiQr5QxTE4w8i1+dNiyLP//syV9BAzhv8Al 8Y11JvTF540etaiesg/ReDsBtz016yzxZTGoa2qXbndYg7+xr2GhNtO212nKeVsbOtpsCQsP/9Fc IqMyRO8VLM7eGwTEbgVgi5gNU4E3mTUYE2HrupI7SZpEUwwkWe9vOJz5ySOGRGwGRWDQrbMK1/1k u1VR6IAWrmM3dPyTJ3UViE9H0TuqSPOlCKXDwQ+2q8doowzON0nrMOzRRdveGb/YV4Gy7JYHN3BN nefwyG8S+8sWJElWUNydaF8ek5HUgnkRBXo+wmNZ1/OYoFZSxo85TLetOLwYJWNb/Ir7xPZYSL/e SB53j8x1uqbnrpPkclA/gkeR+g5t595/yrW4cRV9YZBuEaTPDAc4CtAe5B92I9VoEk7WaEZ+gcUl h1cIJ2zaJYsaccjb+pTlA9BFjXYftJo/0sQQC3USk7/q4dZAE6Iu2xyXFRvv6H8huq41cyUVeb35 dBBbz8pvmAATtYmILb7DwV2HoW/x0uy3eMNvMhNnWA6/pk/pD0t13JwlLVR0onFCzz3kCTr9MoYK VOpuE1HhqGQmjUCvxLnpDEXJS3BEaxXlgVySpqok9+138UGLqMozD8pLHltmGrC+lNva2hwrD77G 2K3g2hS40Totr79votZVn5zVqDqPKyv42TlSL3dx3MHFn70wj918Ru2cLHED5cgcwJQB5dp+2bIH MCeWb0M6smj2FJqCkcq2fpEAmjOZ+wZy6QIKbG3RqswlFRUOJrLk+y5sio4UL3Xlu6k6LkSUNqx8 rC9qLxXYiBgUXM2BQSLp4Tu2wT6Fx/+uFRM0HRkfYg1LHUGaB8D8JdK1TcQYVI7Y5KOkpWXS6Q5K /iBywRlGdYMH3sL6K9CxWcVqXGU8JDL1U+XYV3lOr0DCYYRdezk6FBxpVhRUHWk9jkm8kjqO02VN sPYqIDEEpPY2YhcLGc6YEL+S0X2pcKrjADy7IplMRP85evsxAiGm49z4TV2XyGvCWGAiOU18B/7A inkpDnfsiP/XEW2LVqP9ufsGt+JXNtMzBINcs6pyvntg+jkt3JYFL7DHuF7hSN2xDjSJnnVA+lsW KSfKoR+N0/jmjNDFAvr2vQ+mCbtRH48PITgSq6MiTeAim5LFzQgybdPJgFu2tWTVq85GaozWTYM6 A6JvOqwItOM2t5i0jmdAAdyOGKfmbgYyb1xI9EP+27+0NCllFlxcV9to/s9qRoEUA6zJVcJ6kZjj DylpWpNlctGFWVXKskgHOzAWAATkPkoca2Volz6eVn5TQovry3kCEz0DeuzgXb18T39xze/VnnUA GhCrI8Z0C6DD/5CpWKSc6faqyUH/canW5AXN1MQZTajkNbWTwIb9ckfEABKcWC/tsRkUkcwc5g5a A11EOHdq7kyp9iyet2Os8+15XXL7RSVqX4UoP/k/JSWpzl3yYII69f+qJrUpMoTyb4GcxStt7O+P 2B/z4WTzPn8awSpvOVTUqqv/++XEsmgaDrAiwh5YTWCPTDjgx1wJygbNcRtfm65d/syWOxdRr/F9 X6I0bex4L4WePVDN5PWhk/R7Foblaj3GuZtBDkigqDFwcbqOL1CXBC9fz68UHi7IYlZp2n5LP+M1 KJYXNx7S3s+ZUPtHCWJlaGHbRUS4M4ihULedH2BBDCDvtqKJ346g0vk8bOVO958t0/8kABYdVbdS ibtuHsnBeGR5ZtZ2r7UJQX3H/62qCTW54xj5sE/rT4GE27777aYQG/Ge9gAyrz69fK+2iWZOvMUq B+DpEGw6RRnwC4V2m7EmOOZWKLBoz4HfYDZzkYHR1YaGjrfiHCSeUHBJ/nDycbjdJ6wIcKFYlNYq bHnjYEjXeFhq04RNXPviLp2O7NLTwNbTH5Dka0+anTH3kD2NTF5jpzSrfDcG+yv+ZHNetMQnDDTW rhiij46EhZvNxzZiPX2RaOyX6dXYQssQis9bcS/CQDi4WBD1s11LaENLOtWaCyRWkehLL6yP2N9p 8sYGOdruVNnfxGKa0hTIz9AusGkQcacQ5WorIi+U7MCaJx0MSaAebuIjMo7P1W9iUX8J5RiOYPFd XGu7qqWZ+uDlXw9wxd0eEnaMdWade2u532nudN++hdaoatXNb3pUIwYMMz5A6Emlpp2EjKiTMETy cBoV1kEVaBUqvOfdp/oMvZ3m1khP2OLK5ZIqQb0dB7/EoC5/nOtE8Uou4KKCp3dfcg4XHhateBM6 eg== `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_bin_cntr.vhd
2
21890
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IF50xG9xCtZcedpw2Ony1SNid0mhsZreE+6q+qkBhi9W2pU5WEDTCOAxGsgI97/ehaEIiABvxuAq tsT5lmM+Mw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JiMjGSLOcBjHhL9UYXG3CBppUD6KR6qGgDknYhRUNdf6c2gTFamTdp34KK+KoMzNgSu5Lwgl9Vsz TaIVdXvHuJ40nMwDBMRV+xUUwwBbC5vJG+06wzb+FQ0r1FPEnpYYqHlTrgH3W8qAFjV+R7KLfpvV QZDMkaNEL0kWpwzW7SI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EBCZHVNKma7ERgzcywCUqBwUPkrxH7OcKBqbFZB0n/KwCh3MExUa36pdA2Q7WQqsSYYTMvxNqe7s RKjJR/z3mXS/5JNmuHtIfKKqJhUlFYF/XN2AhPSv962hwW6Ymjw66R1rreWfY70W3ABfqZRZ7dqp bw27YITxC0ODsSQi7nbR3f52nqTjUBkOJ7uE189I2IsD5iYdGcBDVMhbl45zy1ou3SIatU1nXOp6 v4ysUHq5e1Mj8DLE6kmjvKqnS0kw2jWpzdv7CfjM5DXr9irYi5QPdpuGnTbHM8y1yfJoSdZ5yPgA XRMFA9HrHc1x3iIlP2bO9Sprs6C6Y862tAq2Ag== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HksAvRsLhqYE107FDID8LlfAed2qdGBZwtVvs0Ln/ul51YRazl2a21/BepGygdqp4eTI2HaPojFz 0QKktVP+oZJ3HErwp2qdiMMiQlOgFJJjuekWnwOdM7PD2Ozs4kICF2aVaDA/GBdWaZcFsLtHKLJo Q6g3CTzb3wx0EWTXKs8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j3HP0hRnrj36ObxibMN+NKkM6e6Ojtt1SoJz/e4eQkRuTWSOhgv4GqxwIrqjrl+uII6DaaCex2DN i0NzQUztTTbleWyqBVFc2Qvyy4Nw+FHJbRomLGLsCKDxWj0T4fJcEidWWiRBTN1BkVE4uIdyv4SV Eb4OfFyNflSdWy75gX6rVpXnnMN6LqXFfSobSbfTj7AEnlGKJV5bgoi4W7r50ub/F4C4puhmQSQD jGGMLzTH0cb3YUGgULUhvlVOfCLDcDBq/5+vBktoYH4DzdnJG97LopT91NQi/QVxcfZtqHdyv/e1 2cyAfTA5q1srxwYQHVuKkAX1Lz/oOPbPKH2xOA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14464) `protect data_block C8OihyeMSVT42TNy41ypgAqMXBuVqyKlRDj+WgM4HDeLO+kmKUqOqaRnAkt7kpwAeuoojchwqd9U 9/hWVaFmHR58siRwoxIlpCubPLEbwm5D2i2mVcTCbrp++ODjMtcsi0AZMPLwxZP0GlmpTIIYKQ3D zVuzHYId+DKXBD73Ddb8FxqqX5ELpzvQyiwcgdKEJ0GDt+r3xMzLHQX8zl+fMhKGmW238nnOcC35 9Fao/fSiBtRa2qL+E5o/eLi6tndXlT/SsqX7f2xX07XRjhew2RrouU2KTQI9jN47+TspSvwalX6A 7U5R/0BuWJhdISB9Swsdhdsl+HHFrGbKzbRqWunWMnpoi4H8t3ZtiVbfzWcrp5Ludenl2mQ8F0TU 0FzsxlZn+Lz7riZq7+fMKdjL4IxtQkVpG6qFyHNBLncqQv3uKJ3b4E1bHjpGVoVBei7VS1X3dnsF 8gYkngpt8Gy8Cy9QqgvSrQ+AzHtwQ2YKh0tQbLHqnbdXrN2dp+jr5o1wiYUtGTmKLXdrd2ffgyhF UQZ3iXeEL9CQSLY+6rkC04SKhIKFRUS1lGaipzb8e/JjN4kYWc6gVeQliQi8zj5UkejTmek4UPRK ApEkVrtp0vAh5k6Wl9FSpkArWlxZcrjnbX578wfUWkIuGaee0s8JJqe2vxeiU7C37I55wP7akfC3 NV1iYzq81WmvcbH6/tkr6GOO16niVqinCYvF5ULuqOxxzJW+OssPJvUY1GUp7G8l9Da/X7flbYIY Tm8Po4HpdksZaZ/0MJS/iioL3ixANr93x8hE3X3Mt9/ND1qspHYB9hWhkA0/Sda4Lr5Vs/uQapNA Qj/r7lsCDVJCifaitkxZoWWJ3RZW0lHBqQpkX/2Du+cJaSLgs6fsUqtYfnJMva/GtxDTMsZzIm7K fSlLu3JBBoD984skkEDy+3iQj/b8xxIF6YeFFT8DxGBH0n0f2Y5uHU+vj0GeIcF8VP6JYOAEJafH bBh80DMoP9JRw57I6tPVcaeGPihV2VIwWBmr3Zlmrtnf9eEj0C+j44EAuQTUlL1sCKFKTNEUSUNW rw8lESuO/+oMlBPIjlVgL6hbwOZy5I4xCX0nc0wSVOxo2Va7TeIhbet+Tc0+gMBHSTgNUKjYUUkV 6fdcQiVHLexCV21F/IQCLWnz3b3zJBJSVmsLQzKUKupchvXi8fNc+/u+xo5AoL3RiMIWv6xNBkrp bmDzlXGWbc4e5EQGtY8noQIpxgLFl62NnyrFtBZtkkA42ZCsjxiiCXQBADCJ+rZl4JSCXuNqWTgb rJSypy3fRhCLcAUhfN3FxQFEy1F0Y5GoxoRMLujjxANXpgaG4m4BRt61P7fpmXwaaS9ZHdFLpVar 393YkUx27PPGpzjvht67KD9xGgQdAHvEK1VOtdLzBMw0aMqSW9RV6HrA2s2oMDLN6xpaVOFEgJ2U 8Jc8DdCmY0TP6crBGIG6SVTVaMuE7l9UB7aLhUZ5YhnrtIy8w9T4GJWfyOucv+zJuJOIuIvGSxze zWbzym/NpJz4tjssrlQb4Lwiztm9eEoUQZVgM0+kOMzK1w9vtpkdmnUlT6Zdjl8Lu86/HoHwqWvh 3+/V/SJ84Po91i8dfmMdgl/kJnjoyBxkBTHlIGo4neroLg8lq/U9JVk+iIv0Q+9bJNV9/A9oJpkl Xzj9EEFq4mtuuSs3Cxc/fLhFSe3kWqzyw+jb4z2x7aC5CGbyGYq/lnhroIb210sl+WD74tJ353Te 4w2lOecapYhGY6Nhlm8bOK1xwQZtNahJDop3xiTx/cV98cvQkJeV6YzWhoVoyFrvFuxKUJM4A2+2 9GJeKwkbNY+CrMbnw8z+wFLhvwKl+hrH5erny75GaiyKOyp57nNWwyKkO7unhal4VVfeWCfqKfNk hANdNwcK0ipSGxHQssY3HVeEftBIVryZoH1RwiQpZ7n5poBD+qyrQ3wa0fXGCudJhqP2c/jti+HI 07VBvnUDM+Xsup0UD7xZnLsf33gZ+IT9LGPNOr8iHkbiTkyufVlnYUv4PR9RaNwPqQiJjRMI2uf4 SnbPplw2Z4c1FM7p2ipVFdRDZaYtpbnvR3HAsBEZ+cYLL8Y0lkp/guh9v+4StHMQ/ukD9TEdzYkc H9IiqNyHCY/96rFBEgAegErKuS3Gn5qrqYVjRS6Z8jp6tmM+BKh8QB6kYn3FBpbIF77+gX3YLXTr 46DcEI5Bd/u/aQzDQOnEIvmg8x12rEsGxMEu34OOFpt1893F6eTKJ7MkXwlc7aN7Xw00EnkE4Tlz O9m1dob4OjTLqQwxnvW5pqGhNlN4UiuqE+IJPxFGHghTWmzasI6xJ+t91Es7lR4wJtYQTSNW6Nwg TeyquZRBoITAEkm/t4lKsa7D1adQJ0zz54RQhTCY5zQrqC3uWCkmZ6UwkdBlBKNx+fyZqm2L2cf0 uLIq13EYib6LpJ9b6N0mstMZ2Yd9/LlIzrBB+AvlCa2+UmPCENxoNM53qBkZQqlv93gvzn+LJ54C BLLKe4ziQvUbP4CKBJez+C3QR3J36ZcWKk7XorNQbUQ2DZv0ySsN4+fqsIHwVcZoIs7zgorzR4tu uQh2fYOkZbDD8K2AHtqo6B3/GFfWkYmZrWiX/obM8garso2F61qUXl4cOZ/DlBmO5fqeClrLEDaN mnJ7J9vxZlmVxTz+UTMsFByyyRAwS8+/j61PoFUUKwsVD3uDmRQfNgNh5AlP0hgBP1v9ooviQJyC fizBVECGZ44vCgrzDnNwir/7j16fkLqvKBWcnKZhDqH3ZcJPvRcY/CiPH67jxeyYPM6XFAX04kG7 ZCOV3s6mxil6VdfHuJioiKDReP4HbzxzBB6ErbKczNpgf9HQO2OeM/i9bDeqjC7cvok9qIpPEsSS MX3iihl6tH004ovwuhHcv15eshzXvsAqWnZ5jMUVtSuebMNU4Wbd7jPE+vB+WpywW+ILnooiKVxR cRbrIPZ8CWo6+t/RY08d4yr0FL2MA5EbzJeaBASumMQy8qtnPxAyViY99ERJqHQTED0ZBCaeXoO4 McwdMciwNRcWyA0crKGwut2fjualfnxWBZw6jre8ywhun+oFTjBEThRPGgpTjFTM3l1Hb+3/heEj 9g3gw8P08CECdit7ziyyutoZ4IfpyWFXMquksIuMNRtu34zeIuW/Rvd1y2EIHszq86kA7BHyjOAf xaBE70Weni3eGnRL/z7mYCqq2eq9KlTDAaFetDRpQZEwdW+XbFiv/9iXkgWsvvKBFOrTr4f2C53f IWYYFNNwg+ZOJiqYnHa8oGeqpVRrxz/twJj3jtP8LZMSx8T9uqY0/ua3dRpHZmwDOlliJUWW2TQ1 VMcvJsSwfhBTPMPxAoZHZCkqrDkDNxLtvnK0YGUfk3q1kE4jyC8+vdqFqfljYYdL06U707l4hPV2 P0qyxKWbe2cGJiswDMkAOtYsnTPKAH+OVSv7YR7C9BIm7uILiyquVfOrnLH9b3GFbj4H7+xpLUkN yCuGo/YWmGpnqXsE4u8Y1muRsORJLXqgIH8xxUumOutCpba5G1RilvNzRH7EQRKaIqVlxN7BK9g7 pVraRKW1asbxBKHST67VG5yBQANECGjnGTcIUVYEby/OBkkWrEYwdh/xwJAJMOapUrtITXEllQQR Q6Mfpkim0d3HSjFYkWKLWAk4GijB0/mjnbRVl8odBfzmnO/lQoaFjumr1moveBp+xtZd4GfqT//q Ou9PAQEhf73Q/abGY+v7AaRJaTFqAkXj5g2fcSBtPdKXP9t7FaGUzPivxTIEEoPOO+UTQwJnGoCO DsgUef0j+Uxjlm/yu1R+ezHgkOlcCO0+4C788cqRPTj6O8kCfz61hyJRQ6SOymwtHlW+/K5WuPxI Db8CPlqX+WGA55K0AKShtSuA0ySCgQoAsDR7RdiJPy3d3aG5VEgsE+P0TIw7mNET4rssbI557Li5 Jy3fGS7zFnSxa6uSrsIaSpeGFopo6trjxASWfKibaWGIG6bmWQsPbNP7AqKRswu0YxqQ9lDtxOpj 26mnRWiTKw9oTvZfwBc6Oy9+wsLhhornL/2+kykp4wrIMaKtd1+LvGtahUUh2gPdOFpWF9flGWLr hxOIhQewjgNGTiK4Yem7+RmMFAKmuq3iTitbMdixZ8Dv6pz9+/+fL1ErRNhxw46xgrdwBnlz0icI U6iwtE24JlMVQDbsv8sNZ8hPNBiFHHW0pXfX0cOQYX3NBLW4/u34vw9Cv2hmESVG9KRdDUhE55FP TwqIxIXRhbd3Z/mOqa1YHQq9WzJMG//+qNhSg2ZiMPZHjpZyNdmms9KiMZKAwNeq8beEAQMdBVAD bRWm6Nr5zrRdmOc2HxdIpc1L7B3yU4oIt0njVCrOmgxELup2UYnqL5Kb0UpmYXDm1dx5976/5c93 gzJ1UiWdBTYH55rNS2jNo4A+z0guv2osCDnBqODFs+Z0gfj0Kyu+wpt7Mr3pKpMKgjHSZlwcODvr 2gkE6o7hKH6PKy7yZls11YnR8+ELF5Z+JHsyalXwatV+irYiOrnsR6DfZwKoQVYWBArUDvKCAYo8 KcXIV+6bkXlwcM3Vi9t2yLtTwR5mw7YoXp6gWYilDNMMFyWrDUAmNcgDzuGUPhilbzoWtN384Nq5 BfoRhvs1qt5Kb0LMMcWdWAEwTSZ6vEHZYOkFiVYcOmFKGBFHuQ0RLR9pPXo41mcwwVcvxPTLZiic XrTI5UYBWK83APQQKKIVqCLy/a3R5XTlniOC5MhXN1GCffLKjXQJA4p1t5M6vweqY+8VbOMKUyGj QEJt0o7yvBSgyjA+JWbV6N/LGQ0VLIW8QScfapjFD+BRfQ2dXuU4mDqIABvDBRao4dnJ4nZV3r0c Je8CT/HsuCsaTSEwLqcoTQQw3bcjwquMz/MP1l1bn2T9oKR0zG4fG4gCX4dIqRvNG3+6kLJ3p3/m IofZ3gN4iKUJX51T1hvfJll2AowJ0U5AdwNosjMBaofahGK+rtTJMZ+PsGqRSE9hDHcTwm1+3JsQ 5M99sox8MaMDgQLPVIn0UoI/79XNLvHVkHQhST5oMt4yt2Fql299DakVCAdUqC5IOdEh+Mhz4FYY hdT425nXfP6A2vLgp5Z++cGZCVoL78QZUAp1elaxmprEGQG2ZvWo9sayUcNwQgBnVw4DBtj4GZ/5 isqLTt8VATwLsDzyrmYw2Z+YBK+E8EDfef9QD7mpNNhKo/aWbu5y03UsqodmT2GllfsOBhTynQeq XtiXQ5hXH7K4eaOjohLMD63TKTkuqYSDnbPawHnDQ//6NJxm+GAWqVzhGsWv1N7N2YtmuZa/BDCm ltE4NCP47Dq+Kma2c12c0Mk8FxrWEjgwQDeE90dZZV2mJ4MruHug4PArQC9ks6FuE79uoR5pVXqL 0z5I2MSKu9ItM1Q79CjFaIkkkyPD/A5xM1O7F2OldMB+EqSL0GfqUmaPj2r1CYi6qie4kiVIMBA2 pk9Lm2bQz/aiID6aD9BZbJjPFAB6vHXeFD2INrm35mV0FVA8gHp4sRkJxr4FxkdeKLUfrldgesQf 3hh+e4DBn56LChaMFO5sQ2FtwK8cEkHf3WukJFSlX4ZZUdtmdh2ENLdyCTlUZ4Fj3cA5RtctFgNe cU4GGsu3pRC6t1thw1VviRS9vljIx9qdL2Hnk57Ch8bJU0mOSj37sZFqtpQSkSBuycTkPymNBmMA LrVd/1lizXYQBgk8msRSGQ97d/gSXRk3pnGZDnGn9idqe/IaRVd8Yh91NnY3ckYvolNqAGWUJlje YghdVH3HFsBzsmWPOAYlsG9fBoTnTslmQmVMGKlGH28AKsI+y0CpsutWWJypcAo02/Esy1xBt9RE vEdvHa+dgZ53ec4DEf/qBbvqotmsxVfXEw2CueFxMUefCfMEBY5t32ZM2lXKq9tudj4o7weq8A6S mVKljQeA4jqP2Xgfzh8q2vowfNqE6QHIRz6U21vGTG2qP/zcBF4xMVKuAc63rPOxaDmMpG/fe6tK 2K2QpqW23pq7JQ9VAMOOt/Oo24KcLxlHaeo5uvlM/9yddwgJ1DaEoIzZcY6COgAHOildgHevO2hq EZ8EgxguZZUoCtTLn34zCxKhKJUuifJU2Ki61pQy2JOvu1NAvba+yyNP0es0wsUK5W5yYuiKzrlS 0u1gLAbtemzbiugYMWvLb75xD5Sy1Ri/sX1HL1hMsM4nFBxrJJfbrl0AO0/fdnu2eceV0rU2JE2p 3Bec8ngMHlKhnKlz9ol/uwjxxiUgCE013Rpr5aqeGWPowPvaE+W3juQlyG4JUcfPk/zJsZotsLtx M74PQy1epeXv9dLeLIqpVjSw8MmZaoEGWZLMilCmxNA4cwDwxtbmYPJVWOzcPqQSdw7EcHG+aArY hH8EkG4Sf16f4IwI55OPptR6x2AICznAswsChGmRXhma7D4KlDN91Mo+f5L1NkZvToao5+zloiTK IPqMJ00IxKPO2mFdTlkWDaCy9m8+mlCB5yvjnB6kf+jq+pl17lKhB07ZvNJeJFoCHskhZ5RXOowE B9XpSWtCjiEYyaIvjiH5yk+YEEsCTfFBiGiuW+OriguMEIq0minpURbmRVWAucI342Tqv4Fc5Gks Oyl0feT7/PwrzF4QQcDNo0PjbjDpAi4GNESuKYmFscCwaRIzYId8ZyYU8qZcO07yI6c4+91oBej1 bOfsfdrvOeqDvLNiK703eT1Pa7xJcLMbngkpv6f4KTF/YcFSDeNR8tKPkdtXIAYYdgic93lmhOpa j9JWJOvGvwTOef3apN/ZYZhczsWV4mGTJgQGyuzYS1xKcpFnxmrUKlyXr6Lspvrt7Px3sg33jnv0 fBgWsSQ9NLq2imvvMRCIWUsPiA92riOFnto32bvLzkb/9CaXvziU9cwXQwwGCLLAKn1y6YcIntsS egi7TJR62UYZ0XDneOA/HP7Qv3Dk2qV7iCOUlflGxcnShlASR40hmu9zVVD8af91CG+0TLjVyAvJ M88QPhzXUtK8MEK5xeVmegzLjUujr0Hdzg+0YWqyK2g8xxLyKdoxsSrTNhagVjNwm9us8I1tIk0J 3mGI4SlwKtef6ap6IyZ4GLeuKhveEvxXxJTcqHYcxWYmwojoeg8GqZzVeY5hsK7Ns+t+JD29B+3Q inn8sX5f2NdD8HubZf0LEbKYZ4Pos/PJsMdYXLpZ6DZKavCAMnCy8KS3IDk2KyTRDScKJBrjnZb4 ighWzkvv1thStUzryuyCzWGrJU2tKWUUwsMNt0jQ3Goqo3oNe/xSv1FFHmm66Q62dufdfC9o+E8s zs7RHZ6jqDatT2Rc7h+E4V1QmKhEJj2J7xt62ABfbyyl2FucweuupPZO3ldqW+il6DaFx4KzrRRm kcLtb+5S4xz2Xgy4Mdu3P24inqrKvmIWPrpF0mWH8EJMDp70CwQiWhTM9xiJ5BJeYL4L5ejirpMA r3+ljl/Ll4xVw7UvA8R7KrBk4EynXvmMRDer1S4h0KiIIhdwT0eJcZWtEmIEjOrzOPFIwglpDFMc njr0ADwYEQPplcHdfElkMyZtk//3tZVDAZVwsKOGEDeYUAAKVdfDTtqqR6/x9XgbQ0IE0Kc3kZd9 95O2qUaBdYfWhATFXTiFJcKt2CFzMKJ1VX3V+pVUEYOswKO5yBnmHIGOrk2yXAKer4Br/O4rac1X dsR5HuOj+jBgS1XrqIkzRGKy52QsS8CjDcVTSFxQ1fJRA/sj5WQGliB69MK7zHl0Unsp0mNFlS1u zp3U+Jol30fpYpMguVomJiwKXlzj/leTICQ4yC5Alyg4yrORNlPA+/MzTd2yuB32I5vkgso7/tRk JU7Fj8/FCvuCfx+LMuLFEIxzpt0AfujsgnycbqMCI4thqm2iRWB/0Xdbd1fAiZY8DJw9hnRpTwa+ XNESeni1lKiTw0IjztzEl6WKO7gM1sOsMO1tgfN14d/nDeldcmsWwlQthGCfv4VE3fpGKm39V2hD 1NRSzJiFpYDQuxg/drM82OZvX98fC+yYR9eXjZckS71Aka661ZdFs8XL7QrYeAhASZu0DADmyEiE 9IoKSVrWEX4F2gV1UkWUx+aG0GAIGKp3KYxTrKfQjodT/w6Hlf3HuQzf26sHdr51/eFfpkcPsxqS oH/jT7KYs9rm3aWbyD/ZZa5DITdo9eGsj6T0256m4B9QTbkd/SJENznB8r3kavW+ZgXN7WNomf+1 Cns2zhxNU5zqyRPY1JGYwQdiVD/da7pXo2bo8cz4J2yTO/H73i0+izH5lLBkrQFcku1h0hX/Xj4N 89B0TiH+5nmj9J3HmPHox2qdLCcxDfdw/4EhEnat17HUMYUrhnr3S9yJFnFJ8+JOXGY/aKrsiy7v Igee2liXSBwpWxhBq1EQX3v1YJuu9WvpxqXMAsrm1mUPouBq2yKFT5v9LbLF5UVFPrRNmcxnZ+Un UNfhKRlk4T68boRCo/ONe/SxX+SE+2tP8I9H9YA42ktCkoDFGDKJAUSIJxp6aVbj6C3G4DCCXN6I /dLOC8NwFJ1arkW9BW/F4VvHcznsjt4x8EnKMf1m2Y3w6J6r+EewCcN6vkUaJTo9C2xPOJGVM4/n R7CaVMh4gG7FcW+JkSVts2iXfIU1/l1J6QImtbC5gZved2u7qHr+/0rtcwcalIebWSR2x/Pv2Vdz TX6579wvzh5lH9FHfG64FZf0yBM07GJn/Svwcn+8ecNd+k8F2UNZnBcXBNdoOAnT3tKYQNlQT9C5 dpJeNeOb+wS4JpauKdaH5N7xKDLKRk9mycSqOpxw4uqpnTXIGtxIoKlZJdiXx/Yddhnqwterj64K dv7C1DVUzgfUUxWq1jna+W1Hxx6u38zjSx7kkn9U/nc+qt6zEnO4VerGkCY3w0R5qAWkWUoDph5S RVYY51IQ50PS3VUa4WtBtYjpFwQFIy15jbL5Orb30QGV2cqOT3sCK9MizPeRBQ6rpGv0rIkmcTt4 DS298E3zv+LcqKoToyy9oRzVDz2fbssDkJhof2j2auihDd/gY3IHu4r8ZXsy/UjwIt8rWXi7EZSi MmTFij0GwACA0uiTc36sKosBDkYbnn+Wvnb/0jSAC8WOOWgs9FfIKVAGWtu5sIQIOU2T278teOqM CbMqYL1YXGcCqz2MJewMxEC17Q+rU7EuNAccGh/MU2QxwQ90r06yB4RmL4MBK5R9izLHOeiRFMDH WJ0q0dCU4QyLNjUQM48mkJKOTUx5DIYi03qXps7jK2s2qnLN63LX90gZGY52/lCoJTijuH0T5wb/ 8Stga5QxcaQHINYMRRr+ab2RedqBvdWOZ7t8Yapyk66g/RK2TYuQGlamVxbewA8uyoQexjbuZRSU JNAX9NX/OIysVQPhfjqiY+4zC8ysg7DiBdH3ycLOtq9Up0yH88CxpHkvemikoy8zH6ccDtm2xweQ sIAYvcUUY7Xh7ZkmdB/TQfV7VirvnyA+eZwJ4lJXfCnGJJq2mKkH7EBEaB7t7YEakcjpyhxTMens z0y/VGzu1heCmeFuXfW2cKPgCexIOTLVsemPTSI7fFOq0ojHjVV0JNtKvS7fsS6DrI1BfNcC85pG aDUvHXCymMT9gLtqt93b7MC/r0bC0eQIFSbM44HZunpCIPPDCf4vuQbz3TuXjruDxJbW8NounEKX WnDB+biky0vj/L1DYNzErV9GRZMgP/iVkvLAtu2l8j3CpHU8Bo1UjUi8kQtPUD4BboPi19mbSW7D Eh+d7F02XzLYHJfdHI9iruhwg8aIjm/F66P5p5zroCSlxdoYN4ZFQh5CXTrcwJPJULXPZLtHZGc+ FPBz/B/JxW3LwGFu5UvMjvpSyil/X/BjLCtplWvdOnbJtlaumRoDmCem5Yye799p7BMh1YgopHms wIyobEkFxCU3PmwjOPnzzNYi4knXU5/muAJ+CpaiTa0EQWep2O1mmjd//alySeTl3x/AfR2ArceD 8lnqIoNHuhyvRhvW3X1WV0fiWRBa/PNZjECwhQX3M5tjSVt56IL2axKkmd1y58Qh3DpVFhoqebk9 9SswdEp/APVF+VhY+f9V118FuFB5dmnPu9VA5moQpIvAyYvK6kifzldifN+5vcO8S9OAJlYIN0tP iDIwEaAIc6Qurb7C7CF7P9Euu9wdEI+58MTGNwyvMNTRR19iezvR/4NWKrGFmJSJU392w3kCnvFo +1OzGXb45h+/qXzm5DppmGu6qoHiVgFENrHJAXMD02sfjr7vifKtdqYPBmYKoOzaDqsm5UaC6n/w MkEhuPaJS/k2p2A8jaCVy8Fx88sUoeWiGT4BJZTBY01ZLQxsbG69DW7uDc6y+EP6gooYCzgAC9be jjTtoTcGTtTcUAe0t9ewhJjP4BhYEJhT7M/64kK7+GGrK2Uig2OiebPQ9ucXqIJLHqEtzUq9qpQ0 l0HUw6IKkJw0OIn7+CMShiu4SxpAIr9xIKsSXMdIpeTjx0DJPIHo+lOYQ/qJioUez5JF1SGgQ0QF Dt1jStVmWYAd7EIfAosG0znOZq5DmZIIqdb0MyCt7mvIoarKtU/O4B3xfFgHXiDvtbfCCVnvICkt GdvNogtEAcySbrB9E4JMdIzY1PDa9ohzqPExWQrZ2ZuQGSgYJpfjJJ3Ov/kWQ/ytwp2M+pnvtMOr to6ekKBgkzvXR+RAPdhIg+PaFrzOYUT3PclMn/o6Dah+ge3rPhvOASgHwlbf8Wnton/uPWi05yaZ NBpfUyw6bPgc3+j1t+aaNWU849eyY7SwKjJyO03NBPd2YIDvPgyZFb3Urx14ZcxDcBcNCDdIwTfo NXxCxiLF0oGdAyyCmwpCSv1heQvWkz/jpy0Pgv4xEGky9VrFdy3AEQ3R0ReUHIZV+jgCTFv75QJD hUUO/GHmi3g9fjm/MnhBor7o7Px9XeYw9KgUIyThSH0jSF0J8brfLdEdmvinyT0p4KUnxlA+AI6h emPt6o1kHi2v7AwgqmaHdV1pOWc37hcM7G2FD/ki0GjMWVaSA7N0ZX4XmX2DdcUOs9Lq9+2QsGvz wtEySHIEQdeYws6DYtiOSoIjB2WepY/HnJAc+/VpzYV1+KP4p/fw5DnuOMsjsmkbS+hpp7zsaboB p/oqWyHrsFmH2B9FtACmQ2fxev+MIW5G/m94zLkca1/fqaBXS8EAOOqeThVC+ZbRsu+fjk7u0GEB KlpgVk9RseaSsVSnPzqA+XAZhKVYrwLL6GtHxfKcheMHDAd2zA7ar8FEd9q8A2zB8PbaKY21hxP7 0emnNCpYHcUW8kp47cNwDKNyrWqPX+lIC2o0N7Pv38o9I2/+p/8KcSA9nLX+ZCRuf/ixmcFzPEIM anhdEBTvkTYwovHzEPy9BLg96Wyj2cz2LT0XcrW0SlmiHjM9drF+OOeDOgCBoPFbjhOBre/4zOGZ Hrn2gqmPvFqVa5DK8AF3MoTeFb2wrflJJdjVF2Y2kY4VpC5G6ZnqMSpFkciGzWJjuLrssNzVat3/ VssX9fuYNc1KJX3h7vUakXWNaWWNWWB19pKmnP1fVHKLcxNX6WSwlHdw8/yPLij4QryuelR0ww8f zvBJkhLY7AlHepAegyK6RfxuoWFLG4NHQaPnBsx8C2POzCBmXsx+qDJoGFOQcyrJ+FhQ57k68AxE lbV42PhLBJnN3EbyROX0LgVKGfWAKnKQPreOOu2SHUmrBjNFzmy/Fhc7x3hl76FILxtMdNlKRRWw JUhP3CfMQs1aWMxvnQ/RnxErwlkJhs6LmHuqDoylihmr+3uOKTfa0r9e0LY4zQvh+KskCaBXyBmB eUwvsA5akd9sRZaqpGTlc9xeswHX7FP4mE7scD4K5wrk7flxuP1erFNCW9G84IG6E/fXB8P4V8Je 9JIbnh6aJ1AMoMMHd8Y6LGgqTNOH0zbl8iYHVSpVqOfocjmmoVeJXFjxoBQdU6kZIi0jaklA/SEa 5KCn60uPAPbR67FA/1ZcyDBSdEows2ZAL2mq/U73SbdD5ieaHCk91f8tP16OWoyp/t/S8sbNsMR7 bsux0IyZA4JwSquVBJsDAnEd8/LNMsLpN5UYA/FrjkUNo0c1Q9+5bUTHkHt+GAbw3nNjI3VXVsqc nHvqrGzwNgaZFVX5TINPqgj5walnpsZrBktg7ZfzzSf4Mzd532NpB7U7XZl60zCdRyYwYrvS/tMW HSBqrlSe1ftQ6R0zIFF5CKG+fe8WizUzg97axeKF6ttVtfoP1PoIDpnmQgRMcKQaAr1+9GW0XuX9 D/kZf2Rfd2P2nyzeppu0z9CtcvtP5Ec11C0ZXW29BvenQaYAmkAiC2CofD02g7TNcJhEbIBPDpYQ h6/SoWd+35ObMH6X1dYAX6c30X6ez2PbLrrLtIt8GeXWZVA7neiq7ncjoDZmC7IWdBtz+VKSbAPZ ZHvqwnT7u0Q7OUC+n458GVV7sZR5RvAp68YaBrH/gmjFigNEMXmj7uqbPhtB4+exuZbRpkjA+PGT tnzIdiRLJ301U0ejn2A7+q0XOSr34EQPYzBGHsB9KdXBOZrLQD0oY99AFgLniXYQ02qbfalIWtQz VCjdkKW4K6QSN/T5c/oKWwRejf3b28Lk1yZXAn321gGMXyhCJffIuIfh9JQPagbtxxXMYml8NN0+ UfoViYFaGj3dJPv4EbeyBw+cAf2UY9pHVocaD4iz7IMPbhlkkG/h53U/KQxnhgJzgc7yczenKqFx wRWrljds+FU8qoc+I1DdXVYEZ3H9sHK1C4piQ8Mt1UNchXOAS+NBLyYY+9WA/LfmojZgFRtPpLYl TMYdZVyZ5vS4rgIlJw/zw3jPBepg1ahuHSG8Dsl/SHzfPjSBejNgv1+La3PkOVbkCqS26jsP14f8 Rcszzkiof9JXW06ZU+VO981AVb+FLzVDKVeSLTi4rDeRJYdVsVy20Hwq4M/KNhJKls+eMjaiouNH YITn5jHLvjKNQCP6AK2p+xC8nw0TP3trCX/FtON155LM31uLnRFebKYsbmN3DvrG9i892VkjzrLN wyYN4gps/1aSAuKLSdJtTil3SIePX1iczYPSE0j5Io3SQExWV8a0lrN75uGPKMRCuZAhCGw5U0il RwFxQyB7VVXcmhSIIDUgHnkL/G2TGfKuhv5sCEyv/kusmF9uPxWy7bq3eoP4mBl/19HZx+5pxzlx AOrWB90D32keJcS8KezML6D7b/8vFYR8Oz6N5M5YF0Ms7/WlISBWJwI2EScVYpU2SeU2o4rJUotJ /HkM1JyXQQIsBXWMm1W6HpjkLUzoD8J39ABTZqoxZcUnIqdxGBGifQrgqQB/lbwT0rAxUityU6ur m7M/TZ6fBUWoUsELAi5Arb6cqtII+lfmqwbfFu8yPe8uZrAOCSg+t20xwKamZDeLG7dywUksyq8r VBFs/VhpLiU5X9PijdwcIcnjByWrDPGdfxpWnjHpl9w/yRE4yLypZnZ4XJdTyoSl1P9hYVPm8V0d YAZ1nNAkjCQP48BpGv1VgrwMhwS3wD2BGjlV12flyB18+hL7VrsRYa7pRDeuugjtjkBO/187ZX9P mOBL2bhLSv3CXTWTOHKmKAZYL/26uz7Fe94F1NXSbmlzw9ddyH00zXzFIVGZeoIGsAvJsx+plQ75 EEBI6hjmRt9PlQxFA0Vfbhg77do+fDguJkuLjQmqi3ubQ9p3u7wjBWzzYqb52xj5j/xgwRBlXDl8 X94HVK1FDwAs5lHMZhCVpOU4COlEt0mUmvXg3RroYkUCWf7E8R/wBdt4ZOn35yVHn/r0IVHrSSAL 80BO0XjCoTqcfTbTQ2vhpVyNKhxAwHUfu0nDOMGgvjDZvgODuc7+JvjaCQHGPKVaJIQ0V+MiNac7 38DbjsYoR3eI/U39LWm3FBA/1yKXX7cZcaPKIa8cvPWruZbEipm6aqMc4u3ZFMEHTz0Hae/wyU18 kfctFkDtqFehvysuNFlyWfGw+OTkrsA1mnlA/8v8TVXBmtfdTqzjrLezcMAaPap9zncarorB8h5w zz2jShZ5pORfw5r2+PjaBp3zDigozLIqyw2r07e3A0mdNCmT3SH0iLkUnkTU1Ctl8AqsMuN4Hcts dX+iAOo45OKNFdYDPdc+R5mLluLLbOL5ABwfApJdGqXqWMVDvp/Tf5YgykJqxjIzpoLTqJ8QOubL j4OIWrMQX+Y2t3mjMu/xYoyoegP7Db4me8LLVSG4XrruF04aIJCprSCkUE4KvY0kis9Cz5SjUDXc K1Y6+mtNaa0eyYZytJYiigMOy7q1jML4sTGQXeVcYGAVFhE0e9mAjqBOmrhtsOaKYc9PN1YFxk1M 8yRYu3zWo2gPDgmdise3IJ2IIdjmmgWmgSR7PImGlDCd34T7LDJ5l4QmhrternMcUbhq4UAMTNbr movOkrX3mDp+loArmXhh8aKHYiZUMvY9Wc3sLD7AVrS/cYcZl+P4ov8kqtdNm9FPw8Ut4aZ725C1 048gfix8VCP3xZTE967aIdyIyEutjQ6B3XlaGTGKKA2WAUF2MZRES831jGrz7bogg6nCxSNxjOh7 OZG5k5ZSrRrgcrRqnpUwq55hHEwNwtrYzih1LBlB0KktCVUFulPJSAP3/14B8HThUpSe4l0lBa3P +ZgIOxHcJnnKJT7i38zGRwO/N+ypXh+q7Kx2OSGGtbbZOrZCnWSM2CHXkVV9wZwCPyKD7NQUcBcd NaZy3tZdCGVOZvYYpHQHPArSQ9zjYbMeWZ1m+WiPh7qJTVbcFTA/Znq8z7B+80gubQ9drEk/ZFWf MPN3ryzWbSkThTg/taydn3mSE+KjKV3IXO/wD0TDPdDOCd5E4X9TKiunPNqawQ0xv9FFyXyxWkY/ yMsxpPR+X5DLfWQErgxnt/0mppL9Fj08BME18i1/dOgBpFV0u6xb6ScCIai3KoWVMjPP8+ej9GLB Z2iY2E+RhmB96hL3QF4HtJ6DDLS7cOrJPpe1KKrCOD7FG3AKa/XznTr17m0cRez4/20GhL569d97 0slQGkDMOXXzftlcXGDhgaq2fj5eiw98GXEfaeKqdPvAgLD83G2I5twRE364hAM4bbsCiEhGsx6f LkhOGiHiUyrUkDc0vFdADgT1gWmdVqj5PVAiSRrsOAAUH9z57byxhXGgKX8h6BKItTSGtqFhQDt/ /fOpM6rmrRLqFm9c86NYS1KF0hDXPNP6BSMxiYNU5zG9bde7cHEgMsEJnAB/JYwy3KTIpXzNdkuR NRndYUtnEya7Nn/gZwVchCnoFAnZzJ+H++u4yfQetacpydwXQHHAnjBrF6o8W5IbCQ3k3iMbdIcV P177o9EI9fwZr4fpyqTaCG2uAfPoq43EbIWjm7R8/f6txrJXG9FB3xcHh5yzO8O3BNmpBJzBdfH9 XMuhjU0MQGiJVB0g6nD+B40FujuLMyTVBmV/TC7DFc3qMw3s4DomdlySCfgNrf65eAQUicb+tRpJ EzGXnoQHrSo4T2BAgEAIceRNvDVSmf/eZwYmt7p9LaIFVLNueuZNjaWhW0PVZYGzI7DkGoB2F98c 9Q/AP2Ijo9D+0q92kk8FEYHA2SfZBdN3XLOKb9ZfwA7UUr/r6oOSdp3kYUMwjCxtyHVEebsZt7aF haWbiIt+1m73WJdyvGzxe+uJk5opCEdc6Fzlu+spSo/mwC6oiDre2b4US4rH36BG7sSUeYS5Dndc oQSLG75JQsN0wYMombpjkeOOoypOFwzOD31ZYtiD4cETZFYa+MfxQWTkMEBI/wdPC6KU2JkDVa+f qXbljn5yWHNxgFjjqq6yNKZ5Ex+Z6XXzfocx+M67evMNcNnjz18gcJmynxWNCtets0h7htrYia3m pQjp7mwzlAqqTC3XShM38NMEUcgxiQCtzR5BYnhLNQB+Dfq77AfiE1NbT9HxkG7uy1679DG2/UBX yQbbGBnxmlWywGr13fdtR77LuVeHnxFwEDqgROAL1KAhjZNl7MVfiyfdsFBhu8S8XZPinvWVjsT9 nPyrnlDWDPrzSLBEmRqBWyxV0T1QMz1q94RMKaqMfSMrT5WFobxh4H6ad0pCoQJ/JeiMBx/zDIsy xb9b/Ylh39Nwj82k2FsTzH+fInZCWdEGhscDBMO/DRlKQ7qWqpenrz+l92bKoKKNuggBUzuHbCLU Ey7U2SMTZaHfDRKd1kB2LrXjnnzVEyKMu0t0ed7rewS/2U7xHQ0zYzN8k75Eas6JllRjLY74lIPn pfQs9K/nVax731DXq47+bHSlg+hu+IO9NU3gF0I5aCAREW5Ll37ybLD7/JjuiBjhaRss/nCYPRQI RHPtPuaEsd3iHAx68hGNq7Znd8TVCo/jFcYunTxsSFOk5w+aKwr3wiQFqRrAPK4zXgNCKkL6CUHt p0wCfmEbRE6gP4MejRgx+kZKbO2xQZwjG29WwRLoxSCDoxZPv6jcwGmstcmB7xLr8RIA9tdFD7tO XBx3d+tz+xKI2kCiUYJduYKWxMVuSoM2DI93tezUcJl7OghJMJoefWr9gnWZNq9LJjD4wv1XuFyf clsM/52mEOqBxXOMwhqvBgmuyCC1zBpkgZpHCxANfB28r4388hR3Pb0cQXQ2+l0G/urGOmM0wO5l H3dSvvwM0dj4f2RtKyrZT5pkw7S7hQfTLh+Re1Rv2nD+pdvlla32/MMc//KI22rJQNpYHiiN9V2e kav7StxgE8ztQi0NAfuRewpvfwjBYk3pbYcDJPdp+7ZGnW9XKfKKRCiUhIx073AlmCz35PHO0ssF dLea9lyAcNMr648VPo/ZoccHQ5NJH7HlH8v3rg4i6x+rzxELAw21oXTD1Ek6JvGxlRKO3kaBt+na 1rjqJo7rDNutNGITxL4WNPwRL7nD5ivlqE9ksO39Es6qCxrQYDy4Z8iXHL3zy9nRzYdzZdWL+/28 0WoSV8E5d0PNPq2yNSHxwKdIAh4dk8aYKT4grtILOAsJoJHVB7GxtQnnkc/m2+uz0RDqPQx2Pjne n+jLQaYm6dURRwk2I3IShP7fVqBVpp320hMHkJZsW3rrf+UYDQXAhr6EbcqaYUjz2gQFfgETgPH5 2IHIuxUO7t+ABGBWK3KtQZBbxQe70h0ZJDrNhG4UznPYuhOT0yt40i5jDfxQ/tf9eK4+CMc91m8x U9UsZAwylNw9vjGxy0a02u+MZgyIbB456hMp+T7y9YwbVd1Sw7FjWzPs/zCCnkDjzdxGNOPJVaOb xSJY0lZ493mdke6C4TMdJ/bzpwVz4I92ZO3gXBVWCdPyNaQ2NYm/wHOdAfRX9mp0J65zGhOjkrxQ M15QsR4TyUfZhBzaj0q+760TDL/Ot2h6NGRQB8ePKnRiXCD9XF8I5mdphX4zCgymOU44AwNtzb45 GU0dcjNYsAXrDD5c1sBqlF9DkVu+Q4+lPqsz4jMOa2wH37oXtUnMvmGjzT5gaMtqnlkIylbAuOj+ L4HrNzJajlBgawi7/VNEW/oGLFarRK85fkGrmVQVrIZW/ws89Kb6WZ2mvk/fFDj/rRh/gJzOqC+O lpkRXVI8EBUGhmnsvy56kxjQUvVgal7FiLe8E67vgdYEwSt+m0KIQEhn7tamQddOueodE7uGd92m V3IN7vL+olXmd7IRBYUHESlbx3UZYWSwjUW6oqW1ykgvznoRugKQ0H0UBEZcRWcFscRfo3bzhJUE gQ7O58csNoZlXA0ev6w4djvF8HfGF3z3l2Cpt+/FtS8mZkqyO6cPzdQikcD6XuxqGXN6800l5w5W z7zRVM96dcQhHTVNTRxsLl57nZOa0w6NVR1Ohu9ngV21bXy+sWXtvY4NYJ4kjzKoEuaADmpRcDmH sKvTJuTei0SQqiInlsxtB5bV1WZvE82dtMqND3f2aimJBzr4TdHx0fi8FN4WvAZyo+NXuEkv6A+R ru64gqmWknTupcrur7my3Eq5OlIgRBrm6+54pjjOcDEogBvpDdh5WSiUSW64qoWwltJvmK3uiKTn VQ6yCNLNSvLjpOMXwOJqB6NBUR2XiS9KOI2BcK9KcrCPIge5kP3+z9+nUTjtJqLNhWnvUmcsf4SJ aREWqhzEk1TS6HFO7NVjnIN2NNajvcCcT0vEeX9gyUVArtbxVpG5l8rzOt7NxLzaCR/bWI6vf0y6 Pm3GDn49TXB76wOchXiiUQcp5RsZLwZiOPki4qmoLJNh6q4dSuXWVEeKr/jIQ2PpkfqiWTz9Avtn 2PmzRLWYXT++ZAE7ckkYdxCAeAC4DZkmAxQsVLxVOg+dG0Ee8ee2mkxXGL+nsYbhNFjGa8fc6Dsa y/Md48O/8uIRbI+xVBFb03UBeMyHrxye8qsSvt/aAvRAyuwERD2swAUR2eYMtvbyCS3Npl6csIhk Tj0gL41YUhuFEy08vEvRIb14MPYw6dSXw7CfaoxjGTIX/EZ0pun0Aa8ceJHYiPkQJSn7UmfcRIv0 09hq5GtdLbhg49/Lm8lWPD3tSwBPwg7kB8gnyZQyxAZnAECQeGHKoFSSMY3aqeHSVMS+5Gjx7dro MBcbVH5K0v7d2282mA+NVaak3GIFPVyTzjVnFtXYRFQDZk3uGIOt+yVOKYWSgFdfsyo6Y+SEDX/O QdBHtX5Mhqt5xTQnR2nhj22jvA64NtbeywSqN6bu34XNH/HJKYPDLkZJfPuuVsX0GlEN1Wxgzq+T J6dvDgKx3UuDOxffO9WExEoANZ6Hoc0uvfsraaF9pG5X+Mv15q5xZBp3JptpQAQqyLmkRjrwDmcJ ZHFBDv3YpqIaD5ekxDXmTa4kycFQELPjECvyd9PyXf1xBgewqMoAxOEsmB06Lj3wZV3fISFdpiWe dhrwKtSVS/Btlk9tCybWgeyrdYiGMPGgyv05nJPIHfkSdmiJ6jPqI4vyQ+lTlHSsS1IGyQ8PPdAD Kvc/cCEIwdzXvithe0qgu0NaD7FFQkToCgzTYyi+EgHDGgj1nw4jnHQRwXBOaXvjS01w0LO4S3y0 eULVs5ycNkY8RFa1lIWCbpI4BI9uQwoOwfl9fJkQc45vCTKOkm8wfYn0XN394uz58Yi9Lk64BYI+ b9VfFbTZONNNZFPe+jpEnLTXeruTFrptLiErrWmBWm4YtSkuVIjntUIR602yndUphPVi0ikm2PqQ uAA6aofZiIvJHqKaEMTzMWiJEyAtPF9LNC8GiIsKqN+W4Pa02CJS+9k2Y5R7XIKWSFfzVsyFYn75 TBnTc2n1v9lwcMKyFgPOZPdOLXsTPgeVnVCkTY2nDw0F7jGvXn+TXxpkbUCq8DGnQah0aKUDjZ7P YPBJyulRYe37bPP7idzU7xL8reie+EAYdblW246b7Fv6IHvaYuTNE3cnrA== `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_comps_builtin.vhd
2
32006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YxrkU2XueutR5vq9dzTCtpu0tIxCE6Bpd0BXvu7TMCLIUBA9eIJdhTt40hdnLsPe16YbqM0uk9I6 LFNUm2bjVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RQPY/1F5tJYQi8qLmglgDVS4HSLYfWaMDI1zgsYFoHNBsW91qyE6p8V0+5uVsI9dPKEpTQNL+W3W y7NhoqGAo+FQl65e9GnRQd54//nbKYmA9/6/QHKI3ZyD8FAbz4bM++3AB1BSGtTwxmFYRlgAbnkD M4H8RJbiUjhtKS0+EPw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TndWsHX+Va0b4d1XG7KMcNw7++4R2MpibUwUOLxWliBilvfeDZI5Ua8yeyn00r5JNv7bZzh5z/Ay c9UyhdUzYbMCcP6B6Fwl8aBYFAACjdnH2PtzONAmOLAN1XrGLis4wCfW/3XSPUsteYEqDgqo3WIG n+3XqUZM4b8axdHtlc5/WxSSFC6GJc7XPTDWuRuzpHeG8+2qsSfiwN5BHoqa99X6ncBhtCPk5BcK OPbh2HneNiJcEnAH1sZcCo7i23uy1bmjnhHPeiISNogNROTBE6hwJ1oOxl1eL0EaK3mUDy5CdIjK to2FqaEPsJf/mYRV1uftXZRUzl9vLYKryvmnQQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xDYj0PAjGUDppjHViuvrBx4U8AXsJIXZ1X3cFOCDM/LbuQz6NLkeQe7kZNZS9K4ZwyoL5JV23+Gk tRl2TK5L1mJloxuvFQR8i6tDeX/n26RZBbi6HiBe5AKSKW86aGVDULcfXzhTP+DwcUkHcQlrs8KI d6lQu0Ogv4g5DwECN/s= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OwOBiLjllDvPTdK8Rg6T/BlyTH6YmUe7IpULUGvB5/d8l+k/mnJKEW/54j97RACorBseQfS85L7t qBkJyFjZBuuJ1aaz6rApvUavBgjsjb5FH/J4RYwBhOM58jzD45mJlww1jZALtQd+t8Y70PEJR7zR e0J4VNmmb2imF4+twH2y7vs/e/2fVII/H/3mdOxI0VzF2z9E4/CKz3iqjazdcVY/v+uuyLDISaFB JcYaSXcMtcSjRoswXd5flm7XvF4b/fXEJJ/H72b4Yd6VprYaxASO61IcaBF3QoKHDMHEUsOHXhpT KL1vUV++bwHFCJyLoCC0u2Xe/aDvzCE2Bf8DwA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952) `protect data_block AsG0SOaMoeyJ41EU7Zvmn3VG2xaHL+vMGGqJJaWPqwvucLFa98AUtbEBiswmJZyL9/M9V0SOUxLy xUWgdx/aVxYiH7PkIWer7s3oSK/RJQ0U1j4ddvOhEzv8DkNOgBxWXnaP6oBYa+hXPJGVOjt9CO5W x9r0oHf6hSjF4j0CX6jJuYVkb4dRiPZpFIacmRgAAOiMP/OY/M3TViiWAD6oH84IcVwjlaf0X5XJ pznBGIk3LDmrg4Or0iNyvEUxdcUIh+r/04MyjSfPKJvKduZhQchW/MzQIsUYDDdQv5pXAw7+h8or 2KDGksBVKsv6V+Ual9IT64lhGH/lN2QOCTnqHm0T4sr5ZnY6Sy9C+BIWc8uzvcXmQG67dUVM+FTE +Op+Rz63tDU/aC0fvDIbD1ELNVr19RyUH3o70qwSasZirhYUOsNvf1FO4PSnDr+J5tPYDBPIq0oF pnDSR4jxsHLCK5akRfzjac3c1+b4Re6xFo9eurmPSNWCMkJ0RacCazJ8SM8Fb0wgiP0f0jr9E6fR GKvKBppb0EH9IDXFoCschU5RG2F1O2DR/VWt3MeMauH9Z35NyjQafJfaMYWq+N2sD/pG+BPbuGjb mvIGpkSWpstwNT/FeqT8X1FmbpZbuB3zuCpQSBXREidLNc+IRwW9yLEl34F7dVdN7y+w/UwnjJU8 zZRrpW4H17ZtN0+BhhVbWwlwoeBJWoEWpP8dnTrK1ZztACx8wZgzryLoQo+Io1CFzHMdg1iseUpR DXIfNKnXS9XisV48K+bko5mtdElyUcqDsIsSy96iz7RKrn/mp566r6ak5lBKb11GkflWR3zhsJ66 jheZcT2zRHlFOVMagHXSsK2uja5eATxInlO9uLHfkbSgBPrAij0MXraz2ZVbF8nVknbCKf0o9bhi DJyWRnJ61IHJZMMJbD6yZwA1Tj0zanqIyQ9kUHC3k/jXISFGmpKDn2KlIEOhmeixkeW6iUvTdbL5 RfrAQy/NOaE1Pk0FiTd1StElGUrp+sgqNxUb+SZ9VSujkSLuv/dKqpXRcT3kO6qrz6wbGbsiFSVv EF9y5PP6OizHnsEytftSQHkQoTksVwCFyvP1YLdRcmwiS45MAmlEpUiTuSl68vR78LSs8UqFFg8k vAvYQaKObcw68ukQmp1ovM9gmFm5nXfFY6CG1h7ZzdW9QPD+c6u44RxtLmZC3xCUh1/Ow1Etj3zT xo2+XwHxqdnXgZn98Xs1mH/iY2psZD2IPSXGhTNwD11Ds2D0bRuhT8Uhqm0yJQ0V69s01TQU/Dcc AU8q15wYIH53fB/9CNPf0o3Hgi7AMv6+bYo8rU0wMQGxesVuRbBeLQUfsVRSUlwwNh6P1TU1S+fO Vh4a3RMFz25tpXxt7lZ+x8K9u492boXKkjWz516p+JbFaF5nOIa/eUYDL2+lpx1CLDnzgk/EGohO 3FSTqip+ib9vVV6S7dGhvdTVWJ6SH9Q1LyYCByDyo+5V8DHX1SDSvEeKiKfUa/ztFA+K6BHPIy9F t7wAO6NprKMmC3iumbrlD4VHfEtquRpy+nwosmTfd9OasLENH3X5THkNWZIfjAc9CdYk15NGX5jP 1+En+Xvz6HbyCXaRDuuPEMFEpaB11CNzXRLf30A072M/O04uEzZ3o6iL+XLBgHiXms+4okVn9/W1 JKjkLrIzDTl7KJYjSrzd7KBcNHhdzfxnp+e2Q5x34IhJov+TQjvegkJvxe5GxR7bsHVBg7Fh0ROO Iaor7Qqos92lVG061JD2F2PPA/IJ8Tr5xjT0TMtFnuPkjJzGJ6E4U35Z2hYo01qRXfLLQ1sZsZPr AVzWDbUCEQPMCubMXCBi6mp0LldtsJiK4DcZ/lcbh4trG3M2LMeO41sewoDFnng1kfNo0JTPn4gX AYAdAdDH4rW5tLvHaiRrFq5J973dwovZYJiHctddXt8VSjrd9cqpEM20yXQWimq7hpWq/4I91NdK nuyflAU8MY6aFiMMaTOVkSdcVZMqbWrEubfYwvZDCPY1p9AZmFVf8hpQyb1BU5AlEZz2GfK7zaD1 Gr8M+nmuHbkCtVVyqoueA9A0rPeC6m9cMNHnxGVXLhkEYOLI3RbruLLRVHrzuzkd4RhxS2CBVBfF s2jCx7jrZNFdWCvywJ3y6nclyoqNPy3SKWiRsoB/eoF15zpl0xFUerEMWMjBFJXNVTyXzjjLUC+v 1jbHNdw/hvHTeN/+H/4GLG8Jglj8plmuB32fVLmWUBRdh9gAqY+d6c/RPB0ANpgnWMOEJTcEZ9mD Lgh5FG3GFU8yFcD8XflUFnnvGh0An2gY8MtzC7X9e0KMQtHB21w0V94XVTo9qvmdTTSScrEENt1R Id5kaBiOc0VcLcctlIuIeucfA0uK8xf4Fs6yGLoLpo6UIHrCx0ZaugQPd/7fViYXW5xh3AlWKvbv RIGAxOQgUAU/atYlEx+IzWXOkfONP42Owar5XQUaA8zD9F2XSJkQLUo/om4ecPqiqwHVHfVQw2hB F6RhvmQM2KouHqjlo0uNo9dZip8iQEqs9lAa+7Z8XQcx4tVSBjGEd8WFGqSqSqHNs4BPXXgIeSrx psHMH0/UTXcXXA6kBCNjTkJr5KDc1+AQlTHeTRxWNONc8qM9fwzZ9/T9/NPp3CHNuROObfgeM3Sm jxAFvyYuynricEwVO2WWjgPzbGB+BnFOmRmlJKVa8CPm01iMTYpA1Vg2ifZb9ceMhxKZ+D1RLvbb z2BJAnYXlmX+93GMOKUJk+aiMlJZ8jpfs8aRoFViahpEhqKjGPhsSXnec43lBS4HLTgElnSxR/o3 lCxBl1r8PH70CNg28hkr13vFbh84mssNWZ8EJ/f8MoN2QV3gY7Z2nM5GR2rnL9/p0TWY1fMOWAzP 7TPktULItu/eBG+xSpVU9vS50lJX39Sr7CaqpXrP4F9eXUskPY4GZo23paIFOblFn1tqffHbqLWE D7ysps0gVzpO2L6x9lEZAx2JFlhVl660Fi3PwK1ebYQqbV0zg5n9d4Af6DPoumVT7I7N0DyZp8BX M+pyx7r+XR3/rNTzXcXK1JFP+8rUpqILrfd2YD9UUIBKTaLuriN523e1JWkl9EkwyM9zYitU/FwC 5b7TX8I7KPenlzBMjPVxqoaFG6zdr0pti0DtR1LwHzEC/6TOnkP3LTflAHI4Eu9YqIuQQX5R6cgh 6VPBgl2g8pzv3U7mE5Z+HzHbwACqTUvWp020i2xVZ1mQfKxoT1gbz5zg2m9Nlb8vhB9UZzjBC04o liWK8ZaQohz3NfU0ghjbPykFlWOr5E/hyarXuRlvzsDLI90Uv1c0Qd636z1et/Lc0taNH1smtbBC L5CzICBfCbPAvkmg9uVLh3MN/k1ohKbOvpfbgKq+sgw4SkzYAlpFrtYDeLr7dXaGivdJPAyQcbf6 Eyww4AP1NWsXKiWhtPdBocGZ9vrsQvqVahxih+nasFGqNRsvPoQAPBmgAi8MOa5nmbaeA2REr0GL zwgpCmnV+hLItaQdd2TP9Lf/vEqhIQ/Cz2S7+866NMijlas4bT88tikMbsNoDgpxAQ0f+cwaaX59 vV4oE9B94SGc4IOmm6JpHs5+46kWXoLGO9qmeUAs6VswQJf4QEL/r3NPsqmF6BHVyO81qUfeigQ+ Hcn7QvWHfO6WlNVmKhhp24poplfDH2nEePPV0kd6pCuSzVDIzTFCDDSMjOp4Yg5E4zbB8K9xmJWK UqJpB1PFgmAEzEYU66mWOw1RhwyZosl+vMnhmr9EV9ewh2vKUDBUiqy7KFlwtU7HWgyBSmn2Rckr THUH5cXpF/XifWyoefldvXDLmTfusog9ymJ9IsGaNxzOq4M9gM3ZXYm9u4bCee1tnM/RLZvaAHJ8 DPd4IxZwFliwlBAUZ50TEGeb2xUtpfWd3LGrNvvBoelU/RM5uIBqHwhLPiMEFb08ASdBQdKSpvcw O8xh5vUfnYh7vstfOG0+VAlMAR929k6qqgGlGcspyf3kWrGzavwh42rX59+VT82mcj4AQ2b8aXlo e4oOfYhP0RTzdz+97uBHdPsqdEsP5oUq0Qu7a1n6u97RE9t1psmER6Nt4eWw6jr62N8/3c7NKFwm tTOgaMvUnnUXsHA5Wkm1f78UKAisz+a0TOu1uXErlwd4mQiPf+tNfClmaJEHI6AW119VNeICCzy2 sUYfVz9x6Pw5/3V084PushT5bKx6h5GPRnwHUzA29w8TzE1k9YfjnmeW3YZs8dQ++5CDxS0bWRrS HbOZcnB9Vi8XgJi6JJTeLpj+QCDmJ70Oghz81VZpEnW9z6v5FykOW0n43CiguNUW7hFBurQHuiG8 0V6a+r0qLpT99ENTTJNvYdZISDt4Ag+CY9djVcLDcOTo2fWMnmA6pN8mGEQfhyseHWw+MurKhUE5 ruSpwQcC5PnqSq059R4fzHqWt5sV23a0nJ7R4fXz67mb61o7bGeccOA5f1zPtPQup5vo7/lzP5DI YEpt7F8WFNYmt/VYz7g/LEMVvdnXW6dF1qmURCECvBFn0V6jD/h3Irxq5/gIJfNNW96lLNyAhF97 dZqSbJ/eEBBiA5Czs+D/gw72Ra1N68Nd6VhinaywlKkq2QeeXNKqPONBwWKrTFgk9jwEC9Y8ne0m 85T5F+tAl8VM7ilE6nJ3V+DnpPx/+99xYsf4QF3Zs8gzXXJlr+a33AgiPCHgjWKaIK9cYlIftlK/ 9KoIlL6JZFi4inkZdqaxyK0X55uTLO2mv3hg5lMYVSQjJcTHKLoluaf7BwbKTG9/cg2bJ6YF7ure mPac7t5DVtwajHq3ptasW+lgibQ8zGHfa2/iQJTdSLoqqEDIhT5j+QM+APWxFC/nxda+zS+z4OV7 A6jX61DQctZiVnQ1a3WJMO5rLYf7yfGtXsfeNhHXi5XZd2NmDga7L6Gv8Wkoc7Wecjc8OUe70LvP FJT7iyW7nOtPemI25c9ZjLecbN4f5FHeIQXgAPghijF5/Q/MD3nNS6w2awiPqxP4Lm728GYZFoGL SVs5cCGceC8ih6o1SJ46OKNcuWn27/46p730vJww7Vy4+1l4yUuyyi1ZQRmxB2EJNYLzgW5yElAm 5HM7Pg5HDeom06e90Hb2Vd/nGT2WFKMV5zF6UVyXmFhmfUTwa5RetEXm+P5UjMfiUhlMUDR4VUwy lSno5IjXSfDkdnaXTxu67VkJjSjJ8ps5/vU/WW/yZCpyYaPtl4MtIaIA3V+W1V62pDiPIGToMt/e SK3AJkOYuq5cpDy6M6ZtJMDwwi7vhm5OEVfMLxA0dS5CGfDbXbFTM8+wt+k/MI0GhZSHjmoJPK4t hTOmBlLqFB1nFym98StIe9gOQsYN4SbmHgIpo8/0tIgpWBZLlK0gqWt80HMZ3km96rjdSo0zjWpH nSq8CF5FzMOzzGbLXA+GSUKhP8hWKpmH7LQwEMFYTXCB+t09jAcick5K9FjaOlghislFBwrV3aAH 9lfnksuL+o91Yz3qFO4aVd+ziwG7ywsxF7xGFTP67gRZ15MwaXFryHS4NBD8dX+dSiu8oAL22mrV 8GweCJR254lXkZbOtxRZqGlvcjZ1Fm1l7WGZYPuv3dbOIhcgasFEVy9sJRsXoIXVp++bTBY1ThTg nCoD2B2MU6T4NJ+31j+5ptWSlmKU5dvBjIWqELOufseCyRrbpWjil2ToUZL9yX7uwTcZttuOSdR/ U/ylRb7AmwntfHH3xNM5Wxvg5t1s3ELI9CAzwvQC4UrNALpLwr2l9hTw+g8wxX6tUfB5JjFiPDuh n5N9E+cXP7FSYzyWMk/DD78gSE7HY00zSYsQFaW4uYsRX9WNXTCEliWCdtyum576Tv6sZxKKDgbZ dVzfYUhKibXmDN5iCJ2rXub+wqIbZDCrbzkkPgY7j5cIMc6URJacu4fehOytK5UhOnYL1mjskiDL a/lN7lp7Oa4Ravct8x944GwdX/f95mhCiEHFqZdxJl4ba3XqxVlsDV9wDK9coTP3NqerQLBMeA7D 8yVp4aL/q6ZWa9w6f5OKAsxiujXx7mvSOmRbSEYj5cpe1hYslnivB1FRXJFvN6AxTVJuSIEc0JfZ BNqmbTh9ZqoXcK0bxUl7zWvtjCt/bo4Mu3WwovcUYnn+Raoqayb5Qsdth5z7OYK2phArvP7pMrMa a/9Q4cWz4FmzfPF/jVEP3KpBjb2OPlxSRoVOT+ZdEbZpPq4tk/cK072q2mPTFGWfbmsEfNrf6yDS AqEWEUe6lNTqw24WVMb6Voloj60pxyZExtl4Yzdud3+j3oCd0STbTQ4TvK3YvOw3xLauh4IzEPbZ ewloOsZT+N4SuLGXCTz67mcXETgm1Fm0juMfC8zVlpzXyCP1C47oFg3siH1HHhMBjdedKusIgmeP cywUClMANnxqcxVeBiz1Yb1X1IqsHxmXxzIZqAFYIqmmQslew8176mkO7z9qUKHeT7cozr6QC8NH p0y9SAdkZ7MKoMXBrzmKIPAAvj9VwnEDsGo+eti0nnuQKo1drUoyvUqO+qOWsluCJHW07f98pI+R BZx5oq6FWq57pg68Ecl+6O4Xy5WulmOqismzafQAhrhJk5g1v82dGrCwcY0FWjF0d8nrG7yxw2wQ xPg/IJ7P6/1eQWXEBw5/ALN8OJ1mJwVHSu7KSI43w6xjTxdWfZHHJ0TvrynSwtqzyRKs2+RvY8pT ctJ2fZGar6AcmJD5CQGGI6Y31E2wyRlkTuEH+WHztfw4FsVJZhHyftbcfXJ1h20Nu3dXuf+YGbgL BDGVI8WZxyh+B1vpIKKn7+QeOkEHcEqAWLZxieonw7F9OiX7xI36RPGA3ioQoE2gMDpVZ5ruWybI USQ3zFTkuMZ/RGAFuez0poZt74O7uSLtirvxlbukr4z81pHVBQXLXCZQxgBdZzbz7kvhjpJMJsH/ Cn1r5FogqyotFzmoXjM6/qMeG8JkTdNdtWVQ7FkJpiMqZF6l0DbtdIoY+Gw/Q9A1iC17tC9cveKR 7PG6PvTjAt7eGMQNkWqurxtsyyNqlqzHwjC0hjP8dgGotym5CrP3878pQOSlY2iVElUB1x1Tem/c jgnBpOU5lpXzTwcs30JLuWesmO15Lf/Szot0GqQCBC0DnmxcYKj5ijvvojn5F8mjlirRmf9pe/Fw GOcRNkWXNzvR6GWynywU53q9NnjM0LeQ2z8fUJ97Y5IYaooR8Ek3JV1+SBq7fX0n5gpQMRibtshZ 5iEURYLczzluT+JpeM96AreDmYBZV4+MVZ0xfrX5U67cCfU43x4zSCQPBVd92dksGP42EddYA9Fn ZVujNgDHzVGcgODFiVyY4VcX3BmcSICdwFHCBs/lZylL9wdYVuE7TskpKHcpIpog4iC8NlapPMET V2nQ8I3RQFC6Bh/dD7ZxeoOW/LRNsYPLw9g2VneQIi8YvlawmJx3MPvzANBq+3fWZOtHeOSBA+4S DOyoEWkSElQNeAxlIPxgzPOSmw5frHxCm0J+z+o6/i+OI0cLBmDKszyehYYs140SKHAvFoPFsfXk pytsWLHyddcnYmfkv4DeuFetdHdIJV9pWfj0LH0XKb/gOoohMTNPt32YlYaOuHZocQkL/13DRoRz kuVJjpHKQJaq5UYmmYkGBh4RgGidyoqLP/RAqCNzqRZ6tDZFUNGjWpGIqji8kgk2DL2fhrpPRz4r VDS2S86HUiHsfxOyMEWU0yDVXF6b0m223Vcb3chOH+OEuu6g4WVJQerZt7Y2qeqXB+nXcNxz9oW0 1llYBKniDMe8yyuPUwtCZIzofCouNAAZ4SVtz/1TL3WYiP0qMdsdPXllFtmUyf86z5pCtXDD6jzP oWj+NZB5FhFtNC+1+BvD4BvfupxAzMWNPG30wHz3KBXsgX+VsCCraqW7wIjyVeeVb8bWBNDPfeDi suGuOz98/Yooc65Oy3fpnX8WRlE5rg5plPr0cQGmOjStW+jh0f2+dT9TJ+sFvqfKGoU1/o69rU4Q LWFiRRUgPqXmQ/Y0wRTVb3tHo9X8HzrPXX/e451t0jJ5fkNbzog2QQduM4DcLnxh0i8fO9XwtY6c q/gTR87L8lWPQxYMWE8DL5kXnv1vWhjy2uc9E8tpK10TNu2url7rQSKC1nD4EYnGpTXHcn+Iuesy 5aZlyS/TBPDJXJMZ++gzGj/wf0kyVwR5uQQ/C1PX/BqNthWOk2G53kMC1FAMoUVbEaHzh0E4KrSt h04/YxvRdq0x4hqAJoB/591c/GfnvMWL+8xRRI34Viopl/5jQ06xLmeqIoEgWXJGgLKPI2EtL/G4 VpXz92BkbRQosXa3foZJJUzXJc1tCcnoD4tG740fq9x+Hhtuh+3K2Hz4KyC6JGXsQsl6sYXme1hR /RNhIPmipYDEPLpKmMZUeISOzdKoYaEZhO+4n9nSMqwxepsuHQ743v+fJ7qfiX7lk4UjLP2f7U67 zbsg/ahD9fe08leU7pnj8D0mQyV+wbWb7l0ZVS5zsE9LG8sTiYyYXyRUyCNoe+ej1gQzRoEGLtXE lTtHY0FcEH5wsETTFsh8NC1QA70UrltC7Ey/XV5BL2WzI8nZms3TVULzETzAKMoTx0Vx1JxlxT4m 4Uu3rNLxfOBE4Ie9Tzbw4iWbT/SI9elCzZjv2pmDOvP6eNVhfFD2lpM+d0GuKuMay/8DZzwNqElH 9gdR06W8pDNi0OfZv714SEKlacGNxtk9UuHmQ7XNmNRTJf87NkeD0IxWd04xaEOIBoM2z0BvFFTE RmA3RezMC8LEikLpJgvP3srMMRMWdPKN9Sw3ogiitN24qK7Af4bO5TbF38577KrY/MwPlc1A2IPh RLe5UafUpmJjFo8dxkSOmGU/f9KVDqQIvteXkDli2zjIgIV7xnn7eq8r5pt2qoHlyoUFp1Prw5zb +ig0aJJrVS4g3d1ZxpDgfbrrjmxo0NzPTpmNnwUS4ynuP4bpdLYix7+6RUOtyjKUBJqp0QTCCa+2 IF9YqVZaiZ01m4NBTidRUOZPM8jb4NUZv33uxrAIzfcWJMq3qVXW9FOFnDoYTuOkSfITDb7Xo0pm z80HH0LIk5gUQvG/XAFTHs6ruw7f7pUzc3xLAq25UHp5fojNCvPxRTShIX/BDs4xV12jRzQnFc8/ 9qgJCDrKC1J0rSYbHjbtOtX0I7sjwBG1xYc3l6mzAscMEk0BXsgq/DJJUll3zHWrvgrAScq/jihz G1ArkbZPhZmyXZq/a8B7T3VRUMlHyuKjV+1SJ+H/4wmRqwEnMTGmiReTEQ07ZL+ExSbq/tC4Wwkv REO7qA5BFA0yW+YxsGdIQiSo7SEoEB02EeiEqrDCg3/u6ay5X/pO4C1aH3YPNZjJt9Zb/Nf3H2Ig kL/HI8MfQFU3/z3tn3kjgWXS/P7mb+Z6r+6SudT4ACGeCrKiqt2aIahnb8IJlSG5JEti7gEq0gqa EJe1pT0/CCTtnx9K9U0KXtvHwMfhJ/ZpRYP9zKn7j0LHkK8WCxUTQenvMQrqjhd7utSaACyWfrFb gSDhLv4H5OQsUHV0kOrKV45hB234pkcMYASOnZB0Mua7bnDXjLl+mLRbrRsR9HP7aLuS+2SteIV/ PzpXJ/69ZAX71kdtc4ZyfTiA5Ct9cSTYOLmWjfE0iYQ1A7Gcm/07tPVU0jwTNVC/rWTN+VdwU6MT biOgapPu4ce6yAn1KwGHr8j0c7LgGqrEAzfn7WjhZaMW4lamve9Bmo+h7ZSyzZMZGefIcJJY/YJR bXG7dDvtUQX+Tb3At1ql/F3a224WUo2fubUhPbYORIkHQflYhq9oCmPKAnxZKN+Nm751k2wtW3R7 NQLJyvno4SaDQAkwlNoW2DblmN57Wgrtkd2BK5cX0q/YySuTUM/e1Lcj4XF45G81ICYMiRGjKP5R whGjg0WX1eEMWoCqbYr3q4O1zEHY6g71D5GlZTSaWEdDjwyuMFsMmNbcBk9RYqEEIY0i4QfCkeAF BDI7WTtw0/fOMOMDEjhh4cPzRzAhWy5kZfouw+2UyUUjQxL6iZ5qJe5ON2JzCTpFs5fATwdCm8Vr IjcmMOMstiUTWfAGMVSmFdFYiWTXOmAqXTDi3z28mbNihpLh3unaW96FpjTQ8t15dQ564zLVrmD/ i/PmE9B8kO3jopQo7KZH3cm1WwpF2+ZqvnfpPxhC4/iVG+dQdKKUcUuhF+znfFCrTqt5hmZbvOzQ TjEQovlB2BCyL1EwF4QucgDG6dZmpmS8Z6N8YimiqFZ63rIBy6xdvyQvee6yvoIKOClhoaAmNW+A 3ef9m5nxCeH6hOtBVABZIllnewZt/NkwtANw5wW9J4AlQAAj8sG83gUsKOtsT08P8t6hJ10Kwns/ oNa7wQXYud5x4/TeZAH+5FHo7TLQQRgzSU1LVCVgzxZtEfVBd5WC30vpVcaf01OYu4EJB6xTqlA3 Fn9vFckVOYiUkhrKHjkN+92X1ulKZ2H0DnwUKVpHFhgIzOPK/MRy02u33ElZyfG4SXuuMttlLst4 xAcMWgCYSgOdqL125uZfG5vbFVa5ReRZWjXy8sjLHz6HC4ETQkzhq08vBf3HppVF577+1CRzO0NS nOlhvV0JgxoPi0uQURzxluPPlEDXim0R7Yy4Y4AeeycZQ/9RHNBv8TP46nhU7IOSwKtSGEuR6Zst JJ+XqLfNYjnuMewOr/WJw3ZVdogo+dcWrMtBRS6Yt8LpXNKN1EGyUNTNNuny/jJNGaFUnd7VB3ve X7LuXtXVjwVnaIhGQHWPpzh979fpOhWfVYgdgs1aY43A2FWIL7DfG2BejfO6nmX9NtguY+iXGqDH 6l+2qEOxdM98VOgoyQuKIBF+4VzjEHiywComyLheQL5i0WtdJjBp+3EpHxSrHq8jQhfwnh2mRDZ6 dLhaY3j/7/hqebwPLBEdalRIrws92dHAQ0N5OoP4zvkeLe0UwL8S88ImJixLloTJlb2ui9zzAcG9 gv0SytWsvQt9kkpPzD41Q8nin4VGiabwdESPdzg1+7jMa8g2iZ7rzrMmLKbo9toZStCJQW1OQPDK vxFfCzFuEYgeXyS9ZHo0V6gQxXj2VyNwmf3hCmvhyP+RKeIaJ1Y02LDhyrj0mpJ9/9JoFWgOvrcp IqzOlGAl0sLp9f0az2MSV+/AoYtLYVytO8tORRRP9aLy5kSpRV6/XhbFbFyqht0qVNw4hPFDMVj5 YO18V7wxI4Xsces7APQZNgbKa9ETANm46jb5KRpcX5SjU8m0V4R12rzsKgjJOCSVTwutOBFTWzDU EODXI77+ZYGOyDLdKB0tf1fmZHdN5zMAxZEf6aRtKWkC9bmhQ1GNTmU3+E225LNy424rXMFe0Zbz pOTuaCyc+rODgmNw3w5a7V7B2ryGaUtM164BztpfTXZBG0Q6ZMc4CacLSD3NQkZDA0+B3vbUmt3A nG/AYKEGKaNgrzihqniPM5WepnXrROA8l6TZ5EDYs6xtewVAznXshSnfJOcC+DNTasc/vCq1hTbk 3K5LC0+VfgV8NHKWc2r/jUEVinyr/zDdyQenIvpbth/UIXksxlaTUaI/n1P9A9/lwj56adLRdJS8 IRHBl3BGZs8EanFIUe9+4nGelSN+THWeNPEW1OfXTwat5hnJeG8w8A9CMZfd/JIE+tYKr0/NW9GV Yx0H9Gkzh2di/7cR8wogEo5TDA7K1/STAJtH7TNch1L9LkrZFdu9PfAFRB8q5j6GpRsJKHUgnRVQ WIbx+f25WboF7r2321aWN9E8ohTkfoTu0lWJTjsq5uaSs1r2e5aDN27s44OpqsLbTXpP4tuD4L13 zgAg49jn4qZVdDgtJPcUJss8cLABTILpgZuqRBmvTmvXC21BD7gXLq5q7/q4zD8A5JKoN+ou47qY mi9ulYW+TXvxjN0828Qzz/1z0XcmTe0GeE/dnLf9ExlNmKBN8bNOccSprWnVLSqfoYh3AXnukMFx 9nPKIYTsz9T4qr+WI8tKM1J0gnR9bZ1PICgNMRMRZZtdCMRh66t6TAYZR/VFkx76qhgEeCjDTN+k Q02jarpoKAmUgp5u5aF+fIYReig/7Nss2fQXwd/kZ05My+3zCqCwXLHk169L8+lv6r2HOrtBdD0Q 24ClNhHpy+lntDSJdn4zxACJ0BE6dTrrNbYmKF4pW0Rr3j1Y7LVzRgzxsQEZjkkdv9ploO9gko7I 7bN4fVaxM4cH73FfpiJAPh+YQOLuuotyyQo1wdcXQqe6l3a2Gc/dRRuIEjYZnjO6ds7oH8E1KkD7 GZaJL+txmHtCYj+nsU3TmRwqflhDk3tFBXEPlCY1j2PZveTseZqjtAX0Pt/0WGxra+MLYJKWQx88 sTcVbxfQXRzEYVXir7UyTkv7opvSv3GGCXmC3VeYmGA2l74oe+oZznN+s1jbsJmTSVo8wgi91xE6 uUUwXm6wDgGlMB93q+HeMh+t2SLHbKePVNpRO9GFosV6yqNRDWU2G5yx2TXAeJ2K/svx4oiFm+vC G9jXH7414ZZF5YQtC4GcYzI3bxg9KKbmkbq1d9bUzlv4DLYWcKrg6Hq1MbKpclQIzoUCu4wl/v0M a/NfB0Oe7E2LbfY4ISf4SVTz/sRD/OCl4Btdv7d2zy0SaLgOubUftdKNIyo3sB2u6EkhU2r8qo3W rhnLLSzgaeVS9JGsPY6fqPr6khR77d0v6I0p6CvGG1csiZjAACehYErw3omvUuV7/+06n7SI3d6y WRPOeca6vImqzSi5fx/3WSLmGWNzML58mvDSlJv2xdZ7O0bDsBSzSWs1pNTvmFmotq1xDjQEuufO v5HpG0GBTWqhVHiERA24R/chTVnP2aTlYvggKt1aSFISPPh/7yO0K5i7PfFF6zznh+paCukPwXaf lv1AsGzpXIdIMCK+NUGFZCznyBqEzf/XKnjENzHGhWYIQrk7iFSNsgBCzcx/9j93CJ5Aqz/4OlLK aLbMD8S5qEFJHYBRCp2wyp7sJBtf9v8DfQW3UijFzAvwiW3SRBuyYHRkoDj7Irds4Bm1FSVMqr7O r9DL28MFeA/FeIZh60tKQPSa6nIIUr2VpgjLD95UByous4Q5k7m4AG31rvPki7rdrceQDbTQThDs Qp3iGOVZHPj4A/0w8HbVgFNlQqt59aRQ9KitrL/bfENARt9f6iYNbdbJbpopd8O47c1xhnaa8k0u AGeNtoP92YJpdRsOLkWw8cCeOxvtchryDvn3NhyoHx0BxPDW1jDWhFazQ7GIf37ihIYotbZWWKx/ O+nA9hg0RMopN4Uk9LEjJhIWieNpNBijOIEwX6IoM5ix9hPOjUQvaEFJSNMkX0C4jeKggG/Frb7N sR1Gm69UE+uCkgWKSotWvYrBdoI4v0LGTgS6wOOBKdloTjNhYADMJrQxlzDPsR/rlbyZ4Cmy12jG ggQOAdgwxUnny6WOWKmjWQrhtU9umfZX5+MqWDwPs0CG6HNEOAlMwBYVXCt5xQOxDj3jn4xNi71M p+Hu/m/qZl86UDYfOf+JGIao7q0BNgH79XuMk3hd0sJkRO6ijpSwytV07C5pItPBffxiS51H7mrw Tc0Oa7qFxxWhLo5cAhhx35sGitxiBPv3dhSTgaEBcDhvIVHczR8Sa8yvPYjC4ArLH3FiRgRYTvQl 73h46NibJfZqyZRE1kjrmhzf8GfdDuJsEB0+486SVZtHNxWcw6+OrnvTJwQLpWHzxfO7zORtwgWZ GncQU+yOt+oun480xSrPO1Yk1F3lvSdJdGbLkC8arjXl9QH/NV+V8Wcj8d7RZSME+YF1vKobqCBG moKv1Qu4zVXM1tDeqBRLaajtkA1L8IjViRj8E3qP3Wiw7qPEe5TgN1wpixoeJHQA6DYbhbYgHvRd Wk52iCsYvszL0c7yKwkbEmmaq01sdpGUyKRBRXxk22Dwob1MBp8WozjhSTyGRHlr7Tt7vIfrZ38m nkDtOr9gTiY0XESPjRou4r2z+5OlUBCKOHsv4qaSKrar1f7ww1l5bFeUxmfba8p0pX1/09q91TEe MYJ2TXCZ9q9xwkD9v7lCmz9YGv2PQ5wMyffK0st4r6Vw27svAkwXWpL0GWLBTgsnQO6wye9S6WvZ qeD/D15kBh0cA+sLTm4XHmYovwIth4eItFEQMrBUF0abC5cVp7V9B4J3cJluWYYw2ZBIZRm9AlzL TZbmJilr49r33qmNm2SeN4yo8IitO55bLGsT4BwdL2Y5uRfdbVGKFATlbi7PWPU6mQT7tVPKLsrT C3pGFn/1MBtRQsfoJ4w5YwxCLes1ZlH1uLCeLNRIwODJPegf/YXvbCm9ZsRKFsRm5SfEcEREyeri Jpa+zRMmw7256F5wQRObtYPhDxBreAyNj9ifnrcrW6C4y7Njxz+SRj8L0802T5nsGRJlCgxxqScv 6P1Z8Cz0jbPkCXF1ml1T0ML7HJsXAUSfTI/q686goZT1U+lvnR+WLrnAC+ut1UtpyaBL7CML/U6/ 8Hme8kcIc0gvcr78AeD8/p0Mv64KQnvtzuaidfEbE2+8H2tJEDkBo34x55vR8yLR+rIojHDMCZ7D mu6QcWFGYwdE+KSxXds7Djv7zaOQGCoWzmsJnMSRjR6VwOiLoy2J3eM2mdQqTQTIRb3SFqpG1WKb gmosPE9Tuu0J/E+zGR0D+6SebePhFSnhkHxSV2rVDeVwmA9etpGPJehLyfeTvMKAKN/15ufw2Rnz nCLJ8P8/CNOe6b7DsjrgkGaAt6cHW9CaS7j/n6JWSGRNqqj+czwxTmebUck21gwiFqP55RJNW75f bVkJuFGI1/QHBe40zQYAF9Woo4dWm6p+yZCyr7FCtNaENZBGJfQWKzm2VCoaAAkRgwGAf5naI1Wc t3kvOIfPUn4g3wWpXW/ZuToNAcYh41bRpdDCN72v5mR11j3ZJXyhPEWV0maK6fVW7y4yTULaDDMY LPcfSPcvylBi8XU6s5JvfruB2YC4htEs60kKrfb0n+hbghfefmdBTZsHELNquuj/rQFHmR0XAdZq YFwrm7a7ooluV29OeMncW3Meh0df3dXnYL52ocKMQ6ArnJ6T3HlsYgWDPg7O1u29Gb8cJLpaCJ2p Aer0uweioEPEfsVQrWjhO+p8w9Z0L8yiujy1TrTy+/c8eObC/mG3jCbnLLUzWlOzt5PYB2OCeABH +U2uBW7qw0ijKM3oZzycR9W3TKl9DULwYhlWmhamS46sLy3TbbVCje74Hor0p9ByrCsTqL5NsSEv /rdmYARHsf/kdFksq7Q7mkVF7xS2G6ZgwcQRI47AIPuiiZZ92sBnQr/0WygFt8gP5rIDXit/GjKj 0jX14PIRz0eJroYE31CCRw0XUk3eQxYiCxyuj3VJDT3b8s4pr1KFwiX1N2+aL+pLYvl1Y0FJkXSU Dj2cRBuvRXeCWiYX32XxREmx3yyvxlYGu2FXbdG0nWyMYTdOu2kG0z77IVKEQAC1JEcVgrjCtePI KSFOB9WrhjYLJfM50CBjziBK/Bk5bb8oZDqizA1xKtpjj0qZUyS8Eihw4ByqpcDHWCtf9XHlbM7g z7O7INx++SDV6OPm6Jeu4gZJenyLYMVwpYZWnaHXMksJ3JwxEdE/F/uCeGTZIlXlD0qei28PJkVr qx7/Dz1+9Wnb+XbY4hYrhxaljq/kBiUa6k/vELEdEbpRt9DPkL4ec5B48wAWO0bhypV5kkHQbQm4 HkujDOXv5szAtR+GxXpaGWMtRok3mEM5Z8KHWIJSMrtLcg4zavmtNNe4UpTjJ2LLTR/Z6MLO6lIk 5JRx9PXV6fVvJEYPVRAep3oY5ENgV9SdjWG3mFrHAI9XCC5UMlc/Rca8/M/YO+JDuXUIwcUeHSEE 57R0vkLsyXaRSmc7mgLd4F0PAVisR7xgQx9ZMVzCajIa0fWq0L2YaqES9wTKo1bOdzBwrf1htBb6 ouRkGw2o7pD1h61SsriucrRd20UwZYp+zGKRSmVlnmoA4hwfJ6xh/AZ63PbMC0Ype0F9JJv7iO9m v3OKN8uQW0J8d6NG5wmzcBXfo0uWHfQpaFXrLmn0YC3toMEgPmhBt9FVS172SqU4wBsLwGrtMn5U g88w5hKvKZUxumhW7+9ToUW52uLe3BGVmkINLRa8susnv+NekvtEDWfYoku8ArCtJoNXJtQaH9fj 6jtScHj6OStswaJ0xNUmJJofCswkAPACVndUPzAJBGeOH81SByeg2gbHgH8QAXGR6JQlUU8cXF8r slH0EWxF7bp0EhtoOZKfBj0GwgAZuZSA0OW0Sjw9/B17z+TEh2fDYatE6vAA6wluKEhsy2pGkh5w VvkseBorLGw/SHwntiPy6yccHHyw9qYJiegDhYPh7PwBxM+1aG4sFWksovurU/Jb28Ol5+i8k2u2 r5Or6ivXHYxE1ONOkwhWtpyCqJnyLRMtZGHGpGu+BQi0e5I1JxVNNMCnvTg2o44kmOZrvsBonElo eAuP55ehFa9BN9RhmnFhb7aPF3auPNKDKnGk5s/D474CtUGdUpk9g3FQvi+phb5qdm8uM98nbLbq 5G82vIxOb4DwB8iBRt7sKeS70eK6ZOyCb+tO6NMlLRbC8YeSBmKhTQI89B1uz4CVUxo9XNe6xuk2 2TCT/+SuxFPaFTZYv3pgNiMStwC/T6DBN2HJdY+RNZ1fI7TtGvNp1sLd9z1sDrG3oH2IJGVf6ZJk kfr7wNL57nEU9/BxghXCvmYRwuG80kQKDOtmMDAcJUcFrAAb8VYUz3R04JDBvLEVSTV1Z7lH2nku kKIQJ+1debCJSl7KhVzrSlxW8Y1Qcv8twxs+NSw+razCh2or3XWG03XQUb+xi7M3KRpyO8jI4/OK kPlShoJjjqKg2y6LpRS8cnnmk5OwCrO8VTVfMaSUngEz4sV3xR2UHZPtV7RaRYtFFZgZE7gBnxWm KKFDzu2Pl/J8nY4470rMyLTTfoT8DZupRB1UwqELJPseuE99YRbPg90M0oogobZ4GL4JqoMQD0xG qCKe1VbmzvqFgGLrSGUu7mA4xD2tGIptZZIuHeYP9gjjdAuqRkt+BsHK1TwsMet2TatrUA2Rrq1N 9jFHVrkPAfyyCv287oMlps0cIf9+OXjvnCco3ALtbs5W18ReN4SIGjVRen8nQJ7NARXyLDSKbVvl JOa4kVnnIGU/S7bmEU+X2QfXTTIfdbuKbM3ctKgPxa6TA1A01lMWibqCETlsJAp5ZsH03uMjywQi lCuUkSPqxiq9hcoY6tUIHY909neiv2XghYbS8rjBqtR3xzIk/DCqVpTOKHMUsakS6vePexJw0dub 9e9mzCGxpAUiisVxfh83DEIzCN800RSK9b42ylJ9speX/47hRpSyNSwem/bLeCDCfbS20CGvoeIz pyHAlzftaZNFXw3V3IMpAyhkq45HEmN8sxA/dmMxrtDpCNyAxDQU8r0chUxp7t5DuLXXYJtswdSt Ks4V/SE5WgvFVrQp+HhL8PGtJ170/Jf9i7kUER4/xPanGM6n80qAWl58e+VKlZfb/rWgyOGYjXyT IvkXvICiXFpwKiUPQnTMo7PknBtzn99X78meNzsG56IJrQ4nFhb9TYselXBr+WZN35zD6qa4jPvu v8VaDk9WO1B6wgId0/9tNMDC0fuRLyW9d7ZL5xgYtJwd6UFPkPG4/8VGGJrT3ziSb8HJfCDIhxQj A4Enz81ihbQQPWa1ThVYQQL0usa67+2CvRJZA+ZrL9oIsNRm5ArA3Pq3rBg2er5RhPrMOnfCHyZ3 oawAIIcPGyWxfU7xGE9x9aIzUnejU08PiqLKEmXCF9tb93yXef4wQi7oR9EAXpdeybecZLRl3mx5 0iTec61FPyDNss8/+V6JDsBL/oyufx8UjQi5aQXQhPdbwny4TIj8EJ4foaNghViokgkk6ofxneCU qT1UWFbfTMFFL4QKaatLV6u2tXgcb4hk5M11+5sNzVkZivYfTgzuFLuYv7i8k4pM/XLasImgDb8h 3kBw/aGPOD1AKZnAmbxGzkk+eEpj6CNKtQp2DIjg6CI6OBVC2sQKy++svHyL4pkwzjJub/ezc5/J X+7DC4kn2zgNVcpWJPVBB432Gjsr0672oksq35M5SAzQazvo7HEHXxUG4Y+qNaaQ3wkdKWhekc1B tCNICmdqBPGcBNN5zxlFg1sxdvn7UKkfQdG8OQGryIRhbjiDIeQmZBRpS1xgNXTv2rrl6d6S1H/u dcBVlNmUfPYdUT0Bg5yzh0sa8eMk+KCtQNSZF+wPI1QJGNtxuA17vZlSH+qothtYWmBpY7PgUtoY f1kBXwxWIIgo6mNPn2YqbraoMXp8UW7Xueprf/t3gFb6ASM+p5BLnoNcMPOcinGDWkrr4uwCKxGF 2wIFqI0R26iC0Uj7WN+/omadvyLmvhKRukY4gHSXKRDQfycMXDhZuRgJbW9XfCL8nz8tVyyAEbiW dMmDux+v+YzaFVOQU7OkHwV4LCPdpzGMG+vxUxZJG4ucACfSecpFwQYj/HtnU3a2CjxeOaXtU3Y2 B/9M/FRTnreSCsPT/1m3hgs/JiLZQXE6UCQzn2uZTgVEHCnazkULyt2J0eRr2rLGVgf8uyUfWNd8 HXzljF8nfu31p8o2pB7I1lDM3wwdO0LK+7O1thx+5r4mQWsqax7WhcvNcyYpFZNE0BlEI9mGvWYJ kvEFxmNAzsmi6p0zSDmIbDv1HTS6aahIvIOLtfnkwPIbCTwT2sZPWYweXPWvqjyYY9tPL6TA5vHl kdMQxDxtPmcbWNNuVrxK3Vg3vq1jv0rTjT7Zm6e6NCJNe1dSY+4MpnqnlI6mOf2adCjUgbCctHLT GynF6gbc/e8B642slQ4eveRanRwMhix0ir1/aCD38MhHkR+S75KkUewODAgU1cShw1Y7DtR5gYAt 0q6mrjpC5nWwusr+Wn8pVhyHyOEI3dX2j7DhEF12Zq9u8TK4yajL46W1iOlWumeXNp+PiM2pOGSv dBHl57JvjxqmxPMzO5U5tqDZRtvOBWe82O2WdrHSSZyzIBGfjQHKQKfiM1OpWxmYnnbm+iKStRG3 5ewmkuePMANRB7y+n7u191xbyQYtoH16rzBBQ3Mxn09doicQolkSmAol1iTimbQA6RbTmjtX7myf vGOSn3nm4RIiLV5m8GlhkvAb7xvael4omz+vXMgpGYEcOHveMZe0CfjkXMhnyI81GHo1K0KG0sqd vJUCuwcyyxaLqy6g1YH5n++FGUt7RLyqHelwYJnsb1L8lSFLrITh+pcn5hNO2VL+IMd2rX98g0UX K9rLkHiD0SW5foTPHbr9In/Sb0WqbbwEdFi8msS6lZX7AHV/+jL5BoWI0d1wAJnd8k7e3sIMHaNS cKJJD9eZb59P0vcainxLCpB4IzG8wlFHIAz5TRp2vuyj5xiftKZTfjZr7/xqgy3NmxdA9znOCENn SXPghKM7i254/na/7dSAkFCIyQktpiUMBstt1pfaYQMNeTNEgIEncIBOdFyO3jZzH07SNnOL1aJT xLmzdY9DAF42cmJWuYwu3eCSTX59ZZ1/3OmvwHxFRbeToJJqoYAziM4FC4C7uMrnaglwAFSUVcM1 MWvKC49X8BTvOJOe1IoJkmtJYY5fKTBLcRx3O9epVN09enpPAbuK1R/YYDNuld9AGZEHPs5bKanI 2JGqzgBWlZkJQdWlD7kP1cqWavA1p6sApoLmQY0IqzfJVxJDWWYB5JsTwlN78f2w5BqieyxQqXlg TufvZQWnC/VacqbmYvZiLBvJ4/elzy1eiJAoE9nN0mzxAhL3QOb+k2odIc7BkJkiGpas9ZY5Dk4E RYFOZLO+sPZMN8or+9HtvlBicGTirUeY4G6uHjznqQrocIPVzH2JW2elWP3o6bCTYzI9LVqoHhS4 ZKugL22tXnxzdItSu5dlAadi39QAPEvFBSKE7FpUJrDgE/jxOhJ2ckmvr5w9pFU6Lq2djvagztcB 7kTrk8bqN9tPTrH0axKHrDGJqifWPaLfRLeZ/U9dBO8EYh+TTIJu/WxSgE/lxPaAmrNTD4j+oNmt kWXfV0UZGtBSuDEhuasNN0dLgp0+sV7JV6PwEdVLGqt9dPvvBPr10q7NJ69ithaTbpcVfBwkQzHS vZiMudhTQ7n+EbQjh4Ra7GTBO5D6eG/qL20tOFUa89iKCYiM2I75otORd1DN+FW0pczjf9wJRiDm QNKD02qPMWJQWMwtYIUszUI/UsLhg4CrgiZbYamPNMO6FOCDzKBCn89+1QFF2+97u5TUuqtDZ4s0 nSZ8aJMsPLE/prz11HAYBENRVz3lyJMbsVFBCx8tpcyPstmBY6VsRZ5VhBaohgNhukRV6G0d3MPj vRxsouNu68EjM2Kb51noFU2NoReK2SXfuoCGjg5YdP/B4I5Z0sc/X+s3OuEzxBAx0BFxLk8fZjZu lmAPTZMy7nGRc9rUqxWacXv3pXm62zwl/bPXd3p2it6GBVE3QXnUshpgf9jls0t7cCdrKY/mksz/ xLWD8vbzuhzT5QHVopMhsQXxcJUQLVEtHX5ehEDbvpIqXVu1fVACFNHy5EHu1XAH3m0MBBjjLA9t eBKwc9dNSoXOmNdTZewUtwn/hT5JDKunmK/neO8zjrxIfcmijRK3H19f+Uyfnc3M//b8xgROX+U2 WMnEgzBClyk+duRhLMaXA0GG94O4EBJUwDAfO+EdzE0el5HM3UjxZxOG95GD+mxjZAi5HuIwHjUu V1GtdMHC59Z7blpayQo/xBsyEqIhFSzI16wQhe0sRLvia9AIC4OxKd4omnMutanRJdZ5epZfaPMQ QpEoW9fMx8/Q6TOWHODOJk/s4CF/SapDtAphV/MZwr9wnltQsIJImpqlJfYlS7hL18MQhuGDZpWr ByGKbOnjP2cGlPBi40KoCa8OsO4nSxEuoIHJeB5pbT2KuOX8v6ps8VTfW/2NiSS10E3dCLTrAQiQ vcpIIjpzM03Rq5Pgy1gJPxF7zIM3TZfPs5Lm5YXSxiPWfYMe3E6Juv1vH+0wJKubMmaj5QG25iK6 C4IHMYzHzc1YDHca40URHPABmhPVH3/z5Xg+4d07QGe6qT+5F67mmpNq6Rstr9wjoc0UgcEUj4Su YG7+6H4PRJRQECbv0/MytbqTQHTwO/XtKzYTobFGa4B53lGt0Uyv+1VCcRlb+fZc15q90QFX6Xhx sop4QMk4csIf46X2kTjgusXZBMWAEcxXwacufEVLqJnUeruG4La8zwR4Hdweaqr11//Z1iIx1oz0 q3kurrhGN3kc/G+xQigQblSS8B0G46vcL3s5N006STBbpJr0PvC9vWl/hwfLk81ViGtXQyTSN7NR Ts4Q4aTqnt3F6t4Q7shmhsaLk1OpTAa2/4Dcs7biZVfIqNuPS94gZaXv470gVtxSYyqpjAfLhcQ9 o03UFPC1uEadoYLxX6kaqNk9wOwbKlER6n82Wwj3P6Rm2jtFKE8beuR25dvSKP86Nc+gaaqTFaaK He/UbI3uBMI2esvgAzcEudUod9Gi4CxTL24fyy6HIYzHdpNPHPDeaLxOAh6FBxSpyRaqedlD2EXS no2TglIUMaw0igXPO1xbyYko9Dr5wA/H9Orwe8I7JqLnu2zbE8F0RhpY2uVuB32pvaMKAap82As/ jHGbv+9Gh10D43bsLgeUj+uCcc89SeCFYqTqtVjbV9/jLo+Duc2pDUWrEVr1qnWMKL0TFPY+GZ/v lCFkszG5uPYdC4LwNFnhb9OqfAvMAdAknMuhvANg/V3LUU89bYHI8RsmgS5nOC0dktxbWWspfiPG y96Z4NhHQD1n5qMlsBjOQUquYiBOIHNqkyr4OS4x72hC7iSJR5PhzpO6YMESP5dRqXirmCfYDihs LoSKQS2v89q0ITqBap9aAcxOdGyvosz27lvRL+k1jSTNjvHqrh6vm7APDbgWgbs9iSN6fvAVeexU /7b0c4pWqgW6mhmYjPyvKjLgQyRKfqBZJOmS6QPofFGdaw+U+ArkFhnM6kf4vCZE6CRypyT2Wfvp dQgTjPBbPQqfULccqncrOGrYYG7C0oitrcDP/1D5MEeK6fV+eFqxUwHezLTsSRnbu5kxeo3DTfIr 0ssQo9dRHaH74k3T2yz78ceLr9jRRiQpS+jqmRQYX33BoPYb2SN8JI3O9/mdJNC23/2QtxKZZRp6 18AgJ9aT8Zw2+bNBqhF1/99aV+TDGoI/lEk9nmlQ6lGGLImn5VitQj1GUyJT0rr/YlXPwPnHMcFo 0/gtYekTmJSrunrhojcV4A71uTc85WK0DGbDFWv24dSf/kBz1443S/lI7vWewDWHSTQFkHnqZdOa nSuJTiixLJS2lChUAxTiipUlZzoPDW1DK/n4M1tLlanJOsIuVHhh/3YCTs3EU/BOMyEeV8cpk9Wv 8T8xQvfT1UABGUeQeY6ViMlfnXXUJkpmai4YJvyqmkJnyRXl+5huf2SB4DpQPsY2E41mj3ZsPJdS TvQEujlFySGZOSAy7SJhztkGC9XH+R4nqM4CpSRv60yZLpFg5EDnUasVgIDNfJrTTKuPIVYm6u4E OIYG9wbAcF66J8BADNYs5TR4Z+/n6k2c0ls++OP0BM7dXc1WP0+Ugrt09aCXPAVcnBqAqEoQrKvN hDrKDgH4oYCTBezYRkYcsjVX0bP1nWhPV5YIJfhCCzktF5YA9GN/20FEFaGfaSy/HjO7gCdC4rLH vDr3g2DV3qjEfMrweyRanAXXxx6QXqyKyR3fvng5/PN0UnyIOqRH2Gv2X05Z0F15pD5DKpvrKBmK B4D+Cb1mBRPmPCYB916hq8UTaGheyLeqpRuzVK1Kp50J57z4I8Ck3O8favpBIiesgXqUlTZjYrKB W4eA1FGk7xaMb0Cs9MMiIfBU0sY1ydlPEPBiXYXE3t4jIuYdhXxEcfl7BGy3F73ONImXYr/zKC+8 Apkii9pde7xpmso3tV8aR/9x9JGfKpOm0JsVvYKyk7QcSb3RX3D8sGRlhKzOcsbjebGl490HhBXU nOff8FCSGMHXSlt6KFaqT8zaExB4O8G/vvvEpRj48VeQdoRFtVJIcb9wdCPVsWEepPP805H+oQbm bbGOWbkSOeu79M1gu1vrMKqA1G3VRz2MpBcaSl8Un3gEfMeq8LXkOia3RDBShPjMk9MmyNZp1OUy 9oVODSypMB7deL13wtZ6cgyrBXZ86vty2dItQwR6rwkT20ku/v+rZRJ6+QoNsBlSNz++l82E8pa9 xfpZWpeoXiNWPFRChJ1M1jFREMvkwJkyxHxvHIB+kTgKZxrG1y1Fg91r9wK1rO6o23JR6hwDdJ3v NAwTj3Bsns3FbjsUGQ5V5tkOBc0yvr7hMOHqv8Zvp6o5oKPbJYUKbRmA0/2XTnN7DcBVa+zFJB+k k9U5MqOhecSZPsVQc0Sz2xhNYarC8lh8l/UVSf4nNOI7Z45zz4cdNdMxNnskPybfotJoJavg5EiK yDwGdQUCjeGrurNt6O4X1SIeFZakeA+YP6JaIH7mZHklg7p52P97JiMxpH1e0z/rqoj8e4WzgSSM n7EyIdzbRCS+g8DV8K+mY0PVIdFINI6vberTrtGkSLGiAo/bJHUBwFmPD8feoEYjAn8ckuru3UgN Yh1DOljbd+pA2ZEa1vJnhoe35zhrucDdsG58Giam76jO0lSCguC0YVxZYkMJ1cOcULj4M1jUavF6 zQ3VjC3TjXZriOyLdFw3iBNW4/1JwXgQxx6D4997abL5xLzpm5/TFgHoleUYhlrACv8qDWMZRj6U QTfLxBWVkIYQu3g03P5s8nXHCIMxlMw4pF2JYJ3M6/+tKkglxdLm2drlb1Sus176p+7vfaMmlnlw c8P5hhA7TldOmn950gjslB4+RDCcjB7a4rFSXQ2u9yZYaj+6ghOOceVZmlhL479DHgzFKrsDVa+w 4PYS6DoQ5z+yEPizCvxrn/GW995CGwDFMD/aUeL/qCGz7wnMKkubTjkZycSg+DdoAe5DoqsGFSJk Lg4+YFiFAtnbf1kkO9+poB2xoL0xt8XOxRZSHaJNR85h3TRDJjq0xw/9sP4DOZDpbciwQEiJRHTL g76KmrGHlu+zulGT3fIhY3SebtbdEPOwniMBO5hgxw7jXkX4YjSBDhWKke2NR9V6sJaLkHqOoTl9 v2dXmStCU6XpGCQozlczWYiMOqyGQOwA8Nvs7gvBrd+qF1l/7CWjlpg9XtLyoQao+X7iPW8MiAPO Y/s6IY78eufWQ74Fl0l2wTcQdqXaARgR/7E+4me9nzpqUAyANcOX3U2OD7MsbhSfcO+hcwV/sip2 Xtc9PITohsqSLT7rAMZLoSZ9bYWIC4T4ciQVdlIbR8OSzSJ2QamoDltF/A3MPyvUVVvGd2JddX0P H3B12kf3d8VKtYd+KGYHHI9kPxlVp+cFJwPNr7NjIfvEzUpz+XxsRDL6yPIHqUetX41FxVCWzcdM pRVr5fuGKHXsfP8gtJ3cTAOYv6AdOSk+WAkbeqgzHvkwF6A2NjN4oQ1aRPEwJGZhFbPyM8IjzKjA tRtUwtdRWDRT9pcrf19lqKxJBMwM11Z/LU/L5sF8WTjCtgXBxG6UYuAbeGjFrnu60By0As2EaPDG 5k5cB+WwTPKctkG8+1333t3dH/4RCtl76j6J9iJxzUF3P9NUe+0MlmuKQXoSXJVbWksrV1upUhh6 O2JSzx4NtK2cUFcWFtcR9rA9hs/MjScOoXzItXRxKE1C1IpZqN7YiKBpDKbrp7eqaSEYlo1fQzvM 7PwhNE/1I4/tsp6HTc1bVtUaof6iH6uqBTAOMfylDiDdqlXPtyFEiYgTou1z9gvb9ArpAXW4kRQY y2YNZ/xqZVdvvfH0Uig/l06AhaUW14KNLB9L1PvBd65jzFk+ljkatu1hlkY5u0xfjhLTqK64ClY1 1ULO87TZlCA4Pwo8hP5KqYNMmmwgIVSYr7CHCS4Z2/Ei/xVdDFxq8t0rkPcJTqS71rsUVXUoo0Ov GRhRK12n85erODOKo+ANE+Vbj168WowxzIz6me/5mGyDWvi1KT3Yym0xS3nPCRMmI5k8vjzc2Hps JB96e8azkMIM5P8NgpNvuLTiUPIhE+PeMS6qo54w1PbUCBAPP2ULETaN1xmKxZC17p7H2MObrKEp WfHo1xyyG3Mm1IVVLjBGFlw+7IgWL1xrVRr4oyDWMI4urRfPU1agbUKDkSIXcCDArqHhdRgvbvrU +aKVGavK5aYoKUWSZ12D5aE88HwrDP1+TgRanb9aEbpOAykg6HKiBcgPqhNd3VQHPruQEd80EwMP 3WFgQN3uWso61Tq1elvQMGC8//kjgpEyUPOnkYpdEMQWhWenFS1jfulgwrSUStgoolkJM98w+HHK jbCluf+f1Hf3PxEzYrF6PFuxXKvwYFs742q+uer1qGmeLA/bcWsjf+iqPb/d+CaysCarrYELBzp6 R9B0oLoLb10LddZUCNX4JJ+XhvznYE7bMJcH1OnvACYkHShkncBGZii64wMsg9GzyI/gefl/ngz0 vKu58kk3pH52/e1DZ6eeP9vw/Lin+H+pDn7wVZcot0FPJSTh0eK+pnJSGEA/IztfdQHtpCWTU6Wq jlhqBhb4BxVcCVuJzL6dJqd9/rfszPHh0VJigWsU6E0jUBB/a4mMAl1yZfeN7ocm6gswZYSCiLTN qIx4srIeBgMR1quk2/9CGhrMqev8L+TClLRYkZY1iwEfFvpbIHjVHG1PWUxYcAd8OXbjjIjiZgle h9Z5Xjp27d1lGxZGY3t8GZGEU9R9idqyjRElcIsMJN6EBWi77konMKlgjVtWtdl1P9yPU0K5QaGP Y77jpMbBP+oJ0wYOzCMa3K8mrmXH5Y4BMOwEdNd9orvc1T2Ax/TxBcdYSPeVMS02dwKfFDHVpNbp biaJcItxnQtdc3mWm9qSVFFm9EwWxJu6ibG5IyVXDVtoVicyQAyChQU3S/37mc0HXyhpXVVkLADd 8KogU34BuPIDb4Ux2mgRHieWNe1sGaP7fPoIy0dwu6cUTv+Fn7lggdOf2jrRZIrwWXbNX4eKW2GD Bu/amL1cuzUdrzR+mGTq/r7L0TkP7J3Bu8TvQvdPPjknwXuEZc6WK6Hs2dpVIXstgshFvnNtJ1Pe rQN7kDBomhYsXhE6kFUrcov29eZTA8SHVgDDAAYSaRMm1UzBTDLdPogDBy5bix+vpKhJA2FK3xEL MgmuOkiQpaj4GYPqNOFXjhc8iM9+1PY1EU31RNp1h1LOw0x40CObN2gFVbEECjpH6aIoNY4H3UGy 74Jt3anPWHTzISDxtY35zNoRTrrpKsNz3qVNXyuYwLK4LFBSi8EyFqpyvm+hlBpfspvSFLd4F3MX lhILGl+NEkv/qS+9HLvyvYjKY4wsZ0Zz3ubTvXirIED2Bzq9C8n1az15H8OmYnMfrDtH/w2uShal pPQzWm3Qg3f1lx5oQflz4iJHwzQCBLJeU5jmpi8LAvou52pYTi8JC8+D1aGFxbmNsz0QKSlJ0Edh GwhWq2q5zphm9WINT7yUO6ol8KcQDMSfZOeq24O9cC4CONIs/xBqZtJ2HcR4FRO+xMTqyIOBGSs2 hePrLZvX5h+CVItq1YKa8OCdMnluo6T16Z8xDYmtrE5FS7rT+F6Cd5r7504eLgwERwmbjnO6Qtmq pAZdIDt5Htoiwl/GgxewPUf+lSVKzF4QolN3xKEXIfU5EBSpnbSAT5Q2CXqzfQ0QDsB5JHWQgedz CCYjsKmoPBv2t1nqe92DGRYSU4mNeT1lh6X7/nG6g4bA88E4DUyYpW/U8+L9Fb25yV6O+DcMKDLI JQdm3oxLEB2UqU/ONqhZF4jDsWOojrVeKzfGsHEUyi9oNpLIKYiho30hClFBPX+locXnWU65Ya+4 CZDIOFz5cnsxzDfXZLky8BrA8Ja845IoUl9VcyJpFaXFU3nqhA2uj5HPwTNxl77Qo9uGN0nmRnhY 71aB9bo+0FrjHwjiS/GamXjEUl7KNCGevX0773JviG9uVZROp4zNS1NuIY7A2TZAR7T+mStMHoCx pf2C6Fv+W/YlVWR3ydnrOwQpHRDLkMpmPOhCPJnQ3tB/Z6SKFcG/p0rNeASVcMlRGBFYxqYO/MFe +0yFW0Ujn4C/G15306KBwzLBTYSkH4D1XInl72+BbpPatjOHX9HdG0xBCiuAjH0uV4Zr4d8ds8CH 0DwUzYU5QcCoyRrrOAWP/1mbPjwpG6g0dbebdhW9BYyEFfdBJ9QE0+PJWH43CrTAtVcwZ+w6zZ9n DswB57rU132uFq0YlmIuZYsfiknvCPHUiRAGsIXEaqgmp2IJ799TQReUzecHyOQjQoc+ArwSd8dW W2Q8vYvqtPaSRb30QAd92cZiDK5twN8X3TK83YV6tzApiUx8kUtFegcI8KnGG61wLf8c+hQSnlCa MfJQ46Y7A9zQQ3M+Ov/lTHmO8gxYl9o6Wq3eCR9U4fWTqnmyihEtiVWJP6/VUoRldaHBYTvrhhOm sNcdYDKMy+61dx6YZ2SXiNI3zbY6pAM4sFrByjEi08/2HzitEA4AnM5H8qWasoVpc5o2Gd7YDWXe M6MZ1hGOJI9WbsKI6XcnTFyYl2fWhd7z8rXF53c+KrRc5eCEALf0dq595cWvTO0ppCqZMQQ2qbl4 5N1jbRYa9C+voIOWY1U0yUEa0kXnDmHFQjfiwdPRRHnFGgu6A2E5W48iA/CkLH3RGE70t4JSFs9l 3oJiotJqHHd0QTRv67yFTTd69/2cKr2WLMeDZTy7s+goGNsEd4klYct80cVQvMDZAhaOBCAC/gXU IR2vmAv6p1gUVirSxshz3J+LIpYp85N4HJTEwRoA0e4ZCKaZPjpaUnBdbkJYWvN44oH3Cm0h8ori wCjFwgY7USYWs81iX861Ty3h0MhT1qXr0V0bUPHz6GEUHGJbtCqflBLfHZEfCosbAalqb5VhnMjb AWIgqhoW+jJv/jxhrzciOos+UM511T5speZYj3ehIqfMPUdP4+IZvcegZws8zNrZqw40xL+gPgvR J8fWYF7g0CI2jKObYizppI1sW0bnobthdhaeIK4I4nAkPvZATKsDBkSyvP/FwJYf9W83Tc6JWFFB JSep8UnuwhqTAFVw5bavgR4H7BTi23QDJKXq/k6lLTu4bEaIAdHOPvCP9wz0caC7aU+gnLeqD7W5 jj9DTO3tXD1O1b52Endw5LqgVh4OK9ERY7h1pu31t5n4ThNiSUkAUTyNzYlWTEIQfZKjnn8u1FbZ Z0r7e+qzlHypnow1IbwjET36xAUg/vms7E7jxyZnYk8abr2iH9gDrcNbCWGQ536c48TX7GEjQe0C bJAfFe6v59OVD/xB0nGMjFe4NOEOT9n3IJwCnlJmoJVukx+597ResW79c+7kfI/b7aTkK9nQoTlR GJnKZ+oVnUA1Ao0YikwBdbL3mFdBRVLBMxs3qiyLt5ZHdowlY+1HtOxvcJtFyzIrFKC7r8CivXCN 2NAFmngn41shjAVBNcPxXha1HOIgvLAI3GLowrkmbIaTjt5THEV6Uds+NwmhWA1zheWLPCXqAnDl J7AtDsotUHtqlj5eCV5rVsR+qoaIcLpSdDQxqP8oPFTjEXRQQIL4jxHcjs0nhCFBARQEH5XO10ib ckY7taWpCvUBNhNmKQrRuygdP7AvI9Yp2t2OlNn6qe7YHS/KS7Y3dI3trXJU//DG90RDbqnBkgtS ff+EO4ik4WLuLVUI5fzG33e6EWcfhFKFaoYNCXgnMVQRtCmFCy4BYO/Qz9E4FR7z5KSYoS+0nby8 eHa4jUyAFkIFn0quGhDYL0RBpPCFoMK2d1UWQUc+KS1xDwCWthfy2kD0jPCaXLF5MCV9EpSKHORO TDJBNPqGqWhJRrZp9bMejtzAE2eCX7gg2GPEI/dW4bHb1u9XqSi8gj+Rynspkimk+HhPNJFLAXj7 QKGdRSWG5t7yFyRYY4RZe0CBI1HXqIsrGFdU/16HFkkRKvrcHJaJHALEovvv/OhKPyh79Ciw8JpV nfxxnPAR4cj1wxbWshAKsyQl8j/GKVyvmbZ57Opf3iuy8rf1JVVku0+pKKSmoe/+245Cw4s7p+zd ZeyF6n3wwj2+zNaNTyt/CU+j1kRUMtwUadN40SF8z5/NPVAtVexinxh/aRTLC7LxT1iOxaWVFwv/ yhnR41HxOD9MNDgPFlcnVf+fKvIWfCgJgnsCYYPN/M7vyubLqSwAbnRELAK5Jj0Cusl5C4LRixl1 KTP0yThjV92uPBCR7+6bMt6xsDzqNy3fVDv9Ih5qvCDc0E66Q0k8fV5iTuVf8BCE+q0tdKA1GTC7 Lbz/V00izw== `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_120_0/blk_mem_gen_v8_0/blk_mem_gen_ecc_encoder.vhd
2
20893
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TK94jT5BKUsHhZCc49J1z77fTRMf0luLtVCnashKi4Rz3dFAmYJ2qG51l8uUbtXOS4b9cXY0VgPn joh0A6Wddg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TiyULDtPByOksXKQKz0hpqm5Nr9Xm4owCVfWTEDzLSEPVlXniJf5mfFU0uWG/9J+AZ4FqwXJDD72 0nLdzfpc1SwqLzvv2KZZCrFhsChYKUgiMCoKsvpo5jLPkcdAa5be7qN//0Mx4/G2pfxb/6KM6lW8 h32JSOSgM31kMMyBJwI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BonvIDRaePQNE/NO6Pu9LB37N86NEAbhrY/NcmEedGg0ihI67xCH8KXqgmN9gSjkUf5O9bohvMw1 wAdKDaxbtGZvJxGlxRSLo8eg10EVLicVrZPs/ibqHe0yQO66dPXwvnvxbO6CL6+VFRCOLVZLzyKn iCWqtIYHEdpeiPQPscDUcQD/bCgXzaDl7nT80pS5OkUOp86uydeF6gTI8qpsnbEMdW7VHZTFDb9l Y66fZObxVcQFp8SGqnJ6CMGT0y4TCstJ/Q7jS6MDYbYmsMVEKCfYyqi1tQqupqlOyTRZXGmhtBkB COLdJ0n/+wz9b9nK+EhbYET0OLys2KukAB/X1Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AwMZbgYHPqC74HT+q0xxJkthYysQrmjIazB2Ie12VyFTlKlAxTOlY/8snSB+8rIUNSam8gmLIyh2 qWYAIwfJ7k7vNXAwsLC4pVj1fV4ZIqOqrV5o3AU9GMkXULQnfOUsUgy13xkikQ2AxwMbscpZmuLC tzj3OZjNk5lBoUIj62c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block B/2v1PW4LQeaxBYbvbTvdvfeZQajgHIa1P0hfg5s+/H58FhYbPgwx8VidNJSo9SGQqJTfYlJdfj9 0N7WjwfUpzZmpR3+7JWKlnWXrCRrRj8jvNG6a3d0cnW414kB80Jh99soPINjvQKyeA5YQCPYjgFR U60RP1yHmOvyfEMLznVKfpRkaf6VExO7GHDW7coLU3K5Xu8GzzO0JjFHMDDigzsr9SD46t0syFto eIRoAKn7MYpoBUU3MJefJyVXADLvQVrPfC9DBysuP5K6tscCTKaa9pvRZxNeNcfWnupgpxjsOFsP KccONyh4bhcoPtStQsAEaVGUD5XJ0xGIYx59gA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728) `protect data_block QGAAsv5d9IRKTJOiA8tUia0U8nx2Q4wGstNvKoNoeXFVOv/DIP6kAYT3L8wBfoYEJs1WIAtI5w3v 57tHtvxmoRIE4HB5k3Ups4aVBrK+eSoSw6urUegE/gnuew+XGn4hKPFtN/GsrVKFNWcg3yPiYevd 5J+ayZ1FTCnmtrQ37ZjAIFUUVPtsZKzj8XowLxTVCGVyPKZTN7G1YE0fLJ3W64EIqwjwP2PbuUiy xInjiEWY2vpnPDH8h8DhzVFzd6I/i/xG0XUbb2nwqx4PWa+6KCOO0kQ2DlRDc1qeSVie+/+3Kxv9 BV+EDh+A0h7L/F1BAmjQNQAs/smsEOXYfXed277BwAz1n7tFi8McCQLr5sT3J6NW582btx1EeSU+ 2Q/s+Z3rnR+RKEe1JdPhjnTnB/l0MK0LRIZp4f5Uy5cPqA9fsNaeI62Fiz3uW8CeZabjYwxe6f4C nAu1ZGG0fYr4fPtyIXMxnbDwqE4u81jDTZy+jczvC75iiHqWMELNzWQeLFT+r2O/DXvTiJNBYZ6F wTjDIU5r9Jgv0TtGGEcuMXfWSlAC8C/WbpIS7cRt1UuxgaivEt9Pam/hutrNULUbDtEwFearB4Lc L2qUhjGsDj8S5eZS6gpRv5VvOi/+0INMQXozyVLnesNqE76eOBQIEwQr6GnLeYLSFMORz7piQ9Ex xPKe+X4QrWhhPDU2ZkarBFrh8k9mlzZ+Ot8puY6xrXJhPdwQakKzQXg3OAY/q17oqI41eo5MI+zm Mhxlz+6MSQjhkHV3/dXmr56mr74DJ+DGbpD8sIbp8fYVWMDIn5zh2rrd4YsYnQbp1br8r9sP+BQu lftUdwGXZCURWppDO9xZlKUS7vsbZZVSjSwxaSOcXs8XMb6Y4JJoeXYfEeydrdUJeXFoGTEDnAw1 F8fyVeq6/y72dZS/YaPSCPLGSZCgzLh8H58q6s3GIaDnouf6TfpUIxAQnUBpLwhUAA03XeatJ9Ea BdAeUlwrbBcYsQ5TWgRlnW8F86ZR9zA5qIro9oZvsFLAshLZ8rWXjJv776exKp3QZhSiom10xqOs NtrM7P07xSn55Rz2dvRlz4R6thP9hZe9lw0u5Ap35ikfmpg4HWARxlyh0MAGu7d5wk/ewsrVl6p/ rsnbeD3kCi8Zb7OLAUV6Q9QMhMRO6FisFJp1m1L5vve/33yFEwyzdD3tAmus0jS2mhc8q94jUzBz nULltwI8nDqLqxoQ8YHIqFf9ESkI7V5GwezuBoa7+oOY8gMzocid6AVbNKa0UMc8anG18w9b4wam 5Cdgt0YW7IFdbXqwiep6zbgjVUxj4xBNkrgIWIKj1Q/eSWY+p11xvXFRY7lBOkn7IRRtrzF+HNiW XN9OSxYpn8M9uKN62ym1FHgtPv7G8apf7MR0LVsg7hdsYycFk/rs2nqk92DG1RuJMQWubE4dsKrj /ciF5QrQvZbmMVt1m/e9wgcaLxXlaINIJcS+tGei98dcHdPOUKjxdHhBX3cuf8PklleilGWBdr/3 yvePWlu1wzEtKBSBpBRv95O8djUnxG4pEHZCJOBUCvNVzAoUuLgXOPgGPTcYKSXTp3WNSOhF6Cq5 HJAkiw5qztbJfOFRAqvfUmV2TjxVHKYanDw4j0D79Jk8/uFbdykbP2yaG9SeC8wfNDfQqSpb94MA PMmXQ9I/nOWT4fxhfxdGFn6kZmmVmq1ZWbZYMSxqSpVLRBTTeEln+YnLYTxFIzSsJwBRDDJE/CHX drxH4ZeLaebwAaMeAHKqliK82iNfwbBI5/U4w1jFvN0/B80JgkodfGMxMWTXiFrsQWx7acG7XdnM qXpUOH6DkUTPZEkhNSJWeSfaRM4AT38DO6BNz7rUk9AulLdpb9WxsFdjAv9R+YbXiYgftrF2MUrD tjivNj6fVQ5YJRtkT7omk8pPXgn0M7l5vnRqQS8StXNR5Hcz9zD9oHk+CgrS4RFRmKHs+ZODt5Vk yFyPTw6tKvhXdkojUuirpcCrdNHNIVAbvJLR8TBvhJT11q/YLc5rGipoi7VTs3kgnwiLLO41fR2i gf17v+2QXu+Hm3zCfMI11xyQjssz0UxRlEeDGzhhXOXsMaEqoJVz/9jnK1WN6eYGfdKB6HYkuEvU tvvvBF1Ppv0XL13hrIzlT6IsFY77edIBttZTs6iMX75viXccpCsZiabLGI/BSQ6C8hbfHVJpZ/qP F6a8fXrLoS9DPtS21cgf7iYSBEGg9GA/y+Y6wIYVZ2dkqr8iQUNN5u0ehyHFL/ve94yuMqEEzw4G 9r9dwHzCLGmzI+MuRqvoIxh//PufHYHt8gyO7XCR0mn+eWQWdsD/+E/sEINzQ2lbOIvnqk2V9G4/ Uiv5Gb+oDcP2PvxNB3hQcbRp2jYQ5OAPROqsvPAKbU3BVXCX3YtaDOPj5NlT2av/ajVjKc/IWonP B4taL6hQ/i9cRfilEF675MSmiHlc0aFuDUSXKEEcq8Eia7odMTwpI1XXu7OJhu3TNFcSu8k+wikn K0njEm6HLOxCAH2Et0ThW59stt6ngFOx6Ox99qOjPVYa3UYqRb1Tdhr6Jch9bj0ZJbHLAZC/PC37 k9OGtOSycKtJbBk0k2zLnW01n9RbhHJe7tYNmeOa7QgUnlZ0qEXWUKHSQUNwODE3KNGqAhqXTgdA q/HNzQdBnQ56OehtnCvTA+WWjNrwk2ye0UplPGS4Tw6umsDJ1DOxEucpPzU144UiLHS1u8HQEEy3 XRwm+nhXnUQNElb0ZMDwpsrYxGsXN44GrOvjvZoXoozYRBPsoziPQ8/hFNLESlmXzHDp0XPfwdyx 6x0NHHkyD6i7nttWY9NnoRmXc5wpOMAw2YYE5+TeVzc/qSA8SMkxlb3KpYN9I6wbloniNrlMwqkC 4gOGfH9IKD5iiNZ0nTmCLTBFzLKRND+ow8ySiqGKgZoQmUOUOSzkhzqh1hsDFhBOpmbnwOuwD5UJ WNBe3RUgAbyhWEcyWstMoE+Jsjv+GH3Sh9PmsmQyyszsrwWTHzYsltNjyXA2Rz7B1CdX4cxmB+8P oyiu5L/0vaKDdX1ZXJsRtEqOR8Tvizw66XBhEeA1sWGo8vshUcDnPtTTCYVOQ4tUZtJPfY3bCxX6 5beDf30rKy70rVBo3127yhNOJFTzxRhczmm0LW/LHaFhz2TG/dFMENfBIyVH3YWBuipxYrzq7gqH e0CPZeyMLjZv5hZh/OKlRR59L+XyxIgpTqtpzCBqJvrke6ylJysL2/vooxzV68Xe4lcfT97ynwo6 pZboBEetyXJI3SxvV0EaP+z5yhyAYkRY6AG14LyGwUI75xrBfYjFNcPTjvZD3EcIpBMVgA6CPTIq w3FNmFyGFkOszfXfthjWdsbgT4aSd+PNbt8/Mg3YnruQjgkoVviQ2CBzoofe1BrNML+k95f+VZ4O UmrUJQXvB5VexZlfPOTN1enEUCfKJq/e6cwOuj9HgepKPPrPw8Oj/rg3S+nCv+WwoMBGzR5vmwIN vc3v5ioU1SOeDfuiE5mj2Q0TxvFGi//+v6Mg4KjeJtAidi53xh7GIKNEmxuQUSodJAti6lGalE5C 9Ofpzi5OmEXvooMI6jlbPVEa6kG/ANbVku1zFkFJz5+4mCy21lyBMP9KxECfhKwPHlcmwExgGE2C eeg9locP+heLl8oWxoRiDIpGPZuvVpbooLqQQs0QLH4Ftbp3yAsG+j2fdTh3S/UFfhihOnXbQjBE GH2yuGgE9mv39ZmPPCDHGurRZ5JEm+QgMVMaK0IvjhHjVmdOYEsWUYp0WETd48d6tJjZYXgYbjEz x9IfRLebNgOSQyh0eITUszuzeUD9yYpliymxX6bLviZHR7jq7o7KW6cIxgdicOh5y4hrDFLbiai1 Vqf6dSXVwYtqxQY8HA81dYjsEulik74HRgFtvbZg/qdieOAcuJpxWACJvWCJX7DSRvdkJDc5LD7w i6bD6FnGKfMNGvwYMQ5vfJTFlLUHNKvTeeNCMCn2aK6S4pQd0Tw2Nc2tgp903jmAN/z06DcPf8hv 1xni6RTAPCcNJKhzmISPtb7ksZJO4Ci8uWuX8pJ05+/NV0GQ0a8FuBZ3SYgJ1xkFrAPNkp17a4cn 0SyqKD99HfOxnw0xlThLa/BoEVNMa/CbtQ44GzF5TIKZzHzJQjMhdfLsmaHZ+iYtX492esu2cSXk hijTnjHqMbK430m+lfG/KyYPbjpoSRbVGFD5Ccgyv/S8sxn7llSqC4+tBviiYa+1MfHP5/hZeL6I a0xLV+CWh/4GzxGFn2u/DKXMugQNLfAxwV8Fbc8RhcU9opCZXF/tD5rB7uMYbnbY3a43KDDtsh/7 fxz7b/Wdj6lnUtAhfTlG+3RBXk//6PKm+GONhOFnHCbOBOwXvmWfhz+sdAdjrzMtv162KseHD20z mL1wQSYTTw3GBV5suoEk1ihCYRAl5MMArLkwVG6cgl0TcxYwTBYLKJfFMLhgqgsBdXurEFi7GKG7 BDa1woilu2WMtcNYmZaui2czvz/EdFBHypQ3jsIrYXV5XP+Afjn3GnlIIpabnsX3kMOxiCnYmuKN ZbPaNU5i2YTE5W/ErpVEglCjdS7oAaXKBBYPJWJuM/50Z2l6TaT/AxOB/2a3JmNTAoKud/2oBA68 RiUn+nAobHi4T0tzUK5sgWIvl//50Xjr9o695nCXTg/5aGq5BS/vI9NX8rb80vyFv9TE4+I4Pk2S do4Kgv9t7+QC5cRLNOGBw9+YaQ9u4LnXsMPM6foFeq3ExKbVkWpoio2O6DMpkRQVFQqSGMX8Y6eF Mg4RQa6GcjVgKfVKCgRwP1QdQvhVkPgcOe2zNWvePet6XQ8nZq7nYYY4HFN20k8pPsNJjVdU+6N4 8/cMOuOovS4rzro/ifV79+NPINDbx9TdYrikJ0bxMXxIQ9p/gw8V9/EUYAL49CmEINYZyWf2WBNR LAj1HO6lQlg2nmNztJ0UzrfaYpsoDjWza3Lzwk/4ndXA2k1b03SfDIAKe3hSTRYLdINpyNBWnlil Xeoud4hugo+1Ag6khBrY0f9u0VAJqgeT1iRUcmK0m9tVhdpFaFBcMFcgkJvIx+PiBHJ5G818Nlbz 3OA7L8crrT7otzvbaBLcoS4heZSsiNZNN79lezEiGmdm6VvF9o8pLuHUvhk8c3DIKG4BmeXLh2wV I2ELZjP71n4l46yjy9F6jtI3tQEm3Ihn64aEMR0Kgr/8mffEoQ0FtceT5lJn+2Kg3Qt5NjyuzT6+ ORr+trZm38W1HepxYtkzrGyH28EtRyZ3AN8gxoZtO1cyEb3dDAT8bVWfGw5FS4/wAp0+aWt/5kCc IQ4O429Q0IaynKFm4ygEiokoRt1e3/HMnPLcIkUfvDaLUw4DtBq/ZzJPQhvnfAjeYjUQvEeS1jAb Etk5H7O9Yu7PiUsIupnKGXRbKjlTjouFERnUQEVfdQbzpk4Ta5V4yxtOh9cfyD1KYpnUR9DviAMX b8zciGpY8Bzw31esrstd5pgu7y0VD1R+pftwX3H0ndlMFSraEOWGuPC+uvbHQLHV5sLOtZIsJP/0 fkTUXMDnWGKl24KzlZXiKt8evVa7tb9DWg7d8BH2GQroT6q7kcP1EycMboFGgZQKvHa8gOmkIUUo EvTc97dMC4XnTNFcpFgOsIbcvnBQSG00GAxw5dhQFJPFSXGfhCoCsCFBmaiYVwJUr8Go8Y8aWyat p89yHfvttDEO7kCeyS5aUgqCDDwYVGrPSaDtsAeC+IxsIW4zCstjNjxr9kIUjz7pQwbuq/Ef86cO J8nt4PwwT4reEt4Y7A59chdcaclhree48gLpwE1siovP59Vy9pYa4KpGCW5ESoeoQ7MA+Wb9V+G8 Odz6/1o/ZsuvFdjUTIfhpWAY75U3WwTJrYwT1N4val943jz+I9+ZVVfGfUsAWJ8Fc42y5UMr5F2b /0z+yvBrl/wqfngzLiUMj7gUI7OBiBAXJ3qQYKo8dA+AVU8gZqav3nlpYyijDKyei6ewRXa0twjV W7PGtjl7rERwdwcnqljHXeBIYgSjStDLeIEFcAPShzCesmHi2/YJaFD5pEtjySUvQcr7D5ogSd1X J7RakQoNU++IaAB1Wx8uOhfxqJFdG8MEDcrv7gHj9yGNdw4wlEMK4o7F6wX4+QzZTW09IpqWtkDU uFnWHMocuvuHcQIWXjCBTndxD4/cLwXTEzTfxkqNdA8wHCepric2fyqbGtgu9mzfE8p65FR7gRoQ +s8LqY/UFm5z//sFQBG5u7xESNuJ9TBF3INCmth4CkAk3JEuWrTEKPZeDXkSNfzTXvFGo9Q2tT1r fyFItw9/06HYt6KUsKAaU4m8gaUrmCdeeIsl7Ha31HnH0+u69qNHt+AodNv1Qei5MV770vUiQFNh jEAM8NvBNqH6qiucY2DH1UhVw72SHpr6IwcepMKhCRilOO4djRH1fVDqatY1dpaVIOvl4fOGCh8P iMoT2yt7B8LUfo+jhkIchWmePuoTR6+9kJZTMMWxPTyoYHFF41z2ZitfkwJH3p2sZ1qi8J4dH9Ke M2jv/RCsMHZ855QWevbxscvgV9w8SMqjt+9Mum3YnGV3+FgpzcsFuP9ALxDLkpBriYyMvKPU2nda 6fGJMH4Z//iPAmJUz9xCjnv4FHHockpjkmuZU4mcnsQnvxZ2Nb8UmKXbTqmKjSgiCDXyhjPGLca8 KqcMTcOtBeTHXHp6coyapbmjZqQTeVIhZU3KIHnoSuOAUPtS9/RjSzd17S3rMJYSGuf8JjnrUb+D OiXyO7pxLjEg37YAZAY90MHxnYZGDLVgnOmstxI7PFyfp1mnyU/M3AJ1opI7DFe0pueH+8bkDwQ6 yYM9HqEH9GTX1sth7XIP2Ip+S9EyWQygDH5RrtTQyirp+PPRKh8e5q0u/uGYkPocKvq0BR6Qvq/d HEcUuz43P0mywgar6h5+m3ovHlmzW0VMwGSTM0aU7CHsbzw2m/gWfdpAEr/i8j+/y+ja5ZZa6MYp 1Yb0eNhPiJPdNqmNozhLl/gOv0Kzl/NaOWaQsOeRivJX64CH6wjdlp0k8OIXzvVy3C2HCY8V0SAX 49aufgRX+f9BY/kjkcfBMbMRvwi2VjOfuqojkKGmIFVa9Thnk6Sbk6wvlS3SiDJP9554YRpxs80q wf41vVShwqqKL5NYCBsNcFsvIi+tHEpYtEEe3UcGGtTLgpOSEpzftGPdARqOYGitjM7ZJCXnOB7/ U1Vs59Kfgs4i1WVtTKsoiydTopdP/ZIRpSMOvcjCE+HyKT78vXGCAFOvXLFAwXMvFfjpV3qJ6VBP MbIA6V4VPTtkv/dCLOBEhn1DWyEBrYN1ADoRTKVf09W3dxVwpj0dZj6br4tytE7WopLE5WabTGc3 a6cJHQfQqvo6Pc5RS4mTKlB2NgmSoqCLOoER5MlbamAgj/ijtqLk7NXdJDtckSqVkSwXf3hRXYPF txrrkScE+gDd9mgHFe3ZCzCMMUdV1IeSJHh297nFQ/cklfDumIvf1nCWa+6f1eCmuHpEAYQpoeg+ 7lMp9730WEY6kj9bFTWESymsThiSLBjxUKwCwVEHYbv14BWlQO6hIF9jwzcvLGOiWyn/VutThzu+ TmVpSMit/YUX7niE8goTZpjPQYYE3tJ29KOhxJQQAUVZ0NW3XdVR8Hl4V5N9lnAmGcjpQMwMqQsc YA+lPHX7VuBf/2dz9n49ZSeBu06lz3N/Lf0qxRgeY1wEUxDZIcPHu8oxZa71TJWfJbE8r6+LaJaa +xki7SPDwyk79qzFMW9PLAAkxQmRGw/2rDoKIHipA+uOD5fuukjpVRbBBjggE7ro3RifrmzeoHhC EwrMf6idE5WiYAiYD0QdmHWj17dt19M5l6knknj+RW+DG9doxdd9K0rBxc2kuZFpgOjOl8vN4VmR jtvdtDuB4zhc5NhGmGBrFMZ1znMVTo0pZSpVpy6IU8qW8gnhPCfE7LzFxu2oDJWNA5Y6pkAHF7tr ZfKKmRZJNQYK1lN24k0GkJ0480y7pEWIk54S3XG1RpQ0yty/9kpQV+4CMWJvOUFupghvolJ/vCna HUz13mxg9Ddkoj+ELFw6ZGiykhRC4Y7THdUrZ38d73beNEHBG3l61NHicHB4kJH+HbFoXmBc6kO7 A/mmvV17NO2zUPMGVb358Cico7sMuvpgZy0/z+Am8MH4BwkINHjPzj9K7ggxbn/IbYW/AmjMuQyN qxfdmq2Ohx366cmh0G5+wj2ZLaj79GmNy9FfEyndxzE2wLuvfDBeh4i8aR5ZvrTddyzNReSEA66v ceeJBh9ZU/vYipWSdO+SZGHO2yXFwKBnX7g3YElsUdBSqI6JMYGer666Gl1EUYVezkvHp7gZmWQI tLMs40QPPANs316Qk11CUwCQdpptx5oXLSUu6fkf4DL6Sw3gGhfGKNaw1Zq9C3ob/SlwnQYRlP/2 WtAMfwaepbTP13+TPuXZqt59p9boz9IWm6MSGo4u1Ke2P1rnPyE7RZbTPHxBQcEp9ciH01Q4N7Xs qGvU8WIfChrOuMq3QlZFhXu0nfkowL0VxL6gwedAxwlOXd2c9JhimuCRHSUAS0m0FZ2OP6FTXiRm ijFEhMkx+vry8uofT8ieE75R+7a1XE4ujnRdzdf/Ecq+A2HcE/fW/ER0clBoEvH50Rx9qVXeKZ0r omfgn4BMMQFJi6cDcL4dcz+pWaEymNPyCB70w7gr5Lw4mQJp69IQfbJWc+0nQe2RKPoYLWu+ZhNB eH4bWw5SEiAdhz0lycIcRAg8K5pZ6H4fp4Ye2BotaNUYr6/clY+xPVha9EDOdMa7ZvIjdrC4EHHx Zd9E3jj9wFqSX7N28K73d4JRHeHU0XRcibO1sGIlPiqIZ0KSmrQCLLTY03I+m4e5eYHLEiM0Voxv lOQZuXq5493oGs92AjabPas5j6xEhwJKkl83eGK6sUglFaJNpVvfzVg4AfLrzdaqetlsNBG928lP 6Vw5wiZ9hAfOHloVOE5Uo4sDn5kOiBBxmpKiyeCEcMANFfAGdqD6V/DS2/Q5EDFvhKGb0ZpArlak YznMfUnRcz24iNag++RKZW/R4NvwYmnKUJdIPMMsKs9r3C7ScN1V2phd10Yge8LniSPxhbNQGk2W 2uXzzbHjRIY0ybLtRHpHsHVTfLtE1ShTZVRqjz4ZmaFIS1GsJ7VYDz67P4e/3Xun0pYfVGHkybtQ MfH76ac6I4WHwZrrmStRPVHAhDCrgFXqEmD+M0xZih1SOaKIDnRjmBFRaCMWFS/K7K+fEDPzIFXQ RbMztjIoETbBIi+rX9hTDwQAHf05LmNqHJQsLbLxklOdM+JsBwute1AWI5K+iqIjTonTHRjX19sk ov0xJhs8gb2zqJpsWCDY7erV1wh4RTDVR3GM7tnp2e5I2mcjbobpx1nGgaZt38KC6WS4uYzNoniw hWxGprESma9PLsAQLImra31V3RVo5CrA/u96ITcaPD5sTKbrGrjK+h/g44mLYbvtqsg7vfL9Dqt9 gTCV9fMupylNXh36+7hwg6cdE+5U98RIY5gprVnUhhBMAhL844zFbpAYjwwLkrW5y5DvwamVB3Ke 0DbWhYLX2A4Rq5n57od7oP3EG9xG5SSbuRqnJuCrpfHSjBElPbxLlxGah9PM9bcIXG0DJC5cVA14 FJjPnd+SIamgROsteEDPZQAUvScQZJ4g15MFqGV3Y57A0GX2kjWfb967p4j28KPFotI5a+uFuSKO nnEWqXauSxM0TZPu/smDC/gyV4T7Zsdd72famUVQNyfeVEz6nCZt1PIfauCN9S7wAxc0+Aeq4xJ0 dKahwtatFuvfIdhg8xkhU1YR/fIHPiH4lVhKhfu8XNeCBU1RwnaCuGCgP8vCR9yJEDFaeR3awMPC QuUnEWCUOokMTw0jsgYkX9ttP/Xj0Rp1LH1Ihn5zNcCv49sjgIaGOZBxeNkfg+4cxyr/gW0rHYQU 7UIi2g3iIdFjDCuKsd2bOmkS7wzFuWH23+Dzi0R/msCOc2OqzrRCypPqBONeVbvOzzRalswRJox+ Kx/owJsVaJqdSCrDn3LHok/38UL9ge3igoEySXGzeplFs9rKnk4xtkL6WVOXWpkpBZGd11t7fw5d fCjnYoQxyyuniyTtfdk/X38vHtBuiA5T7ammUddwLRaqjnuQ+DvmVlDYj+NZlZXZ7CgJh5zJWP2v oDrU9NhxlEijBkeX6R+Yc+LN07xrwg2E5bPgdu+EX1rFUOI2lq/NkcTRSbVbcugbatFUxmBXdMc+ Mmbr8GOX4SYgSFTCrx3GKVU3bzjw55hBiwkfRZVZ3fnom6ZWTiVv2NwhrTzDdjH0Ssflh63O2iBK UQAR3TnhYqFMH18pC9pHeEjFS926FUHkewV0FdKpLl1rSp82dQKZKH6iKwsNbJBWlX0UyVD/mE58 kQuEbZEbcgMesbrTgY26MWwYJ1Ga02piQy9dmhSr4hjxQwQpXhEgdDRsIspygBJOu9JA+K3Z8jy8 kqzMUlq8X8RyzNkH8B1NaEoY7b4eHtKNWGugpHQiT8GzmSLp6sikQMeblhxuSAEqLpY8C2nR83t1 xrQZCSuz84GqO0qmBGIMv9T9/A99eJmiIKgqzQNvy6Oyuft3kB5fPWUOHo8mi8Mx6cIH+6v6Wemp 2JBnjPV61jL5LqdxiNc8fctOl7UTiCZ8l5eKHWL7oe4M4ax7yI3cvg0F5HZehBDGoWudqi1sIrQ+ lwq48ssGg9iB59rUAMeNTM9own3ot+qCvsUlL3wVUdf/N43Fm+wj/6oOm7r559sdZY34tdCAaPi9 dMgJNJJCqNjy7geT7R2DAyaAGQPGcc0kEUQSNLbYPSrSfVC0fQHLERJm7WWPYeI80ah1ZDiinVY5 tCNSmRrvlhVTdKXEpZAqHYi02QaiyCHIqmVQLT5UHyhZP4P2JqUnlUwrpQ1scONky7WIGKVRS+LL isZ8w5KgprKmTGX6+oFazZTGBQVzrCjvExRxNdHe1nM5n1Ir7BO9G4ofHu5e8AoS0/vWzDbbRfMp UxDa43n2hV7TbX5+KLSIhp2lroEG+3Iv5R3KgYjWAHc8zVXmv3hlDAkI/v7S8Km2BxwdGH1wGNxf FL8S3+AMn9RszRD1XiItVMCMCl8hNgxaYDS72SadwSLs+02sJQX9hjv7UqhrFwb3xlXIOu9u1R4S 8GBFjUd0ojufsCrVXcvEjsfUWvMVG2+M5Ke/e2FgUy/AZLKV8x14zNqH3rBadYbuvLfY+9uzQbci oA2ZQ3O2nch/VW4yJOU8lLH4+Y38T5JwQ0AUH2OGIlf92jwN3ro4BbmVg2UfF9adFvwRdFYXks5S ac9EP5Z/XyGKrzDsZBF0LHIC6VGeBc5700SFKmju9YOs8NCfLoS+qrt5n1gpQbNQRdICik0bKwHt +9g49GOWMfUOmpGWBCfeVdJqDm1v5qRRggcu+CZYP8z0C0O6ZRO4ObFfcn2+vby3vusOY1W3wd6t rdW/eEzkCNQvdVzK27MBvRJATcOQtbhjUp+MxqT8RDaBe8twywsiKzcaQ7PW6Drt5eV034k/tOH0 Ixxm4a3d3KVjRkkpzBSdb2VKkj30FxzTZQymKPr+rB4SUKAqWMIaWVyfswXDDpB9MVennGcKSWa3 NXg3NZt0V6mUA/v3XoXr7TRbmybHxRN76sCqK4onz+OEBVysi4UGWNqb68ltCC/DFT+xyeveefJ2 65algXK2XhBhR31eKhLBVJ7YDb92Y5kZ3zhDhC6DHB4Zc3jJn4D1wVnc2gPdJT1DiY5EVt5R7sjS f6MZ+VVXrIwowgJzm73ay60+1sqgpfjVn91erlwBmhBuvHv1bMC0wBrBckGgEp5gbYJWUPjRqqB4 4qxhtqok+REgTGiLuFRls1QEKsb7C7JAylIwoYu0J1ZxTgQ29auJfHqnl+HrECM6BMUWBA7jscxM edYk8LBbKS1VJKnLSNQ+gBRtVGK3fDVsaNjZnPVb9+u8WNZMGGFm0/fImEekFERlZ3VZLFnExbWn /o8FGwDGXHRMfP65IcYZgawHWjlKtJ5Y/v++3MkyiiA2BYR+5bL3dL0oxHMueC2jc6tHXMQpf6Rl 2lznxWtPw24TDXs130+TsYsYB/FkhinO94OH/nk7vrnBNyhjKb9Duan0U0X0Vvj+pvj7z5qL3ucL 8Y/KqE9Sdzym+MjuX0memwMN2iu2khWuXsvDsniU8/qzgHdIUgY7JLd0i84YiY38DuCnj2/Fknok FWN8OvnHQMEYNvSqicyi69pcc4LVO5YtVXrpsqcq6jEm3WtV5oJgdVhkEATnQjucDzn8ybD6O4A5 Q2dwemCaESiaYxfH95XGmlVR/i1BXSvkMlfe2CISOoyINGcZlmxOej0WrQt0QrpGX6/76aqx2wbO rWIWmdPG9XjdelPr7IZF1rugT3wa/KTJE/2SwFuzhRA7E77W1LqGb83XzQcoD+14eMScVi/GvoBY v67DAYCa3KC/HSgm+8CEFCETJTtuRWTbcrhkpgulqSMBLUUhXzSREIn9d+GYcWQkM45tX2Nmq4Qn ktD27FB/3L/ndlqtIWQ7eN15IOauN4KRYXY/khoD+QDpWOlph0BCxQ/WDM/zHO7N1IBcL5FTz1/8 bmykUnylZDoWH5VDbdtZYaWGfLaIpTrHit+F2EXCEzTinKQCDU3k9cCisGSPC6YwoM+1Q4jBTnaE 6ZUhD0ZgX0z/uda6yRXNdUGYg7dU2ZHf4v0OXlULzK474dPeGURxaiOiODwALR7HyzHmad+jBg2B MRsFTJOCE6qx5B6QeigRITFQJVoWf2CVoO5OjR3nTjjxGUAWGsXWizcXI61iyP6+10L/DrtvXvMm DbwoEMo5298bSti7fNzBP6GV0fPGPHy3m8XbxGJv2acrJ8IGBCq+g/Woq2aBKeDO8qZGr2+aiOQj p/y9cSm+1a1y0TcGIDNs7yQKDycO3QOMe3tEgvijB3y0if4+/Q5ba2o5nR1HKQUCsrG9jjp620ed /WR6mOa04HVvN+m7Cu8yrU/udnl0PhS/t3x2JmpWawIVmC1hqqVAtOSUPTCwVHgxfFveoZLksVEV 6uA7sZTfznIxDF9Tou3pnsuJr7kYTZc4YuI2nnvgv/jOorCiS69WmugSW5dGcfCXaN4XcIiCm/Hs 6M3HxlcKrHSs7gtjF5xRO1oAq+zifUN2FD+qVMtQI9q4S7d+G+NRkrNOfPVQvEiSO4/Wt7oHwZFB ypZ+nyeMMywilKIXhSSv+8eVU+TJT5ZFj1IHrYR3DDWetpcoH+Q9nhlGpCeOCPzVr7hgJ0DlmWoR WI/BAXyLmsuKpqXjz19UmfQ0pyuN6E95w0f5caEri3Wr6V01bGxeggl+PxqIzL1dlRmHIbEz+2bb jt+e1GS2+iFcObG9WE8sEI+O8u8w1ab5W+68iNj2nnSGGN+/scljK3He25fcPToDc4O4PW5XlSO6 tYMZuK3R9wsqKa1pWH/QiAfpo8Iso1WxDim2jgRdTAVmJfAaQ0Lm9OqQCeYhS6nmcZULCDVAwx+L t3CDRNA76m031CJhVsXqHtitj8e9M0DiJHwZ5r1OvP/HFBtPriBbzbnjviP2X/gBA4j+Mx08YrcM yfrhK3pRI6VHyyylNQ4TZpms/C4JbOJBOOfSMI1XlRQCtgCEfxxr1fJ+a/T2fw+pCL7ZvIIbJbjk QA259jF0tPaDiP7Pqg5zu0z3mvc+z6fSvTerrEfm4djCrMdZ8K6lnSOs6l0gJSEZERpbtF545naq BxjISAAGhRzonTOUo1NYw74nifea6vdiNIdExzbn/9W/IR6vhVRuc2ExrsRAwvamPZhsXE5Sn1OI 0eTQBGYH2O0pRX+XnfhkzQm8d5oHyTbnfut4zywhko7+7cneVUddaMPlf3X0/p7LTtNziuit9IFR mvoMYJ2kM89vBX3B1oCpo3pOkQx/D8p5gOUDupgKjW3uhJ8UvTiQI6GVdqRIoWdGc2fGe3B2YaqL 442446++OV69TwjWr0VWsOX4jdrbcN847K/EFlgXeafv/0KeGituw72XgBdUXBh4Vo/lm58i8XYA MzoRIh3YypOrUPLi9WQZka/C7IMsh8V4i6NoRQDPzvUbp2iHBKGoAVkzc82qxjsWP5l9Xe9rnh23 uyFOZoAR091X4mpoNZLqi8GquKD0Jr812OBJ1kUnqorCiQXcKGZY6IFAorRf7CxSThXqOJUPArG2 U385Yvk0KY8AEL63ENmVlVp81XlSXjpKI9s+ArrSdXRGKIvidW/bYR5ts9CRIT5/BciWYK4Cewkj Sun+MXHBXY6c+FpZtXGeBVshuehOoBDybggtv2WTvzR9/cDyik5a8tFBXZZtAlLAtXij7rv22fes xanK5R5Z8D7QBPix2yF2ym7leG2Ge9pla34RiNbMLtraRyxW4A5kc62FHGIRwEmnxuixbkp22lle 6uO6UxkeYPMGiDCUDE1UjQwlmDwwZ0fsYsf0lJyya1TYgvNFdPoEIkNy/jAwq94pi5iL83C764iH dza7Ql/Wu2npcziCde8sJhq67yj//vCk41bUzllwxyc7eqPqG//fnRKY5LlH+GJ2Pzd7tmouolMQ uh4LDxPPJPZtaGijy541fFAnzyFFhclK7CtNw3RZK0Q2uvNPlc0guYR47Oc9jOBtyYOuZALGYtCC M8F3eAKfW59qZbsA+HM6wZTfaXk5f7Y5KzIr0N5gatvLOPnfEMUKEM4WwXRswEv+mXet6yF2E85k /qzNsslmL2iaD+fim2r4CEJ/lz0HPHDgUrcJnDDVdoFqHJGt+TxbBuRj+vKjRZ8S+/aUfFyMyHfi Bw2gx2TsdpiRaK/ZKKpfSOfhsPQKS8c0Rh0E089W4daNt0hgSm3OE2ytcfWk8SiFCp7QUTM+BiNh 2eadYARu0srgBJwPPaX0fBEU6Q42pYqsQH6KD73ldMcwPplOa51Uo5TAyIED+j6U/UoA1LD/ev7w NdzDeRqvalo76RhoS9jwK4Ydun/01kaaihB+R2o++GdWzCdTW7a8BEHdVJWaWGct7Hi2LlPLDmiA U/FNcO0CZsApydbNZmfz9mDIlYtfqKjPx+2SIcJmC0NBax0TAH26F2TI0IV35N1GARiJWlwmSg/m UZVW7F7EaexAx7ysrEwImMwglxXAwt7wds6eL6dXNQcP8YA588vwe59FWVcVhGJ6ErWk6PY8duBY grD3I4OTGL0uofSdHy/WOXCNm5hHYv0cgLs9bubhKCmWraU/yMcENeGPf4H8zWVqEgpxjT8zzuOl liFyXO83vTZnEIO8lG8FbmiYufBBl0uc8bTMji5gTz+cOcD4gaMCcvDl9lU6GGPpI6G1ztlYQlKS mwdGRBXuz1RBf+j75JELQwkfqukZMaQ4V4ouanj/3Ohp57JHC80ce5ntEVZTw6p+RuTqZTm1JnMV gJlVoUrFOarAKiDp1VbuccttXyTXDXkbnPDSE7CGImAwnD5S3Vv13BjSxjinKsCMPiRanUC2RoQE XjZhFW1Ft3RQsxA/FVB+Zs+k25D4ZoKAIxbwd2y0V0TasoydStBh8T7cwQEuojqiQpQqvv9k784X GUCuWwzfP1HUvYDlfCXB1PTNvDlKLiJC1iQQFjfC2KadAjxJ8HBjSA3sph6f++CNDxGbTQm9T8Rw 1n3mWdltIEO1ZUBHUqIQOGo8c4Jxi9hrnYpp8yVjBkYjGe0ljYvBX+a5qQqH7l9ysYb5a6/yJfIi JzTeHyTHAlT553v6u/Y5I/qznZGwQOlYYF5EkVxVTsjg4wSVLFcIXocizI1nr6sZ11PvcIVLJKSA H3RA4QzqcoPoZJ7+a5JEdXlhRH/RbV6tmc+5mMnmE0ZjYnW9/tqg7FPl0MzZ/k+Afm7ov/HMPvIx VBCF6mpPxCr9xJICGnEQl+PTN1u0c6vIqUxFHqwR50IM1AfPKtC1Ou0Jk7x1X/3QmsK/8RwgKr6L Wy64vyQVATaPQ27IZMv+N6vkh/GOBHYCmtfYh9mZAMNQ6UaLVMG8j1gf/ekR3t6MX1Rr3Px313ni aWhuioNZvPSCeNH1PrT9fMH9XKpSxgKivR1AwDOWDKyIuhvmVz5lxhKcLvU3ALGU//6zregK2n2A rAFDDtxxctvt7sN9XnId2HGRkaVvWGMOYIVyKncyTrzz/gish6Hb1xlK/iRHLAq12sqCUZZm5W+E FA1yFIFg1CaWktW16LYczGH9XT4Rv7v1An9tyAqDJL+HdTVvbbIcGYjuukjZiAgoD+Mpap6qf3NN G4VzlxuQdEG3yPhiKXekLHKdGfmSm3BVpiEjFBWzCJgmlnlMzNy/yF+KUK3J+pgs0pc3/D8hLr67 ek1Kfi3I6+z7zxHJI38kz2w7OIVH67but8I/Qv2kXIRy8IxwpzYqCEwmtE+07fQOK4dWmxcbtqcb iea0r2TAu4hDdO6a2X6IGbLy4B4hM2f66NitfXqx1wmPTe1fpa05K/aPXfRSULRAY6m13gLk3o/1 TWw7YmT7Ok0Sk0lOJqqudClBzC9mhSDLkqJRMOgKUFATG11Dn1k/AwtR4l3+B2HZBb2t1vCGDVU5 T+OKRVY9U80dstUuadmibROcJ20GEJ0Ts0r420HDiLwpWD0C0V9J1EIi9emo3WK98cXonYA9bAly oK6Z8xWirzTDt4S+EFPrNdHbpqRDbuHkv1V/HxjLR8H1Q5EvtTWdvZGhg8MB4Fvcip6tQCOtUrBz 4+OBbpO6O6wzgrTaDoWpPv0+eoDB4EQh6Nz5L7Nwh0lgIJgs6MBSJjbJU1Un3Z5drpjSpQP3fMaa 5Nz1OhaNs3BuecTcQ5Uvwn2T/X/RQXTHG9e4uZqBdDAVHw7HhfbNTiY8myS7CSxFSRtnY0yqwzkU Qc9zkADr60cvJefF/BnxeZSvEC0vg/OB4pdbyyZ94/Thsn08wVeerR7tXiyhTXjcU8a8R/ilYn2k OgmiZzFrlWf7VSkR6RJER+kiajytNi+l0d2pY0DHc69UV7DgRGyhXyo/iBSgQ8bnM4T9eq1w8H0M PLeIMoL244bjn/61q9/L4bHmoijG1t0ADLcNDSE7tBSW+uvHmuGX9wvuoTmYRTpWvOVHxmK1IzAI rHr4IfWOG9akFajZKoN4gZXtyytCaHrpI0rmjJ2nIG2Pdv/OCW+SAjQV0CF4ttyIE1k8lYEMwGLk k/EX7da7KRulx14UegP97zsIWLy/P6ywEKWajaNu/C9j1DpoRYyRDKAkfTUs8ElKAWS6uq/i3vaU PHXnZzHg8W3qH9nuC5boXAd3UPtdhz8wVVd2VT5W+/gGt3j7SNCI+gW5IethaK4y/8oIvbHrQ9LH Iuy2YoDNyKJv5+jOEXPRtjP7tdgkTP93EwtZnjI86rcKCbMWBoXbHOYOgnQJZhon3fMLXGKE6UPU 6Rum+JXLlgtQOTAFluYWOazn2PHudk5XXpTjy+phkIi0mLT+1XaVb2C2x/ZW3VZzv5O5tm7SaZar d/0VwHQo/JN76lIDKrPGEIpXxZV2VEt9qVJynC9mghxv8YOuj4X/HR6dZhXDdfjxBtQqj7I0ZXIB txlr4y3QJId4tJzkkPiM0zVrqP6SHLG0hIslUYYSFIULGxuW5O7GWvQtQXTCkIK/dv0ggxwWyK9h lNlsS/aNm7xAerBmhTWpVOWDyRRyAtglHVauoI1Be0PpDB2cmzm/rS57o99nDF/ruOQhXsDH2nNK KBUuIRbESwWeAwQhcrR3LJvkTbe+FjIz6Jf9snxWW/8CSN8r9vra3VmshzKEgXSTwR78lqVvTDZe WiPTVLDQDBywFxATCFwPgVvOJCS+OzhMRContcWPxMGREIfTbru1u//luY379iM4eDcn/SluKU9c jB71uEEjdo0gBVMgWOXWXV3w5hwloV+7LQ8IMdD2qTxZ2flqdw0ALMMNKFfXKQip7jOwd4bhkgDT KSFdmEaNzuLBP2bJne0BmCfw86P48FK072JlsBQR0aJjD2xmXk67neX1+OgGMWWSjElySjCj7rqp 1RoazwMIQGjztXyUXet0+MWhgoNgOYnaGkOZOYib4odjq1lGbWr6JvufxlSWnqzaYOaRR9NxMKrw WU+LXJyw5sCThcji6glsunb8OSMnWdnDomz6jxcbcH1ZJLS59H+vQNz1teS+M5KnUjwsslG64rBi 7ebWwMaOJaYVulTo5nGFAfZUEaBwDU12aQ1Iu2QizcDoGWHtNoenyJPuHxWoKRfx6Uc5DpDTy7Vq XwrppjlEG+ALszyhuIPAeasXZBjTZ16gtNF4IXvN7sK6pqRTEz0cZSoyT+zuVutN `protect end_protected
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/ecc_gen.vhd
8
7490
---------------------------------------------------------------------------------------------- -- -- Generated by X-HDL Verilog Translator - Version 4.0.0 Apr. 30, 2006 -- Wed Jun 17 2009 01:03:24 -- -- Input file : /home/samsonn/SandBox_LBranch_11.2/env/Databases/ip/src2/L/mig_v3_2/data/dlib/virtex6/ddr3_sdram/verilog/rtl/ecc/ecc_gen.v -- Component name : ecc_gen -- Author : -- Company : -- -- Description : -- -- ---------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; -- Generate the ecc code. Note that the synthesizer should -- generate this as a static logic. Code in this block should -- never run during simulation phase, or directly impact timing. -- -- The code generated is a single correct, double detect code. -- It is the classic Hamming code. Instead, the code is -- optimized for minimal/balanced tree depth and size. See -- Hsiao IBM Technial Journal 1970. -- -- The code is returned as a single bit vector, h_rows. This was -- the only way to "subroutinize" this with the restrictions of -- disallowed include files and that matrices cannot be passed -- in ports. -- -- Factorial and the combos functions are defined. Combos -- simply computes the number of combinations from the set -- size and elements at a time. -- -- The function next_combo computes the next combination in -- lexicographical order given the "current" combination. Its -- output is undefined if given the last combination in the -- lexicographical order. -- -- next_combo is insensitive to the number of elements in the -- combinations. -- -- An H transpose matrix is generated because that's the easiest -- way to do it. The H transpose matrix is generated by taking -- the one at a time combinations, then the 3 at a time, then -- the 5 at a time. The number combinations used is equal to -- the width of the code (CODE_WIDTH). The boundaries between -- the 1, 3 and 5 groups are hardcoded in the for loop. -- -- At the same time the h_rows vector is generated from the -- H transpose matrix. entity ecc_gen is generic ( CODE_WIDTH : integer := 72; ECC_WIDTH : integer := 8; DATA_WIDTH : integer := 64 ); port ( -- Outputs -- function next_combo -- Given a combination, return the next combo in lexicographical -- order. Scans from right to left. Assumes the first combination -- is k ones all of the way to the left. -- -- Upon entry, initialize seen0, trig1, and ones. "seen0" means -- that a zero has been observed while scanning from right to left. -- "trig1" means that a one have been observed _after_ seen0 is set. -- "ones" counts the number of ones observed while scanning the input. -- -- If trig1 is one, just copy the input bit to the output and increment -- to the next bit. Otherwise set the the output bit to zero, if the -- input is a one, increment ones. If the input bit is a one and seen0 -- is true, dump out the accumulated ones. Set seen0 to the complement -- of the input bit. Note that seen0 is not used subsequent to trig1 -- getting set. -- The stuff above leads to excessive XST execution times. For now, hardwire to 72/64 bit. h_rows : out std_logic_vector(CODE_WIDTH * ECC_WIDTH - 1 downto 0) ); end entity ecc_gen; architecture trans of ecc_gen is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of trans : architecture is "yes"; function factorial (ivar: integer) return integer is variable tmp : integer; begin if (ivar = 1) then return 1; else tmp := 1; for i in ivar downto 2 loop tmp := tmp * i; end loop; end if; return tmp; end function factorial; function combos ( n, k: integer) return integer is begin return factorial(n)/(factorial(k)*factorial(n-k)); end function combos; function next_combo (i: std_logic_vector) return std_logic_vector is variable seen0: std_logic; variable trig1: std_logic; variable ones: std_logic_vector (ECC_WIDTH-1 downto 0); variable tmp: std_logic_vector (ECC_WIDTH-1 downto 0); variable tmp_index : integer; begin seen0 := '0'; trig1 := '0'; ones := (others => '0'); for index in ECC_WIDTH -1 downto 0 loop tmp_index := ECC_WIDTH -1 - index; if (trig1 = '1') then tmp(tmp_index) := i(tmp_index); else tmp(tmp_index) := '0'; ones := ones + i(tmp_index); if ((i(tmp_index) = '1') and (seen0 = '1')) then trig1 := '1'; for dump_index in tmp_index-1 downto 0 loop if (dump_index >= (tmp_index- conv_integer(ones)) ) then tmp(dump_index) := '1'; end if; end loop; end if; seen0 := not(i(tmp_index)); end if; end loop; return tmp; end function next_combo; constant COMBOS_3 : integer := combos(ECC_WIDTH, 3); constant COMBOS_5 : integer := combos(ECC_WIDTH, 5); type twoDarray is array (CODE_WIDTH -1 downto 0) of std_logic_vector (ECC_WIDTH-1 downto 0); signal ht_matrix : twoDarray; begin columns: for n in CODE_WIDTH - 1 downto 0 generate column0: if (n = 0) generate ht_matrix(n) <= "111" & conv_std_logic_vector(0,ECC_WIDTH-3); end generate; column_combos3: if ((n = COMBOS_3) and ( n < DATA_WIDTH) ) generate ht_matrix(n) <= "11111" & conv_std_logic_vector(0,ECC_WIDTH-5); end generate; column_combos5: if ((n = COMBOS_3 + COMBOS_5) and ( n < DATA_WIDTH) ) generate ht_matrix(n) <= "1111111" & conv_std_logic_vector(0,ECC_WIDTH-7); end generate; column_datawidth: if (n = DATA_WIDTH) generate ht_matrix(n) <= "1" & conv_std_logic_vector(0,ECC_WIDTH-1); end generate; column_gen: if ( (n /= 0 ) and ((n /= COMBOS_3) or (n > DATA_WIDTH)) and ((n /= COMBOS_3+COMBOS_5) or (n > DATA_WIDTH)) and (n /= DATA_WIDTH) ) generate ht_matrix(n) <= next_combo(ht_matrix(n-1)); end generate; out_assign: for s in ECC_WIDTH-1 downto 0 generate h_rows(s*CODE_WIDTH+n) <= ht_matrix(n)(s); end generate; end generate; --h_row0 <= "100000000100100011101101001101001000110100100010000110100100010000100000"; --h_row1 <= "010000001010010011011010101010100100101010010001000101010010001000010000"; --h_row2 <= "001000001001001010110110010110010010011001001000100011001001000100001000"; --h_row3 <= "000100000111000101110001110001110001000111000100010000111000100010000100"; --h_row4 <= "000010000000111100001111110000001111000000111100001000000111100001000010"; --h_row5 <= "000001001111111100000000001111111111000000000011111000000000011111000001"; --h_row6 <= "000000101111111100000000000000000000111111111111111000000000000000111111"; --h_row7 <= "000000011111111100000000000000000000000000000000000111111111111111111111"; --h_rows <= (h_row7 & h_row6 & h_row5 & h_row4 & h_row3 & h_row2 & h_row1 & h_row0); end architecture trans;
bsd-2-clause
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/dynshreg_f.vhd
15
15946
------------------------------------------------------------------------------- -- $Id: dynshreg_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 06/07/15 -- ^^^^^^ -- -XST was observed in some cases to produce a suboptimal implementation when -- the depth, C_DEPTH, is a power of two and less than the native depth -- of the SRL. Now a structural implementation is used for these cases. -- (The particular case where a problem was found was for C_DEPTH=4 and -- C_FAMILY="virtex5". In this case, rather than use an SRL, XST -- made an implementation out of discrete FFs and LUTs.) -- -Added Description. -- ~~~~~~ -- FLO 07/12/12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; entity dynshreg_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_f; library proc_common_v4_0; use proc_common_v4_0.family_support.all; library unisim; use unisim.all; -- Make unisim entities available for default binding. architecture behavioral of dynshreg_f is constant K_FAMILY : families_type := str2fam(C_FAMILY); -- constant W32 : boolean := supported(K_FAMILY, u_SRLC32E) and (C_DEPTH > 16 or not supported(K_FAMILY, u_SRL16E)); constant W16 : boolean := supported(K_FAMILY, u_SRLC16E) and not W32; -- XST faster if these two constants are declared here -- instead of in STRUCTURAL_A_GEN. (I.25) -- function power_of_2(n: positive) return boolean is variable i: positive := 1; begin while n > i loop i := i*2; end loop; return n = i; end power_of_2; -- constant USE_INFERRED : boolean := ( power_of_2(C_DEPTH) and ( (W16 and C_DEPTH >= 16) or (W32 and C_DEPTH >= 32) ) ) or (not W32 and not W16); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). constant USE_STRUCTURAL_A : boolean := not USE_INFERRED; function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component SRLC16E generic ( INIT : bit_vector := X"0000" ); port ( Q : out STD_ULOGIC; Q15 : out STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; component SRLC32E generic ( INIT : bit_vector := X"00000000" ); port ( Q : out STD_ULOGIC; Q31 : out STD_ULOGIC; A : in STD_LOGIC_VECTOR (4 downto 0); CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; begin ---( STRUCTURAL_A_GEN : if USE_STRUCTURAL_A = true generate type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); constant BPSRL : natural := bo2na(W16)*16 + bo2na(W32)*32; -- Bits per SRL constant BTASRL : natural := clog2(BPSRL); -- Bits To Address SRL constant NUM_SRLS_DEEP : natural := (C_DEPTH + BPSRL-1)/BPSRL; constant ADDR_BITS : integer := Addr'length; signal dynshreg_addr : std_logic_vector(ADDR_BITS-1 downto 0); signal cascade_sigs : std_logic_vector(0 to C_DWIDTH*(NUM_SRLS_DEEP+1) - 1); -- The data signals at the inputs and daisy-chain outputs of SRLs. -- The last signal of each cascade is not used. -- signal q_sigs : std_logic_vector(0 to C_DWIDTH*NUM_SRLS_DEEP - 1); -- The data signals at the addressble outputs of SRLs. ---)( begin DIN_TO_CASCADE_GEN : for i in 0 to C_DWIDTH-1 generate cascade_sigs(i*(NUM_SRLS_DEEP+1)) <= Din(i); end generate; dynshreg_addr(ADDR_BITS-1 downto 0) <= Addr(0 to ADDR_BITS-1); BIT_OF_WIDTH_GEN : for i in 0 to C_DWIDTH-1 generate CASCADES_GEN : for j in 0 to NUM_SRLS_DEEP-1 generate signal srl_addr: std_logic_vector(4 downto 0); begin -- Here we form the address for the SRL elements. This is just -- the corresponding low-order bits of dynshreg_addr but we -- also handle the case where we have to zero-pad to the left -- a dynshreg_addr that is smaller than the SRL address port. SRL_ADDR_LO_GEN : for i in 0 to min(ADDR_BITS-1,4) generate srl_addr(i) <= dynshreg_addr(i); end generate; SRL_ADDR_HI_GEN : for i in min(ADDR_BITS-1,4)+1 to 4 generate srl_addr(i) <= '0'; end generate; W16_GEN : if W16 generate SRLC16E_I : component SRLC16E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q15 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A0 => srl_addr(0), A1 => srl_addr(1), A2 => srl_addr(2), A3 => srl_addr(3), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; W32_GEN : if W32 generate begin SRLC32E_I : component SRLC32E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q31 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A => srl_addr(4 downto 0), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; end generate CASCADES_GEN; end generate BIT_OF_WIDTH_GEN; ---------------------------------------------------------------------------- -- Generate a MUXFn structure to select the proper SRL -- as the output of each shift register. ---------------------------------------------------------------------------- SINGLE_SRL_GEN : if NUM_SRLS_DEEP = 1 generate Dout <= q_sigs; end generate; -- MULTI_SRL_GEN : if NUM_SRLS_DEEP > 1 generate PER_BIT_GEN : for i in 0 to C_DWIDTH-1 generate begin MUXF_STRUCT_I0 : entity proc_common_v4_0.muxf_struct_f generic map ( C_START_LEVEL => native_lut_size(fam => K_FAMILY, no_lut_return_val => 10000), -- Artificially high value for C_START_LEVEL when no LUT is -- supported will cause muxf_struct_f to default to inferred -- multiplexers. C_NUM_INPUTS => NUM_SRLS_DEEP, C_FAMILY => C_FAMILY ) port map ( O => Dout(i), Iv => q_sigs(i * (NUM_SRLS_DEEP) to (i+1) * (NUM_SRLS_DEEP) - 1), Sel => dynshreg_addr(ADDR_BITS-1 downto BTASRL) --Bits To Addr SRL ) ; end generate; end generate; end generate STRUCTURAL_A_GEN; ---) ---( INFERRED_GEN : if USE_INFERRED = true generate type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); signal data: dataType; begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral; ---)
bsd-2-clause
armandas/FPGalaxy
alien3_generator.vhd
2
6816
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alien3 is port( clk, not_reset: in std_logic; px_x, px_y: in std_logic_vector(9 downto 0); master_coord_x, master_coord_y: in std_logic_vector(9 downto 0); missile_coord_x, missile_coord_y: in std_logic_vector(9 downto 0); restart: in std_logic; destroyed: out std_logic; defeated: out std_logic; explosion_x, explosion_y: out std_logic_vector(9 downto 0); rgb_pixel: out std_logic_vector(0 to 2) ); end alien3; architecture generator of alien3 is type states is (act, wait_clk); signal state, state_next: states; -- width of the alien area (8 * 32) constant A_WIDTH: integer := 256; constant A_HEIGHT: integer := 32; -- 3rd level aliens are at the bottom (64px below master coord) constant OFFSET: integer := 0; constant FRAME_DELAY: integer := 100000000; signal output_enable: std_logic; -- address is made of row and column adresses -- addr <= (row_address & col_address); signal addr: std_logic_vector(9 downto 0); signal row_address, col_address: std_logic_vector(4 downto 0); signal origin_x, origin_x_next, origin_y, origin_y_next: std_logic_vector(9 downto 0); signal relative_x: std_logic_vector(9 downto 0); signal missile_relative_x: std_logic_vector(9 downto 0); signal position_in_frame: std_logic_vector(4 downto 0); -- whether missile is in alien zone signal missile_arrived: std_logic; signal attacked_alien: std_logic_vector(2 downto 0); signal destruction: std_logic; -- condition of aliens: left (0) to right (7) signal alive, alive_next: std_logic_vector(0 to 7); signal alien_alive: std_logic; -- second level aliens need two hits to get killed signal injured1, injured1_next, injured2, injured2_next: std_logic_vector(0 to 7); signal frame, frame_next: std_logic; signal frame_counter, frame_counter_next: std_logic_vector(26 downto 0); signal alien_rgb, alien31_rgb, alien32_rgb: std_logic_vector(2 downto 0); -- which alien is currently being drawn -- leftmost = 0, rightmost = 7 signal alien_number: std_logic_vector(2 downto 0); begin process(clk, not_reset) begin if not_reset = '0' then frame <= '0'; frame_counter <= (others => '0'); alive <= (others => '1'); injured1 <= (others => '0'); injured2 <= (others => '0'); state <= act; elsif falling_edge(clk) then frame <= frame_next; frame_counter <= frame_counter_next; alive <= alive_next; injured1 <= injured1_next; injured2 <= injured2_next; state <= state_next; end if; end process; missile_arrived <= '1' when missile_coord_y < master_coord_y + OFFSET + A_HEIGHT and missile_coord_x > master_coord_x and missile_coord_x < master_coord_x + A_WIDTH else '0'; missile_relative_x <= (missile_coord_x - master_coord_x) when missile_arrived = '1' else (others => '0'); attacked_alien <= missile_relative_x(7 downto 5) when missile_arrived = '1' else (others => '0'); position_in_frame <= missile_relative_x(4 downto 0) when missile_arrived = '1' else (others => '0'); process(missile_coord_x, master_coord_x, missile_arrived, position_in_frame, alive, injured1, injured2, state, frame, restart) begin state_next <= state; destruction <= '0'; alive_next <= alive; injured1_next <= injured1; injured2_next <= injured2; case state is when act => if restart = '1' then alive_next <= (others => '1'); injured1_next <= (others => '0'); injured2_next <= (others => '0'); elsif missile_arrived = '1' and frame = '0' and alive(conv_integer(attacked_alien)) = '1' and position_in_frame > 0 and position_in_frame < 29 then if injured2(conv_integer(attacked_alien)) = '0' then if injured1(conv_integer(attacked_alien)) = '0' then state_next <= wait_clk; destruction <= '1'; injured1_next(conv_integer(attacked_alien)) <= '1'; else state_next <= wait_clk; destruction <= '1'; injured2_next(conv_integer(attacked_alien)) <= '1'; end if; else state_next <= wait_clk; destruction <= '1'; alive_next(conv_integer(attacked_alien)) <= '0'; end if; end if; when wait_clk => state_next <= act; end case; end process; relative_x <= px_x - master_coord_x; alien_number <= relative_x(7 downto 5); alien_alive <= alive(conv_integer(alien_number)); frame_counter_next <= frame_counter + 1 when frame_counter < FRAME_DELAY else (others => '0'); frame_next <= (not frame) when frame_counter = 0 else frame; output_enable <= '1' when (alien_alive = '1' and px_x >= master_coord_x and px_x < master_coord_x + A_WIDTH and px_y >= master_coord_y + OFFSET and px_y < master_coord_y + OFFSET + A_HEIGHT) else '0'; row_address <= px_y(4 downto 0) - master_coord_y(4 downto 0); col_address <= px_x(4 downto 0) - master_coord_x(4 downto 0); addr <= row_address & col_address; alien_rgb <= alien31_rgb when frame = '0' else alien32_rgb; rgb_pixel <= alien_rgb when output_enable = '1' else (others => '0'); destroyed <= destruction; -- attacked alien number is multiplied by 32 origin_x <= master_coord_x + (attacked_alien & "00000"); origin_y <= master_coord_y + OFFSET; explosion_x <= origin_x; explosion_y <= origin_y; defeated <= '1' when alive = 0 else '0'; alien_31: entity work.alien31_rom(content) port map(addr => addr, data => alien31_rgb); alien_32: entity work.alien32_rom(content) port map(addr => addr, data => alien32_rgb); end generator;
bsd-2-clause
grafi-tt/Maizul
fpu-misc/original/fmul_test.vhd
1
2240
-- written by panooz library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use STD.TEXTIO.all; library UNISIM; use UNISIM.VComponents.all; use IEEE.STD_LOGIC_TEXTIO.all; entity tb is end tb; architecture testbench of tb is signal simclk : std_logic := '0'; signal sig_a : std_logic_vector(31 downto 0); signal sig_b : std_logic_vector(31 downto 0); signal sig_c : std_logic_vector(31 downto 0) := (others => '0'); signal fa_go_in : std_logic := '0'; signal fa_go_out : std_logic; signal state : std_logic_vector(1 downto 0) := "00"; signal answer : std_logic_vector(31 downto 0); signal res : std_logic := '1'; file testdata : text open read_mode is "testdata.txt"; component fmul port ( clk : in std_logic; go_in : in std_logic; a : in std_logic_vector(31 downto 0); b : in std_logic_vector(31 downto 0); c : out std_logic_vector(31 downto 0); go_out : out std_logic); end component; begin fm : fmul port map ( clk => simclk, go_in => fa_go_in, a => sig_a, b => sig_b, c => sig_c, go_out => fa_go_out); main : process(simclk) variable l : line; variable at, bt, ct : std_logic_vector(31 downto 0); begin if rising_edge(simclk) then case state is when "00" => if endfile(testdata) then state <= "11"; else readline (testdata,l); read (l, at); read (l, bt); read (l, ct); sig_a <= at; sig_b <= bt; answer <= ct; state <= "01"; fa_go_in <= '1'; end if; when "01" => fa_go_in <= '0'; if fa_go_out = '1' then state <= "10"; end if; when "10" => if sig_c = answer or sig_c + 1 = answer or sig_c = answer +1 then res <= '1'; else res <= '0'; end if; state <= "00"; when others => null; end case; end if; end process; clockgen: process begin simclk<='0'; wait for 5 ns; simclk<='1'; wait for 5 ns; end process; end testbench;
bsd-2-clause
grafi-tt/Maizul
src/Unit/Fetch.vhd
1
1921
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.types.all; entity Fetch is port ( clk : in std_logic; d : in fetch_in_t; q : out fetch_out_t); end Fetch; architecture twoproc of Fetch is component BlkRAM is port ( clk : in std_logic; addr : in blkram_addr; inst : out instruction_t := (others => '0'); w : in blkram_write_t); end component; component Predict is port ( clk : in std_logic; d : in predict_in_t; q : out predict_out_t); end component; signal pc, pci : blkram_addr := (others => '0'); signal dp : predict_in_t := ( pc => (others => '0'), inst => (others => '0'), target => (others => '0'), enable_fetch => false, enable_target => false); signal qp : predict_out_t; signal inst : instruction_t; signal inited : boolean := false; begin blkram_map : BlkRAM port map ( clk => clk, addr => pc, inst => inst, w => d.w); predict_map : Predict port map ( clk => clk, d => dp, q => qp); sequential : process(clk) begin if rising_edge(clk) then pci <= pc; inited <= true; end if; end process; combinatorial : process(d, qp, pci, inst, inited) variable pc_inc : blkram_addr; begin pc_inc := blkram_addr(unsigned(pci) + 1); dp.pc <= pc_inc; dp.inst <= inst; dp.target <= d.addr; dp.enable_target <= d.enable_addr; q.jump <= not qp.succeed and inited; dp.enable_fetch <= d.enable_fetch; q.pc <= pc_inc; q.inst <= inst; if d.enable_fetch and inited then pc <= qp.addr; else pc <= pci; end if; end process; end twoproc;
bsd-2-clause
grafi-tt/Maizul
src/Hardware/U232CSend.vhd
1
1570
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity U232CSend is generic ( wTime : std_logic_vector(15 downto 0) := x"1ADB"); port ( clk : in std_logic; go : in std_logic; data : in std_logic_vector (7 downto 0); tx_pin : out std_logic; sent : out std_logic); end U232CSend; architecture statemachine of U232CSend is signal countdown : std_logic_vector(15 downto 0) := wTime; signal buf : std_logic_vector(8 downto 0) := (others => '1'); signal state : integer range 0 to 10 := 10; begin sent <= '1' when state = 10 else '0'; tx_pin <= buf(0); statemachine : process(clk) begin if rising_edge(clk) then case state is when 10 => if go = '1' then buf <= data&"0"; countdown <= wTime; state <= state-1; end if; when 0 => if countdown = 0 then state <= 10; else countdown <= countdown-1; end if; when others => if countdown = 0 then buf <= "1"&buf(8 downto 1); countdown <= wTime; state <= state-1; else countdown <= countdown-1; end if; end case; end if; end process; end statemachine;
bsd-2-clause
grafi-tt/Maizul
fpu-misc/original/fadd.vhd
1
2990
-- written by panooz library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity fadd is port ( clk : in std_logic; go_in : in std_logic; a : in std_logic_vector(31 downto 0); b : in std_logic_vector(31 downto 0); c : out std_logic_vector(31 downto 0); go_out : out std_logic); end fadd; architecture blackbox of fadd is signal state : std_logic_vector(3 downto 0) := "0000"; signal a_sign : std_logic; signal a_exp : std_logic_vector(7 downto 0); signal a_frac : std_logic_vector(24 downto 0); signal b_sign : std_logic; signal b_exp : std_logic_vector(7 downto 0); signal b_frac : std_logic_vector(24 downto 0); signal c_sign : std_logic; signal c_exp : std_logic_vector(7 downto 0); signal c_frac : std_logic_vector(24 downto 0); signal zero : std_logic_vector(24 downto 0) := "0000000000000000000000000"; begin -- blackbox setgo : process(clk) begin if rising_edge(clk) then if state = "0110" then go_out <= '1'; else go_out <= '0'; end if; end if; end process; main : process(clk) begin if rising_edge(clk) then case state is when "0000" => if go_in = '1' then state <= "0001"; end if; when "0001" => if a(30 downto 23) > b(30 downto 23) then a_sign <= a(31); a_exp <= a(30 downto 23); a_frac <= "01" & a(22 downto 0); b_sign <= b(31); b_exp <= b(30 downto 23); b_frac <= "01" & b(22 downto 0); else a_sign <= b(31); a_exp <= b(30 downto 23); a_frac <= "01" & b(22 downto 0); b_sign <= a(31); b_exp <= a(30 downto 23); b_frac <= "01" & a(22 downto 0); end if; state <= "0010"; when "0010" => if conv_integer(a_exp - b_exp) < 24 then b_frac <= zero(24 downto 24-conv_integer(a_exp - b_exp)) & b_frac(23 downto conv_integer(a_exp - b_exp)); else b_frac <= zero; end if; state <= "0011"; when "0011" => if a_sign = b_sign then c_frac <= a_frac + b_frac; else c_frac <= a_frac - b_frac; end if; c_exp <= a_exp; c_sign <= a_sign; state <= "0100"; when "0100" => if c_frac(24) = '1' then c_exp <= c_exp + 1; c_frac <= '0' & c_frac(24 downto 1); end if; state <= "0101"; when "0101" => c <= c_sign & c_exp & c_frac(22 downto 0); state <= "0110"; when others => state <= "0000"; end case; end if; end process; end blackbox;
bsd-2-clause
armandas/VHDL-School
VGASync/vga_test.vhd
1
1577
library ieee; use ieee.std_logic_1164.all; entity vga_test is port ( clk, reset: in std_logic; hsync, vsync: out std_logic; rgb: out std_logic_vector(2 downto 0) ); end vga_test; architecture arch of vga_test is signal rgb_reg, rgb_next: std_logic_vector(2 downto 0); signal video_on: std_logic; signal px_x, px_y: std_logic_vector(9 downto 0); signal colour: std_logic_vector(2 downto 0); begin vga_sync_unit: entity work.vga(sync) port map( clk => clk, reset => reset, hsync => hsync, vsync => vsync, video_on => video_on, p_tick=>open, pixel_x => px_x, pixel_y => px_y ); process (clk, reset) begin if reset = '1' then rgb_reg <= (others => '0'); elsif clk'event and clk = '0' then rgb_reg <= rgb_next; end if; end process; -- draws a white/black border and then selects a colour rgb_next <= "111" when px_x = 0 or px_x = 639 or px_y = 0 or px_y = 479 else "000" when px_x = 1 or px_x = 638 or px_y = 1 or px_y = 478 else colour; -- changes the colour accorging to x position colour <= "110" when px_x > 530 else "101" when px_x > 424 else "100" when px_x > 318 else "011" when px_x > 212 else "010" when px_x > 106 else "001"; rgb <= rgb_reg when video_on = '1' else "000"; end arch;
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/wr_status_flags_as.vhd
19
20484
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iisr0ydwFOm3eepmhOYSaxO3flYpViRsLN97vKyw+ai+x1TubmaH8qRRwK/QFeVsjlGTFdxookcr olQwv0bmdw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dJvTzz+PoD3n2Ot9SgKfpEhIshJxklhDhS1tYcrcmprfs5wN+lN+5Y+o9jEEql61IqDkJEIGu0xp zaDWEeMqwkFuovmZnp/AnbrHb7R/19zPRtwSyZ8+VQRLsRMgscwutXu29fTUST6Ribitutae85tQ 1okc5mYK0mcSMIggcMg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZijKIWnBSOuwn6R4ZrzJp1qaSPGZMrP8GTp+SV+Sn9xEivGxLJtGM40xMLXxiYuxIopDD/A1usG6 HkSoNT6OzxHJWKkUEyyVzrZuJdNHJ5q3s3y5LSNY7eMxN9lY4/gygh7aVIBAO9YWzsWu3HLtrHA5 2vsUFQxQdkG5OTLVP1rH68P4j/dhqr/LVHw+9H76c/knGyalpHLRC7tnHQcfuezFJWlkzaNGHfUo b5cE1YTvtdlZVmw2sVG/GbXIRi5fq3+Okdy+JgckZ4dVWbI20rfa9LkI09/kwD3anyrnovVQVx9h F0AxolVKVVyWNAaSu1fvXllqzrdJiRLbdnsq0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LUajPw/jRTLlmEKb+9YylQ2jxw4jlSx/1GGaY1wFfWFdMwK2p0xvQMjui8K3EqJF0fnb3QNWuQDl 1vTtf04vcOAHkfRCeW7Mbp8qeUTtAsflGIPJDxHfVU8ZKprwANsENc8LVrpJ0WnjDFQIzJw7LDqc Jj2TofWjKprdxXsMnu4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KG6kiSPrd66zvVpG96eKD+783ebVLVFNF7pXgq+rCyBBRoa0N9Hp3DIWK5125mkICodI82zuSq6k C8aCiPbDiv4tiuIn19WDNNPL4ncknL0KLZTLAkq0BIQIsnFNRaZegM9aXOdMYGKYLpnjSD9KRWRt WPXPZfwprSu2D7PeDZMiij3MY+cixttgVmNfcx9Kkmvg+1B5sTSDTVs3fqpJBBO1YslTmxyJAIC6 uDuGqvQ1138z6f4f+f8vMXratK1Ypo3jPPb4FTNLYJio5Vd1Nbpl9kRRtj801Ie0GGhbggK6IXJx 785o6wX6g3tRyoHXGJ4DGUmWlIHATg0KIAflYw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424) `protect data_block rEtV4Bm3SmvVbKq1runp2SaaO1GImraXNQnz2t97NClVhlTBGZ14v+hHArmsTHZNME/QWduIEcCL I8GdWPb/f0NXI5m0D8vUM2MFHCnzTFi82f0pjwFGBVpM7cHKBa5QRX5K/e5A/9KwgbwYV1tKqTXG aWyx6JRfuzQINO3rQqM+n/1b6LSLygDM1QuiPthpMFKV8IfsVkaLDVqcLxH9/n7C64aisv1xK+OH WhYRETSZok8+gbvdGdr2+VsE64ALl3DavNBK4S7IOGP5YtXviHmw+Qb/lvl6m+RggRYmTe1A6B5r pghgGDxK2gS0ItU55quFmZMEuEH+bwLuaJA0/UWR19oWnbcTZnsCqEeN/xbKUzS6blm1K6RPZtYe WSGhjFl0cKHEQe9DfEazTm6tS9mJ55lLbSuwE5o03LDGRapxUdSR0IiKy5C5UP4genzVw3zearva qPWmPeGZcAEyFioI2o4OWQP+8mFdbza1kBOuw4BP76P2c+ij6wbxXAWVxtNmL8f6AgS1AV5SqIO0 ftTCve0JloVh9kpHsbXE1TS45+y0IuiC0N3a8PAEsXa/tnLsnAydpsXIQwMLXmgKfoXKzabAHHdI XZJd/NxD7+xY3aINMVaO5eSSLIdjkYZIeqm6qf+x2eNd9v2nue3I9rjHkjMBIty9wqDCI5HznV19 h0aPmdRn9t7Ft5xXq0GXqSl2I9ZROoM4hg3RU6TCVY4IaDkErgP5cMhIwuHsntmeWvsvkZFygQtU 9TUaf90O9HINFkrdIHf0ZCgtCgUw6ffQNPAkw/6MaoKxm9wdczGi3ur+eyRvSLy7+LQX/dOqvXKM 9UFNjkQk392HWfkT8aaXacuJgFT4hmoEXDGY+tSqQrQRrPmelj+ksf3PcI2r64RSTjiR+9HNqWqJ jPJio2xgGdQdGrH+UewLz0ySmNDYmqxIdyqKfv/B1BYMUpGd+l0eRCp0RwjP6nt7MKkBishuJTL2 2kpCGDQ4HELrlovnj0dMihRpFZAIpY55DfNVE2hnbkHthyDrEXapmovyPqDFel+HKDdimVyeM8CR DKl0D2+OZK8XWAiVk9Ve6w0rONvwf/XvaAkgxa3rDJQo/8g8oiGRq4ku5l3OZUKrPVRcjVNCxHRq 81RLF34Ztt0FyGvWgFBPXPwl/QfIQcetnIv8BBHDyYvjjUEJZxJ0yVIBqSipzmyIn34Kt0GvhgJJ oXsivJz4IQp2WiLYnKzv7NuBWYnOF+M/H8eFTLS7ynV/b0CRf7qayeeaKYL0uv9mUeXbILs7F9+8 XkemV9ihHFfHS04009LEbIzG2O13pEZ78n4YAi47TmCv22T8/Ve6sSAGowqE6FfeksCmQ1+Z98YQ uXIyCDvF0dlGJwZxogjNRbjyCBj6OOagNPhSW71GcVdRv5XSPoZsF68V4hWQeNb+itDo7ZPtZJoJ YzLjFDCWp+2G5a8+OtWGetlJNejx9rEDOQvPXNhCi9xzzzSvIevRpnt9XX8uVwzzSJnKhI91LgRg ID2cjEMis7S70eKGvR2/KBOhU/KAbsgGALtSpbd8HPFqo4CWuxEHCYImqvt7m+vI7jIEpXbwjtx8 QWNgKL8RNSViXrcj5CsXvOI28Nk2V+GZ8jr1/8I4R/Yns4Xfj1TTWzVeNCNHbazn1nufigCGV4Vl qtDHRGZpM2GzgWRsMj5tsZldV3pp52C/Dpr/92KUqWKMf/mZbYDJL1X0X2Tw7iboFgBgx1miq+ht zrLMfkmBPAPakC7uTHv8wdz7HICGyvCct17zvGp/b+9c5KI7lCBCd9jn5PTW35q5ksO4eC9R2AqE 0xJmD9GKB17q8Jk7vlAMs/IJV9rgMDnRfyFkOl4hl2S45SaIY1ObTSNIHJYg+rp/nN6BMaWKrR+7 O6t2IjDTrKFkfrU0cMF3G7EiQSf6RI1d8iyqNJLJJ3fbFhF7XLnn/CwlkZ/03Hwn1nJocabXwbc2 9Q6RrzrxLCWMZVoXaUEBQvapxENHsyZOrmSevUQnuCknCx9Eh/GwdP2bYVHxXWg7ySdjQnVMtR4v qT7n0yJuHI0ite3a92Oo/MBdlgCwEcxfvgz0ILRIK4wFe098lGOX0tVEsLdEUijEAeQR38bksd9a fuwZzPBvMZQ+FON4CQYrDg971r8Qz89ArQjVxWF7TdD0Oaq9vaUrLocNzIw9AcIy8rWaQnwTR/K0 PsXLapKx1fOSZnuztuMHoRXGaqZVlZJGs22/GiJA9y/IBflmF5GNFnRUbXVT8r+FfMb7zIr8eP+b OcPNp6F4kqt/b8gae/9JOIopCuWXpu5kDe0U5aC2qmvMuYbNI88aUx34i3WHd8NWKNbdtGDaQ8nM iyuSX4j7EgwTOuBR/iFM7rZAATM59ZFv+9wRq8hbXVvfsBp13upya8YovLN1O9OWrzxUWym9ZPN1 mDhMT1YgOqVRaJ2ADyjP98UghsOXt6ybu9jGeEndP5T4mZjxZp82OMxqqS6VolaMbGRNPa271n87 65g6Q9l7RYtOL1PjdBOlgNpUdYZwxJ2EGgI1wPnrnuUiZ7sdhpuFkhJDdh2mHYlIUZHAsriLKEHR XOdtU+Desuf1ojV6OryxMP052nRo3DfSj5+dKgSFWVXGLiS4Q5dQxDHIvUugnqZqf+FK5inrMME5 1eHWMPkrqY3H9syJMZ7RM9SwYc/zjL9fOqr9mE+npGx50NODLrgb0FydLIXRotOtI+YSbZHKzsKt xoZNcJJ4GasWF69GNvRenQ3kp1eh71xjJw5a0KZmhsJJXThZLqSzb/sTisTU8jCaMh6S6ag0knK7 jonbXo+dctsmQfLpvLXHN4TNqJnY6alIH0HnsTz7EklgiqvaLbFn+25uUJSNDHESZG2BaAtNvG/4 WuvQCSLWeuJpsrPd+06Bzmmnlvn9InJZhGDVhnZlfj7Kh2PolkMjpLgzqH4f9hm/7zH7o7msjyvZ Rj/JnTghr2ou7m5Ayp5K+yFEUt/y9WWmqXlKOpf12P8RITbx8ILm1kWRqB8L+xfF1r2HXt+av43O pyKFj4Kq1FZckfpg2It+beWX2P825O6hDubEjA2RyF/zyyc3lMSS7vtAQMEOEJnWNslP5r0po6TK F5+Ikch7kOxVShNh4LWWzwFtJb9SBv0iFIezeCGrgApE2blMwedVwOdpPdPvsQjNRYc8YG+dStcY JUIrNMdc6m5qSEWO36XNGXLN/ZrjKkZcCr+z5oN8Oa4cO8jxqZo5bZDRVUh4VXM8a+hHCiVvWsEG HkxV52NFazh7Duhb2Ix5qYigebEWfDnA3frHeY+lRAIE3+X/YoDjgbPuOqgwawkAvhSCeNbNxuTS T9ZzmE8PWRbDowhElwhdboesSdX9tyyp7yCSM6fxKFXbUrPplwsSNsMYsJJ9grw0leD0bx4Cd0Vy Y8dDayiLLROqmsdsKe7b4i2o6u8A0RQrPB31DrVO/yPiNygxChVesembc822gDnOAlf6FO502nEU ItbE4EyM6ZuRk+69RSoT+2AZGRndh55WMQYb6i7ALzZeSttxOh6V+LV5SNYYdeQouPNdd+N45DZn niJzd/11g0AFDHSZFxMK9p0VsOmXbAPFEjcTvMS7PiMwhUsav6ZfZjkVwz4X9s1v8DCZpNbKSq88 kGJQIcZYRdAPuD7V1zvdo0Zf6leEwpYg5lACMpJ8rGWyLPBrYD/CsWB0THjSjjkRntpZXUTF5Sq1 IPSYaQtCSAHZKO95giPeqw3zw2XQ5ci2o+t0eS1YvmSPlvMfZRnkdx98t2mA8ABd64gljY5f+0O0 px5Pec1R5VTiPNgghdEJlgrPqQxSP7vDXo0dtJmtqeP90QCRgaOqEt27wpqzv0KFUth0XwtaJeQ5 dejR/hEOliBmBscgJkx0gBF/nLybnTcQ6xwwOe25uqhvJIiepSDJE4ICrD/MSurrVfozreQGinSL a/tMurSZF67eavaP5tFe2G44AmkmV4WBRnOeWOW2dJ2Q1sYHMUzfnWjdSw1sJJu6+Y0fUowVqq0Q K2lcfV1v+sdb0adNWmXRoPrjCpXnMpWUyUXWCaY8r49ipi+4TCdxE4p/IUZfO1aumt4+xQcBT0dh t1WR18HGPmHp719a+JPxfWkOQjw5JnEukkqxKV6fqJPDzGrHDJvrkNy1tkX0MN6Z+Olw//0xoyyG 9SEwAH72HyUlbfM2lhwM9N6f4cr+CLxPF2At8ppy84kKN/H+2RDt520oTXKCcGFU/txd8LdCs9qG 3diwadeKrfsinlzq/W+3PUAPHvjHcwRyRb4m4Wv6J0kW7ItY1ox7z91NbPGA481MOKLtCEwm6vuk sfLsMi7+T/Hm+qsOXlQ9i8Pf9VAE9LWS2byZEwPIYDYtbLm2L09NRsMgfm6PotDA/9WaPtrx/CrM IMllkwsRz86jBnsfx1s6w2v/gxQFWv0fY8mf1WywsWC+WArpCmvfHO0WMIJtyS/B+XcdiLdfrrJ3 qeRMJy8lhDn9mvRTVYzLXtu6Fv98eAxRiP4hPmT4+kxhTz4CT4cDPP9YKhdzODoL28xWfAYFbD04 Z7nP2BAp3o1FR08u/ctwg8oxDjcRwHkmDHquzKrPjnQBKXv9IMSCNsR7asFA/JqdhHcmuKZg6PRk 6XzKLzz1/Zs3XVZ/rpAcA4yoz12/yPHmsazO+a6cgbZy7JTSwRBhRd31L/KB4M7WXzNJ4paZAsOI O5FWmQpp6eEyGGXpKkGy2qdqVRuRe0IjzwDJG6clerafsgCAj755Q2eTRVVvvRQw+G+hVjqaFu/+ m9KSJt+0hjHW1BHC4wyHN94ORPERUFukKcxOq8a3C4r5hTOZW3XWq1v7Ks4H7Zwi4AlNCGUKQ0ON VSZKVFl61F+tBDQk+IqFz1WMfzhOfRJYfalfeqp5HOOIaK9cOhXG2oYdhceL4LDJlhJPAqpAc23Z G9mCLMh7l3PvdGUWIx2s5Z4EpUsaxWTxxqD4a+cGuaZLzeV55zSCZ5qehwrwRTYvoBZE1+lOXnfi Ryt8wko4y/GJZL/NuHI8l8tJKmVjS+XqWIN5sWUs9WMNit0HB/gZfmTuuvpwP7gjFuUjS2Q+jgYC 9ppF022c89tfs59OKVOjOQ9s2b2FlXyn4zn9AXI7AYGal0MNPRsQwnnaZJiTebyHcwtZucfEnh6z 9K9skBFs29qlMPNYsFP7y8mzdNhDng3TEgYpao3SPfXwDj/CSw/ObVggf8enRTUNlDfJ+17WwDyO /ajc27MzTRLyBCCQhUYvMn80lvwSHxWDIL3xKvr2OLMoIAmgIZJG3sJMyhsOPqFxVb1Qk7NcojOn D11t84xem8WyHJRbm0pbKV40U5U40scS0H5bcMWTEfGT4W0Mt47O181hbfymq/ykV0yIpouFyaDb tUvUtKWqMknlkUxdllrfasNUWgJUfn8Cl8EV1Z3U5ABYiUNuRr5oKcdwlS7eB/n+UgV71hPGXiii tFKvLiZLXS9l/eoEDDKLm6/8OksoHEEFzRFIR8skagCp4rd4fSGbOUietH2v8ecJdTlXFP0ex7TX vh51q5DGduPDyv5nJCNInuPVn9Z9r55S/RGw+ySGAcaPEqaldHWakl5LrzE1/zI4K707/QMAGDAl HQdn4RCGxESdD4HJn35p8o9hnYl7WqaHBddVyszwX//kjQ4qJolh4qp+txlSxnSJmCs83a+aCvMk IbAnKPRH3SP74XCHOQ3bziFlRO0Q1JWSUp+e9CxVX0iTOPbgrB+wD9yT1o6m+o45pOEi4yqt1ZwB 6GRU83LP6o8j9UURxZDZ5WMgpNs4xuaPxAt+XstW7JOy51tYRnIykokiQYYg+aWP02kEZ7KL2cif /t3AkMcRC0iKXbo3c1/h2uNlHbvkqXBOZnmGMzMriXwdE44Vt7izgW2oY3N5IFwqNHPtWi9SS6yA hcD+oqiZ5YnDx8Be3R5HxKPygh4K5ePLmLR6um0pz3P8seQq1RKFP9ctf/u1v+7BlNqcdEez0X0N I4X1lXx9dw1MpdfXfG2riDistMNSEH4Rrccb4Y/8cnRL9fh3mO987Fb0uI03HcxJiExzcwHZ9dco s3vvxZTsaIGr2xmmD+LsMSI00fJFv0/mbMB3LLQfURbbNvuYtBK+fA8U8TOqSRvPYwXdCjv80svZ ecFEkHhpXJBNTWSfUIzupFvHeVSIxo1FYZEy4sS3rdwokvKAiZK3rnzY+Ryed1c8On/UbN07w7X6 m6hS6lOKjYh+wxgHNswvu8N4sknoUX7Hwp9LBuU8+T0Ca/tOrCkICtK8kD5WkMmpO6IWAXUjK4Z8 /OmRAfzuhQeScqBIQTMuw2IkQruwSdfY/2ev76Y8v5xSi/gzcXVjJ6pNWe8NhZ5pL8vuon9u8D9v ac2sfrz5UyHorqRAvNxS0nxaWXiYHYmk54FYhWXq/l06uTApLWRBji257/fX7WCJ6JtNeLLcAFYG ui6Qlw2u4ilhoM33Ba9OQD+hKXM93Ab35owHIBR48oqnZlu/gRs9B7w/mQ9P7Aq6DwyYiEy7zN6P zIrKF6PEXI2oo5vRRDZlhHjnxlG1qbQvhZImGO8R1X3muvsJ0Z9NyHew0PCSz7i9mW6rY2m1FJBl jLOuJd9a+SClV8HYSGnNlxIsBxxS4kdb8pJAiZyO1GsyFurgT9epWWxp6MeSTru98ZQ2HxuKRPAh LAyFLPqo+UCcwRFhd6SEMQhkLktq/u+ZRteg3JfitjOD93M8XsrOOZXAvc8OK94NqeYOeOIYfeiA Bh8AZZCTK3Xt4QLtJ2oyW6gLSK/gyvC1P9wVOFLK0VxRCj7UL1ENqTGIylLsQV1EDrxvDeHgkr8n +celZ0eo5oJZQc+yNrl6D8RLDaFfOndjQQuP1VMMLt/r+SCqzoIQpeaPzjqcZ5F2tDjq3vLL3JlK L72Oet2zPrANXugoDIB6V4zLM77QJB3ICXMRSw3lLKHdoVR1fOZjH7lh6OFJTtre6FJuHv34LR+w Sc62m9Wix3fNqnF2+9Qp1crzn4iR45vHd5J/GET/E8MKd44F0qOzeLFE7zgwf2+Iy6YZU0LJ7OWe z0OlZFdrPEAemSaXyLI3Wg2c7W5Ef4UaJISjZfXU+aX/CFAP3KV58g4cgEfSs9fw8iuCFLuLh3Jh GZg50idBZAseo6HiW0jDA4Nn9S03k0B9v1GqmSWPhP3YhzM/EK26PGYcgF0eivassZQFQSrc6Jil NsS91pv/HNapigSr2eVnB1wDsPbMOeAWMefmfTJEy770FLL4nJvHH23BcLXTk4vQBAncc/ypOm8W 7K8vIx4OeBmtzlz+HHoN+Ki6SGNYfqwhxJ3Iclxi79j84bg6FlZ/sJmHW3B/BcC+MY8FKzU6WOYl 4ii86/wI6/Ymwxsc/fLpXuJgVnj1G6seQpKpHtSA/+fDWnvd7+23DNdK6HfBGZ+9h1HUSEREwoXj 99Lau/2+L3cctWkmre/U6dbCrUJx+9esOM4lxm0AgWGdwsmiTdhQhm1GO9efcz3nod2wuyLOoRFB PRHCoU9xUQeiUOHk4A7KAbqWNnKmpYJRUFtQ3lyKzD1zt5ChuiYS+1trXUf0emVBc0RXJeOJM+oy pdYUCtO7HboKltA1VXkzyJrTAnuRxZ1FiBt3NN7AswsisLqFyQAuddvPGzztWsE/T1mimJzTQK+f f/Lv/B2HA5evVFXQh51vSrY2ub6y7UStyV1sLz4hRJqfnfYYtn4qKe+hiDORa9CUQSPNnR1nNwVS OkQkOe7FGwVo2tF2pgxsVaObzuNgR5W00kvbG+HZrYc/VlQXu17CoYAXyKuimeuQUX6IOYQfOtOi P/656J+ayneD3DdaM4CAxyapYpzyxTtzYw3d3BmLALR36ZccU/VhfYiHYcCtxg9XbjQuCmn0V9tm VhQXJGWmHnrfgcSUohqw+023UH3zssHKe3HoXWtNxZuFWTm8uBN+FQJWwZg5o1SnFllNmOyhtpJN XO8SRcSR9ghUC2PkIXtJSv9s4l9Gp1a1m77LFh4HZa3el3/C2W/EGR0B7R2Pzy8Y8kQnfhLd33aj x06UIZTX/x5oFzGlrD7CuvF5JKo9vOIxzToHh5kdoOD6zjUKUGJYQquc/mE0DMReySwBHVcUIpLT P0tPzMBnO/rCSMnc9ks+pckz6Kip2rnVsPX+HfAom3uAkz3m42JwY6b/bHCDef0RK/3WUac0dSKM kEYtrhwBrDcHu2UrE9D4N3TPQpPhltMcgA3rCnJNzhStxgWfSGcSOX489GY3JX51jrPQ4KLwg3Te uHo9coFgA7zxBD435Ek9ZfJZAcIO0Zt7s2uZwvFyTVmPWSIEW6daOf4f0gxbKYxTM8WdybNJXju9 AuBEu/AVDZ4+JGpSkGoI5khnH625YEMjmDdM7yC1jJKKFINwCI4JiWEAl64hmuHGoqBCRVIgMBYp hCwZyPZsI1RVFT2dRHGrlaHOioCJ4s9qfl+jXm7NnHKSvxNcZuT+Ubv1PiLUCoGFG+sBAEE7OLIx 156yyQxHYKvnmGVKdSv1JDcqFOBTX70OTB59UidJY5m6HfaEtJa2TRtYd9dfiLW4T+bJCNByHVNJ BP5PQ906S6hbtLHGTio7Jc/IK25r925Vil9Q71uHrIoeIOuJxTU2THyuDb8th3jMJAWZ28arIcD1 7zvWma3Osergrj5anOk44hmyfHWNmtZzWfjZ8g2mz5sCZf2xhx1wqFFUm2rP++JnqWZWqJpie9P/ FaUflE91AeT0nPFGzJobKS7vxP3YCIxqDXb4W+GNrbrMzqJv62IjXU/5VT55UrYBObNBKr5pNuPp nl5/A4Sckp8yUP4cXgns9z450Dg8dC2rJeiALt5KoIAI0mqVyz6dmncs3964qm5zvqkhfspebtxv +/5/HLRk1pKJC/0BEIG3U8PblJ4S3qWAR1ocDE72mQpK1S8J2OpVtmNPWqj+Q8XxAKeFhxNgDseh 3Ys+1h4JfwdhkuL1WuvUWRmr43qJQgrAU1dsla9XqNHMblQy5XDeZddFiARAWW3wQ5mQedn8mA5U VcD6AFj0+myr/qmfSW0WZomoAlWRHZR8ww60cUN+wUjqZnXcQrMta/v0wrgmsv3Gm8XyHnb593L7 eG4J4D9wit4Xzo8ICB6DhsQ+QOfSpMQ+Uks7P55CB0dzcg3FIehDZWZfWj4jNvAY1gUscTVyYm20 ltt13qwaUWOMqO2BXOxzc3jQ7Wi51nA9iG29RjBhvOs8SIEWaroBNDKCGcQ3HvQk5wLRSMiGq6If A/12iSm/sYD052pbIGYML2/C6RhJW3M52SyyIXliJtXn3B/si0AgHYfzqT0vXPlxQANLqtU76Uyl JwP32T30MRjAm6qMdCDKPfadIidlWtpiNS/lxnet2Fgcu2RTx9pSlm5DVmFbm0VH38okdGyJDSIF p+FEprQ9eDPqoUzNbjd4QlY9bph/Q/CVR7m8dBAbCFdT7pbH6Wdwk7LdzgRhdtDP9jIsKTNNte1q GMvooCehfU4GiCw5Aa6s4ZZbQSVYuhRYgOHzovGzq1+5wOo7N2JA8vlRYSwwjVyUb2rqq7iWsbg+ JuJapgIlHZCoOngniStpVBvML3CdjisUnPZs7sdb7aLtZRCI8TsyQed5VNTTOv4NXqNYWssW/xWq 6f3VNQFk/gh7GyMyJ3d38QobBhLCLY4hmDK8JEZCWxIL9DN0kaLLviNG2wWKwZL2cD0vxQK+D5M6 PEIAaggXEQmwA138Km55S7ojd/DGbrMb9D+Sj/6WEvXGOXlRpGpFQu+ha0Zx9dB76EhCiH5bYq8w bqILWA9l62keHeCH4BpTHJg8hCuBw91g8KmUfdeZqhZBGmg+jggKMotw4SoSSGVLhK21Ezgu6kSH xJ58XYzSKRTRJwiPKrAW/4WoTYupBxtoBdz+SqQ84ip6iqZT0q/H92SSDYacziEwJ3uB05PxUTio eQqXesLnKDT7FS0PXWpbQ6ZqpzZuwWNroQXXNu1J8npgWoFeQMl5AlAUYOaByxJ9IkIGyYOKvYWA OgomT6uFDSlbXupq1moQTu5MMxn/ZMWnYIjBUqki5h/yxrMoUH85oCigWxdSG6HkE/Dlp5CORbr6 gSgkUnNa61GlwM8WyTdTAlnPRGGVdb1X4S8CEW5ZGHUyn/oCYY1HKlwtLQyyQeVplakXQCvrJ6kQ Bg4uyIJgb9c+RoZytzND3ph+muOAgedD8oFvvTx3sNPkT//7bqD5QROXfB/CNgx/tsm0OEgPUtyx ftKJXDzA7GJhHQNybc4wtemLWBnKgjvyPxxbdEyRdD4wobPkbKzOOTeAMstEFoqr0Y8H7QhkUQam 2jDhUkqJ284W1O4H/XuuB/Vl/qvyU9hoJQcPCgaBGFdq4QRDbpDlmpqlxXrXaHZlgWffJAnQn8Fv sk4H4Ea0/WjIO8ifNl6SfybYK/5oIAIgUi2Nk8KE1m8gnJUPMXSYWsAeYkR6ybc3C9yJj6NzwSUW CqJJugNELmiv6J9VPA9PaGQ64c+3pm+cpyDNDHQ1vY1qvj3nEgqx5n0Y39nCsQImiPV/Sg9yh2Bk HQ4ZMwhLI+nFwK0OZ/zkh4odiDsOBE3M+kMnlZ5BJmXK2t+A59T7AvwpyVEVogCrqtbogq7EyP2R /KoZIKfyE5vXtjndlUyZjAiNgpjTc1VakSJb07v4Jnou0T2uuBBVLBE8e5fRknL4orW7nwiNGR3A FjSKy2+CMPD486jawdbxAbwfChXyeCLhOttQScZ5/UuJXKibAEIiWMO0zwi6h0787UrxLIJuioc4 nULkOdY/JKeviefRwjlnZY4q5i8h1F/oMT/HYeWGqrroYovXKcnUxbMWZD+jaopXwpKIKwV/u7gL ICCVqIDSf8Gom4lbOw1ZcRZKLSyvneRHTfAaqVZivhe1m/wTbLuvizyo5v0fH7nNqAzAgtH7oirl O09aUTNKpDm720Ay5sPItAEz0pFiZQIRDfWGCHCuuGaXZG0xuyl8ShQxJz/9BEeMVCPhZnOaNv8X bNe0t7omyZMkSFNPR4U3evzcLjcuK7VEZZdMkX6tka5B3C4bRACtFyOeQCt79Xrriwjp3eDw8Yxl i4ZnF2nnIzXhArnO3TFIuhZXD15yn9vVT16wMxV0rkzQ2P+1+g1u7aeDNwRT2xaUvdsFLGr61RsA krrBB/uXlnDPWrMSIoSOLSTC16X9VfDFq7XtK2uA0BmKK5FJeWOI1ArralXwFlj8KdxJ0L25ro4p IZhykME1I9BoKS3TjfbTDmfX1WVooDH5YBhsp3fnJjyZm0vZ9V7nsz7eC/en9E7r62uh+MrQsnxQ HEOfnD5I5vsCo4FmTwA6lhGbUO5+59ZQ6busQ3Da/4/gKfWPi7Rx+7Y3AL2HiXlvnKxN32bezNjp dYjFYXVPdjaFpz0GrP3APSdp3La8UFzXbVhLuwuv0mDPBPScpRdDo2G0X7gso054AFVY6n9p8tdd 5zYfJhmIvj/NqUn/wjbA1Ptn5IjIafceDKqCWE+o9brGUJBbmYQvsYtD4pa83lH8uoKqIULDiSXg 6yxJwmM59viy5qkaOJYrzsWg3wlO+PCkAvqg5HpLKFZUsQIYlmnRFiU2siCw5xh8Lv91KTWQE26H JygYAC9Di3Fuj9L52dCNMgbtOCerVWI4RqUpIFnC+F3fY0d0urDChvfCB4v5ZfmHK7UQWuUl5DRA Ncjw2/e3pwmVbyls0YUVrzdizPbhlKDJX7xzvC4K10nrAjnG++RxySdAOFZXubgPzTr62JQtSXmW IIqO1vKeYP7Zb22j7YH/bXfT/F5cufY3ai23D7tEwC0XTRPsjTnkyjrFZDKy7H4jtCHGqFDihiKn lzcjRj0nxDEydN0T3XZVLw1ckchidFI80LIRUz5/80zPxLB32RudBu90cckCuB1n8lnmLPij/zE+ aucm3Kwj0LLI/ESxyT4RR0eJrEyPbq9hWnKrwAbHzhPaF+fffQmkrsUci95u/+x5LJP6G4/zY5ZU 42fBuiz8uxbweZF5KyivG516l+EjFp1oi1B96wKupMTLWNO5UC4Axpffk4EKZEEns0gYRwpJIJRE k8wuG63EMGWNSCTG/RO5z9NxoZktD7g60co/R42CBfts1WLyi+ow7jHsNlPv2xDWQd26f9hJIG0e TVk9W8+8PqEnJMnsgKAfDu8qnlNGOsOHkqE+0aqrtum7PjdweTcx62yaHJffKPA9+xi5XkwSw90Q gvLoWjJpXh3ptwi4hIt+bp5SLcz1MgCE3s+B9GMkZp6eji4CZRJ4Y8HsDzXziQLOBcJQbavNtaQc TKFoacbgnSmdbaLo6XIalSOZIEQbh87hhxV6K+UWL1flpVWVAsJlAajEXmi7Y1H97PKK4PzSN+fr yJifROBUNzCVFwmnra+Zipb4E5Lcxyic9aLP4yppTbb/i3T4qOrnXLKwuSrhJXSCcTyIRNfCOFlv XN7teOJs72V0dh9L2Cz+VbtkRX0l6NYasCjX5gO0C9MmgYx+KYUX4dFrpHbbUVI/aeFPSRXeqpDp Bj9ql3XKb0y+qM3C+ISe74cIUmyZPAsxtspP4l5ZqJ+0B83nubj14d/NJVghYmn7MX91fvjVASmN lHcGwVvjoBHip3wjmlEjH6SoXc9kZSi06Y86jAP1G1cetsxKKdscQvvIUgoMDbTIh6hwcJVeWzdL FeU4QV/2aGp2kibI09y/XFAZ2mNG6KrztPsjXWq3ds8FwxjebgVCba+YBkr11MEoN8SQGoQunHoR wD0amqgzGzavEsdYCJ8t2cCLE6pF55bmrf4hm3BUaEi+H93IC5sJ2FrXcBTJB34BRd3BWFA4sQn8 EHoI6sWL/HNvZS+gmk9tJxOpZdOlBmBvL7QUxs9O7HcdiJgqyWSO2WiYWifPywrmOTezB/3RbwAO kCUo352IbRcj3TrkIOubd3/438v4D7Ft3DhuapnoCgB3AiCh5BOxLotQ9OLv93+EDKzj/zShPRlD D59DjxgDs4Iu+g15WT/OKtcR3zlU4vfTWVU8Pr0Rrd9q336s3AfGKPsXXriQ6NZ9n9r5uEGts4dA CYsfvUEE6TTkT7HDGNd88HhGc9mYTrvIYqnxNJ2Dfxdy3D6py5NGKwO6Z/oHkj6jB0bWGX/iwhbN OZ8Kc2Y/JJdWFNMlOGHs+2mgWXt6E5z02EvayLNFqqXbzAWxSJ4gVWUkRW1w4X6kYXnUfn/uK9T8 fyhoOAFAK7KnWzDARKpQjPRv4cyZKWEU8ND2VGBYHHhelKiwkDMuKr8qCOHoiTY9LsSSTJ0y3Om5 zPbN4R4hd7Ki1teS5VRo9DgtjemIkmKBmt9AVyH8O7supJ4F7fWQI9zIGnoUPi2/DYYul6u+5iWT Nnlokkjfdc4WCCICsJu4zYJ/8oFwpYBTtAunbPpcyXF/jj8BGbtrurRFhOc9OHpTS5riE7CjTD41 SMNvyBkzXhdBL7s9fFTUr2SwP/m/pdiYt1Y8+cPm/1EIyP5d5UbuPMKsZl3LDwWXCMnKi/h3PD2z CGJbKmdAx1uch5biRyNx6w3CCPCangeKeYwHKLHU3QjsWtCBfoAkwR+YArHSuJu8Xvtd34qhtsiL s3yC9fez7iMXGfqasfXLzwl/T1pnQLZ2q5XYcSmbjHxP/9A27xwKycajveYOqzAvM3CRfiZE8eY6 nI0NQlJ53WwU2oTg26Z6QRQe3XHyzBwou5E1nBjHU2cxdKlVp9DtJEqKHiY19jXJ15FW+qthh9G3 7MbDqD0hJC4kwh8UFZkjzWYM6JzvLGwdsQBfme8bOryRIVZ67tt4bFVVLM9FXD4pW0GJ6ORp8Tdi 4nJ6S3aD+mYkO9ofUAzhp1lc820p3nSEDgqvhWKK+V8arvQArAOVqlMsfcrxjTAftF+gupUsk4Uu x+TuA+NA2OPMbOvrKHF/9q/bRDZFWAK1pqkR/ayn+Hr9aqdjxKLKLgWR5GJILTb6RvYPQ7C4/P9u ORGmHF3yzCa8y0jOFH93CrTEfxSjBF0EiTlKkF8k/2JU0TKPm5zvg6slp2L/EEy0ydkfd2uVivJM qWFaC71OR8sCvnHywV9Rqc/3Z5M2hIVDYOyota7W0D+EpXlGCDVDQTpNeGWmOLMqNfWr9mWdkNpv GYuGydcdMKsPCIlXc1LGOaspNv21aHliav8RjakyVwcswmUhf4ZBHyByl3pyxgpm9fvfdXUC4KzO tu4VTi7sGKg3DdJhVLw6k/2u2icZUQJBG06UWsOYro6lLEAa68zHBoXCTBDX1LdBbB0EpvjZGyiV uRE+GXFmHrA/q8FCObD20V/4gXKXk96VrBgMlOCPfO4TJLu2BNdhasrxouG2R9Tf4ha3DpYB5Wuc u0SGgldtDs6NlsmbqCFk10eYfWxWmYr7FmwhHlxzl9F8T97rG2QylnqUtYMDx5M9tA9+oLYxWA8y A61+YQeWWM79SjgKWslaAG554is4whtWWKHUsHr1n7NYjd26Xge/QVwVvSQh2RwbfymuNEN/70nO f5l1YSAhk1y/G3FFQ3eccWGONkdgA9dS9HZQR7SD++bYaL7Y31aVlOxtswK1jcHpFCTFWeChLhpk DhoqBem38sM9At8UHDlWF7FnNAdhktmFUDxRIqNrYrFlhR5Ay5crXOKjDrTyb8zQYmAalRw+P3dt 9fkWxL2T6HAMYBjUAvwxPOx3FXGcW7dkxacjYVhZ47bVwupVqkgXiYkIDfmm9tjzFNPCQnIDtgPR 22s3BLR4rzfdd1W6ClCfGZ33+Lcvtdd9Ki+ToBL4StuX9+m9nY0eq/rislQWncvUWRVJfHsBK+JW b3dfPRlF4ThV5RejfaAqeMycTNOLFrA8X+xl3fZkznaeVNrgkR4kwoDX2c2Y4oUWRxg5an9f6XME h5UtbZe1NWmkPyew4JFdfnNc+OItFmUqcl4XQxzLtu/yeQzsZyPgKfKbrZliJk7r5SqcKVjI+1/u BssgQeOwTpFRPsa2skTlRCvfxpFwBXYQOkncuApZXm3H9ImD8i99TkdhAZ8NWgiMGx7rV2J3CCI5 HsIp3c31R1wqeIc8490LHA9lTaqll7qbFAsnlPCl3H4sik7s8zflMICkU+efqDw7eZ8f6rqVVVmh MVUYBnN97fby00WKxxnfX/5ZjKDrN6aiFvfS8tCxuEgaYRk9kmkIXugVN6NzveA3HqSOhYMhKGKe JFqOwIO7XaEuFPVFmRdwDreq6VIIsQvspti8FGzLp515iW3yBgfqUPz9yQyIEyzKSjfIuGcnc+Nl 53FjdgfO8sHjhl27ZrqtLlZXmHNt1QTIbla6eWi4A+ANmMq1Y82B1nJa/3DKWjO8O8ikeS55lE9/ rBW33a5rSwc91N8sK9MH9ltCWqCHzi9hh4nLPXYs0p63Ba1BX2BTEdHAMiV/ok7Gk3mE/xrbysXB OsHxm/PTXLq1Oruj9e0Flq22LadcL1O/ORC4JSBOiiuM9gr4TqV/gDQtqKIIrYkM54hiOOsqqolt 2A0D6WDStP4Izq+kNwgo0WAOXA+JYOGHTHHRtkjSjMynoG6G7DtSNojNuPwXQEGyf2gtzfNWlyT2 XjOd44j92KD+xypnrDyiuuF5/HDY/EZqe/gEX7eB0n+d8POCNdlF0wY1m7sTcWRKbBWi+C4uj5nC B0jFvqs+NEuIq0GN2tFo+Ec5OXuEP6EMYQGl1ODp7STVcqPqpma0n1YREle+zk8moNQW89cr0XwL fAAaYcVNtR8pt2SOPnBu1ud25wfn1rf7gqp26RjKDz3HUT95C5RC584NThsfxQKdq5N1R+TRBfbA LnMrcp9/gs2ZbcUq1Csl0IU5KbrWEzyG2Jkhrv1u0ZtToppSSSL0i8x5a5XjjkFQH6v5b2FdX7IJ vboF3oVcuM4hjOKvru6TxveJKkRXAKn3T85gpZ6tqn9lWwIB3/VBbRBTulbAycK2aXgR41wbOmXg QNMq39AVJRBU+wOnxXZr7+37NKgMNnKWvvc09ArGGJLv+Z8L4TP45esWft8psoczuZxBQjOBePHz HnfM9/zkXAix763TqxsfjxCJJO/wC44vt7vQdUsZyVRYVoOgvnWad7bYV0tAORl3NjzUYDu/7Obu WqBkovNas0ezzlTAzKuzlo5uxBonTn05kRcFsil3TfNLmAyO1pvn7UxNpbytHH9aAgi8kx5iyHjI icVFxq6wt3jZ68/S36AKm2Naq1kZva+2TmU9hiSPQVVKpE2EnC2j51C+g2m9/apvF9lg1PQG/Omn 0IjWfrKAvR5i1MY4FRtksBpFRmm6vTYYcgXQohY4UYylbtw4v0ehzx2zWObmMXQkKwMRPi4tmoh+ q3pjDIws47VK8TWzDXuBY0GVQ0cty4xAUtzKd+NMYMqlzZxnAFEpu6jhaQq6w1LzfqWZnbl+1Ext 1NJJzOnKdPXbHlTD1DVoKPiK/9lF6jsvBmrphNXTr5s5JCLeqR0pENXQjtbZJZg8NpqEJzpiEEPC MgiDFdPFY617TuVFl7NyyUehhnBo58hv4nU58I05y3YgWZ9eB7j2Pa2tlFhqo2b0bV3Wu38WzmBg wJMu3+aKl2WkH9KRNkmt3gjDQSDG05gGaXl/6YKShvF8dfZ1sKiXXldXHqPH3Mk9TovBoyQdLGFK HW4NxnjJDBIar/Qq9w8/gIlFAfiM1EG3D7XH7YhK+8OVXS0edGuuj2qsHaOAdp4HX4qWum5KqRvF vYpmT1YLBHzUJ+tE/5oZUkk9cVP1/KO44APxW6dKTmbGj5HlMhR/DdeK+cRGlMAjFo9BcDdL2k+j OaREG08x4yWQ/7Z94F/jlNUQeZiO+ccDNPVjmqNk+k3t5xtANrk8PesyWIs6/kziY/mEC9ozlx4a J23X7ajw1HBSchZGBr0STwWoLZSz8yY3wfaqmO6j3ofzFeT778MV5efqiZKdOJqNjvQjOqEBpS1O gRPjdQN14G+vee50c7cOmWF8rpUErCEJbtRotTUsZ1zewOs6b1ALGrpT8dZ7zi/2SWKsmn+AvAKN 4PzVI+0fJEqfYc9vuaTwPNb6o6rFvfbpGw5MOBCYUZ9XqywtiyzSlRNbECgj59v3X8GN2OOZmElV V87n29XnqTND0Rb3EprztQeoMLMHFSKVPMkPzbdyOPMsDU2rr+tkD/sHPQpIDze3cIoQiT9PRrQF 35sD0nRiWngPOc4VxIJE9h2ITen07Rn1PQy31vcOVn0HCYBHpZa2fiJ4lU1YRVzyVZfuGDldE0tA skEDnkOA3QtsbofmeNZIG8H5jD4vdXW+tk1Gb7facgTCLt2aL5K/zXEQ348EZTSFTgIxNeSi0JJS 6jGYW4/Ye3FLPeUuy+ZrFF8+ZAy8lp5WaiFO6xYQrRrR2K1Qu6faPBUD+RQSF9rtKHwSwLZyFOYW A+VYQUUyNadoSx8tx9Ll4d9XBdOoDxHEgHd4nQ+N/GnZpOlxmoTK2U4z2TRFQdUJpFLAje2x7Zhy /tyEc0VZ721GQ7VdIjevzlyYrZla2Mol3eELzY1wJrFGa/22gh5HN6pPvoMAUg/qLk46b5E6CrIE VLetU/uLZZf1MMviF+iyKXWtJol1MUbHOVUGFrUb64eYirmuP5WylzxyRZs9lL7y1Uj9xeBJW13F CnSMn9Z9szQVCtYNzdvgJN0h9C2zF60bGErQO9IVjG6ZajTfZttjNP3gB/dUunKBtjPwd6CSQDF5 9MRc9x2CAQkWj9oiFFZLLykegY2erht7tHrduDP6OUvsxynJNUOlhJb74k4Zha5aTl7appcs527a IpIy+01oKZ7bpLDDvRDpT8aooRVVg/63KQWJpg/ZjfE+i2dpeaOlVHUcXr3WFkQuT62enDNFs6kr m7TpDBlr4U3x0IRGnE2zburjcZJ5w/MLyt9d+Pc= `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/logic_sshft.vhd
19
29996
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ccZ+VLNSpHtEulGuEKVDJLwcsmbh6zDXYYsSS4iGpirAhbXM3BP50jl4c3979n2YR8HDHLXE3QbX SjQosk5Agw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b11dY0owYoWaWqrEwg1RlK8C89M14CAO8cS5xZSZiTQ60prhJpRDDBFmDC0asd3vpmdy6xip59nG z+R5fGAzPFXPwL2mdZ9u5u2h5M7NuqWsd4/PSQwIb2Zc37lWRpOZZLKl9FzYzSgF2YNv5/jfYnLz E/n1SJLECqBWTvKh2d4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NDjOIJz/ezAa2sanfwA4cBF4MUjfAWwRdI3fhKW6WomA0dTdlLUaUk3d7HHvjRwYAFZbgsshlvRP BFUgnI13aIFlirt9v75NS6zbC9iHo4+u43o4DjI7erTR/V7n1KuL02bh7njjYqFW2TM9DCTV7yyk HpE/bHTEqhTIUHhN3s21EIF7fvF256QO+AgjOS/tV7UeysPdiXp6gUoJ4fZfor+WTfQVkJeKE9LJ 0zpHP6pDYIRgknpLIxX5LP5O6x+a+epaip1DIHLGwD6CJeBzPxV1RVmuuHt0FXHAwR75O/YbsdQ3 OLvEz7nBONr7GpqlRI7TlZBuj6FdMW9zmU7ONA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 23D8eH9xZzeJ+Ojv/tdSxXVchNNJmk24MJAcRI99YbyO8+bv8JOBxvZhz4Qlt9qTY0ExdOGGGFmU aQ35HO0+71woQEgUY5FOSxt7Z+X3DhAwHoCaoUzrhIzpo/Vibci8Aq5CktZeDbbFyKqw4AG3L+HI gLdEde8Lyo1jpmEidTc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MgM0EI48WvFKRy0diETe4cjudrS6vIt7158toM9vdseTaMD0TZIog1UmAGNvdE72kJ9RDo475e8B 1F5FJia14jZNw9OSBZ6rrUB6Tjk4EmqoYQgrN7x0TfSl9ybfwnnJEUbiXZrL/obnsUVUxuBuPHw9 KwRIU7YdWp4ONQdRCD9vZVkexu3R144yonCk7ZQbQol5voGa98xXkFS5wJ9AioaVUGfDCcHlVgYv dd/x2xkSx6aLm3qbkMFW3ZMl2N86VVdkP+mRZE7JGaPyJ93l/kjtm21dSkDxSaPAALmdawaPAmzL 9Uhkk9hs8yLNZbslAd/6iUfM8JK7nIIDO1E/WQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20464) `protect data_block TW/IethxANd1x1LxUanENC85J4mbEEcuRl2PechJXu3cPAkaoTjPT4jQVua1Vov3QlcPyi4f0mHs vhvw3qEl3smG2Y/0W+5GsAWuExcVnj1C6QDwZdDGwt14TaQd+Vj6fiqaVdQJPjTQrnkZFgFe9oFb XOHKb0AzCbGEVm5a5Tn6x8ZnpF6o/7rco3PavbsmINOrh6TPYVEfRg3j3zahCBmFZWlCl1mxa+Mh q39JB6o1mFvWMOOrKrzpe2ea+GpfmFsB9jsMWbiZT0cqg3Xpe7xsQGE/xFcRYmg/SZQ0Tmd7knRu xeRvkGqhdsQ/MJmFrEoXm9I5yb9eUtFTsSj2PIRGYFDpbsSBBCTW0DXMcZ51AodoQX54N6etS9eH jZABDJzFoa8Y0IJSzCN6c8sH+D1gc38f5duucm79U9DTCCGyfa8RvBbVvwB6Xo3mLBk1J1jnevJa teNJ7WCVNombKGfIKJDEyFW+l5tvHzlv4/tn+he3S/v9hJnD0DHZd0AyXVP9DefUYEZZBVuI5DQ9 P/TaCjds9E1L9jjaifoVawZ9Vy/0P02b4myTVq26zKw5/9HVJJgHkiIccW3ukvlWK/ZFySGH0mZ4 aylmLRt4QjOnqtfJg0JaNFrYNFdK6QeKCpR0+q2im3tVHqiNVVenF64M8NiKLNmqHVK4ZJCra7ZY gaVXyYyRNeHbMwJCEm+dOOZrCepZoP44Ed2zEGy2eFmZGDz7pjYkQWOHL4cWYLzCxUFjni6qHLMQ RlMWOdUzPwpHAJ9WfVLj0lFLvFWWNWBDo2014DzOlKfMlgq+J9TBuWWVE3Tzo+NXG+oFw2n1uxkD 6+8aWR4BNaVDvuYm7xzvLi2CuDN1taddnJ5Th2IRvovIkPGUGgWcUm5N57MzOXCDFqZ265z69hfQ AzS27dvaduGyVvaQ+DjvCkcKsx9iAHglQ9Vx0XyKcSytInPobd8gGpsWGrUVRF820aVVLWs99Jo9 CJl2OuNcMA4AWYoi3ji/wnB3KtA5upFR5lUOYpzpCdAW2b2KW8DsjFQgj+wFdotiz3hClatySpB7 Ka1yrNpmjSTsbw4pe5UvTTvf7nbYJ+KtatwLJ3lDJw5uhQA0zUQr8ImyNR5Looar7DraFkmCm6Pi yRLxc0FYYPMYvxRH6GlxCHOHvG6ZH8y4NkHDYhjAe09roBMvODBubT9T/Jiil4aWEaQxnnzgDM2F BRyG0lcSWkgVM4tidyusDMDa8CdFg7rjBm4hBfs6ymDq79cKOiLQNJ2+pv2Lj9qOSkxg5HZaBOrl yERM+sfBLzq8WhXW+LLtfvVNUaDBkRWs4HC25UOiUNrJpMvKgfwGbOnMeWKMZlFG4kCPFPp4RTUa YC47ndKGfol5eVxIWUyakEDif2MQzT8wM/E4dCKqvMry7ICLOqwqeODHkqHIFN1sUunKREVhjt2h +QhMwaQIi30Iaq6FlCI7s8+fJ+eUKf01vCIPCaV60nuX1fYhfvvVzE6UhZZ8cBaehAA9rUR38tQf EPQVMQmA9LjwCUspKXyuKAGIQBde0PIosUXD5iryLNk1aKGo8CQTVYlt+vNfX5LK89GbLyRlZWtv 0dOHQEk+9Zni8aoY+uYq0wlphwVKOs/xBs+UniHgtVFx+RC2xfQeXiwlTLC32FOTAtyLpy9UPAfx Gt1dqi59Q3J/9elngBfLWoWUEJsLhPP6jLN5H6VCyCTDQs6G9tsONI7FZfcEbeKtL22VNK6EvcgJ hcA26ubc/s0ySqP9LBoZOtp1h0V0c3huvlZQJ14sKR1lRXuVXlVhaXVyo8CifebFCgsEzsj6JexI E3KeT2EsG+JyOOVfopBEy3B4m8RP6VKe/jDXq87SAH+ITevtorky19egCuCcbHaNWpRCvApah/rz EoMSXuuxERatL1B0Y6WlYDPQzbaOWlPdcOV2UhZjFrAFjkQtR07CkEGX3wf4FO7ulrFTzuP70LlT umQ6gAt/La8959cFbJu7VbxSJJK1GugrMwdn44qojABmjwuFMmPBWVkDiRyHxWVkRbi8cl13ZUd9 FfaWgskCLNokIFpmmQLdYR7RMWQnup5uFxjtoQ+I11Yd37aorKzak2kthy+zE+y0ubc4PPzg8GAm oKT25WwZUWjp+cthrelbKfNJBQdwE0ub7nmMPqsNbMSQYEeNZYhgGJCFRK/dqhtP+K1JwG7xpDGV 6k04y0MS4LyC2TxzmE/MNg2LRHXvgcHsSquaGMYO15hUyhGG+HykTmDwilXmQon8Mjzw8dAUF41+ 2VRye1lfaKw+ti0pDpkXE5rXEZ3UTVQ6WUKcqwmklqoPBlFF0nV38sT5DG9a/Xf36ZLNhIGOCQC0 lwK+szvZPPk87Yl7NPg73Z+OHvf014JZ2gbSo2gRM1etbM9u3qCDjZjT0291A4g4DcmNL5C0Rma3 X3gzFGnS1N9H2DE4XZQEvTdhbzQlG1tubS1IyCMPoJ/09AC1UCK4LbAZgZyEu3w6mOVY6RyzikgD hKv6vefi89iRj12GxPMBOjx0hwPM/u2tMHEOWGj0fiOthUIL3e7loIiqSHFdOxgRH32jJsUSaM3T kG/+JkjbocDRZTTbuTWWnVl+oeSNihs07U1unbUDnemRZl2TpVwM0dmeGVJrvR49Y8OqJ9HZBCcs 69T05283D4CTdI/DRm884PbICpMrituZ78uy/oVJpDf6Mx0o9Y+3vS89RRdMlA8H4o5A70jPrwP4 rFtXsc0CvBOQSABU0jRsyHJO43yi2Yc35Sf05iTeDeog2lu6tx6pRlWrkVRClHGwCFOHR9iqNtoz zQIeCvH8U/Q7duL0o5HWAisUdenOLjC5efveF4xBjpV3t6Anab1MWcQQK7q1DF2P1ntECj5Vtcfz rNB9zKsPY5brHJOWQ4LFFrA8sAuPUDGSVnX6eR3vH4LwUCzZLdmUuKu6ucaQ5ihA/2TZ/yBvDWaL aU6zxRfwBmTEtZ897RlFT0pPYnDs4omfpMgC80RiXxJ0TKONAokQyPMfSp3GOEyaoeOC9mBvxZyW msytibpjdpyhuMho/RMk/b81HegQU1qb1gKtV2EcbwNZbMAtorxtoStynoZxde1SUpyNvQQETMGh CaF8SM0ijlLiL18AZVJTGTDcRdVU3ax59nf18z5BMdko+zHY68zk54J7YNFPMJRrE4reoYaAPpxY 2+9sHDaJbDiUmZQEn4/Mbbx/8/vzRggNNTlrZGEqlCXgxF2bfemtCzfNtQ5uMbhkvDGlkyrnV1Zk sfY2voF3eUuHBAy/8HbhZkl6Urm8UFMajsPnDXNos8fnl4az3BEsRRiWeJtF3c2L45USYB8ZsMVC Xsp1t1yrcoZG3YrB9fMomk7vqWEPUOSWTtdiYVYuRo9i6hHIRIZKLn9yAPO9Xx9jCk5knv1zKRSt M49AisWcEhCtODimBYRJSgEyxduBvhpOSxbeak/5gFddp8eGZDUy0cf8Y5xkEMfmgvCVNAvDq7iT GhorvOM2MWtuVOaMedpLynDjLWobskxbLH7g4Oss8TfqWXFH1ptvYQ+xUWIZNqn0HEsQzNs1FuYQ BcVpyvSXJFAR6BX3581ijGerwVZ4F1jX8a9ed/RYgPSVLmaXCv3TidtiYpuH1I5608wQO4ct+kMk nQt4yxXvDYEm0Twpbj/Mv60d7NitNn04khLpL/tMedTTJq+2DdyTG+TFTobk2PqLaIKz3TXUdF44 YfIhBSMJGXCHR3AcA5zs8Qvr29BIdbe9kUHteAq8OB627n7G7VdKh+6XTL36tGSyqvmhVGXBKg2Y SAsc6q6T8SQV8dD/aLwIkGcz4F/sGFfroT03bHYrDEKayBPAm4c1gXb3YKCcFoT1DDiVaqWR3Bjk djkide4b6BHr8C2c2PwPeMbrfbVKE0nch2+ARj1wIuVgPFTwZBc20s9zp9B6Xf6KGUNExg0xq4i5 6z1UTP4Jj+YiOd+CbVrSHlS2/Ng8mxeF95Re2LNLdJEIc37KwOm4dLPNXoQDqKf5tEDlX6A4rHHH odHVlEGJ0aWRG3Qk/UmYgJUp8AKBQKMUN8wh3FL1sZPHe+RCDQ9xQRgy53QCjwMgQ0S3Sz574BQ1 n0Dv/NpJpAmXmm/BNoRaCdXL8viq6Oa561ANRfXmA0HCeyF6Lh+hD+v0GZ/UtvHiR+CnJluUCDdm mQEqbbH7AkytOs2MnYdt07RG31Xc0P3viczq88I8rsbGMzdFOTPVrguhDPQl6GuCFKol8fG9LMko pDusLTXaxmv2ustBcWO40T0I0+Do5m5IY1fjqaRElFu04p+ORRZs3/JHtcbuUcjMprgsb+vd4luN d/44FZD63qZLpHTgcF1jzEZeAC8H8d2AJ/h9uOD/C7I1pr7wiO/115p9vPclU7SXbqgXrIR/VYRJ O078G6RnFBzjeDAPpEryrFSoFa8X4vE6Loh2mZWzBWla+hv/DgTWgRgRKF3O/3F/wTf4Y35I/vXm QFo0zdDhbHTTETx03tNUU7mkGjyrWdEOPP9wSYq9vuROQiy/eBQ/lXdZS9KKDMwFa1x8pNCgGWy6 wVAF3IIUZ4q0pTpwsJ0CUpIH8HFERzi298f+b63kMESuE1pcKc6vXVYUwyPswO0a02f84hEizNyZ QycVyi7bGwUscXUZD4OJdxNLOE3aWAxjyXlcM4bCMnqSFNzb/HYLMUhAT4gjhWSxDSswn8+Khc8M utWlJW6fOsn2g8YmxHAkOWoXr1R341a3Ki0NANunAlc56LIk3OOgrdAiATsKfWPFsmYNLU+YutpZ Q2f8jXBY35a40r673uON0ld9BXl+sD5X00JaAGTFpAcuoLDikCLxR2+ChOKjmNZnj/GMnUEI4v71 EH8lPUBsbGahWVP4gzPjsmVzlwm3u2O/irQkQff1QJky1VO371VUwSWfXx28y8VhSEARd4otjgip cJM8Pw+85yWeaLV0l3nGnv/Qk9HLraSvcC2yo4g6ynqRGQz0uF4rGtlrbMXS2EHpb6GTF2guODUb hJ7TRl1tPxcpyRhMidYBqebKUtDU4QO9ZH6DGjF0sm2we0g5dQxLPrA4x7W734DjfETe7jCjwvK2 sueBxJezFmUEIiJHAOF/v9KFjofadvR7wvBoMVsV0KMpzmQM7qBCiw9V27U91wmITGj2/QhPt/6G 5V17Tw1j+G7cLexop+DYX0zkVa++ME9Nc1E6wQppb1E11sodULU4Hu6aGBVmJlAv/kocPsRik9Tg dgasltebyjOWMDFyUvHOxfZgpwSC2+4KfhVF1gIE7OjJl/w4HUBX4eraZgjjcc8Dte6tp4JhqWXU eNX85690jY+25e2b9LhAzBgrLYVGFrgAel1kFBZ1XHMtEXOTEMDEue7aO60u0hXxD7SlE8Tx/89H qWa1Wh7hQjCkJOygksZMfCr57xVyc/zv2AFy80rL5IJgQZtkjvVVb/FwwheE+Emk4Ahk7Jp7jRgQ Rtgu8GxvNiAiwfSXmuSzxQgqhJqGRV/vvpGZKKKMRZZGRcjllmcIBrQJiG7bfvNNJfQ9Ynk6pyu1 99+Bw2EgZE0Aysjz1dJ+kAkyLP1t4tOLjJ0twBGvEuY3vNLh1joGZblr1iTeh0U+5ZwHnDEGKrb+ zK55k38WuqCNVjNWXnI9rk5rA25yg2LLwPoql4Jjwcbplshj2+SvegBaa2NaVDDpIVE+pTFTB/TS BME1EA+8zEw3gKyODulB6ygcTPBfjXhDfSe86HsGIAmvslZ86kzmSX5+sHPrc+OrqAeDGiU52Bo3 XhdzhV+FQk6G0TTbUYS3OUlAuy0mtLZuGrYkkDmg22rOJi2RS+Iw/W8mqtlNsNbKZ/bhECyeMxit YfpuNIsU5akf2nzr6QGs+zeFaeJY572hIkQ7f6OTuo5X474KXVN1wotNjUsuGdIbohKfH9Y+8lQD XqwP8ZEcYhZY0nnTGziwDymkaI7uk9KDk+6TG8LTBInDkP3eaVr2aFGsHTMCoywoy6vumeec57Ku jMt9K1akTfLAo3vh37W2wtqVpBhSNeyylGW79acMFdixV8Em3YbUkIzqGdIXD4DVHL/bvU10XCFD cDkL94su+EslsFPdv0YSIfAkD2OicZMDdrQq+pw4JR5Qim5meAM/U8bBOWv+6pLFAq0XLMkV3zDV yKuHfCn5dkw7wZK6aoiq3qlokQMUYw0CfUeDWT/Ykp4iZew+5GIgdf9c2Q7GEhhKmT9PTLmG7E1P +JjFj2pCYT5aPcPf9wbmJTqp1DrCa6mCLJHGTXsy+e482Owk5KFzb5UB3gbr/Io6GtjoI6gKdpgj owuvmYDN19AB/g6mXVf8VU/rWd2vECd46DeEwmaJUfc78ihGk3q9P96tw4m8RACa5uYUREhjQ50y SXFnjDQM3C5dcHtBz7/kZ8YfelwksfF1C3vcffLks0yPPhrTvapNGtWAu4lNW2fEQ2QfFuhRt4oG LS7y0092OSveqwF8uH6J4yDde+AqDZ0jvP1bM61+WNTuRsTHvjM3NauKKmrbBQAIXrbwEjSecyxM g9lVGe6nF5l6EGaIaH7CRdnPbHU7QMOswSrLn6PlrHZ2qUsgJXA1h3bncSfX4docReYF4+YJD+Qn SajmQ5b3aY5aLraZLUHYcSlIQh1T6PoiVovOfIcRfsGNQ72QveB8UlEghWJFF2kh6XbIDuQYKFPG eEZkSzlK+P8t8H3cyYC6r2Z/hXLkqwnNx9qQDNHGSm1NTVdKEvAc0ahpcffgCFbr+wal0hFSHlxf 8OunJLV/4wjruZwdEwD4ki/tEAcIo/AZEhA2uLSa9lb7wCBEYUt56tQOSsrJn57tenkcxLqmqIjx aoD9O/jplsCr4JsXJDPqeZnjixXgsKg7vEVOOrwKFYCZZ+Hf0CUXPHNeUUiCzvQcZ1xGtWAjCm5Q yvnHWRMg6iqrLFQoJ5iZMA9+RMC4MO3SuQ4dJ8ZDsxAsBnMsvTrGn8VDJSuuVVlynC0FdhGMMnvR FXPAy/NJtTsXXj4sIJj4uXlHKyqGd72kKeJCwVKepSd5RHXMJFuXN1tnFmj+byWQellhq0/GF4q/ vQmOd3NG8wZmsFK9uKoIvkXeBB+ibRCeZ3OTglXjU8uolEx6dsXtaGf5yvODuxI63zZJmkNK2V2q DyNJuyVTAHMQF0IIf3m8CaT8slQRg+oAJGgQA6mAsFmON/fLar5IP54NBxzP96uH+F17Y5DaRvDK hfelzO/oQa+A6RsFYj6ozDIhzgrcKkmxUgo0TekPgeqLMZSGHNK7romJcvhxcCTWUzKSA6Xh+gkb lJXguaKwNrgUZVUDNFHgHjTUzPWZml1Tx9DueTvPf4plz75Ymavrj2JTpgnC2azBV1IKG6inebCy CYfqy/8SA0D5lHKQTBotNIRu/SQCKDkGwaAAGos0OyWBXHzMvockUpR/4wfPZi3YqeHoIrm7or4e HXVGysuQkUj3VXBHwROROOytTz9qAxQUr420cX6dxJURwTAN7dTSYZnYgykVvaLwfcgxwA1IwRpP vYkl3GsEqRsaWK+MS67wm7Q/3RsHlcvQWOOJk8WkFJiI01QkEZ/a/jkapeq4gVq4upYUN01BXJx9 +vTpGhpAEQl/+RiDyac69XfbTRLTOT5VxbOO4/5jomZhgqTnnFwbNnWWOtd0I0lgkWSjNUrOKHnZ WNT8rvMBcXMN4v3T8H56XBpCwsmigQDAiM2p1M5XKAQ8O3XotB0TzMcjqnDADLQIzJj+EtEeHT6K vBD/0VaEuWmXYLmOJT+JJ4MU0HCHbEaDRW/c1nXqr6GJkU4Kkiu5k7Un9h2idVzd60fRG3KzFYpP LCSVi4kKznrj+OwyEdsjr02WSNkRrEcbwTlCgRinUcT8PSrsToSKcCNGfW62idZGq/3WxagOECM4 YjppDMIWLy9XkQsNd7W+CORz9BxHjzHzHPEEGL0pjw6s0MNueeQNDOviezLj3KE+tzW5mI1cSMM6 hZR1ADRuW9U+GzZ7bLXMVDd6+nRPxsn+LjxSr7sdTp//+XpAIm5kIna5gsrZUtyytLSPr4q52713 A2s3uQR0yAH9Lf+RAwCbbcJuNhw304wu4VPWpxOP54QNrFHgBH65NWH/1jo0mdPV/Ga+V6+b7Rqu s2tGPfWbpa278RAS0tXmnU6jRCqA9eVj1nfuco5PXg3hjPKoo/0J9kMLnNkA0HKFtxutYvOIsCeM LD913yyzk0AZcm2mfOwmmlW0LH9cdD/0aS6TFZGFRAYnlrsgunJ8wZEKfhoLJhUckkwSqVWwEDMx OLzPljrZx9YGgcEAtPpKAjqqNUiyp8Y43lPc11TpF9ALGCcDNCjYz5OaQdTspAIYmhOsO3Vl9ZmJ iMdILa3u4KacyPtXiVYY7wYqrpedLJVjdB3WFebon7nojqlPl0UWwWgZK+iBsoZNYtAo8H6Q5gvz IcQsLpqdQSBoTBolVjqYd3Et0pEnPluWdc20hkn2dyTTfe22McOcseIsdkh9mVpG1Jxq4CP9d7/M l96QdbfMQhaYfUmY65JHiakWLyNW5SdoYlwoygWlmC0cl0gdDePEXywE/VVwdztMoazlVs7MSdgb 72WBBUo+tOMuFbnRVEzjjfCfx7ZBV2eSzpTKvnKJL1V6lY3cMzRcrJ13r/kOrmxvdsRauwDGNzOo z3QWxF6bInTv746LR/pcNWwx0p+QmNydha48RuWoNdD0m1jdlq6YRA7tpxpDci+DyeEbjsLL3Z9X hsdO+c7u1MluEljhXx8TSYp4O9I2EcakwCl+z73CCEAOY4fo1m6G6vAs8JfOQ6QZOy8fVnQ2/WmG q9ke387jXQRFZrvr/BYpufAMYvZXeCLocnwBrElLey+04SF01bAXdyjKvpPWc8pl4X7cj7Pgrn6k c8+M8fIYLeBKjP6wlGH7eW5IkYgm8WfEPLeWHpcecw2ZRt7i1WZAJklPcmba/diFijDPinKYXojE seBaU4H7gk2sY4RPzxQdlqwgxu7XAmv0bCC1ZZtdKQzjiAhyRxtxEy1azTJGe21U/y6iPeC6OeOm N21Sa9PCSaxb/uZ5YhIFwC6Hx9/JqwD+QF9OzyZSWqE0NUlz+cCDXjni9yZwV6l2Bx1WXiuZsmbZ D/NAE/ttvKGyK5DbrPEOW93wvqF3eh8iUOUMsCMVhda06YyOmhrXv5tHIxvQnoZPiuLT9fd0huA9 pKY4MoEkcEnQS9cjm9/ZIkwIs4qmiYcQ6GPmfEYm+jjlZUQZq/oyiKGy75h3TfLfqDxP9t6wOyOI DbOD5XuR/tQiPcdC2a4lEuSt59+UiQ5Rsqg/w1AxRSmyLNQBPAFteW7nkPyxiPi1FIPMMgsXNr2y f6eHI1m2ZPie/LOAxIf/Mwe2dNV5jXo9zpR96v5YSFj7geYz6TOCuI0JLDx8QIR/uqGQwh+RJTlI cZUTMHj9U2Iv8cXZz/Gv63VvwEz4VmhxCaPMuup04WQZvWatXoGytJ3IgbxGbC1FbCS0IAFgBK+O KlMgJSt+vLk+wAfRQGRLxkklmlrRuY3H4pWnqxiqMquem5vRRfuVGQCrrPnie8XEpuwOBo+jd9H2 LHx/ksByCUTHFyISSyIsue3Uf2Y1KSDY76ctQbhtbT06F9Sj618WikDswI4iVOY0jemK9YD87aJL 9VRuPG7QeAXur0yuVroejGZCBfVCBGlKTf1u0jdqmduA48DMTXsVchj3nw1fC213iATIZkCoV82m om0QqIBPk56Ww9i5LfxpYrL2JUVLqpVXM5zWYACVAUg/h923Boo+28yQqZT4UMilkL6uNkQE3mRN vF5dfktVDXVKNwtczZnDQwIb9MEL9lEjdxfokPAhECPhtpkcUJRy8/uc0qdNNEWzfaTLuOdHg17H spMtGZ60QjN2dLQCFai5y1IiBr61w2OrhPOCbngSHE7f+obYdo2AMhakGjIXIE7WFKrAl2u3YULR Gm01S7qmGyCUHvMbK2QbqYW2/7VGAPK8GOggJYe0IkAEPFSdOe/R3p60/tgWErGxbn6sFPPJ/9H5 8C6YhLvsSHm15JV5d3ZPHPpgTjAwU396LtN9BL2gHfkHgNLe7E3DGqKI4uEwpJNGkuGmTknibLOf WoXpIZvetW6w+3sARDshScuS3SifWacLRyaQF8w23xG/yXwBiwv1TuhavVb7dVN99dbCTpTyPAHp 3lFpZQ67GDyCwAqEC9xpUyKdcoVk2EN86dssCTHHBFZmPVZoJSrPdxm1kZecKR4pqYFhRTYtIZzn 5qBX40mbL8SaZl8r26rfpuGy543ffkMp5GuE9ilIoCe0pC6DUefhxFqII6ISJRQRuGbz8UFB9Laa ZncQ4SyQbQlrEu5R7SD9Ve6PjZjaP9Dsw/5FKVNBBJNl3s99hNnB+Uc0MfKkWD7SDiauH7VsKujR 4+6ObaW0G7/Qk1UgfOZjZtj3KrtShbiZMHxekoBF1k7PI/ZYLyR8u/Nzp0mTz4jHWh9g0CaUrmSq 6Ag+dWoFI79TxxYhZLoLK1KBjUnHpDTsL9cmEwpOzMy0v0d1t/MxzrVWn5vEKgbhb4IIZMFbSjCa 1B8ri0lo+MKip4LznRiHUdDi0peKlMV0HSogVVOtuf1XvnC3Bh76sNK0x/jTn2Xa3/uzHqG4HKOw qdt7jlnIM5o1PX3Xz2YXe8mSTOKvOOVp6/LK+FVT352m+IH4fdhZnZ52Kh/vbVDbwNfgbNMFpKlB Z8ZMlN96bOCYNOW295djQHWmdQMIYni41cnydcxeL+q/wTdRFPB/p8dghG6Lns5BUNUgVHoA57ju 4uPRZl0h+fFYFT/eQAepOqlHbg1vPJDegUMy8ebqbvmuy/1caTNES1IzL9UgkHdxcEPIvMQFtcH2 nK+5VBI6+q2k3ao/0kmBlWPkQiGnYi72jx4At5/SCVfCB9r8g7q7F4F/HPWwE/VoygV61Fdzwrgx JiLj3PT3tJxN6TrWwN4olfkc543dF/8RzY6PVH2sSilgZSMSDybYS1cc1CHfuXr2zeRWu76/ta7g fZrYRimxB+Xt6esoToa0Zkaq4RwWJN96uypj1buWmyh5w21EpbsjJ+nIdV8hU9HTPb6Cnux/OpL6 AS9/YlpcHNxvrmAc7qXRdaB65nkAVTmp1qHFlm+tXsrPDdwQmD9FllexfSqCi/OX7vQTCoM3JQ6T PNkVYQPRgI0oeff14spajHGULLkQ2KI/hWn6XCd5o4+51/d5i+4ySJs5DkQqhkUFMXHJ0vu2n8Me 4nW14qcIT7tA1sP85VqZKqL0XPNtzeflHHbSFyxllYmppOrhfjYgfH4uVm2vi46/cJ0AYs8JIw1k 1W3tN8mqu/VYbp2QtaPNMSEhzA0qLM7398HHpt6JzFej4vE+HvEvf0wAmNC+yUkOrQ8ZhgvYgp/1 ae6v55URUFoGjibhZPViuZhGxFxrJP0YHQ/Uz5CfiaiBChHbx7BGYHtXp+GF4e6CRazDp5uQfWIT Fk/gkNYWJGyC5IL+1jPJD1BlCR17zUviUgsSmhqQ47VSNVmgT/fnqF7x76y8sQ19X7uVWTBLiVrO NI4dDzY/ZImgiiS+JtNd1AX2DrnrpRE68Jlo/PH2h1R5Okf/AMk6wbX/YUiLKRsA9U8/cfFbRuTj DWdczAW/KYiSgsyqaOvDD0Q2qf9FO5ZDALjixkhyuobisxVn7uwUB9y6e7jI0VaV22FJuavjl2tc fG1TCQEzA0v4RRB+l5i2pf2HfBH3lzoBSg4pSCDIT91hUNmiCsslsceHsmAUoY5yGnrczLWcItVR 5K4sno1YTvhbpEgK79pDjC0fKNFbx/bthgZLT2GRbSkYJ6PO85Qco9liEYibskuHr3QoLeSj1GoP 9vg4OYp0hawjVquJhr0Dbvl1oYdSTyZxYbGCgjErZuyWB+qaY29aS3LaA0qDXgQkHjRly544A5FX 0JoWB81OP5kFHPsuDsKSOgK+orjJ4BVQ/2ckjb9LI4JMvC8PkxsaVDNTQkrxAuJ84OfcemNUtxwl 95DadkvFNSz/lYGxTyYE/s6q/WO0yCWte1Az9YQz0HL4xWp38PivhHTSDYByhO9DgDlmEuQTYdI2 nlGByih36/X8roC5SxHaz4s0P9RyPk1EaSf7hx2t1bFUZd2d+G7nsnkmxjZSxMwAXvNviYGCVfYl rJK3mnIWvS18PsnV5iqGtyPoAFh0i4TH0YRpfw5lkFuN2Iozu2PJNSUZzk5hfvrOWLrc9Lxe/wan 8WCPBvTsPgx7UoeGeHE1Ww8OeG6bFovM0SQaUbK8kK2jfC6CB0Q/oZEaW6ibhuUqQgYpFinzgZdH icScq447VAcOzLh5fk9YuqIODwHm7znNSwPZ5rfU0JLcUoMbEx/XcX6cif4QE26qLwT+4z70dgil d3mKxdzDk6STzxxJyY0g69S4ZCYdmamgA4GjtViFY+dt9ZVF2TYcaXlnVUWvpTinra/bvVGvB08G T68mrmOxjWCi6r9DscT64UvCjm2SxR2OQ2eMeqvirg12om32++4mKtkF7m9vOgxVIMWM5M1kfmZV 327GRhZM6wNXWyhD/Uw7TeAhWaE4BiQhsOw3rEUKmNH2SZs0vhJDOLO6fiwpdWd9lwbLfc5SsZTf XizMdiyTd4bK01CXMeCJzcNO6r+pt9GvaDmyHfnQVtFMGhRlQ6Gu0ZGXyF5PLVQkjJ90BvIT/EbV 6lYtkiLHPv/UbvoA1kCD45RhQr2a7bfubKjbtNzfZE98jGLc5ntlW9xQvtSsfGrKFyq5X8R54lQI JD/9BUp34MgBeUi57Mt7PXGfJycD3mq6tUXgEh0xL35GIR00EaUTRtS87SG0VIoDf3xr7w0H2kAV UmuE6l+WpgnnOHFg31gO7FIIg292SL5Ed62VOEDl93WeZfM/nyutGtzQC0U9RD8AeWa8GAOp8h/E vgBw0WQqqOmwC51fvWalDKLqwyQLzJYH2S7YmjzliHUmb/Yzw3HFkBeQlDSEkLubEzahP4KAXJRJ +E5rNF91KwBYP/6OCR3bfqQ8DClRSUrsOcJChPhh39uwcaImQIMYONIhz4ywvwd+VdDGs7/dlHKx LRYLkZ2JSM8RNmj5rCdQ+RfyyrgFALWO3VSfJawYNHgdEfBtFLofjpewP+tScBHPtfCBS7ICGfho +zsYDbie/T7fuzcoW2/iFSpWvNPG9114jpHffCSF2UjZfMgvgsrqwKMTmoDGreALT086YE3KxPA2 nVDM07xS7rxLXCsQYVKXEgJ264m92344+mQ66/koGttZF2z6xE9iMaKS7BOhY3Iga7E0KkqUJmCy ZOvYnpjpSxC50iPGgp4+ZU/flxXcwD8Ix1NMbrkJ0S/etS6grI9BfnrfPoT1x5vFY8bWbZ5h8QIb lUqur47vUQf95bDipZpz6KYKr1UcCd4lsvFUHb8XHZmE267ldTXcEB2Er8p/LsUVw8Ru7+JK0zxf zr3MuO/caELqKUP6kWVee11xENQQu77V4aZGMMU0Dc20XrZRv87HPY6/ewVowj+kfhNC+3fPXMb/ pVQcnxpeioVGoKU7NJD/kEuUkV35fV00/vPEu8y0KwAamy9Q6Qlowcmcde7chQVGaZBY5HdIKLu1 4XzVQtzpwf/DSeeD/PnJhLX2PgztTJlcw34qOezZ7bFu0urlrWSDYmXE3y6RtxLHt6/peomSV/0n bfZZh0tjyRp3YQf6aCewsoZzXJrUP8mUTL1VNVMB1V23wkZHpR0Ak2KxClj5pAOABnWL6nyjkarH ArEn/r8JkR0B6Saqa5u4hH0lIP7Es/uQIBqCo89PLLwDU91uJEzMlr8YSMe1CpwhmwK7QMPewnVX D0Cliqe6BOaWxrkPZjHucMpjibzNK9g15pM28gDCdXZ+GIbVeZQb0+oztgTsIkBbG9C9qsCWI1oD ja6nODchVAftgM+66UeTtKh8RFybRmGYe4SCN+jfFLGZWeZQ+PnOQkzuddfw6yT9T6ipRLq2epqt Fnj/IW6iK9e4cRe3FfnZc19R85xR5czsflyPyIJKWXygKeF5zBZlAL3Od35E+v1S+1U+vsB5IvjJ +AWS/GQfbBJ8qTsaSPq5JiSCyl9tx/pv80CUq2T5Pvk6Zg4FPX88sNeXMGqMWTx8XB/zDiywVclr zoFrVM5SKqiXzAGvPrEtRVqYZIpQR93nJXZeOciIuWkHnwdVF6Rt6ZI1Vf3NFdgpA79LN3Juk3WB l5Z1gpQt/k1uqdUZunhgoLXjseBCNtZzZDGNPbMKrV05EPrkI2NljiMKrtL7fgFXc5sUUPFw8WBM 28uEsrLrBKeX30ikEHNaXq32txSROYE4r0uK241DaBH9jPuqY/mvjKpgRM0jZWkU1sqAEFdgGxkW 3FptjdZ/9u4GBh2VplixC/P+28lZrX0dyHNEWbcufNAPqP73yVdXeXm+TvjEatNIOzx2hM17XKS0 ouG1xlmn7QfecwCu3M1o8QC56m71EJtuILa3ZcifPtpvjnNVE2RPLYoF/ax2jCLJXdVRdSM71QqW BbW5QQ/6oIjkRNDOuZoPs/rl+62xfM6OVXc1Y0NzyitwOGpPha2aVeYMiWpegP+tXTFbojRFVFA3 hI2412Qp36KpecmYhDm5ZTTWVuDUgEI+un31jomBKPMJE/1Wwi9ySFOzLkbnlfMx45Y5eGeYzUrZ HtQb/FRCSMpPhQrCaZ/7kPJhKUWPIDgHT4sqmT8iS855GbKjolIjClYRkgwVEziTYg+9UqNNLLuA 6wdINp0UL2s5kuvw/SEn7pLMZX3iY6ZssjPzxlXreiuts/M3gmq/HIEpQP95xu7AeFEbfZnDxeMz 1ojGTsZbLN3ZtK3ZkDWOJ3ODcB7vmgaSb/8GsmM7Ssathv9Bk2ihJY6kV7MG70RQY8g2SycxQz5c pY3MAxRTnGvrCmNkD3hpFUwCmqWDYfMeTLez3ALuYdZjBbCPlCygcn82OurQa1PUxFPd1PptqBpE 36yxs60ArP4Wr0Kujs5VgI4y5Lq8dwYMNRkYtSaEeI9l3Fsj1fo/rMZZrsXHGq3pcTKfKsz3/xih Z5kNkS3sgK+bccD7VRHGkYMx+iFSZfHzxX09NbgiSNROoXshGljlLnLqBIn5FM14dAZGovR/J8Lq PGGRZLoTnqV+3q7HE8MUmBN7QI5A7uW4WmW6pNdv/noeDc32l7wNzm0NU5krzB13cO3HG5TOsfrF Dj7KDRHPExC2uPuYTTdwmm8XPtjNaRHrQmcuUZ0Fr2iSlVWaeG962jl+cszD3Gh6B8P6LjpmuRpX RMUuo5d8IT4qCPgfq+gc3sUbuMknY05bcZGxuKMUbqwZ/rfCk+0N7g/xYZ1HpY871MmLNxOUl2e8 b/ZBjL73f5IEVheb9N0Q1bqwUCF8tmYaxYhdPtWSOGxQ+XF0h7giMOLuefa+IUmnKK2wOjDy3Tjs LVlhBNAyJWXwjSCF0QAuNQ06KBTA2j7QvCxIS/wRbBf4/J+ttKLdrZs2bCCwnKyNV9bqtcUcgI5b gazXxZpGPRqdDjU4VEjXllgBex5Vv5W3RCwNfX40/i4MJRHCunXEA03/XNdeK97hWLoSCnib2/ja e7qfoMP9U2PaVuPeGArkZ15Np5FMlXlQBB6o45dgOl/vMmRFW6zyEds+dgcz1TsRi4W8ok/pBL/B 7NW4/1tpwO8Y4Ib0F8QUi0B4vWhLra5xEVzIsIcEvHaQIel7xddfZcxlBEpG50piNgl5s4mpHUXI H4PLEdI4Mw6WwVJmbBwx1678eboh+eTJXwR3Y5T6zfC5nXdAqPVqFiNXfs2E1EEKOd8EUNaEDyWS s2aUloM5dtIqv6rMoOQhfw0zdS2k9Qzp0a2MpF/scnpXZf5TfvpSwxhBXMCaa0eeupLraGWn1BXH JslbLRxO3InRkv0OWp2d8lWWhm0JlWY/f7kHwFSqsrcPGNoi/aqH3G43raAusLNhfQJ934qsTZ5q BOBEIUEdQdZl2ASN1RhaUbZSiApPySM9EBkDhXn87GUO3MvLsL+TL+cHGmy/T8Qf+BG8CAimUQzv JneuIjQXz0lSSBq7FaqhHd/xEXtslGe1zAtSie7TEGFaWIVkuNGZZnV3DcwN48n1y2DLgDE8GVsk eC1APKZgH+nRY+rzwem+Dv2Fce/z04BzE6gdOLZvXKNlCz0Zo20mO3GGm8kXyr5YTokYJBT2nmJS tgAVwQom8hqxgDJl4cEuwHHRxZ9aExgt6C02aez6xeJwhFgHQG7V05Nfa6p+pDTg10WtMDlzXf++ KOMH+hJEHcodHwPafQouSezgN9BcM/1GZEaDWrDWnuSmkfq4EwRe3Ksq69Zu5xS97cuKHhcxcbWi bbuckVyxwnLt72XdMFWdpwAKDZzUnLuEaoiBHTJJ8sd9VyZCnNThioEyrQLthcBIZWHRRcEWD5Je ieLvFf8Cw4SotF15V/UwmOU9Qud1Z3tr9IyQFSLa2OgNVpKB+UvkZM9WQUc+2E1CdP9y9CLxBM5F xqECibVen7WTvnyYp9bybpt+uHiSBDpuyg/RtjVua1K21aINxyT1VAnxyjkuKkURbA1K2doMMzCy 0CCN2dRC+TGBMEPAqz2t1F1yoP8lqfbb79RsVGMMcNMXsG56h9Pyt4QQJeqz3T16fG25UE6h00JV wVCejpyxWPiURgbQ5fsXDxj4UxZ/7rPgY+b765D5pMgH/mGTpLSkG7tMFr6zkKBZGovkBKG0g4ZD 2jEVRLbNB7rJwlfc17j37Kf+hz92jdMFyuS5rWzzjx40M1adhQ5GfrarQ5oZooL3trZtnQJ7YoWP t0xJutzVfhn4DAaxiMJC6hrZA81nGb26oyEyAgVFz/thtynUz1v5UNFAo1TWQXM3HczX7cPUOQlr dNgbz18WrjYAEEPmNiRfRhCx10Cypyj6Z7TklF5t6ixcaN6UGNpUI+JFLJAZvBIPaz1WLi0Ab3vr jjgQ4uWuuMiLkhNzFfjCflna0fJWVe0WLyotwrad2tHQvWmH5R0y8ZfHOiFLG3Qx0eUdIEikzVdK +6t3GAfnGgVj3SZad/zIebTmd1LreMmAPdV6B5LPbFf4tCfKwTQNvUH6E1H6N2huD3omfUcG4hr7 esOI3W2Y+i39Fde1f1VuRENslVZYXLt979pM/4Q2i26zRmcfi91J36aNpGxEWhSwzFs+HQMA1XiA uf07Bqio0straicLxjrLxroggWAPG659Vs6UPf6ZXdS3ZZenPO7VrZ8Q8R3kzklbRm8HxQebmEqB XR5aZdIEl+0eelSP6LNaNQZMgjop40xOWk/Qkqaek3mVeZgD1BKD0AcOQ5ADhg4bE/rRp0cIBLof 6RllM8jwOwPbzeS0hWUUsqMGnxyNxO8j3SveBcJqEHCIUYUGIaukiPgLAoI2Ik2Jl8oNyDUifhf4 wN5N8Co/bxm9VKMgHUU9pt2IqiLelXuYFWkhuEMd74geaL7H3OFaSXnv9qPZgHSiQ/pEpzYlmDko SdmnK7h30fHhwYLrB8A75Cj/2hK24207zHZipE7IBaxj8AaWN8PoWJzsjpL57tDmPnVr1MGfCBtt Ev9wMRcEkwh6o3F+5C/wySrpKtyOJklYxCYm4sqMRDFUSwZ2wJZPP9OaqqkXecMa+cui8DJViYEh u4a7WAFsZIrUNW+FgCNa9tXiQYE0qVmixRzfCaLbGumRibcPemdndlby2UnXq7g8//xXF9NWu+pS Qch0bHLV8iGQiQhEB295C7T15LnLv+T7b//Wt8nmfpXMFgNeIaqW9bgb4ZOQk9T8BHaPDG/Zkkam UOkmHSzNFQOBpkQwKHEIk3BEi/kc5P9yITenRXewRZXAy3EVGywsu+tZA1KTGKekBpfB7N5sO8uO bSvgRRFg4BghafxNmINGMk4Rm/3fmqduko3lP9ObMzq3K5irrSjqw7/zAcpp0rrWQgXffIZxv2Sl f3GNxndMVjRBTnDJ1OJ5pg4sn5Ql/KbZmZx3fGIuPO/p1ZCkpsNnIOK/6eNfHySUtrldi39ccxfx IilVri3g7x0aAW3E4+tQEwo+4SKNtvpGIZx1jBVYdSgz9HKPiRuYxEgbYEllraA9qMdt2/jjNtSp jYh9F1x/4GeMbMbjzbLtEhL2Bope7FqZMGY62PYOFihi38FeaDKAb1VJOfKgj3FxnLcChnn/pN7O pZmujPons/IqTsbUmm5f4cgQT/dbC/Wm46wmtr+b+jfBvz2+LKNfnmhKOQnDrJ3j/8thqRw62Qi3 1z2QtMu96+ypCOprfBq5TxyXkVmVbNfSuaAfo6jRUuFSUxJ/e0VhyYU5QbsL/0n1mNQu7HmUTlbm HClbuqm2H0S1+vJ0meDLRjK9xPoAhfMrp5K9GSD5/ONDTunNSymMssYV9hsvXFYv7EBwawUf9Dmj 0ht2puPlKSShhHfLORG3z1ZJYVHZ14HKMRSJlnYNYWtc6ht6q5KXvMecchLMH/XQYyy3kEV3zSIO tfcB7F9Sv75SAIboX0UxNT05AdPRjJh2zrmXRYBjXSXR1XsE6B3Ekt/D1jFfS8kCC6//wUhf/bGs ePpg2pigtIf30+c0S0jsZic+uWzp2aJ5uo1AQaCjt6imXC7lRbqNbTD6UivKfzwXBzbKdDvx1UVU 6n51rVKSx65gDbZo9hpw1/eirNe87YdXFLMTEO71YRZP3JgdI0AuqaOzKQm+nNBk9iybaCcKcgIm wWQITyWnXr1I/2MUi4Q7qY/HKQlBfDSoVbJ7a4x3AK96yz+mLDd+RQDF9Ud4lF2foaqLIEYfcFHK 8sJQBJKJCoZeLLfHUvcQ9YnWdoSdQZoWw1aVPf87jvVLOieH8Md4VBopflq5k3dQN26dE3FHmqpD tkMwYhdotuthgZwBya7Q4qaKGdLCcuFMFtPMdJeoSrl196XZZ4mq3YXuzQL1x1iAVpfkrDdC8gy8 v1O5jCS7H8wEZ189gR7fJ3izhXlvc8+/v0PKYIKk0mwmk3+KgLNxJ/13MgGHq8FKIFGp3L9RLF+X HEx0DLei14Ik7ZglqbiAXeXWDNgzAbvd54LnReO8/rV+H5oBtab1oxG/Z3AQstzPlHSjjj0h5ZtO ON02tu1GiWGAG0t1HHu9ejLPfk2BYTJlxOXbtqZ2ctvHqIXStlR6iEfo3hoDJ9UxoT0B6aDst4jm aOL1ev8ekXlnz4THWyy2R09jecL2/pSHii2uQ7WxAw4BHCd+jyANnXrgeNeNOkHJPLTVNvuNfH+/ WW7F6w0GwkMeogZbCLF2Ld/ZAo/kgjUybvfzKxFqL440yoT4ftXZ37wQE6bx0A6GzreyAO9408h+ bVYfbr4p4FKWHXw13qR/siBKGQv66ihpPLo8+hPlnDZsQ0OytAMO/h290VgxEqvCli5XWAsjqR3S oY2ed4LCOP+AFH/+xHsNdSpDWYtVISl7kLoezzwUbXp7C3rg8AZipzbWHDD1quL5TDi2dCixc+rG GzAeNV6DMa8bdgmltOL1RtN9dgsx00W7yVa9F96EXUDVwrKry9brqxk3WxB/OVXPj3rT8EeQUH5Q l2WxRRbybn4ODTDu9hr14SI/mN6R7R7If6+7/QxQUvuJAZ2Oo/4iLWCnNi70iwCAV1w7p3Xj2ypV dA0TuRuvDQacHysUrefdEAGXsbNRjU2s/mseefZE/OdgaiaLUf4O+kO6OdTRRpeADwBhZ9oqTCeq 02sIzBiXTpd/WiH7TYiE6JqjBt+CTBl4tsFfCiTbSJCgR9mF77/K7gq/5MiL/H9jeDIjcX91pOSM KGD/qbHkw/p2nPCN8mXsghvAfHM6B2c7We1uP2ig58iMHycLJqd8vHEoR+qAmfAwbBFIp0bukBdD pdW9VA0ptpzFwRBXD2XEFMkMouMLmRG0cY33ZATW7f8TGcT1KqEQrgGhIvfa3Ddw2qiDfk+HiE8c GTBkZuE7NRKh4nK6Ad4Xp0tcyy2KRrSQRuz5QAlTXduemGlV+sR6UTqE0wtrrVu1SJbGPj4bBBYp 09VdDf5PQjEcvBw8GARiT6PyvRxvVlOaeCcpSac/K1Qy+XD04WZTd4kAfFrB7seceJSHyRWaWpXv o6zgyaL5sAaVDK3jwNf8lRqR/FKCXXxuBmHOl756A3xbCSPOxg9LSOg1Xj4aLTc2CsDgkn7q/5VP LZ970fp2faJxqVcf6gljrEAc/T0o0pAdH8LBrn5lclTiCV8zjLm/qRbFm8mczgfvp6T0DTvkG4kd /8BXK0TxAKR02pMsLYPHdlg66m18U9tPh9kuWxwQmIigEDN88H3BIUqEq0vj8Q7uvS6gcuCY1kpl YjaE/8IpnUGa05/pT4TJfKcGa8hBO0iLoUZ/pi6Ryco0Qyir+v3hd+/mYDCrSRfw65AFb13WV0OJ C0XXbbqH9HmzjOPzA9BnNY4gYiGw5JBpDFwhMqJ0sxJ5uQpKHiQ1ryPz5dJaYK0+VGSCii9WuIGm M0IJMsfAvCYezP/N+CfshckuVAaS5sYGcY76Wn3guOdCCav03Qur38DXBNmQH7lGrBVHefWZl50+ JAoooFjLR0yCSJKUNAszQwzwdADUzWolnzwfFP4ag64j6+2l9QzNXI8NwgXv4NwjoWamKdWfR2wz 1/bNBaBUOA0UbkAUK3+esekPsj8u5jlnnSK+QbskZnmR/eb/t4p00jvWPdvvBkgjy3nnJc1sLj39 KxW/h8/dOvm8sAxRr1lIDjPg1uLuVawxm44Ow1yajNPEaiJHpuPA7W9pOHIsEGWleJpBCotfGsi1 NmghLZhAtH7XOWRG1y+zzBCP0hYamom1tj2aE35YhkiBGlI60dVwUWnc+B9MRJqhHdpqbdED5e6Z PqiyAadGgl5nAOUHrpYp2BwO3kZ0Syy+UCnV8xku7SfYV2POrCAuASilkcgY8QcQ3fOxyE8LeIEA KNGHMZSNJHyr8n83+ARTMBV9ey+dm46dxF3mzNKRJsvegUe6qU5o54Hrjv8qOl5uCp4RXrizZJyE 2bIRj3mFXOZwTQM0zcV3Li2rtHhdDl8zmER+usFU/ZkOJsFX1sFDNglC+90SFAJRGqXRs1mA91kI B/qqqhKNuDSfCpwd3p0DTBORGcxwvMut6hzJcdts22mOfvy/SXu9XJudMPXsAngZ2CZgtao4H/VI Pr6oU/gTEM3zLjO6e49zoyHqmolYuhavqw8rDzqJqCIdNrDzh+N+l4niPNdi7P/6d8HALNPCWSza fGBLBJqskvelTuTfKkPxumGYnAxh8eMemwuVqzTDK6dMD3BO3qDDAKglQQWgr+q2a7UjQu6FS5cD tM/X5o6BHDiCex5voHA37Jmxm49BS3wIrRLFgOJpkcUw1XeDmk2WgkVfgj1h4CuoI0xOoU8RX3GR vBIKxdpnCnybplFwylg25We4F3KSKdus0vV/eUuEehiaWXHbnJEysFM1QpqoBJYul4r5LJmdJ2eG kUpEL5zRYQRqb5SBlFe4Uq1ShETQYXTFE4M33CoN/F5TLwYWCU/Tp2dNoP7/+E7q6Uql6OYXCdAL /85lBvZbtcGdfl8RFh2ah8lcqCpsYdSLcSjrJBrIw9d35ZMuQmtdKpsS1pNsbYJ28SA8DHizaXqy CGdSK+7/0DYJ5IuDFmY3ZhNRbVLJxTIFLG1kFsmjgWAUY/xcHWbgLvsPaKAWxMhIMoG7iRaNVU8b CWLAM6eYJqw55Kyxb+ugIj56leg5hdcXBdVq3cWy8NqXdiKuoXZi7AMSg+jPdMxCJpqDyTmKirhz Gd0fYdQKpcJYvQQ1ao9BbkalpGEPIiq5KMwiiHxm/UvdQ3XQX+3Xmz1f1B/8MhlhruQlA495QiaO AczHfT1ekn9zb6GA8AGcsyq7z0hXibWLcy8u7OZOBYgm1Ij4nui7MS9j8rzxkSmEa2CpiSbjdcSe 7qbTFJu4yIgEz+ZT7srDlVip8bvUWbCjUHxpO8FRffIzkxOpn3+xhJbId7G3+r90kt1OMObYTRGx ge1HyX51Mrj6sfPdB58zNMfDjSZiyZ7u9tCpI4cBrAFLRyTUhmc/PbsRZ4xTiV0e/oUJQkBoaNxn bU10YYjzvEQTQRAnjWm3SFTNaXmL3yCZRoLvWKiVvrykv4XbFrjkhN05J6HUlvTFhQV+bwtB7xJT K6mI/cbdruvitkyXaMdiQ3Ce6m838QyYrT+FZl4NCH/5RZQFvuWS7Xe6F7ImYh8FU5cwiONAjBq5 vEruGc566asFSXgfNa0bN6AWFFKeDMryWFUFrgOb+07EjXqcyKRSKiBDS7mVZadIk8Rt0JIquRdv Urnk4N95REQImWT9zIgVy407qeo8XwGLGyhRQLGJwXJUl29iFu3zVflXfeosMPYwv5flpJCRDHNX hgvWZPdwPEfOxQewr0ccqOv8B3EOo+UewmKJL25bWlR56NJENY/fGbWPrPrD5yyf+3gSiSzToPCm qaktNGWD7mK9I/YFfeZT2FvdvSD0MKEKTYSlWLqneZwD2C3L5gCIqgKfRTekGKEaRmmCDbE8n/ea xVXrgzgPKhyuIWTomj8nfYYXzP9Blz8WuVuEMfBgjG7wTO1Zgopd2Kn+x9A9ueNQj9XHw+iK3/ke pHChSd5IwWpQ8YAxbdSGIxC0Fdrc9MD03WtTyrCyZ+sUJ7w7Y90qtt/47yLC0lCQvLJL/1v3sc7C N0eXq6pxj51UZW39PVNeLaRZz+sBSHDjgoql1O+GmpJEmZJSAwh6Hu2p55oufh32HMVb5kxfKbB+ yT4cHuT8kWueDxYSzJX/c3EtX6eU9yeMzMy4KQESX4AJk0W/hNuHpLEF0OyTKl0BsrbnJQ0ol1Mp HAmRbkFHdlQme9/radbVQZc6IRkpfgws+0LkM0YYohCH8uKuSi0yfE2rcIj4Xwk/lUa06eJw3Dwe 1FTEk4z0awOJeBywTqXkjHKpFSIOSsjoBDvRuPODUP5u7LveXdrNgD0L48UJxJ6RDsWXQtLI0HaQ m3ZfLqINb0qzUMx/iHIEYBtQRz7KSGwMwioyDjy1OE4NU+IQA0DngvkpVqZPH2wJNUem6GqtF2xv 2vhynR0U5OLTdXGFbv8HGtFXpDi9ygSdfAlfMfemKoVLOa1c44R3l+tqoEotGi7fKqCvqLYph974 YyyIQyvmmKmwLc47ff+RthDXsUEaNNCJhHkG450bLieuZOaBSoBTzYxKyZZLvpYbfxc7jlOZbXSX /0EQxu5w05wDN/eDnQASjpUdPdPm9ZiouJdArG+43mqsTUjxSKS/P5EaPszdpUvZvkFg/eC8ZwAW hWgvqjRo4IqALXvTURmBu+AHkLI3+orIoRyzZEjjvqg/5Ex4Drb7g9Elq7PB9rWw5I1qN1xvVb3X 9IKdzHJHhSXF26VBTZrOpqg7n8NVYwvfapIiT+RbzqSRAFEXyru9R4kYOjFSlKXv8f4/FE3EiNYC FqnkyFMnpiSLaptRZIkybNbKlpAgqkXmOOpTsYldGS117yP4kt/JWmT22VrhVmZGjMuF5twilz7i AMxoY7k9xVFXElCB5VOtaXOK5Sv4iAknhcGiy4wfDFHhzSbeb5TbttQTduYKqepeDPD7gEXitqxb l1wmqHa1dU/jY5w1bQqTzVPJjw7ltziAklFyeMIa18olFbNd8fQ5AGM9tHDv7u2S0O9WahbFe+FD a04ScfcU+ewkIelahCsnSxxh32RGjIcIpbaYBg0urVdd2PzAGeHj+tYL++/gqb7EyYISnM/tX1+q S6TXA9uiLi/Vzr+nOIhSUEaFm76bdfbej5Ov7wXrd7vlPDXzdwpRp/uix2McYciytSlfKEE5kCla aDHPJCEPh8tW/G6CP4k8KDm1xZPksmy63jTxkAM+0vFtFAAGx3ZqmVWHQP508Kfo2b32qygNJA7Z b4khsXBIM2ACr1g/2Q+pKxwlyoMZUvL55EU0Fs6DL+a/w8fNypd/Mgi9cvQHLfghuh5VxT4hkZuK Io6O5u+G28hOlP4H1frBq9QkIL+2/cge/1PUjyBIi9NNe/UqWcsR9oEifi6pEVdAvmZnNAonI+e8 snryXouC1UYUNIGGTKoKPQXCr2vr1E7FGVfr89L1IW4JxRXM1DRVGdAghsQYSDKQbRVAc1l6pUrG KYm+fCgX0mPThUJYenprzzy6YchlarcJkKr1K9yzrQckP+V+9lH28FITndDQGUICWYG1FYoWaL5q vxZMj2cxq7ztzLfwenx4doyN6bxN+2wRRlF4TnEgysotjpn2qguXHlZIjKQt7MsOdLotjZDubPgL Ws4Uq9AFs3DL6srRUiak22DRhgvzB9hHCJnM6cdTIk4R6JAREqd2AkOOcqKUVLkv5OJXEIA4UTxd ylRYBZT5dyaLqVexPdeEND/VXgNo4zy0DU+022wKTDOWGpgaHj5JZqJHSC9ggkAVbKELuhvd/oxl Ljxec88UWIlD8vpe/wLnKTBzwnZL9U1b7wXci1TkxQD2pyc3lnGT8gMWTr1oTNx/bx3GIHy9qA42 RESq/axtkHAIy5ICXXH4IcdIPLQRMkaJ1NaJWRYfXKMe+rMN9oZ7C05diVKmeKhfdo3fpk21z/Xa 2nYGdumLuSu1kNpO7F5sann/cU0hQaE7ltHLUsp6ReJfTkKnRVGpsZUSOzfsMt3QuvI6jzwHjBpT GAq+oLeUjUqQQL759T2KojphiI0xJXJBPMG2p3EzDCaKUIVLTDE3l3hZhnC+X+ZdFs+G5KFsMiCw THfKhRP6UCH9OmOc2YXiHpwpOP6J6xMAAtiQO3kUWc22+8423DjO87nYDQZH5B8+GUWGFJKOomBk H/x8rU6hHaflHwDzWnibW81I72SFi2cxooqurNjwOEf0ifRBP7Rpv+hKSVsdhCp2oVaXGk1NSF6+ jBs8x1gcpdS4j398mnwDGKJw2ET4qiJhG0Fsjbme4+8T4xa4682iP0WAHfN1ZvdtIDCbm2c/u6tC T4ORz0kyrJcHP7LECfvgTJh9eWliL6/QfdC2oIGvQzfN0a0CaobgLxgRQO1RSlPk+YdqZGZvfakQ UzMFMz003FZ41AH5GFE0AloM38WDC+o5ft2xrc0x2FwCHBsf53ullKqVFt8t+pNj562r3A45Nwd1 qBoApZWEvhHcOKGldjeqY4WAFLgeHYz67pYl31ZcfsQWn09sc4766x/xzGe8yj1I4faMBbTGGeTD U9FkZP6ipWQuopPvFB/Y0ZYNgDcPb46ZgF4uMs1hQm8/TI5Jga6pkgFQSV785l98VeOsUEYjbLCE Ekl8GqWJsRlDarCAZ5RFtXQ33l6P9rMXmXQgg6Fd0iD7jaoL749rNCMtfpeRpx482gShkIlwTEBn thmOvSD7ANODPUYCY/I+QwJ7E2YnM03bn8XM6lG3kS3bbpibCaVkLc8R4Pg9aXbxU/icI6EEk5Vm NClH38Nf4EpBPhluunebGhqnJ4CtrLVRa8jxU6BK7nKFmuDEa71RYQfmmzamFpciTmiijj3b7epf bwxJdrZRnX1NHJpc+MjGDrEOENe9H5ljn6Szio3PddlKps1+vBHtttiWSYqq/kccqPfMYDiIUG09 hXo2S3B+t7WVWVQPdVNMV4XlD+lL5ysQ4l5FIOzazFUioKQX/8buBvQBrdp4/bbNNCoB75HTBt0+ DArlykRT2Yh7sLVkeaBri+LtCinG6G5QLuNrSS3Q3xKBdJWjkifTAfAUeUOPWj0tUrQtudafkcj+ BB4eLSINogTCUBxvXOK5N4BbTHXC9HoO+wdE1dz/4XAOA0F+fEmIW9OikNjiBmKklrg90gWTL1Jx nij02zQMN7keJAp2hEXgNljPaOZNEoRIlAx1OwcvWGlJr0vPO9zkPv6jOV/2W11rOl8RkYhRjGJ3 pp8V9cE4VNCeQ76vcFIHxR/Plk2Y74nVzime0i/TboFRXw7FPqC+lnFP1jcZ14qEd6cMLgaPf0ap vb6Oo10aCu+pbsov1ae7aIqiQZe5JBe6ZtQGpP+iJhO/lXr/nUkTO9olQqxDU/zpr8kh4pdbi78r +Ms1hE3L8nUvZKodqNletrOzXLGVNsChb6gnkivEoxBQIYUKR1JWCNxfI42nxEGwUqtRhheymx8/ BGL7xkuFGW2G4QyHpPL3A+FYMAd+Qr1Ir2o2Io+hAxWAuSZAfPRjzlZ8kM4VsuLEGhg6x5xSg3Ml hkJiUi+HRY7Ks41N7FaaJC7Hk837nAlNEoYstLLX1oG0aoGyAlUXNtUY819o3uCE77DV+9iViMbQ JooOPrbHcX5CahloEHb7tFk9pKX9/jPocU9PBspg9mqIebth2TyLXRw7I1QcGbHTgv1rNY1fhMxD 1EoDYfliRd0/AYk5N73jjiendY2JJu2f3maS+8GElLlFIgDEqIUBCcp2cyzUMsLaJTJdHYhIUsNB 7VGy/iU9m/hkHUS4gdu3Cxu8ns750+KbB14O1Aw/0LOWoxXgLrI/FVnLNOoDpc3mxJmYrX3c5vUB Yg94R4LV7Rm2l0/08AFD3hbaRm02aV6XvcN0xYiOgwG6HV52zN2KtnkOZDN2bBFUYsGZFJo3jKz0 pgkrS11Kxew0BlAf5+JfvWqmCyj6mcBRAo0spe6K93ZRJPzHFtl+8x0PoXzZNNPHNKG7bCxamfpY YQHFErhQcOF+UG0nDOqusqHRgfWGljHv1bi9h1RPB7kCRsPz7OaSi0sltpOuzx+U2VJWy1LnzEeZ 886FJytRXzvFW+u8nMOKv5IlcVsJSjtA+/BW09IRtsMWimMr0RxUjh/uc2gcQ6rMIXJQb1HMfGWI 7bsVCH7JHZ2ZhKvefhFpy+S7cOMDqj8YUFlDaCoVqvfCsoAXOTGRN1200bFwx6Qpt5VWws8GD1/l wVbcKo27TxyWoj64ewkoInGqecPSnsa8xbVTFbKdLWs7iyPT6fafxPZUxGxa82wMRE9LU6UsFEP4 EeHW4NagPvyQO6TT+5e6kLQ35sh3H6KvvMxtD/cjWMo/LcB7pT32l8Xw9OKALjZ9RJXerzb0F9Af IdehP+p0GFICodGVD/8cAP1pn+GaoLZueFwnWQRwNGFhz8M0numdNNs2Lo0J6lpDnbQmhHpO2U1w YnoS1hz3knN4E/qCKZckOh00mwGiyz0jEPx29ET9V3BDFP+Bw3SY26OFXhlE7ZrQPjOV3eTFoa2g byEBTzSsVFGgY89dFoz8rvNbFiJI1hqsRAKtcNQ/025++6fdfysflj3NEyvkThNT/G4o2wLnJTQD +q3lxS2CkI9oGZEro4HwvtOvxq1v7TWoi6Q68gORbBEBXiWox6G7xnvrICpAECH4mIJaslujTdXl Vw== `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/memory.vhd
19
112775
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XZtM4bLmkglBewlWavfkobXOIMkrnElgJo+k4jE78ykb7oIZp/SGV6Fmfr/ogrusY/kHxxmgAde8 wVKEHfi+cw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qj5OXRmuDbyb7tXOe/IIP9hVzpHdYEdnGFMGPum5TPAz9WJzfNr2HnR7yYGe719tx6wYAvdRlfH7 1KYaZqML4WollrpclochLq72pgPwbtC9iEEWlamVuKdvYSw0+IzNRBHdKqTykxKbBvXaQ7+UOUjw UnhOWIyi6vA2XCWBMhs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wc/9BtL9LkvfKqZJg7KOk8nPkSL5jxvAGfC0RV814LDUHBZcOVMBTQdouKf45+uYbzuqQuzhrFia FyTrOU0b+Dpp/D8a4O6aOPezhZlqDF7SuDaIsbNJNkVeEPTzKN3+pib+HJ+07zD5sgOQyBLQtobI 4fQy7ggQ0o0bOrWPzlXO7kD45yraaLu2CaLqYlQzcDjqnvaWtdvg8Q6aRiloz0plB7OdNZ9a1tRM Nl6v3ocdKRatScwi+YnBgJn5ewXMvGYuuBOXAkUmcc+AFWML9u7RnCLEmrft5oAR19N3inWP9hTR 9sdW8LGJ406SdzZiv/gZpUV5t/AFjTB8Nihgew== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RuHNUBMTP+a4VfkYIP3nKug+Q6Ygohn4DcPwrCybnrM/u1NLZNct3nJM51Ftp2uYn4LtBCAEFd4j J1ykZQnUjNHc8Om8TkpAk8Xoe4lNd9c07VFQ/PdNEPsRZobFbRhtaTn5kYtwFZszGT2+NVjW60i2 zzHWmeNAYn4vMcnLRnc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M1UhZ+OMYDjkT/STr81dVx9PmVVG5A+2IqAmn0405vupx6bbRZIy5mB6w+gLHolhJXN5SjXXAhWo hTPhhYqRE6WXBSt+aNme9SGwhhYQCQHfdP7l6de6Oriyjp0GyOVTMXW7th225i4gd1/MFzrJY7uC eTxBA69zF+OCz0UpsBa0iiqA6SmkbUtST66y3rCQ2iRlo3MqgxqTXadwVQPjyKh+YrZv8hSoGQfZ 859BObwRsVOuARh2h2mJuicqAywYo8mWCsE9MJAhCYkJvjGEbdjUCSpq6KjZZuBtdg5UMkBgdSnW 7odTSYiZWcCz00u/B4xtOP+tFTZhOrGrUTKipA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 81744) `protect data_block MGwC+IIIj5H4QY4UvMtnSpQECoHOY5f9TWadWoWYEDVsHm8dVH/hTaA0YPRY0k7Uglybzdg2EuRz T606SKEfFYHqLxrTXFcIH+MwTDqrEHAEUN5w7RSyMaTaTYR9bVmbGri/k5TIRfqEJsgMXBSHWQHf bx5/ebbAKl72v8sRGedMI1Q3pOMayPgZyi37TdhTNCiaUMOJH5lSBfJjDu0xmI3CSTJhufh3k7lm Hb17FnttqodJ9Ms4p8p9pQSlvNaVsDjGI9j62lh+mkWyzdGnjN+ZLJk/0vPP0hZKr5/UNkTldLBN NgP1/QVOSt+hrxH3iQY6f2gLRkzsACEsP0RkLU1ndh+aZ3hyDmikTkNCPf1DC6c86o0ArvMVAB64 ulS6Aa/2olPKgKjhYA7chu5rgM1xLggaT6LCC3+QHKMdrUrv38AiBneWmIBKS/bqVSoJA4WGIJ/J K8B79ncUc3LFHbJRMijl58jSt2YkC/s9Cl5E4/W53/vkD5UWJqXxsPronxbM/LQOxv01zd/QuHkr 3hMBwCej2T7Qknpi7KQVX3yJIDk9gBDaRPEncSj+ThAXSzye7ieOLi21XZTOzwub88AXznItCgD3 dWxlFkpdjWYL1ZcKKm5vP5Uc+Hg34knFl/qTfB6zpyknZwPC6JA8OO93OdslDCYBYS0yTuNo8smL thCvSSznXsvoR0883ev2iQnY4pdZxVPs8r8z5Tozr4GIO0FkqasXvfO9KeK6om+4No1s8aXrgtVy LJ+BJq2ZkLtc+UVQxWpgOXSeZUNpwUPbu86ZFX38MT0In47nBinsLyh8MROXqXUYmcr1a3hKGPEu OIJjFFtCwGAUec0UIQyvrcspofRio1CVjlXwc8iZ1VGrrCYxwMhrDf7xKlcYA3/wrr2yG1GADbge fGLMjx3B/juIQ/cRDAbXzmVqyEYgffat6Qiek6GVGb9fo8MVf28GCi7iZbndbQby+efs3XZAsh9T NgK457zFR3hRuVaXrFxfG++mUAASY/AcnuxfKpgTyKj9AOWdwfFDqE4b7TLlUVaUYOSmZivSlQCL rtoK0wn6BF4xNr7zulI6DHpnH7NkxcFuPQWDpexz2XsiV1RaVdlNOGYxKNsuLSqUzZvIZHx76LG6 zxRs+97eQOrvOfb2cP8fFdlWxb1oc6iDPxFbgKhfl4w0ioRasBzZx19lIW5OeoM8pd9XraA0Uz9v 4/o81CbeHRxx9LlWNUzH6cNMMYDfG1g1iLrmznLtsnBjG0fhkWI6BxNHpc+HuYIiuKli1j/p3wJ+ hATXBoQxrRys6qMX64F7q9+D94kVbHjYGjc1tTVpHoEscBZEPtOs0Gqj+1x0IRuwGQU03hGOaC44 QrECQ0KWZiYNZMJcqO6AmoVXZpMp90AukkRBxRGO+s62ZMkddD52VZ+yC9hepeubw3k0+iJpTG3X cZnLg+yp9rvlBVgqifxgfEyMWjjMqedzd878DVmpg4NJ0pKrhcWKmYhbN5rMH7Ct7aHSFZyHTwy1 VUE4R6c2Ky3KYkhFvkneCNghRd62L9x9758xy61qicK7ozsC90XV19lucxPYTfPFDUZzXFwupXsT b1XOpxqKNvyIjjaaHSvaBzH0T/FC+mwHbCpTF5yNxiHzjS4r4tHNNxAbrZU02NvLQUhXzvLqF6uN +8Af+z8Wdb5F4H7OyXzRGucn4y+kfaNh7jzUmHwEjolni+JLdpuDB3xF9FKRH7RXzyLQMzdCcKde o55rPN+VaetsYL6RbTrg4zOMmWxddqs6lFooApX/wW+mNCybRjTqUlNvnmxDgJd2BwDFhLq/HBVe AVXsWUmZLN5+vS1lk/uW/42wP59++8DsU4f4AUSlVpxErqSMX6qhXAtDPNGvi++Dnn9TZ6kzEvYu /hYQklhCesUgDOp0KbPCuNVtk1RkVnF3KuF7meRHJxUIi0cebHNAyBFsbm7cXuRmaRo1EbIW0rSc IPe2R/Pw/ZFYye67oKdguTcK62NKO4IF9xUbRgZcSCOdPGopY+D4L6RkWp5Nj4ZlUlRLgzdGBQpE NBEKcL+nkilsWLT9sBaQMr6one4XIfDa5E8iAx4pw/8qwZyy/s3qtgE0qDK1WiLKnjdaJ4AeQi45 tWhwYtTHCVFNvCnmkTex7yh393HRuMbYEidFbyHZwlWXX0Uvrvj6BzII2FKwe75KVkl3X12wmJiI V+xmtbDUzWow9WM+cNYMZRIGuPjcvpEh3EjlMKM6kqtruzutJkoYzyUXY5qKBGGy+LjuGc9yBbbD qQu8Qurn9aHXY5bWm4t5aTNZpGuIqiksKV1qv8KJK5/FmIFNTB4BVjIuXN+0n1hBe8lPHQpbURL1 DhpuqBJlXz11QSVOX+XK4sqTU47bZbawvUYLE87kY0Xuguwg3OTYiofcaZz2wJv7u147TwFTaLp7 uXY8iE392cf4VQIDuTHuaAPUeSn1cHYhuq6qgSdi+WPOe/GqZcUL6xo6zX3IP2SjYvpz4C/lJR6A pylAJh1fK7QmUGk9bAKD6mu3TPzM22S2F/vEJQFn4zaCblJE29IMJDaOOMtJEocD4sFvyLGlDLqJ F698sbRgdnb3/bs4yRkPd3rVLW4uVdM+OkxayqKA0eBcTpqf3UlbDKiSMHWwDcmvYDWwb3SbrmuZ FRLVt/DlUUtn2NwuQhM0b0B4mlBuf5IXIjU3Vs3uGuWIpNO5z1IhwhY4pAzoRhCZx+TSaynJwEES mKeNPxK+r1yHCO+A8LbB1dUpO7Sc5WPpX1i87Gb961lGx8pOG1qlDAbZjQNLlgaPDSwnAqOZ7RuW cHtSBz5ikn9r9x6OYIy0Io4OFsNbEclv4YLivL9wJf4uUtKRbEOImgj+OXea3/dTZGWq3UQF6TQQ gG5of9T8GdxpQFd5YvCwuv/2jHP6/f2txkUuE0e0aK7vrHJIDvVKBNsZeKshUHBSwc5t/NJOe8bi YuSZUY1viHgtCSX9b5GFh9EfimF+OSM488v7svc6Zuv0NJdTEys5YNx2JSrjRqbNZOs5e8NAwq7y puIcojuErbb8YDCnGC0AnKYLVd/+2hESZxEF8Hu8QlDv99hRHqjXzfxZBgRmhVKBedjJneCg6Dx4 CdEEBZJLMYzVWj/Jdn/l5zwVCWKLHUVG0KnzYGEn9d1DQ9TWAcY0bWFpHrz6Dq0HRyILubyLXyba v6yRjMEfHYcXHBwlORDOdav5MnA7lJMsWCscyxJ4a4lGUl8J2qlv3TkrSPwpgxmYYY46m/+5N/8B HEGMAPaqEv1+EmmhPkPaYkA5Bf9T4crngXPYEL6BixXApn8z9Rs1ssRsdYBhGTc4EhN7mHhJpk1f n0/r3MGRge51nP/4/u0Tv1rOLWkUdyuaWRhZu6Vu8enL5WQ/TWV/K5nrrnXwDyWL51jqqoB/s0nw j4di9bUMl6dAasksh0UcFx8rMxcYKfOM0f4UicPD51craGGUJdMW6OfdCu1G3b6V/6+YG7DmgIXa mWJ2RT4khGFFR0mmZgfl6BlYsjoF59oGJtjMKk2KCFvP8co784c5HLXbAmEhq+D4Ye6Ka4RGeLYg 4Yhf3vCdSR7blfejfd7+CzWmJbmmIZ2zxkgH5QlaDgm9XdkvGvpMX7PuMU9zrQw1g5RUd3kw60HL AGeRGsS4uGHy9jvtnTAnDpu7K/+Fp5qTODy3lDfiQ6va29GY6CaCKhnXC6YsySFNjBaNI4eSJrjc 6Za1Kj2YI0ClJzmjfIMBiMTKlFhklKQzmxIKbATbM9YydEpiHcWZ6FHNW/IlYDHgQHuYbwZNN7l+ p8lQWoZBpYIShbjWLQvoVSYmzPK9QF1UMzfn+okEfS/S6rStNu5F4ms6H13EHL2hr5Svm0MvPpc+ pOnybovDJPrcT3qmjsIw4X2rk9cfao6oorjT6BGclgujw5ebjVYHnA7gTW3U4ef7zAgbI8t/KpRJ M6LaZABDkgrmbxe6RukMVNAtKgo7C0jDZvGC1JrGIqmGFndUvtrW/MuGe3xPqPnFf3j4yRxIDAP6 Qi/GP5MdyazuXdXZtNW8mGYBP+IKXSdwKx1P3disEQXnqYVvZSWk3o9HlyPSyfWk17sR+1xcQcjW +O/ehQTigI65TDPqMocAfxnI2HIzlG+pQKbVAws1WOkvwDbaIcVLMHF/mK+E5fzOs/Ej0bEgc+0d JkaTVFUk1WvgPNaADnoh2edXUjjfGTE9mZ85gEjZvlrx/xQhCg57VQdqsfNYmSLjCFYClZqjGie0 ZB6uyGWhFc+qVG3HMeLQK6PT9LIUbhgorNh56FZOgIBBxxmGrBXBWJlAOtc0a9EdznklYytGdImx d+DeiM4CKUeUp0aP+10m+XHbCCY1NP6eUdaCF7/LyE3+Xd5ksuTUpPhhzeExFbxkCKgnGoqQCpFs SKROwV2v8wkFp65w3IZRmG/fJvVAQeyltlvLVgCOABxnsb7tbyRayOxYUHJmZt+lffEFx/sm6FoV O8QG4v3ZDK4KEuSk3zf3yZPnoNfqXyD9LyGBpaveFu7icKbvEBl5dLIxKhZG2zFv9fVQeMr2XY3I 8fqPQKKBLcquzpuVVifwGCW0Ld8qMp1m/ilCugh11P/I0vomO2H/02PXmYkoOD5/3tRelB5ySxtV VxCLg1RvKKeXTDdMBbC6S958dcYLZv5fAl5RMf0ITN0r7YZpqI5zjkEqwgz5XsiSuZ6BuIbRHs5i 54xN459LoPEGarUCBFdzkmjBafkQcvTB43MvfoI+AYoN09El64zpyNrD6MCRM1cfzd3oJKeLdCJO FBuwu0CgXvdQDnRY8Rrm2H7PL91FFEDIcnqcyOpEhdx1NjN1lwy+oPKasvwa9/zhKRroUZ71ck9S A/nO1HdtAd9nk12qwJnaf8Th2R7/eAb5IeQ3tMLCxgA4adgbOfhwSi0HV3VV8z/98tyYeOR8karu JtlH786mYaVuSCWNOHEz0gJcgADHLTyZthFHMryRm4LmY4MkfqQus+Ed5HEQOe23UfIIUL1slIXV JyATi/JERTBxCa0yoaY97tMl08e8cdYJb2B3o6TuCWBMpzQvCG1EZfq+2yO/ZzzwRb6l0K263mlt qCFh9MZz+MHeAKUr5DRItQYNpxBJopa/tWcLXhi7oWrdn/p38cTKoAyl/Qn1VWKTU19SITaDkHJp w6WjW2nX1QZ41x1BQPtRrhx+u3Z10vauBEE1luNmpd1sIDn/CN+2HgG5VRJPpDXRfS6p38+Z+s24 ApgRbzuGK/acYL89W4C9RUdMFMND2/IkFsziCS7uGPcru79HYDo7uCxyigzGQ1c/VxZv1qTDBSa1 ZOKP1xWm9i1E/azwJ+d3IGPSsiRf2n+/gs9enSXdTQB5cfbAwYNb8npji9N5i/0w6bHlqOIsZ/hV ASzuIVPk7vYmfox2xvqMhFk1EeGEAweOc3MFRMFZ7Y83jf519U3/VDhwg1zMnkksjmSkNqnF9j/6 Xai4gVFKXt1L3206WuN7VkyY8bwYDlUmAqNA4kgNtMFlB/xotumSuq/cCb8k01xR6RMWn53byqAb dYS+hKE7xXBIDKEX3ytFTU/tcMnLTCORTp7PVhjgAau8AqAdOQCoDNj0gyT+WpN5/a5uMSdTkHlK UthpH4pWcZjrpW2fN5d+XBUKS3SoY8gmn2AjDcaFfpTac5cublvu4hNJjJWZGV8iMxyFGmmq5b4K UhYuI79t46LvjHM7upvBUjlBgMV7kE0iv+4PAcqAkWo6K4d4QIr0BuspbCTejJTRO9xaZ6bOZjSz vtMKGrLDf4vP8GafQLTAeYsQmZa1W+U1CYA1dnlgtu2U6gHn3S+RwU+fs1Iz48ELKZZdAvjO1QQ0 7YuCJWuD7aT1/G/tWoL/YwbeVCO9mTrqOERsrjINPEjGN4f7fk4ftWmahFkLlxCQ+6ADcce2uUr+ gW9vPryzgdi3JX3SGZnydkwryCq8BNIbFm0M0ZJ3PgXxewZFy9UIYKP74GjO7K/RfPBoBopl9ky/ Awqekgr970ww3mf8ezlqSjGUSz4g2rmb5k2VSdCT7stL/oQ+8MVD0oySR++wCZ+RMyr+MbEfqBnk gOTwQ9CSjyReA6bw9n+llmwdVXPfuCgSHP+aHx+i3UHk0LDYVqKhRVD/3G10rflfEqEkOm3NvjC7 2odQ3lmlBEFICETO7iqUaGp9gJ3hbKaiQu+vADe4aN4LP9sMguHwXbrT0ewM4C5bq7ioFkGrWfmf w16TBEQIt0aIxrsDkOus/R1mctmuAvdvhugBcyAcrKsVpscj1ftl5AZoXDkc9KyDwJqPlGKdENDQ uyWzFNqgLT302e8WHbv9cYAqy0irGupxsAlZdygWHvA4Rg82bmyvXfe0wUfpLzIKa77awIB8aTFs N+abnP5qTMKMJlWetjhL9f4ZViV0ImK4ZrvY2Lp7VF8sIIVd6ogGIu26KD7F9pOVpD4YIt8gnjMo JdTyxWK8efUBi/uLJGPYr7ALE1OTb0T3eL5pQDkBEyUR5kNaO5MGWw3k/LrBKXQ5/kMprMQM2ye+ 5chTFPnTQRF88oIiFlrGZZ2ijNZKR3GbO52Raqwm2lpAY8TX8myCv7eqkIdpUPiGKoIsHX3PFdYU zWs9LRPts6wU5+rvZiSMOY3+ISDHjLZ8LLJ+dFpULeumZG8+lVqhUxe3/Sg4Q2yUY4qqMK8gVcnj pPACseYRZSu6UO7Bt/366zDrOP8ieD0Is9foUcTRe2iZ2ByYlFsd21QM+2YJhg5n+VdZusAq96qh WSQs1gUmnRKoetSuO3+Q0035utBhhXyTnUXoaFepIFQn2sobeu5zSzepgNteJiuxSLQrUXa64bGg CH24DAG/KrEO5LFSmYS0H8lm00MjDdIt5Z7+fqmry5+T3X+dcRNoNPvL1IzQKIRLRJsy2vR6uir5 +hFWRFs1FGZhaCrNdxEB4wlkz4oC2571CwZTC1ovWQgH3vqfGkEHiyVHvv6T5853sjWAY78RACAr jAzRn+hqS1TxDCMDOJuRD1BCMAH7zsqDPcIntIJz33cRORayz6VwGQkDTcN8kBxcfyl62taNKRC/ W5QuMddgeO9n8+Z8/aFw3RmiCDLp9M9BhzbL/wE7eH7ZeAg+6pjaple9nTikDQIHVkip7SMPTgv4 eFqs2D7i3yvU8uYoXDLOhmp0kH4HhiZjyyvvn58u2Xn2uuzh9FPsYmPshl5FVoZvOuymlzMAv3Dg 2YdOqIabGxYQc0xiFW141bxwzCNm5nKlP1V6oqc34p3GCHhrVKRpkstbGvP8cfjs6CvRJz6LL9lb gDT3XEQaycs5HVfHuJQxOgGuKk6w/Q3ebaagefGj93E9sLrRVeGRRmiyWZXL089Alo5ZMER4u5d5 2wvkbpYVfqf8p35HhfQdno4qCdyA8vpe92lh641z4l6nXWKZmAl4B0nnu4hNsqczG8u8arONddCG x09X8H1qZiqE0b/gngZFsvhGFotcJtbErEfIRRemB+yLajB2gU06STFtoS3XF1YwvMJdTCF2a7qO oqG/3hNa/YIw0dcCMotbcuY7jMIjeXi9+6alMFseSjmeftlgV7nBJ+HCYfcLvzACMjjR7isO6J2o WOW+6aN+azEyqnZSFUBoBVYxpvUR+b7lmifXEQNUJUpqPfGZsMsgrA4G6jWPVl6+3xF7NbZ3nCgw teRzXHvE5t1cbQRKcWlbQSGjaLRXGmKWC9S1dHYGXbUo+qZJpEd4efZE2dbAea6GitkkrSKGqKIJ FX8UzcnSYvdmC83w3/qRHaE/DpTY8flCQ+su60aRMs0P6dVSL52VWWB3rvPC5GiyIfK3fFmdTbP6 5DNKtGyN0ZW6q56ZXkiCY90L6vA6Ze5WIuC9Ii+bMbl1ZMPJ/4ApHRCT1gFa0E/Gi+IbB6LbVP5m wDIIiIQEfAVOpx3cB9HvvccWCBghLm0KrnOxgriSAtVdctvUL+IXP9Q5lDVHrXQ/Z4+ejp19pbko ioW0up0qN1C+Sx989+Rnf3XaRFUQfyWgUmpnZejRVut2MWZ/cca5Zvi2vOsQp06RW0jG+5UNFg/q 389G4UORgDnAJRGQeB64g6h00cy9Byr5x+kE4PehEeQFtnT8a1DsVLPCYfavBOyGM5AXCbmL4EGI CMHhp+yKVuGqPvfbDFL215/fYVp+mBMYiJ9h4h632Okg2lYLChkUeXBMSAE6/QQrGpqf2aPXix2Q IiOreHtj6Pq4mJxkgl22eS75fNzx5ATZ6WX1dmOLJFa5iYcGlxzpQ0nm2Rsz8QUbq1qFwBgeiX1c QenDjqpKqmnjhOdI5WdPK78YtNS0OfO1/RbZDSV7LHAZUoBqPFHyjv2QT9w/EA0CSQrD0xc9huPb +4cqqwLxw7ftBZePF87h8yl3PtA4P14ahg+NdCi6yL/vTB0eiELDy3/hUew5jOvWFTnl08tdvGGb 4tzlUJ02eaeM1BVWmaFxA/Tu1NvURejYr+GUwjL0bRX+YlnDS72U2zwE0RUDZHwhLtc1hwpA9beZ c0k2G8sHV03WxPh9QbKMKr6Sgb3x04Ia+HG84TQToLL3yWUWlBYDEfVQXs7kchMSIDA4cXOcGoGP SnSFV+9URkmMxAllC5sakk/y07H7IHsQsDOfiIhjdGBRcIAy8rGw/rSS6auphePAljibG3fyorhh MhSnpvtfyfRORpgGzdiwUtbs0aPegJWpeieuDnMCMTNC8S4jHWoAXdXY7Z0NfmaQZ6Av4otYVrQ8 w7ewdBsLqPgADFSZB5nJv6YzCdf2p+wvdRYvMoW4zlojcCDJETIKKEEUyt34iGUM6TR0mU0v9fSW lyYCsA7/DPZk2MN2NhZYtpqOCzboSUDCVxMAuqyDvndGfyA0SNs4efyRJF61VAlJ2t+0mghY4ln0 CM55Vjd2XxSK0di75IZ4zSmcps571oN73Xsdt+BbkXrvef7BOMriWlf+QB+YbnNc0BjFvOaGYXUa il6f47dCDaFeTNLjAqNQqDUt6KFf/x4JC7DM/VW/D+tyxyXaUOYaC5yZaNvrPqxhbCQVzHN6zLKP IsGyFivuSgU/mW4ijzCgH2cwyyUYXhHM+em5VvwS+P+8H6NWjCQ4sUk77DBm3Euqv4lRdYcHKn2T 0nJW/P6vi9CtRAC/0oROTWzyiZ79vaYAEmUpkxrK5vVZ27XgAVgvfVqjElT6rA5QdyVGZbT1+YQS 4IIW7tlbW3S73g+U8yJ9d/C9GGmrNdGXUoJSwyrkLLFUtNQSpd08ydSHOAYS7rlyVKyQTiylok34 qppFsGnGfNJbkP3bVAuNHhx/Aac6137RfObgoeGn04s5s5oVw/yrYMUt/8iir7sTdqA3R0XtCx9M AW0B7g458QUeD5Q9B0EtvlLPfKhMq9LOoxnya02ASCvcFwUJjEfRWvijDGn/tWYQpmtfhEm+5dBf sjgSZ+FjE4xFXTMF1o31BhgfItLa+Txvi/8Ir6KHH6OrqK5zGedSH29phL+mkP98z3oXexbOew4w mTBCcXjaR7pqwqtbfuXZIcgpGXGES1K6eT9yq1ZePUeJPEoCJF36RJGAAj7DRVac229awMlT67SU gNKCOYr1XovQxk9TkSesNe5VjFGytFp7zUZLaVhnhIrDi5Seii6go89m8l3C77Vi98iMlxs0RPrn rYNV7cwi6JoKNJVAPFHPx2486h2IgFpHESax1eXCZp+ksN3Dqad3PBzboJzCAN4bXK6WKKfSY3Xp lsX39E3hqi1u+zykpbs1dtL/bGEEzt2zcmLzISbsotVuih2D+3EV3eCoKyDExpt7vPnEc6uPcK7i ljLWoKKQm2M9qSNG2C4aU2w1Uqq8HEhLwyXwZdiq03OTZejfpMIGpupqyWehq30C/XhCSLXSFYeE 10tJSaCDSaqAoALcX4D0GYVMz+YhGgcV5UuKbruX/f2pXT7H/h6Im/rKZytVfvI0Bd7Y5CxBdxIj jVygcY1ePoeshR7yrEO8e5wB2NlbJneVklrjWDyN2FfMTn+MHaOvffCiIbZN6CY7oRkIPEHkvUeD ofhXhdnskjG7XSuvLO5an51UEwrEbsBbbP7858+v9exqe6JPDtCIY22MhoiqapKSla2aLDRzCbrp Uy+QfyewOQf8PkPl8GPXE25QsnEQ/KmOgI11WrMfhC9GdfzNaDor6P+++08UtE0v8k8AKXvkTY42 p+SZuzGtTLD4ZN/pGcHnEUkFpmnJTinVLdFoSZz+yjdk/Mqpv4ztdmfuZdhhIFRnHqqfCR7/b2qT XJVmt4Yv/BVT2d5ycNMyqcauWAZFsVxpbsPVwV4BmZL/f4bcgkg92Ec0sgzMyg43Fn9kP32W20OE atFUVvEDVpao9YzV5E2vSIMAD5cwgM7tOGwC3fTNHB41gWT2ahSxTqsChq5KL7iFyLpoghUfVYGN N34EHaNTcfDYFkdJst7vXeUaPa3CgbDMBNUDs1C2kKvz2OxKxv7luxRgzPs9dipQ2HVczylQ04Fd 8DrBpmWA1DayzpX5WyL6afTvYnWCRUSdIEWhXWX/qpYalGl0oRv6/O2ZjT5vGYXpVwRfVJSoHEGo Go5PIllY1Xe9A4x2zdqfTnHEzfCukaMYpQPTz2LY7+PoeGLIwNH4MKWovCkAh1v5IKJhUec5ifao ESSYECa1qWtnv7ezT+Ab7TMOvBagci94Y6XPnohFf8ecntgYWlW4nD7EKyk/uioKQUG8i530QYyO bVHi2CKIF2m8QaIPkuBtGOrNWqnfBMoQj2IwWdffof7PW3u8+IfxKPucxAfSkK1iqlTXnlppLdWK mhdRzLIevNDUYHj8VYiNLKtJoedCz4eYyk6Lu9LUkFqjQsoyWXJFpWmlTfQnxdQR9bbHgJmQIvlC 5ta4DYSY954EAsmLjmOFT6Wr4TeutJ56qeHXBdNdxfldzzMWKenkTGuyB7iwJ1i3aioJba/ak49e cCIC47qkmR9ok49uL8OYIwntj/DuMWRImbpfn5DC5ItFz+kNd0rbp0LG/BEkGdCwZgJYd7rPJbsn 2uTrx8uK0WJh7pIgwivA/hi9262e3fjzpcf4T/rj+kvl7UcCKyonLEk12YDiB4ABtWnc5quUEATY 6zn2uXCOsjBPDtDSDiqbJQTCrhcSE2EQ5+4TtbJZr4+9PQdxuLpqpdHUw6RCbdjbzShyOulJjusz LsPH/OELS9/gMO5bNJODfhmKCDxzS90z0/Wa98CKf8j489O3WVJ+Yl82VCVlUqu1OTWMFDaRRY1Z vV09wFyjzyLhl1k/uxmpZLVu17svXqp55L7Hma0eSr/7ILJvbHNrwzCPwmW6QXcVa3OfZ3Po6q/D z5Li/raKNROYKn95sHJipI7FN1hsV9yf9Jt7IrFxWJ+/tBTa2XdyMyaNsrZBhJ0btz5ruYixrqB9 PjXiOAZzdu+bNlcTqF1sElc57DPqXMpGXMJW47FD41wvAn/iUxxpAMjsk5KAMfoVemuEmwZM1gct 1mkVz0TmSebfD4hNFgiTOWk2avK64iVor/hvEy+4quuMm2KSK2IwWBoXGuz9bbS992+c1Uwqol4W 4olqkOVcxxC9Q0EcAe4CWwjrnoeJQBaZvDWwYfLbVqDEmRGjx/l1DtQ1EFVEvl+AK3DL9XRiBsnN OxTo6UnetBoQNX5IoGiIThvIZWI6U3BH9iy3rfFz3Wq1AX080tNgzPhdkN2CZAG6CkRX0cbmeoFg syZR7whRLXYbOUkLQRwh4/g98a+I6tGy0GnlWep1rv2sVYMUCJ+szfSg7iBr1janJjYI0XDKW5l7 Qgb+1yZUMZdkg8ANZICKSgEYkXSlUWssZMypNp/bSt1GIs6mZ+Mzorn78ll7PI0CB4Z77jPw6VB3 iHTmqMHh63NgeuxiM2ZW10tHV48RojisIzDgfKLyGWakhaYj9yDCpIj/TRrqPSwmm8PrYtORMb67 FMed/CcX/ZRJlUMvOm24C0hUx4qpwqp+1IJJgzq8p2ZsUd9nrxsnE6pgSPGtHRzDK+p1goEXwhje 51ayMBMY4EuHGNS9+NbtKVwjTPAUGe1rw6YwxGrBwT9AkW7eG/5fZXDtiezdHOPVvgtQ3YiODGsx ESRlc7y3kZazW//sRPv4yy2dRWzN9pCj95A7MutIXhjBN04i8dizLYpoA5+GB57iXnfvxF8ev3EO iDb/X1ODnFj8kgZBkg3jt9EOAu1vO8WVvGwTZRrc+sXXTVpdaVh4Hse6lvRefxDpEHkN0qY3tMmt pXX+Rqkr03AJf/RQ4PhoGOWdXxLTUoiz//8FQWkZOvxzoGKt8ptpT8fPTYGI+4AITm2bgCJhC2oa 0HinZMEaewTc5mCCo1MMjDIgHImvV/G021UD9Ma6c7Cdh13kypRSeZTUxa3w1RrRLkMzlr6eqE35 jpbC+6CVPTXiDTWpbOYOiuXNwMM+p7yRV3P/AbAZciAmfURrvembc/0EQYUqG+PAgtn6h3twzWgL 0TZszoExehigzPapECdi/TtnBeOl+UHon8zs60XJJsZxpOup1dPqfROnnJsMrjR7MZuNiPiOQBoc M3MAk3C+EtrtrAFPvBrFpImJ8+vbfIukOgPYYcm24onVBPR1WMJ9V5YJs0IBIvUc+NsZUWCDYWl6 3Y2zmEn6au6vybe9Ia31MnsjM39pKZxMnZvlsBlQAnzg7L8jL9TQIzFE92r757ijplzyaav6ev9c 4AzEyi4Krnlk5daRfJmzE5vIBLC5P5j3QTLitnqmBgHGqwUjyqkEcHu87xE/1DiKk0HMG9oOa8Q8 1VMqOCGR2er7b1baQJrNPq2RJ8oBI8b+0WB8uOa/wcRWOHKMpZkYXEQ6Vdxs+uUloMzDCtGxJblO gLqGiYiO16Qm1qZrkO1etALJI2hg1pDw9nPNPyt0y4IhTksZiJX7ZudU1n98NxKZVpaqCOKVUsbt 3T3Pa4QzyZbWrEfUJF/S/nYe9t5I62paXUzVBSW6/DVM97zJHtaZSy7wZc0aiUZL9BZBcKLI/Vo/ PKbtsEkIi2AEjSLP3AJE+BZVoSVU3rCWIsioOP+TH9z5CzdWsHXH/kR8dBMofyXfzgDWlnIcVHyl 1mZLTjSDGUCub+mhW109KridaCzNdJBSS0oKN0TJrQgWCUa6igv6w22T2DEQOJzJ9WrlPbUvKcim 45m8Z79YRhmTNjGCSWAkl6vVqATS/a6o34+/5gv47neYxkpDOV06AoHR6Q/CHLasoaJX/PuqKSkR Pm1XcrIrDY4JYtwgBUroExYtXeryI1pfQPQKtKgbxzdqd9PH58O0drmuDTWuJZauZSSkV1ixJwgg Vg6Uivuv/HzDpHFFThZeqENKC9Z6LeKosrpLaeZ/Gq28TNmExGezWEQ9vqJ+CMA9520kIWdyAQue jO0S239z76mU3y62QywNiYZkxdvDdFnci8FQwHZpjsPVW+/XHDTVvHCeFMzuRyiaPL4kNigU8aCp pVTQyuSCDkr4dculFLEFhxAdDSzJMKhUXiiqNPP20/zf9wVRENGw0jS9IYSWoWHKK9S1czW1Jaln 9evBhnkn1c84wSETRy1kP3MEh8RRH1r9GI9XnHFNBFDH2kFOPgEX+Riz/vr/Ieo9UEu3AdzyL4rG tg84C5Uq+fIbrMfH6yXyP9gQrKOHjjJYk05SCGHKpVZihi1c+yYfyBzWCQwx6V9K4q18OL1PQQAm vofvo9obgREX03NN1zoKpivyj77k6AG7BLY1FmD7MZb8NN+olDmKpRBeji+u2PfiVvxX/GISpMWi 2pKvGmqVjpGhIC2B6QLISi1mtnpsGoTKzjy6An7MELGPusi1SIXmcoG0DKy3ZHmAXNkqXrWEFqTI EuUGd4wm+6rBFYSelIjXiys7oCcwTuBLG4n8yxnYmqQ5/RIfSYVZ5NgqOpUZ8IpWQMPorJTlDyNy PNbi3Z9z1AeCVbGOQeMsidYKM8sDF39MKpGQ14VPWffMwzCT18qL4+JVIIl16fEmutDxj3qt+vT5 ondxmI4uKGdaFyn+JDRGVsFV7dLUakv+952Dw0QqpYeh8ECMpJcemFMw9mn4y7TyGLtP66SDEtxX oTCzuXmskRY7WEaFKns7ChH27fvCx2v1CJUMFGarIZvcOOuNWf92y/pqx5WKx+irTbbukDBm8Szy z6c+EQmp8bBS4Tu+K+WTUsfbho55rW09PSEwBnk6ks+RuK/MJ8BL/FflrNeD1ngJTs9Z81mNj2GR kJq+/eqKu3XZ7e9V+pHGfofgeKbCqBUexzubIDm2j+nZ4HDEEPDJo80Bci5ERaVjUw/wZC0j7Kc0 d8V4JgHBw4lQMnjJ71JxqRjhUes2E4/6NmKqoHvZAQ7JjPVTgqHGaEuO5c4jZtSBxhneBPBgXyaV 5kG7sr/LGXf3Vk0U9kJRzMGai7svjiB+ZRreXQFkiMMnFjyhddkfgIGt41cPTggtV0ul7qXtdfWc g5pn1+7GnHq8DQLtr+/cat0Kq9JKlMaa5mv6nESdzpr6gNsd+eCl1tTDzvq1TuxVUz5cDdDsr5EG ejc/N6Mx9xlDap3RE53wwT6rpttbByaRqij+yPzLi+aRXMMH00+CSswNzYyr7j0z5hNQx3l0bpvt Yxg5CB3mmiQcLi82BIIC9P16AislwTMydXpjBV28t0GjG5rhop0nH5Byymp+Fgcj7dlCHMdwX1gF zFp/liwmDDu8zDP7PLSDLFQL2Yjd6YM/stnr91ss/dWF58N6/WyyJA32zo5jzxtb3bOSN6yPExj5 jb7nhkkQX4EilUMAZQhvfmer7dHdXW8W375iIP9Gxo/8RZVxZNXXpU9E4/BgNZNafOgbvJg9Q67W nOausKvqBddQJBVzDx3SWc4Mw4MlXTZjlAM6Woj8IeCU0SdZnmjRZ3aN6B3CqZ73UbbCCeKVPWVJ MRx735DTktRN5IxewBTCc/DEqjjXnrqcvsLsZgJMxtGOjhfhfuuh0YG/4mGlh0wDFwhwpNDf67RY kvnANLmtaLicvVvGZHUp2Rawe01IV5WxsDAsiHOzSpgYYQG2iS8cyGnz6P1+OQAyMVSlVeco6DVd MqF5XX958ttYHJgDSdp54zyOHQf/1FUxtvzq9cwFJyUQ8HCmfFeKs2MiOMqjPyHku9ihXtRGgFm3 h5a+3iRlmYivR5ucjtubwxq+UWNsnpFqduLzl48ln12EE8ywkKAUp4n1WhPghAA0fHy5E21CRv1j vYdWtdpuNRD5aLcBDKhFjSOZGcQkzc/lBeIsDXtGVvUm/TS73WI87MqjnTkuVmp9opNFATamnhZC J5Y9v+sht9P/goJzPyMb6s/OjHGS5aXNHe3MjsjaZvzWuMkMpdGrlkv1xkr5KAci848OaKTwF72L 2s/9fSL5BLEOxe5QlYw7etE79JxzHcm2bIsAcIleZBPjEQJKLoCgeXqNrP/sYC4L1ydk57MUHUpn Xw6O3GA2RAA4rsoAXWaxaqXRcauyoc8E8i9oCibWidSySundfuAooGaKc/obQjwhSvzJOXgPXEKg 8J44tPqkLgvaa0kszjPpnxvmPMtTz+AETw4KW0ayahgodhqhdW0xjAUJCU5OUTk7IQtoaYghsU+f W3AqTULo5E06PIxvJaWTZF6h6PMjW9JFN2Nd7Ikvs8u5TpFuvA3OAoemDktxhciofVpL2LRR6JMy aPiAj3jDW1dNNdxMAFMWGPlZJXK0PMJ+v7+7Bvsk6xYO7BI2Eoz6xk4jYpW9ajh6LhvxHQzBW1hh BR2rAdKm87ceOaIBs5V77uQe5StwLHGPdpY/tgJR4bTe5R1SyEdUR5QpVIb1CXqGrlPCfCHWqokj uh6LRbZqcRG2u4+uPoq/nbc+lWV6zks3+BFTZKQMwaRSFBVpE8o3YfYcnTWIgce1+E9lMvmBi6h2 +0WJsA3WSFzlkPZqKHCrQB/U1A6flHHyLkuGWMEXB/eS7OOpHcwEHekxyLRzj/VZcrVdLuNOD7D5 BrCTEHeVtZ5wU1smTN7T4cSxCL3CRB13y7YRuHq+ZdwbY2WSqQHiO9G4w/W1lRGkeR8zxlC7gZSE T/9pJXpggovxdxW81gfnGRZ9bePtUt3gx6lbVsvARWhHbkO16grP7uZXHuFctv3AWDwrZc3nBsUp 8aYJ9sxLjeYVLtIslr79bAU44TiL9lOojvwCmaooXHVvADobUI1XEdkAS+ThaE9jJScKRvJtH/nb gPBhcXEoiC8CWseWeoBIO2LRZQvOD2f3Xk3C09TyvKhtcqLkGPtporLaP6X/7PniUwzGAkhUsq0z DECoPKsj4NjJwwtEeFVa24zXWY/R/bhp7QLbLmaPJOPplyQr0loiF+z2dUbhOsFE64JL7bV/tb9w loUA4qCOxaXEf1rjUdnevE4ZlukKNe09UE6O9lU9RoBLKEFU8ABZt9qBKpJh1cRvwZ1TIMmVniCI J8Eun0q/ynwyoGDhwWTtIybegbVSbP8pu5FUvwhXjvEy6mdDeXiyhyzzWRtLL7wqYNlC2yrjCYHK uM/DL1coRmBcUM+O9fW/WalUUXZb+eqZQOutEc3JBBYEJOOHjm2HxPxBZajGkvgwT5Ul4RucA9Ee 66kVdx97Zsz1Blu+XK/NUWR/goXnGy1hdxoepfxuFS7/KveHrHTFy4T5lImrMgtTU5WjND7drBMs 96TilEhihiZyvI00ZMXsLnexiCYgyQ2KpGWuCUTi253qcHSAVuf2qbjFpVjUKPzwAeaW1n+wgPtY N/akpIBDhslh5HcSgFxE9zbmsugCecfmmJq8WmDNMOAudBJsvSCPk2WF5aPxNnUwda9+EG6Iccjl LUgAsVVG0zMNtQNHUiHgW0dJFh5swDGTuT4p+BtkVQAQoP8zm1qjdv3zxALB2kTYloAyEyE1Tdfd DtNQWCWyVATE98A/kbBblV4AcpZM8VLhmY6pBE3r9ztiKvQIJGynxTg4EDhD2ld6J35EAt4NXHu7 olsaU+7SSG2eju1jkvaITtKI05qWvbaKKnI4F5S/L1Pevdz2qK7jNEHRXbLh9UIjqNwBCfrIkljW d4sDsvDBEhWSGtShzaGRX55yLHk4NWUaJMtUaIxN92nJ+94nc7nEyn7nA2eUCjtpyKuQTRxhpz48 5xPRz+Qq2CIcXRHQZ4MY1rbARicuvMnxFXkHeyP2bwX/mrcO8GMtJXL0xKLZnpVS0c5JF+4rZFFJ nq/dFR/+IY4EYhJPFKB87fO1HxcNDpNDOvcB/algJyfoBdc/wKVyONK6lE2tugn8EYQq5Ig9diQp wodjX6IsBM8dLFmFZCBBSkMKdgDkZNg0l7suXpheB1iarrptd7tjKI57nePpc/g+xyp/DOjoSPbW PUa66fguy7aBEFabc7eazoBL88Eunje13pmrBR2oR7jzNnjzm8JwmwRgheEDpC1rCe+kEM+vQxBR eBcNAwreOVk5ENMbWMFGYIn+/ls8Nup32f2sWMdp6uXdnTGkwC8sWodK87Z1gnadlYZNuC1vTaLp 7hZKGRWL08p5bLXwZZqri9QVfANgG5MU/YUa+mS4rF2DY2bH0u+3DD/yTeyOowBzU058bwTWvoWH snvxGwe217QijPHzVPNLn/UqVOV8CC8BnyS//05uO1OENql1QnckOJWHIAHYxBh5NQe+vgPGZn6h sBYzeylP1hWqKhzbLj3i0U3vq1fho0p5iEsUqPnsr0PkP7hKNcPVojAS3yuf969tNwZDlalEylR+ 6tD7xotnfSFkTYbW0+X6sRrK5ju02/C0UBzxBMhvr75fakdJzK00GLavsKSru0pElXY2/zu03qP1 OyX6aALqun4X86ieFjBUmunQc+3yu7J3mzlgL8GQ9taW1RmtCf698aw/ShQNyf+lSTCZqj2A6wn1 yNPDhQGZVAHtwY0rpyERE6bY+xCzHsz03AJCXqAoogWnjZ6QWgjc0WlOiJ0A8wYYzxe1Ji/Y6Mpq uEQ6tLs9GvvtkgL4FJfK99+KmOlu479H+GYIVazpk+zBpL2siyLC39cXlUJq03X3q0uA5vJbiTsc Ir0gvmjj+QgnsXWDkeL/9eYBsmzFBUnALoma7vx5AT2FflzNg51Xc4Y6/cyS9n4+RgjYhzPj2z9/ Ew6XchiR5zY8pau4HoGz9Us1Y4C6DxCl637YzrKd4fTlXlfd1H+AKh74KHsq5CjAAX9SpYkDvGWl rg5KCplS0gGEq0Y+hqEX20SHDFj7PUY5COzlI0evcNf86e4RiP2cstEz7lJ4z6eG5jG1E5CtvuT3 IDNsnUkOekd5WJUsjKYe9dWfSL13zvSINqAiqrLlfq9VpT5MAPNOYDaEfan2kBnaaVKyVe4k621B c/W04MeeLfyQz2c3ZlQA1wvEolbH2oxuX+eCpUgb0JYF8sU/gIubwjNEt05XxBWw8bh5a6/H2WhZ T3Niw1H78+dnyWRrxsWAonCNPvI6M5wwCw0T92n8yx33enU1nl5t13xQME7HtH0f2KTBKsu1nUxj Ebhf89oJ8zXysd6F5HqMxPtJXWjqItjVocyNpOqhECNg9w4q7Qrnq9ILma8i7Z7QbMlrhHmUOURq a+GHozOsnKrtDBmL/5njfSsUqfzJk/O3umSFoh2KVWOOJNgjKhUtBDm7hS9fPOOM9HIqNh/Cz6UK IMcmwtISrMropei43z8ENsy84TnqQzM/xZnmHFq1RUbZcrhqyyVxGOhbikLltV/WCEe411nrx6XS OkLMjOxrltr7mKEeatZhFcopffJwlML05QhxDnvMjacGtxKl995aMFM5EVkIXOyqEcRIiBlGTFRa pSb6wY5sWMEqntVr7GwkegPWtSd+3uRfPVNodq+aeJK/0oGOmlmHuWo0wtMvBXY+pXn6WhkEWLyB cPRe4TErvZ8u73ZlHMlO5iDx7R4Hjd2vd/Ie5Ol2uEEM29LthiRjV+zOgfjqMSxM5M27vgasHBFY hVujZIIcwWLmdDHh+kvltkddkXJALOLDoHWFphMSBkJxJUH8w2yuS17e8Wxnt6aw/g7YwhrlUu0e IJWN/fA3K8hiyP3C5bzKBrY5fuYE1R88bleH7U1FJexnV/wgckRe3MePhvAzO6nMLS6x7MZttK1e waQQcp8IPPcEzyKlxIVOA9Kto8S1Yz7WRXnTQ68v3ohQpnPGcxXLUAFa8c1fxw1MsAzwkxsqnAAC uZgGlJhk6anF/V5MqQ210Hp2Pa81F60Ls+n18BdxcO+U8qztwX98NqjptJGZeCHVkiaiOsGXD8S5 YzxRhCy/sfKT3udQLMn/oSeXPeMHbNgsU1F7btL5iqWJi1CyWx+ydH6RiG2dPdNqG3+V+e5fT41Y njSV0wFVOy7kRzpar+0YM2Qlhl/gEuZcPZrIG8sPbYtRLT6e0KpqphChGEsNg60LY+hEuASGnA5i Oq+0R974zeAxlrRAMd3k3Ij+YXB+hfI5opXlYYRtFkaeT2JkvgINrWlucfh7WJ9RpR4NqlMeo+MN spdjtmGCF9aI1OfkcLOPj/xdS5ysS05kHHJ7TbrdTeS6aZFTP2h9hI7nLOJ7y+XyXPScwl3UQ7rR Yv3if5wC5hopKojk32FnpSfBYZQ6OY5CMmQQVfIb9lI24F9lPfu1jt+tLRX88pEnha+KGZTKJONm xseJUZrZwg3TE8b7AFg/T/S4TMiN47NgO+QLW4l5JZ3PtMF0j9olr6XC2p/R089PHcooL1h7lBjG WcB0AzUdNDatmloArfWQZVoqQtPXynUfErvsMr3lHsstm4dDT09L61WJo5QLMVo6WRcK03i/nxoz 54RPwWK/ceEACr9gEcd+yiKfv7XlH9KYEgk0zDdYGn6iHTq1ZSv75iyAysKXUmKHNISbm0aP/NaY yx/kdgxOZ8gy75gYgK/zIBaZo3oIfSufX+sz3qgOIATyV7YWK+BNmlc8j/B35vp7dWKPnynGCCMp sl4pLrYUW9L1+qzHAEOo1/SEg5pUWFi+dM9aIY/fZeqfxGclnN74f8URM4A4qyTaegjBlLBtZJtq SKe6deYZEncgi1izPdQB8kU7vsoGc+Ebinugl6GKqoxs43Ti0/8m0jCTVS+J88kPxEkskGNxOeSG i0pfuM97MO4DZmmEu525t/Fu/3Lp1Ql9y71Bhfb+BVNHkCUhMH0/kc3+YAuNo7sPpI1+B41gfY+x cVV0cl2X7/v1n2ddtSPnxUoMc8ELLb/wOlegVXqJUY6nFhRu0bbrmaP7MIafBDfI8A9QR2j3wfTW xWEHS5zscdDI4dJq15OA+seWzd3bsw9cWu66vkxxHTi/WhFOVG+LxJJvYeCrGZASzoOQjGSaosLa 7fx+cAOcCwp1ls3yco5OCFJhHDcgwOIGb2DKpk0mPkXpl654nc5dJF7QyingjnQ78brHDr009b24 f2bCK5tDPUoR3PnF7NaNaY2xwiclGQfsPH5YCHgMBl9mQKcEDdsHVb5AkuyfinkVqG9qypeHWhQf 28lyiXwb8ooCp7IAIx0EZygEmQ6bdV3eQII5sq5MyWSPpbWNo1/KxuEBAgOuDxN8lzUfVgUbdHOn Fu5WrDaALtUZFvK7/2HSXCHep7FMcDMkLr7VI2MfhsxnYJfFZSuIwElfMxUWDFyJAsZzv7jR9jc7 HbrlgPQ9jfcRjrzv2KRjYBNpoLkbRwq//g2dow6tbKp7ZkmsVpOSVcFbm/ccfKuSGFirVJKiztq2 vu2p+UcismWtlaVtipmnt3HrrOBlrbQHV19rmTQDMjslOOvSsKLGhhY3MYJ7PMZzM/IsQyeFqO5+ pYI3rS0wre312ZAcnJYrXv9JiG1CF5TDjiII0VRoVI8mgznwYbr8LwrUvUylvUqYGpiOW+ubLAvb UdCI1TlwyTPW0NPTglhjui9H8NKb89YF38p0Zgd2B9NsyPRa4LviKh+dCS7pOjq7u5hXE+7+XMva VY7nXx6mB8lRZOVenxHej+TRRns9OrJf0bzPUyDAp+8w2769+WpFeGqzfwXNwW7WtEKMXcnvqyjP HSXkLA6uDfBPHhofXodATddpKiKv5bQ/9qsHFLfMC6xbWyGs6GEeZgGUrAJL37gLoB+jW1F7aI0C +Pf8fO5jDwA/irmQI/RrmUYm3PZKnUqVHx9cRtLIYF80A2bbA/YXwIn4490iaAdA8rhBauYreUkg /mhCw96eBn6eH2x7H7wQeK1G1vKu3hKQ2OZedsEs4usC1FkzK4cL3WSoR0cmUfbib6+Krjwj18Qx Xz73AfIJ7Vz7PFGq/KKHZoHWkbXSboGtNEZJJ4NU1Sg7qjndM+SAfFMhp3+Z/iHreuH+VRHvMoa5 45yFSGxtRSuA6UrNw1x9DgFr87O/qisKaHn6tKpMCJmw1y0CcXRME/nWnVnBK1nrYUMOZyvh/Lcb fjMLkV2ip6IduvkKB+Zg/h6WktCsNWdTxTIm+rz9KHlCerxDrLkcPqyGz1E3G4QFgQtPrliLAelY GehR6pJrwYFZTlrA3Zu3zya0euoDbuvUSPpUElN3pwLX0V+NHFJLvlD9xvB3PBJlnrxpSBE1pLfy RmsZRv37ADcoZZdLHKE89MlePUyQqYHyTgLxm4LCeZBlNhYMgYL+99Xf8esFcDRCn5bBSmEX4+0z JcqThA5k8/nTLEzmPnn0BUhqVXrXvfjBTZwgzc4jmtDqSFy0H1vXtuqGI7Po3XkrmTwcswwGGUyN JfU0ZBMv1EXTsHBdFJZjp9sE673mdGOKS/8keDASr5U3JcLRfY9nJiXle1oxDFYiNVYNcdWVFJd6 qLpvoMMIBd6mnLfTQMi4tM1HmJPDPSaSTYHPil6ZT7MwuE9JZtGditMHa9o80516WLhcP9dJpIRb gEGNOnMVsSBVVQ1O0ngR0UoToo5BV2DA+M+V+ajHsVsFoNWBHZAzpdPsAXb6zDBsXI3itvyQ8/bz l7CYpRJs80x1ZEfW5SFUrwO84nYErFf9/5u7+vaaXhg00yB6LmuvRMEDNlPJgGVJu1TLqRlQDgHl iYU0rA5S9uOyP3kyedD6GxiutOl5Rgb+wAmtYrU2xMOAT0aP2GKrfqnoXQu7uOIOYFCYqngqLVwA +JSeVao0CA7XQwxI+c6kkQbSrT+KYvxk2TqvK5/9lrKYvrrgaVY3fEy7cEUcj4RWaq519n2s2el7 pskyVMhdTdghba6lpGVbCKDksnYDdku5E6RyPYbenFDQZMSZ/JaJIJBS4C9Wh3nZXFuSA55bMWBC V+C8QUSqOuQI4c++5nE4MUUqZg3kZkNZqsDqvWTLbM/esVu1wyZLtDApkSEAcNMvfWk2QKWz6t7f CqtM0LcpvOJjJv/k/+uzo4RuKSSXmeHLyeQpTDKMgd0RgZn4l7HgqXTniZwuwqd+946LUnZ2yP7S a2WAzHHs7PjWjiyLHure57CpUy0XXvOmbnl2hVFgBJr6AXMvabTrhxapv39CWoZpy9jeQhDmkDox WJrVY9mNG/OFm78PHbPIW612XRDApyBClPTLW5/trMgReQCMl9Igfv5kfHyTPb0taK+REDCH/pG3 tIHs3lKbgHbWaSXSMgumKKbQdTcKbUTXMSBtfOLMwGRAki8uUO7raz8pvigkJcgeqZlePllXcqs/ RPzRazLY4phgv5GgfIx3jjP/+KndLAf22BnyrIKbg1WkfP6KVNe3UjbeyJ257dmHSjpu/iJXwZnu 6slwZTesC/n+U0Zb9UZi0R1Ucx1MMZBJw/rC/6cxXzV3mXNMpUXG29BPXlZnm4sCsyKGQfRm4jIz H7jCTk41AXyv/3Pi3izJzV9OW6/F2zwZlzwSjl2zOL/lYCJtMH9ODtBw3r6Xx/LoNA4EmcQjZ53W qWBRkIMdFOzP9TqvcO4l2lyiZpMyP83hjKYz308GKwwFREwC9jifDYo3um9WJKhHrs3OGACQ9Ibt lslxmbv4ffEjoAhuNMsjEmK0CE6hR4UtHiqL0Fc/yGGFXlfSycGfWt4RIPq7RJu4avxJb6pvBima UKtan6knJYZaNMheYxrnUovXIFuN8LOxtkRMe4oiKJl8EmFbxmb88dWg7bjbY1663qrQlY2DH5wu ECRW+CZVFaTaTZUvKGWmZnKAaICvwJ9AWtkiK0cIL9z/GoHuZqsj+voUAaM11pHKH3Wuq15wKFrl vOKnyofpJ5PqIeJUYF/pO5dlkaPuu513CKNNsWHJVrlvJI19GZfKValdpCgb/8j8K05dY9pjQzR4 ZRlt6JuLReSFWLXrs7DXIPyO8Hl9q/C0/rlMDYaAe5G2xq8fqy6yXErb4dKk5CX60+M5jqEFfYRc 3cTboYDX2nQJSJuKewGEqZjmKpmJePT1yYBvs5JGpRW+j6ko1o7BShrdcQIvFi9UAKQHOCcLYT0U ZlLLFCbRa6DW3KNq1j5DUJJMcjqf/N2UilotxU6GOeNIY5eN3y6vFOTvFZpT3iwmqsI765iyxVJ/ 8Uu28hqCuwpBCU2ZMx8mNbTFbK0j4pYocXXArSPYp1U8jSvnVes0a9j7cWwC0dFKU8psVAiVSTaL rRj0t+S5B+pCyjFl/DjGnsZt1t2rfA5axzc1zunOrS41XgvcCKrgxD9Vx8faAck5HjaiGDF6zJzj lhUmKhwjdxNfw6azngBpmezGz4fGcXSJ25zU3JyBUH2SWroj0tcVX0MyoEGYmxaJXBtX6M7/tuxQ tAEHFpHBuVVvoaYWMwVPuyl6MK/zSQ6xo4mDll3B9mSub0JbIrMo7JHxUGCvfi7H9A6Pe4kY+bb+ OT8cJZbiRodzB39Ld9BRrS8y3SQoUb8097N148387PihB13XKMr3H9dgHUhuFqnac4eu12QwLZ0r CUyra3ofM545DBu6Xl1yPUc0UypijccRlZHyppcxPLCp1VFsZLT0B8Sz+J3/ghosgj9LgXS/O4Hy 4rvIy/r8unyaQtiFo+2eVwKUBLXhP2lOsJSV6MWyQ3bMut5SMKwAziHrfGBdzqwfqnYOdU5GaN90 6B+0UI8RZ7W6gl4ctLQCNBFVWdNUmTxpIC1HfNtoMicfAgPqV4KYaFN3Ub1ECSYZ+lag2iFMw07k ltlmbEUEcEJZUc5+vTZfU24atAhSS9wNU/5eAuy7TqOCHpYs+0gVRC789JmeWJRhKi1EMVTO2/ER ovCL47bHTQFOk9IZeAlizm1t052i9U1m0ahMLnItWdAJ2uMtkRDWYeOz+K2fxB+Gy3DHRW5P3UNt 5hIYWQ2khLrw58CU5SOFf50hi9a5AzL8WxRvuaiLYiXrPvxf14Qti2Xo3NA0NQaezkhWmkS+coi8 s5nTd+wYIWVHRpch+hSfW6jiwXX1BU+kEcoXFXXZ/fI6b3H3PkytJiXMqsY41azz12yNuavNR3d8 fc8TMW5LuO94yxicsp4i5CAZG0Y6gqcHAiVuu60Hlsa+wdRL5RZcPT7TR2ea/PE4jYsgqEpPa+RO P8lzxK9Vkt9NZEU7OTT/I0sKx8f66Vfb3PL8+r+iMUjp+newnKt/sqHNMQReRLDnFyOCBn2Jrcf5 BfKDBZ01dYkD2CajG0NRysmRyrMB9CtRs9N4I29Aq4B04RXYZAZ96mZdqIeZlMXpYB2/z/MZKJoC evazDZI/x6xxoAlp8p5tsHgbpq7/DYPzS/WdhLD3+I+KOOAXb9VlqWLq7pJw/JWHb61TnoxSmted RMa1sDuWorTdUJvJif78Iu1b6DXTgGSFAy5yrDc+EAf3qCGMnKq6kjxADtqgCJe5bPHF9upNgn21 eNz2hquPJOkcqe2lJONE30H2cy0Hx3SyOy09HcFtsI0HU0gVSmLulwQsqVF+eFZPyQGaNk5ltIDt Q0UbUbM1bKVrqsEYAfFKnhC4WozbofFEekPnrtp7xLCDze15sjE3DIhVxAK2VlF37093FZLqfuni WP0kTQth8AU+ehO1ykG/8DvdCRzUi70vWoQXwR+X11UrTK7eABIJ8yXa7qcDwm2yjo5iWR8dUXHw 81FyqeMAmqXiGM0r57eR+cYmcXJZN7mrzZirOHzdvNvN/phaDzIdMLjqzUwQxM2tZ7L6S5jMaghu UXWjnVv6V0/tX8Bvrwos+1HmlmHSWqjOCssKLPBS/+KFNwaKN9/+WX9hHxQJqG98EwVPqizi7pUw MrImiIo2gEh7ncc3N2/7AasnSjhDOlEwr6jgc6zljQho5B2noX8DVV1unLsunE5QARHw9er83E3C 39/yuUmN4NmRInPanenE2TN3Ml/0xKC3za81c75MqqMtzfYu5KSoOg+OBp9cfQcW+NWzg1N1tgX9 zGKBvcWvWH39jvgheAfZupZvwqZ9tQu/WXRuIrkp1eClvguAomMIPd9HMWyQ2se/ZiCwMaG/KUye 71PAiClyvmxXfOlL9kY5FtA8yds4BKOqtskXNaSxZhXGu2JqyudM5BAF/U191+MaLtRESSxQoXXC QuKqMZ/1iRnoZAuU64p4HUQmPBmvctee33WtuUl1LT/djxd60qcAHrT60p69aZy8/WWoNB6Wrvso OQvZCJ3nmCKTfSyglBQcZY1DSJrHKowwyvvetCL0D7K/Z/yd91aLf3Fhg/4vIHIMrqhygHwC4PPq emZvIPR63RaIr23FlzcjGr2scZVWqebPJMBE/tUWNDpjphZxToXo4LiKdGGDtaivZWIUEHghArvE oO4hsXODA8cwHgsTeYkLcjzB1D0L+owShQHs5r/OiUKDKgOLWSTkQ2L1tZr2T32b8/MPpcDFy1QM zaaZKIVFCTpKnoCqpTbgf3cA+8IE4/oKT/1M4jRpes2BeWKOMz0tLVzE6KkvTOykpjK6ZlaxbOYG Nyvff2rawlFXtotjoITKbo+san2OB0e6/mh6RaQaA+WNZnmBiS/BpAj1cwsHU3wZPR3pgrpUucSq HDd5Yvh6fBB4380D43U9O9/bxQfNR09C3ajglYPXNpq/T1asOsHfByIKby9b2c8PLfM+WKsQ7Bd3 soLngTip+pEJkzZ+L4p5RQp6bjj4bZ4m/BMP+V25TTs2KMSAd4NNhDKuV4DF7Ogn2mgRJsSCifpp LftJBCWIOf9ZWXg7Cdo/6f4hNi5aF26lw7TJqFq1MUqoYKcC5mLSjOTA407fWeK3XJMM7eE/4Ond /ig7YsV76KmB3r8kYU7g7xNId8ADMkNBkKbwXuNrCFC/d0uoyNhro0ocGrDimupXLhzvyMZIr+fx xCLBxGS8PcsyIdt2Q45TETzZppyP9l5OZNCRw8cWOGCdkL8Z9PlAOUoAdAaqRY/tjQWAyRVFTmFr 7UfHFTo6C7W3+cz94djYyEx54l/lkgvC2yk4FKWUUqtkESB3buWHeXLCCFs3Xw4vqrRxJYBdUCM2 M9vjRgEvrY50hBYt4G6okkMKvbBaT8sQwv/m3sTQxlVkCYmsm3Ka0JoHVBMcPjtk6Yphp1WgE1yH 1qmlbAxs2Dn4eehNr0V2eW90gY2ww8OPGyzaOwW9VtVw+pcr0ozkHrma6hygZVz7aPad0LIWr+qJ frNTJljPpzSnahANQNifRa3GcJ/wuEByV0VjEPbwLrlBWo/166/7qTcEgOU2LJk8a2bpor+aLcC9 AuuGZVW+8eSVA6afLUxuTEVhv1s8nhqSszoG5fSwtR0VHmVjbRekXsoMcTJ3qdQvEjLEsWOfGdn/ aj2VaHqBnUMJ7+0W8rYkE4JvckiYtES/0lANY5uRcsNN/MVsTTIu39AdZa142tN4fxoDnrkMRj0u 8tYmt7d1IjFh7L4FcMmAoQ4HBKuZlqN9mP/Cy+z2tuK+XYhOHaSTiMuamIZ4saFwdDK/ZogW5HAL eortZeZNQ6zpYPFy4BN6HmNcv7+VfU1R/drGUYPOW9hVpNftAAA5dpoWlbZ5SkhaENMqspHBkaEd Dev9BVCHsWFokN0q3Av3MINXl40r9WV1SUpdpDZAZVA+vnv+3g7fHB0dMNBQB/jKDvpn4905MovR GfAHEXjVTFgQSZCL7C3wV/VMCOZ06MN5tAQssx39cI8Aq20VlUo8qLnY82uv9psm+Dg61NBsl2aY QOVyaYKDs1I1FnnyrSqySEV37nBtTxMNOnk7lU2sDAfYiybv0R5Q7b/cFfAcFfgZ73ZaN4yOwysc UUQ00Ib6oda6WHfjpGxIDWz6XDyGKK4nFp6F3rTRV2QkJG6uaoedEanjPQB3FaCx1HYUA/JvQ8Zf Syk/Axap79BsjkIYaZFYSYXnf5xsHBEG52nnOq06zmRa+iFsQVbphaVSsOsqDfJtdMqfFlBvsS9T CTyWD0dkgSqmVU87lO7VHhjSf0LDCUKFPnrPPV/Zwh31DpusjNBb7FOxtanEFGFuw5RAzpzWB55m 6UhUdjNVhbYbFB+XH+g7d6jUIJnKZ0jg2zufrWpGo44SkUbMM8ALoXQPEDS9WK30brUqZs7CrCom i/eyRfglALclkgyhrBRjNcOLXuHeim/KH65ShqYBcjl9St426DhFqIOfVAfhq9Ozn5TzYVyvqFpk GM37zaKanDUbS4w41FgN8XkSXmquK3QyFOyTgVf+yuY66BR08RRoJlXYFMzYFIK+ZfEWcAbdsmat h6xROGmVgqnUXNjh5E0MXE2WrPn85DvzeFiYMFNpLzQ4HWzgGYw1ZTdg6Oe/JfWKC+wD0zrH3/XQ BKtzsJtdQ8YLnituHF4IkD5e3M1Q8X/vqpiwOkFi0f30DQVVubOY0Gxwy/7Z39v41781ZNwn4Zlj 9IySh77DwOa+C+opTErvEq4ZV1N2ysednqxjF72NvFvDnev6IET/w0U4BvLgg+BapBd4PMtA9tyj 5iI8GuSnHI/yKQG/595fU3ijKO6sumU+UUifMrOdt2Y+NsI1+dnYjU7IwEfuZQS1vzJ5NPujI2/t SFmd1eNiAz3RR/dM6fbT/0ztuRmAcDhfu3EZcb6Jq19vT5siUnC6zEUenXlRJsHnHJUiH5md/mns w0apfosEG4NmNdajXQM5qJRGdImCZHNeHNBsHRS5ZPtExZ05q1Pw8Y7zRPExFXo0FYm5RpH0a6O9 GQ0ZdLcdyWj0YuclkBSNdpDeq8A1dZmwPfdlv8WrUSSgpLC65zIgGU0yjQnjVfq2lf9FQlXf06T4 9wDhHSUUSAlZpAjoQHt9Ct7qpXsSwoh+E+8+DwjPexbDlNDtsDOo+y36dll4GzT4T2K/cPgqCLck yODNM0t5xQSnmyw14vYbflO4UHYDYZhQOwNXmID8Uo5X25D+L1nVQliIS7ADK3gpI9dHvGm9wP2W HnHsPex+ylSNpfwc0QXc7uE8stUl+NXH+0+QyHP0OiLUE2EB2KfkKuOftbuQ2etIiJ169/C5rbub zUHH/p7s7GguKQuk2vnELVEyjt6idDTRga4c6yeCXSxDC9/BjPUyoUKcwFgiLmxi8RcvaTSPdiOu ag8zCs/pA4Wvtc1LwUvrQHoywRqyzUYgYsEff0aHdWmVXUXWNQJrc8/CEEe/lSSyj2HMwtx/pe1d q5x2OfFJUZnmAJ8VuFLH56rOndAUhlNn/PBJmi1M3O8dcgMOXZRYHCGBunXkh8WernysQ8tFPQuY auYDNN5jkeszFabgHx2AL9ZaASPG1kWifSGo6pcqjvrucN15hGGPvZdoMeUjUMfRVmZne81f0zDt I/v/z4a4v9rlUjdjssFEjuXbWrBZ5BsF+O6uePWeFmr+o0Lo2XQ4eKWmCMX56en0GgFfu3sKJKLV XKsjCpxLQylJWSamOPpyjZXqkFV0KBa7+Z29nlZdtt/ge38qsoBZ+dBiq9HwEORYN2XLpHg8Tyx8 XVgFKeojWdnhXSS0zjc2XRop8qz91MOX2+VA4dSdAMl9L4ODE4ePjtVH7DqtbTuR9VRgnOoohFk4 IW/ScLqOuFGKZhxBPFXUU0yhlWgUJyBec7WnECZX4W4SKUQOZPD9y5QdYFBZSHEeaSv/pWlpZ9rK R9GN3HwzyZDdgu1rPOGhvuWfCxWvx0Z5M/n85wb8MrcjBeIZ/tfYEcCzfGrwI+PWbfEqJJQVFn6S X2eH9Ifud7gFcsxIjVInbFOYfjroDrKlhjbRbNfyxgRenqILejyHM0rXG0GjaDxBaTvGM6ifCB/8 nZ7wGbXIF+I/+IlYdI4Y1/JxyN3LPtq0Tb0sDQi2x3y0ZT2Aq3N9MaM8kbsN62tCVZa4z3Bd1u+H QFyKLa2DYtdZ+3Yp3JamnMT8FEVK+yYqPg6BGG8XFCBxEQDiKxMx9V89EAkcBEvvhNU0Vdx31Nfb +gxlEPpqRWLmla4QqJFCHVpduJLsD5RY+sWOb4pMz9tvwG0q2tdPc0/lwh6O16CaZ3GciNLcL431 lFIIYKppV0vwCQFgws/UQud3qFH8YIyw3rMTzu3omyBM9byp7U97itwEM4hYSu4Ad0fpDtJ4DyH8 N8VAQqTRcYk69mcC27qA6pQ9OXs2HBgYFSe8YRWY4uVJsXNrmfHuZpVfi48QA+w0JV1zaCliE839 asX6M7elIqGolyXZrGUaX3ItKXzogMZVNTW4bSEs+FiS01/nMx0XMUX0bxEDo3G9fkuOY0mg7ZTT wv5j7zy8LJgHrHsd9tmDDMLlG0fK2RmIzpYbU3ispHNXgLe96QNsZItAHI55ioM+Vh1iXNTgfmbd Nk9eEAmU5/kYijyaPpHgc1J9cSjRGrhMKz/Nk++gDQAbW8MbFBXxpYcwTLUPKPjqWbc3SvaRVFe3 Dk3/+USHi6sns9ViGtDHz6so2ToUqHr92UbOfclRRAUVB2ihROtBcz6wtr2MkarA0o0T56904PjW HJBX+KtvQOOJoeGiBZJm4eFgQdufrBzoG5H2zt/Cruc6lKTDCcVCIkR83kLI0+9R+nj1i2KYHnDh NZklu3Xq50RXEnyQKspSYjNT7An09uWdotc4IAzY56psDaVfjBdMTntm0pg1vqQmGsTbxGm7jdzL tgrQE/leKqgMO4MlJf2kYKp9fOUlpWXGkcqa2TCRs8VePZplMtiCKGg+BRkn0MgXIDw6pFWRlBnS BWiXOWFYWFuPhDHx3YPxeY9rL1MtAc8X0643bxOXMgMZSfORXHMiCtiVeRHpr66d0aHBEsRQELPK s6rh5TbGNuP8nkrxuEpyI+XlCw135yKsTkOwxZhA/PKJhz1nzrQSbOvAcV7PIJmAmv5krvEc56ie P7kbPDKFbntV04LUCnI/zfwUUTT2g6x4ODLXGNbxQFji6sxmWgDfz+sEZDjpR4BdqaXYApILENxl QH3M503nal6DjHRlPnxyBHnh1AgM7bcUS0HxSf87q21qt59uio3kjslt/M/eornPgUzUFGsOfJL/ G2AOj2PtfSZ8xMOwKFHmtNpQ1J9kU3IIwAGLQbKoOy1uttN+qlZD03hipQdkk/NKbK0/ZigzheBa /Joh8trDF3hCBHhudzHJ+YhwR7nrkvDt/4JQCl3N/YTFB7G4NYPZX6eELG2QJf2CYhncLB2NvNsr q6CmmtfMbJHNbqrmbhYEDASoRp/CTnNihgpwJkjlA2Nr3lTvpQ9fmOOfJe/LhvLkpX9cX3gXoywe 1UMw703X1TqTVBPZfirnZ7sJUmEgS86RvhB2BQSZP1sHiqroMAj9NpxmewEDGZkQVSbmNW1XSJkA Y21hvkHwYc++ByC6xdWqEZFpoGhxKIei0RUfmJOOYS4cYX2GXY/SSbFfroKtYb5ZazRc/G3MpI9D lTcx8R1rYNcPFYTedZWjIy5apVkuL4ld5kGu0Sd9zOFvIyPlibYgxz2LBR+YoY3MIF5jFhdZg1Pc n8P7viMIYpRR0AvZuEpJPgJbhTl9aFJNmskwAJ61U1T76xOgoLe0eJ1JHXXdBZpifZtP8diD6s3f x9I0q6DYMLdt0QElMBID3SHiiGPQfDub+Gu8lxa37vs7ARoJb/2i7gEXGzVX0D0WATOJOaKt9v3/ DDhprN/njRb9SwD6vq2Cjp7hXhuflznMVwVEHhW8S45MArTw5Tf6svYtpgG9WinpKpYtv3QtctCK F70go1crVEYZbR2K/dthAjGTQYE1xfIIvBwoSBr1RvxSnaAreVRtAvE4D8oaOia5P9IeWdCx+OpQ L9QlnxnVPW1FZFwZvs3/UEAP7bmuljqbQfLybwwEwUmAmzF8VoLhhWvIwY5jnuGpTqsQi0r7Bm6h qnVG+WEsRNu5IYnwGT2j5DtekD66GiTaorIVnkX8S4zByKcTAbI3XlSSHhXtCmy5rghPMfCPh5wL ypX2OdTK+cMQIbMkYIJWGvYaNgBmtkubUjQCz+W7yXYCvTb+PtxgoiLLiC/DF/aCzjHtV1krizOJ Sjo++i7qpNqx3LEvmrvRqfmoPKSz1AQV03LDaNUUs5wsjQGo/0XVG0hRt3tG4X7TGkTLWoMB4fYV IxB/qzNHfn5AziTMV9ZeICdqBxNmaf5pUbgY616+val7oWeDIqBXRPWdsDfuHkCOtJ5TJyI1izm5 mNRsGnSONLERQBDvV5864dN8NhjdQKbN+2A/fpCLn7rNB3lwoDZvq6xm2qL6/HUg9YWPGSjsNxKR +sZdqcagCWuKSAT5/PfbEpppzTYtBH+c0RM05PeTL1r0Zl8Z7aTS6ZCJfl5qW/LjM+B/R6hYuZhq wYog4hj4/4ibSOH0TzaAAwn9wzIRJGqUujPryBG1BA327j5Ej488qJ7v3vNopl7iSRTZRFVjTD6S xsiequn0J5T556q4Ly+n3/XJmhKaYGO4M1Q6aHKutqJr1Bw4cVe5PGNW5+h0Ifm7pxbxSQzvVctU +4/fRl/s6nOUeANB15BGCpjcuNZKsBhCMe3QtpCgP9UD+5FOZx2vJEPQkM/TlnACKPNEyoGoyTIv Iyr3t7oyVmOnGZv16kEXJPagJW73IiVOrg12mev6kllYFEAmb3pDmi1TfmGsNn1pshmkOH65j9XD /GLRc+jBtHYPcMDAMKSAJDr25J67xLlcW1CFdhf6bYm0PUEPUU8z7+WTmq/dzXXSOFjxVk5zWnDW wEdbPKoNSvPP9beRAQE3f2XYHGyYTQ7Y15iyhw0JQjc4IrljGdKNIqc0j00bYav42Vv9fNkZ6LT8 gl13opF9PypNdO3gn54gD0zb+PZOX2IXa9k6FfHx8hShqmlA/mgGCiGa3zTmXiJlyL6DOrksbKK8 SLXRNw3OJe58w6OkxPV+QGRQUAP1qxyDQbRbPNeOUHTZoTtZihkeYDdJPqcRV3F7W2uH5iHHNwl8 pxI1p67f+A/vjeTI3NRe62C9jgmg4pPIPQuUnL/iKuAdq4YydJFhaRLhXYri8visi6TUbcx05Cbx aD8XQ99Q107osc/8ogeish6Reluv5CWMDyPBQzqquj8aHhTvSejaib0tHcYu2CAcALObOv/yzzQL 1uUMe15fePrR/7dGSCnJ0Z6dbcHOqKe7r5pqPbZ6onV8Jf/G7VJ+Zn8UercwhVI9MSRDR0uESmyT AiN1iRW7a22inHCRCux91cIbgnwMfVdBvu4JQk7wnW008jeAA6U8BmIMcpiZJsO4/aWE1GDm7OZM 03/ML3c1nOYFJOqdRbhdY7uDE3eHhGVGBmyT/DDBwJszC+/9R4vqkHZxoT2hlCsFNyxDnLyqbbyK 5P0Gu2bz6WMkjuY0clRWYV0TxLT34HCn+nubaqoOHjO0myw++9h7QtuDCe92Jl6lsbDSUQZVKRka Tu43ZL0WWU/4p+OcwC75bOF0ZpN1aiayY3SgSSJZTVNlGOTmwIJgkvBOyrXqbOYI8HFn1x43+ZGI E5p7TgtxrbLyLl1QwzXlhd0AJy7qxXvvdU+6nFuTPLMEwSlD4WXTvYmWMYPHhzxaUkEJW1vPNqqW QsXEkIDTWEpLMqxOnOH7hMkGmrhNvO5iW4hL/dFRPeXpoKBUu+vCGxAjB207eYDCSYFcHIEQdAfD A1wXwAZgw6rjXpFNykCxK2KySZqs01niYx+DvignN+goMbQun9pjcU2bUQnYZ9TR/i2YsNGpFIxY nQgVgfUe+x2LecQKX6TfshvbxPXREJP8jVP9L7gJDA3Z5cBIsxiu0bRofKFryEM0BdYw4JF0p3P7 E4APIiTdTUcDeor8cY+44mZeMmbBqwyHw1Cluat2fJpiaioA34XcA3otNifI8hkjpK4nXDLlXzYV XeQesthilcdvGH0KEduAsgNNQz8zX+aZpDoqwftC5ktuNXFNkiCTFoYGHqvTAJ8xTniqwI3z4Ok5 EhldcBl9xn111QrwLAaaf2KhQ2z20zGQ9Ol6/OHXAxoug2tqwJyrGe612fWE6WdQsFmbuqLdYcN4 BYysk+GWH35gA5E5m4pFbeioFvHhQz8prX9rHLB0Z90yEmfDRf0pUAZ7ksfrFV+4BDS0lKcBbnY+ XJrtElFrCB3uUyaq8uyPdHXroc27304RV+KxKkuqMdHwvP9fbDXa4XJQ09G+M7h+0k7Xke4QeFuT 69P/vsUlLT+kPnMFl38H9b4yywyoGl8loV8oyl3FRtVwuOgksaYzXCz0rqZkQYD77O0kJUm0oeWj zp1+meBLLSYtk5Ve71/8nA7DW+h7HhxDheNVsWuup14EvYgvlhWhRqQbFQogOOEUaMPV6l3JNYzp haoeiwhmMSUqLww6xegkFVprQXzzsuQ2ytpLYmZkedk7A8Sg5CNSAQl963mHWiTerKdKyOWyzt7T Klv2QYZnZn4ayU+TqT+M0hiGkBitParv5ZouAnQvjCrk2tXygRq8B0tDG3vyXdCdy9MZnMWTL2bz l0RMggz5s9SoW1OnynOT1RyvhfGCMdxBL3nawQ7sKVJvZY9RdzkLmRrMUQZw71VpogKDq6r3AC8n aCZ5PK4i5bjjQo2IkaBAX4JlQ9AzWOkQ5Y4OXkhUrYF1Zd40PbRWojorJkjsCKmvUtnmlJ5z8I5D AFw3tuFoX49PdgPBvQQlaOmpS0EyQZGx5NtQs+DZtAz31tgNGyMwQDBjZHUulBsitpqHUu86us5r J5b51bfyg/y05xYVT2+9n9MvKgGab9VAUcJ0CJgH4ob0AIKfUpcGodQ6FV3MKlS37Y0bOO+0GIAd AlnxQB7DLbm6UwE2xi5uDAeN31DGJy8WQhskRgoUm62FVaVgX5+6lGNZSCy82h5Z5I1WgGoWUImv N/F0nuZAMXloSz23OaZbv/WiQ9DLosLjYwvPKlPsdG6MQlKR7P7R0dxzCNpv1rZDW23yXilSs9Lz huSkhLZXG/fWLi13Qgal5xVoVd47X4LD/lxa7M1zWb2L7xj1OfgZotwF4pRT3WPbkJj3Ss/QFGQ/ hdYyDPHRVgi/3l1+U3bWINcYT8oB/qpu0Rf8b6JP7KnyAVrzrv8r2gSHuqiFwCb8NgDSR81DikuA gRwpg5OKJzx8Xqf2hHwEMkueC6NGPvgcCz2f4ifnXxSiGPfDvGxxsB7EZBbttrWwQeLsSWi9NVa5 3AEKAFfS+d04XWspMXfk8tK9kFRxihhPQ+c2efx4aboISPvU9mVr9Vxm5tHQ91JXjWKIRkQucxlr jO68/UY4/ESB2hEG8ISE5AO7vYLjaU/1OfGB/YBS+u4AiPWbDH+NJ8sgfF/yLCEBXTQVCIfSUPjc o1GEucisGskyvckcmK6TkbHIlZuUccvGdBKlKbG1T1bokH4Gq9LEcNyo3Q/FsUVaXcU6zrULaHKD T9jmzWifoL/j0YU05ylgZyR/Cxi/OI0Av7lUeKjjVo6zrj5GvQITqgYuFy/3bdIk1+67UiTG9GVJ 8GpJqguIlU/Aszi827arb3fMwOClkyXHOZcYZaidRNLJMbXXws2NI9txe9xXSsyCUuINvQoycDqg vunomulGtpjxAxJ2dJEVxHVXl0avf5cteJ7T+r4qBCduYqP6BPgnGU49RIK7H7dd5Z5mu70wtr3C AFtua+9WCEHeWAvEGR70KblP7oAp8MZX/5QEixLcT4ZadcB8o7Lc4TUWTwTZXAy8fdg2JsffpRat xd/O0Dl/rH/maY1OwXLLwQ5+RvBPThY3aSzf0esjclt4ChdZz0n240C7gr1vC4c4huO+drE88xmE DfpZQRGx3lSuFHVqUc2RLE77jt/DSOphH2bRZG8PISdNulYt/YQ9uJSSjHTzSp8/XyuAcYhnTxue /s15wM30wbpxglVXLV6QE/qZ76tn2TkD7UT5Jl2Pv1OEG5b9OmStf2akejwJfPjFO5uH8ez1qDmc rJJvN9f7Y1+3yiupjFSCAZxcEORX2fJJNd5MlAEmKlyR+s0Hwf93TQos7YljF2TUMlUgwrJ+aBHA KBslZF3vNaS2q7X+/BoiVUGle9ySik0beJt0uvAe3F/Dqxge08dEFtPwOPPTBQDTG0kvTopwX0Tc t3iLN9Q+9e8z2ia/8V7LPK3RG/6K63Hz0ToPTVi8x6j72CQ6sBqp756GkNNw4NIrGfZ3D44rgESw 86yXcnywPJDWNjQ5lYcDLMPOaLVmes0Bj/ahN7zqZ8hmUYdep8D6eW1LGErq0CCUibHO41PxkdNn T5XeDj970UeTM/m88ZRnWJC5+oQlNLcbcHQhHYnGb2VFDKUitsaGrHoy+WJUWPUeI7QifZt7/DIB /LU8kPqP/FMXWTM6lUUu3bWLs27PIOzDvhj8Br47loj+nWPdDcEqT0Th14pwNi/VbZ20v2wCMYRu S1WzrPU/ZUJrO3QUY12qCCRscBCnD5peTllaN3xjx/Qvsk/WX/ZULYfLvLGiOyht6OH3J21VftJC gB8KIL9b/mu8SSPXPJfEy13V/+wyS9SksrRt6hCWH8q78ymmDg3Sw0HFaZG8bocwYtGsB9jxmlbL IGACgtdbhCCCMRnfS7R7wC2VunfxY7NAJIxX5qjk7teaNgpCA3rSqUUFq0TukU3ZCiQUfpaFYp/S 2gTPuTvZExFfOIz/AvI7GE6TA+roAqzatsf7rExhRGdzZEKXJXXPL6CNqPdy0kCL0Sl9ggnVXPQy AcYr1Ehho61Ugm805+C/WhT7agKun57sZdsWclwWaWN/Obq9N1WfjdM1WRf2BFkO+JVga/Vo9HyX 9f3IdCddA8uAAbrQzoS4L4JWHD7j/CcIWSICw0uSji8f6jqOVevMaCRfoUdPePYI4yRSbcEBte7Z 8s424/gqGFsNEx1JKEgj450VvR3LxwcCzowELe3h5JyQz5/vZwrObSeVRK6f5QBCdStBfNKpLDG3 zcbVfGyoWDVK8rWZJCdrBCakAuM2QBqXDOKMmM+HHaBJxlxPH6JiWu5JoWmlwOQVqd5403OitMKm +26ORHdCpJpDznS8om4/H/rw0drVgxfuH/ZiCDns+WsA2eqeDDBP2xo60lL6TqMoxUTjyMYP85GR KRjnCDxQxsv66uaDJ+yR1e4d6sIgQ5MrRS8l5jUfGSo6v9ijHWPj887nANRW5Tuh0sRH7QbobhtC 5vi3s6j7KFseJH0WAvyZuClFcInX3RiXDRATjoEOpL010y659jK5pXFDaYjMb3M0L4ifjLniK/e+ utYCqwfpkZR9QRZ0S9RoAuHvt/VeHMc2Zpi5zTTLvbPuvssqL02DaM/eraMo+K6GBcnedw6A0cXW ju+8ZgMezF1YSviTli9OdJQZJWyGggs2/R8ozSW0ZJeZ85QiQu4ijgOVCVacMOEMj7LdwJnnV9ex QtERlUBtjzraDXOBN3OleNqoof4OJ1eGBgqbg4GIcvssbY/XXDw17oRQc0Pc+EkKE2ToB4/XSyrH moEQuWJbYLiuA501L3oQB6wMQavYUPiTEWpVwQ5z64l64NVdSyWCZyGPG6hHX/N8IaLRfRuK1Pem NZT1IHQBXQhu7Gcy2UjzcvjjUAJsJUbbhAl1JIRMnxO3m4kzS94e7gO+ilahFs2NcLG/pFuBM1yH ai8dUeMcAB9OvuEOu1LJB8/zEDP4WCC3fnfbDVmjnI5cnezmIfmcB/dVFwkZLnGz1VUJO3JHiKGC ziobs2YIJK90I8OgpMpxXGpYzz4K4tUGU+2LZ4SU/WhulmvJPDjY7bv2TeUb9bIMg5aCpYlWWdRV R0FQADqQpTWH9pcAErU7BTNksFkDkMroVnvDeF2pt0n1AJDorVB1OFuMBwmEFE7VUf5+RbU9u4sK WCrUbj6RO11e0X3YwBl80DfHa2cpYEZEEv3X1jpueBtMd+D5tGJKwOnU5/sT0rgjpIiRV0rGkn28 Uy1e1+8vfcOzwHx1TgG8aAh1hvh38FJDptATRUWiGv3yYoqJ7koNDA1JpsKau3QKmqLAVEZAK92V 2fsxxWjul8gigRmN8l45KfEfGLM/kPnKeskVgG1yX6S+vmZsM/ms4WVB5e1qTKq9js6jWysqXj1/ QH0jXP53J3XuIBaDITJutjhChLG4QF5OYd2XL0xCzJXqmJktBhOf1sXmgQ3ceixaUTl7ULkgrJEy PRJuE1rjm2th1t3vSryry4+NUzJoLTqQotlOfDIIW2cJwvUs1rupsL02SeLDm4KG1ENNEQxCNzFC xWOu7qDy3wliLeiAJa7lMLH/HY6s8NGpJjw6XUlieSfjG9Fsyxcu7n4PZdXDXlceQcLaR6uuU89T WyHt1nQ17ZECA5lXFt0d0CBb/UNVq8dr8vYFPHAsklgYN08fJTJ9iFMZjWBQgrkiS4bkOAPpAbf5 aQhKnjvRs2TWlkca60b5lMgfTHdYHZvKg/DKildO+bNrcZVtgGAkIckT6zSyNh+7P//Igtz9gol5 9yRspmmt15xWSDrun7205+nPCbLY6PfZ7DAExVA+k1Ie5XeBBeXd+l7dw/ZK3VvyYj6jXgx9Fef8 vJ865XRrUUMTA6lnMTnacoP01HZzDA72k4Qn3sr+J9WqylxQ2bS4EDvQ5AbzveJtyYU2IL+kknGn 7t4wQInGESsUItFNhxqEF5inzAQBlMCYFZVoR5IRgywTOXpCqv1/qpDUdfK3HbZjAanV6miD4lbo ZfeyEGplXc5BE7Ni5NUthNyD/mL2L8XHqKVSaOvd+UDhBnjcDPjiAvEOlobQ+7EjQJSZVTiY8d3e UgwwBnaGCSHrDD+Bn6cUNTvHjJhvELVEP92qm/clLT7QLiksnQ6Q39VarEunF0dMUTt6T17Iu1Q+ N6PW4E6X7M+jtYbOQc0M/FUE6gF/X4T78lU7jafOkv/fUTITg5T0Ta67DjXpU2X0ZP/3c8dPX0ka 3nfQnfTg1FdjazfLgEZyn7Mawt9smJwIsN1pjcbGmawbyUqHoeZaQySs9hv5lZKvasNQkxlAaxjL dv6svIXA/yxZq0havn5KsfPsdVlmYWrXXluDrhADoT9EkW47vz0oGQhFVbrSO3f2DmiRpKK1ZnYg 9OZYIha0bx6v/OxZ3ICs94A2SKmnoTEOcOSp6iSUKbUCPQlodIyk+OnEFKHNEXGA4E6+FBoAkWWW /YPbELhEgtglaeRysDNoBeB7Dm8GJck6pZEZs+9vEys4Mbv4DJL2gfH19TwzMtqblQQJiRNK+x8t C6DKMQaA+02lWjjwcCV0PfS6BpK/wLGgpqNELpQq6bMhAF2/L2C1cxkr5XHIS41t+NwFNkQYWxSF ji5yAuEgQSFS8C0aLe+SN3Auu8DdaMIY17i6PiQnxe6s+dmooeWx5myhA75c5S3r3PUzihntSkJP oz07byudLkTdka2/lLgCMF2JVB1MxXw4M2ZLZivtlqBuO21acjA1XAER466+yXZYISGSKewUP/QD p5GLeiikQnBVDuf7ExkFeEsBK9lhRgYfRI2B+GaafxC7ZDRXCr5OjDeBPY1uUM2/XJOqZaMb190B ALO9KedftHTchtrFXtfpYzeGLO93XlIMxmFXarnfqc/twb4vtb56IqjNBswdw8hXwpwW9Y6AfSye 42nESqTY3r9slnPp3Ai9fmvc/t7PmMEu7YJWN18f/RV1tnHzOkVHPmGuOVKU1KDtSRb6mCOZOzrR nbDonWpG/eTUNz5ZDJlFRnku8yG4Kpyrsgk6+eUZpf1wKFeWvP35ydjqowUehKvZ+7hTs3nGBKec S0WQGSuPfbdya+HEm0RB9h9+N3chHycZIdxsZ2Kp+acuHcNe5aF9+yyYlkdkriN2v0YrMeonU/hg UyUxTQLTzptubIYqzdR4mGQ9C4uNwR/59jX2KW9TJd1Ghm9ifCfuE64ypJAkfEF62327B2xSIz/K ml07V1mzMh2s8bkMO3VnGxuTQ3h5GuQ6JRum2WFuF+Sl5m6I2MeFGmOnJkE+Lqy1O852yz41vleY m3tZX5ppXRThDnxeUGKQJkzUEuvQTM3+LMokeL/X+5jOHPgS5AKaP/KsWfVH7ThCPZgFXsy08pwu gBkC3vslBsIgwqdPo4AfyLLi8+qSPPrHxfFS9hsgEWB6g3sA+zRstjih4sxGnds7D8FOInyNUPrG KhOA9BFgcYUtVvTUYhxJTz2/XHbdq8kyU8ZHKCeaoCsg9k8btGFCuGPc2fkuxR/cjDOh7kf97091 Juw0VKJCp3eUoNs/VxuUvFo1MiDKoMz2upoO+pWw6j23x4FTwdC1NXPRxcydVJYOjlPhW/LuecMO LmAYTSOW3KeR3ohuR6Y2aZYpXv5YrI1TVKmaTobG9mUA96tR3EdBkst71EB8On1j7n33jQcL6Nnp ED+EH67NDi038VmtzHQ9ptCjrLNrw+wHae/Z9QBwa1IBbgpnohsm/eijnhTpZiXc/u1Am+OIFVBv UY35BzzUWwGo7JRlKq6tMo9dTslEIHW5AbPbRHw5F/7R2em6LDlZ/BboUkH4UsDSuWeurats08Rx 3bpzgqmN0r7rIcPeg21HfZtYoTRO+8LbVprNn0u09Znu4wni5I3z74b5XUXcfSgsbQiLAhw4fV90 PXdS1kwzLhGHrK7KBiSHPhzbunWeP59AcaxK/eplBXy8Sk22nzyQI+JzIfJnUyw8mFk6cO7VDjsg MZFnLL1Xc1A0hMSIv1AJtpTXceYCQ1wo/+xG44xaIZ7TJMKXjsGyzfWQz2WVbJP2HfNpZr0mJqHO VS9L3hCnC8Sa6qNyVOOckUT2UPvgVAs+H5XSWrgcs1jr4gsevHfGcuIH9O9AHE39L8WVegLTt67D oShiVlrhHSsiRHcBAdWC+EBnbu0MfQH0y++CtnUlzmQvLoZEvYOZcy2og2xNseybL/7SkR8e3ZJB 8qJC0dJvl56gkzgYdYp5sE3v5VMREybzjFea+ZFBSFj1kjHamhAUU1sNV0L1t+4fscNIHMaGRNqV +GpmxtAe6T8zxXyIR8E0ZyGTLHg2EykidofFU3D85zRT309VhqhhcQaAf9Jf5DWPM+HS/V62Jm+o UtnhMWnXL6wCRJqIeZlN8uOvaQMzQ8C31RTvK0KmKUq2ANOAfe8sLWhUs0gQ8UYp5fVZx6UWrzR8 94FJDNfMKS2o8N6PMy8Hz6WXhBc3vcBloO+w1isU/hnantm8tx2ATPCCnr6TYAsLi3zCMLEhJ5RW s7GAsGKcHrcSFP0zbu3Z/ygzUUr4YE/rIvZjrrAXwmkwDsD8wqmWapCUqwG62+meZ9ZmLlN5tad/ AyVro+M6ZnKfER/cZi6UZ0KZFyQHexmrWzbvGOo71QVFBLbj8ib1jpmjIUxiOJZDfSQxtjOgdOiO LrZ3dqxMJO+3dk/RIo9ySPaMcXQMOLP1nV6KPW8379N7LJxubg2Ywd5KuGIqACR6I9C7PwQ7o1Np mJ8j83cZKBPwyd4sBn/NHJbtFkt8UMLZ12R7HMP67ExJ7Gg/nR8TysIIkkA+kdu/i0Z9jZdLzX9Y Cg1Av+8gYGz9T3p/WTIkR7SrUGVObGgI5ch44c1MlwqrYDPXZPy1RydndBYWJ4r4+K7Ft77gomd2 khPy6/576Z987+o662fJOuyqncLpFfB1WH/LKILcKJh1HV9DFM/lQIg2+IgFyIY5dBdIWv1jqZFO /L0Zmx9hgL1I9u3f0BsnDdreS46CMA+P7Q7YotSyXwFNHRBuZYnjo1LCIBcvG1hGSdUwvlzWHoh7 JmcdnoeHefee71UoZQGKe3BqaEviupkB5/chV6ZjdsldYOeVp/OXv17TZPS6PtutrXPEHGXDUTbc SY6A5rvmc3X22zCmRmYSR9oAwh4UtxxW7AE3OdDEwjsP9tbDzpeyaIYY1wo/pcNWLR229Kn4fi+O +waQDQsK9zZUmP3YsSikLWkxZja22QmengjhCiaZd3r13bxcVwafg6Qvs5mV/4euAnS4CQSQMgJ6 8uX4RlawwC7M0PfWsLCihq9BlimUR3sRoPBkn46D5se7eHXasp+1a/RG9ALgap/eRiFpT6djTUgj xiZ7kIbUEo99ue55/rmKfdPvbDTZYEnTbrPX9pwiZ0/VuHLiaMw5rL/P/PWCrTQM2oVHFpC02FkV Z8hosVqULjYEXfdRiiFr5gILVTvMAhFK23/f/ZklrKvOwFd90lUcn14FS8bOpIfkqYCeo6kFCRG+ 3ynbKObgEvTG2Thrka2waHsvBsTr3s2iNIglx7Y0RQHLymiidaCLGLJHh5wYWdqTUjEpS3FfZL0a UZtTtAPp+/7QrDwS6hcVwEK/vARnl5XfWnFlSUvHfrSaTIdW6v3OGbqCiFc9S/vVUFu6uyQD4HqS c3RuEpq2ufUOnlDQuTNQui8UN82Snm7rFXyvev2D8hwfNwhRA6YcTA224FL9VnXMoTZ7EEVT+Hab 00/xhP1yuYlHmEXg/H3OVADHzYt5rmb2OdpnPdBdcfp60J5tn3ZaK1XGdNvyhJQpsZzkhSEHWsqr W5gR8Qwq2HKSQQI0nRO2DKCcorguB04tuGwNnIwS8UlB8pxNzdMULXNHIOTYMlRxa7zCemzAjAjM YHFCetaKVv9IRTPi0Gq7lha/L+kaNGX9gMVaYEB41MB/R9zLCJxpto2VXdPBc/IYu57/rFwUw+45 F84FkSqOIkdJSvBIg32Lho2G7SHJmWS+ahyvNUvoxizbOk0/8ffCw/yTm85eMStmsbMm4+knjrlt IiAgVhiJKUsVepJKUQKzGlXa9lsDvFtEgeqhZC2Rrkmtgs9zwlkL0Gkgc702tt/RZJO+yS4tKp75 XMrNUCZzkwONs0/a1iQ7GvQOP3KHBg8WNcQAa8T96ac+F8FPiZAltIHUiHpz839URqKEK53Bzaue cwVcM+oZGk2cdJ7KpRzA/h/mVCW1Pzvocfkbipi/BzLXydbfB9GHjk50g//POKOq0m08/U5nkr1t 1f3LTFHDid3bt3CLuyZxqt+29+oakXxXF3PlxgYnmLh6ruqgLzj59d5M75E8tLlOlm0CzRw8pxYR dw2OoprOt49vLe2MMxDU+aZ0F2SCOSxpMqG7j5L7LPT7zF5Qo/eEHQr+JjlVlzf1+/QsPzTKX+kP 3Wv/vcuuxklnd4TggCDsQf7eG9QwhNSY5oPwyrWeVxpbAcHrmX5ZQvHXYjb66e6GrBF4ciy7iTx2 SGNyY39C2Qa2KkezVQC04FMYELgSuwcEmzfyCjCa5QrhZK89D4RiC/gisblEbIrVNStFOzvxDv6t NHApH36rS+BQGA1heXKyO/TQN5VvOuLA21HXkEYlwFE3Mx3eMLrnxMOuHVaBV5Q9fIjMZ2cKCuay NhSpxQrPgnLUn6PfQfgsTLvp0GitiKvPbt7PTTnzDgIesm+IT6lei0Pww8pdgtyCTOBZQGhbVC9v GYXLuT22YwFgA9Jf+dLSKnDeBEbrrVt0CBdL19twVxbiucBHRWXYTxYkGIiW02XP58sepjwZC0SO W2785+jNxaA63mFGQmP0drl2/EpaqQ8o/yjKkkkap4rX4X97cbi8Zt1I3NI69gDGNRlYuVmNIAEG +IcSAi8vV8XV/oZwyaFS+cUx1dW1kUep0g0NtmFYFYDE28il1Co/pR/Xju97V/4zkm5ClUgYAcVt PuuYrZWmS8ItWyHpofhlCnUQz8xlcJ41ECXe1Qk7xpMAKmHfKHXDWywAHUYowSUWvfjxxe9cBa2Q SmPgCrZSTPYRNBNgaaERoXi/e7MJ4R/gW4dOA/nHJcT939uUXnJBmcs4rqk6SPxhi/CFItN516JY wBZqD/k23STlHJRMa+tFKiL4F536YI08FXc/hSVPgNtyF0SZmeBgL+nUlXdwhwZlUB+ocMpgHOko FOeK1zPdJ1NDrwZ/kF9PjNFLyuL3PykC8Jz8kTxkGrsRJwee4M3g5XojQiAyAv/NbrI4MHoJ2GQk 4BLCFWGQa7hbSxAUm21CxfCXVD3h+SdmfSiO3sG/Fhz4Rf2dvmQDJvv4pDui6fUfCWz+wfDAfDEj SMD3Kq5ErJU2/KkLV1O2OF8gAcWyqpujul+IhKEE7Sq/twfglQGeyqpxuuxfV2RclbucUFjvxDr7 e7fUXM1BTF4wNvyg5TscTzL/Te/FkV/JCojVj7VxcJq35jh+VPtwi5iOBzU8EjheGhpWZwCrqt7f /W7QvIvQukYEgGslE8MITCthzrUAOBv8L3uL7QhQqIAzuvBB/GLc2YD9661Njghgmii3mTWz/ihf LLwPJQwTQqPRy3TloteiQCFn85Aq18VsHvmAIuHoDn8MhFO3lhVIZ6a+mzy0oCWoE0Deyn3Qu30j Xl7XCT2NAdoyy4hgEzHwWGHY1FHjxqynokQ/zFSbbBBeF/3cgqy2CKWvq/b0TNChXKdhhDFXGW9+ v/vIPjvMv9/q5uYxMKMprOvJwxN0dUWTxb9F7PYgkZApzsEp5zahycGTJA1aYFjsmcfaa34y4uzt 0hi4kjX4vY8CLA/UqozlUg3+x4JU6yWh0PrgI8rrIdvMpq20JxGp5hOd4fp1DsBQqwOlntKPa4Ao avXHSnPbl3khRxvdCooCv8Z1ix7ZIXbQ4hU9U/rWI0j0sW3+yk3G1oGH3G0YwcfqxHNG9Y4/nAnC 3y6ngwJIRzRIiObazsQJgvSRMFq6bXb/06Nvlw8C8wnJU27z5w51i/GRLRd3AyFTWfflsDqfCaxv JDX4ciFzfIVLzJN3CAxBpCjHuy4sBPKfcWo4AvsQDmqxEWaBtEkSNLmt1CYwCiP1dwC1jMN+9ckl hVNAj1nub1mZLy2cvTFq4OXGBXM0mzPxHYvHXTzYhcxCvFwItOJ4HoAiv6vK/Y4P8JSySDmG7Nb1 Yw5v6lGK+TMJXzKhUjEni6t0IQ2eIEua6s/rNqrBd9E9hBZMCHNgNLHjL2ClSEv2a/dznY6Jgo2u 1Jhh6lvrTWamfGTSetEWZYwgtZjARgm+O+g6a9b/TVsQXVhOptDVoDsiMXjKvqPa8Fj3JtXMJlz2 yOR99F3ma+wOHZsJz6g1/HDM9ShvWW8AECZkN2ZcQyLXNJHmO/3ktENmJ0gEOCVzrTcZXQnZchmO Xul/+f7yWdyzWh1cUBH8toRTfICC5i1qfZpw9AHHiETKH8EpnriP0yVvEV03J9zAkdC+D+YNA8jO Jl2fKsYHbD2WK0uQNNCL+qYTjYjqUVRkjkwDPO256T8w4QGV7JZC0FjaE5E39Xdb7oT+bJIdHgMy ffbab+nQsgaAGYHkDBw7zuc0UwsPNDEALQISij715SWeEZBAfuc2HDVZg3XkyatbUuZjjYHpKxsb 9FMVkegByLsk+kM7GogvTXLjgkw0DKrmndXfjH3oB9+boo6ZbqlJ56G09uQCnI6iDWqinwK9+oWi Ww/TqrjpDQCb9+ZYe1EobQKgrT0A9Nx38IuXu8+K8127P9mi6nLuTecsc/YUZ2kzMXbJV+YI6im0 eJ1QRpzOWfiidnikrJy1AV8UX8nhy+uc15xQozb4ddkwXpbQeOqtwQsAi0OckqKrKroRP73Bc2tz gAdXWyC6DPEkv8bc9X0l0WcMz6yR3nlxytgPBvFIn/0MY1CqMDOb+QL66IRzohN4wLpHtZ5odm8A 4Gukd/mr2DDekZKRURLYxsTQ/lj3G/PJzGWyy8d/d6oDdQ2MK1/zmg8CZnlXELZM3pCRBDF/VTU1 gjS1791YZ2EesYU+OgCWl0oKEH04YLLWCQsRabKfbjMHDpp8PseQZ8O6zR9cKqcpgLEVj2ejZTQw uFr/BxrPfLA3LyKTM1PaUVMMwo3c2ox+DEvlY5D3jxauEzwSpDrODeL/8oEVd+Ef8Fg24lEOqEc6 QGEMdYBI/XekXwbEpO1jxXQMsqPXoCXId0pdRsj9A9lgjVE27I8XHOT+Q3Oqra28vO/Old+o+F0l 3U2mInyylHn5G8Olw/FdvTD5xzT+kKoLW2o3XH4mi8+PICi2fV2mcDrxAWGvd/2BKZdBNY4bJl9W j8a8fHMMEjMOWpok+1uvzNCjcdOb7R88HjLiV1JXT0j2hj+HeWzDNya+AMRF4jXuSe9lLtuJaPJT xMrdeJioxsZxbZRn4ie50ye3qvSI6NBm94xpZLqxZijFkxwhGFZ/eww5FEp1pVLfuY08yJTX8cLe PA1eghmjwyunSBtisIXPt5EVYy7ZvHAr7Ij9GC0ZYfIEpqOrh4Wl9O6VN9xL0Nf5hxtMLMos2NAK BF6TTloLGYdHxPn2c2NaCly8e0kbCLiw5bD4KPTTq0jW1d8coMlC5gtJgI8I5TBRBeXJ6/8XGxR6 N9xhjcLXoQqBq6ppF8nY+2n1wTrXjwFjHAW8xGgH+HNylseffVqeEkwwa++H5R+faq27ZmYusydY oEYdCMtBcYSSMJKrqFWIPLDwai3KQeKyJbqZqOQZHW+DhHFM7rHihfBgTImPyX6tNoq8vebXDJS2 dxkkURIHTu11jdK1poNq3mMymnLu9Wiwyk+sP3mdRstv+TSGSX6GJB9seZIsHme4+Ldd8P4EQvKz Eo/pnnmxcMlV+kkAjU8SmN7h/gY+zjbaHqvHOPaW/me7n37BMXjTCnTnoYP53cazz/9iMLadr7B5 o1dfd6puCHjlFPKDsOnn6Cy6HV+sJxpnVBRrrcBdBOHvLVcuU+RceGE2/eIsOCrCf//K0n+neZgq tHT3p5WlqA/th8DZw162cjBmHo4qBmSVtA/elAbP8PPxg9UTeXcy8KbnKegK0kf8aKI1Iao6Axfo hmY1HLWZmIX4IUJf40SrFRArArUw4E9heqz3WPorbMboUKDlgK06NIu1euScjm3q1lpLOrvuf7xl fvZ9J2uvfd7Wc01PgfH/BC/Z8C1kpBfaL0LI3UhIe0E+6jhlgav9QakBPMoFhR/abD6q/10SuzQn CJ4R3suP3S0DfdFZtlZZ5loCdwAkDNeJB491Ehl44jvWxHIxAzNLkVQS15kmMoRXQNwvncm2+Xic HvDix4oZ7Zm2rutR5Zwb25OfmSRSKdVwHKftPILPFRBMI6C0yNG/nymOVE2pSN/7/xAUhoqlJNP2 pirSp7aIEIj84i7o9YlUf9dgcbYiBMfMsZXd6rB3Iv3xI/1tajKmVf3MuxvO64TRL2tEkKTEBqkG 5eCLH+UUqX3gQg+Edsc0vrxX9i4RGMzCBpXONSoC7O/mloVa823KNONOwVAcP1F+FZqgea/RGXXM UEvmqMTFexZe7V1MdHoQYv7AnCJtFBW3TXN7ubYGAe5vFijidfAgI0vwm3qDmKCxpFL794MbKmBn s++r+pPk3exgVphXVyqV8kYdxSgqFo+FRk7iJtxl3mGpaj0991sKhc2lxd59e9iTXz9O6MF0BBtN LN0aFoeCIUprO4Vv0p86Dgz73VW5JXTT9bZoRgNBI/7IRHBE4WlgGYVIXKmxkRt35A+Q8TGcir8k xXAdSiR/AFQPWpN619ex5YvZBobO/Su/p1Urv+0dX6ZaiO67DY0VrUJSGMn4aCHBIu6LRyVCuM8V MwRRWtSecfWxtoUtW2tSd9QZDOLAUygLQs13dVORdPbUy3tC5Ap7QDf49p5J56/EhP3ePGOW+4Uk qOqy0LLjaXgwuzNID7400Vd8u999EVANKkBkmoxll5G+v/etpNymXDPVP5YbQpZjCQ8P8jxuMZt1 Ihx6DCCo9iibv/sXdqL3o+nc7LfZWghexcpEH02uJ4CsDwK4E87vfHHvOtLfx/IZ/Nc95jTft3A7 igoo8/BT/Eh2qXFCPoHWpNQEq8KW+0XCsNxrE4oFbEASasXNwOoaEcqPihRLu5h/t/3LzeVL0cA7 hDhF5tuXRowT3D1MMAxWrbLIK3Zrf/TqbOGDbXmoIFw60opIExg6VTglrVN4o6/VR+JoVd+pPCok ESBtHXrFAeVMBV6u9+dZpBA8xUb9KnYBDybABxp1TB5sAstSkYegR/Y3rOccrKjx0h+77qAFtbO1 sW9BPUta6sLjqWsG3RMoG9ASuu1cm9nBouXN6XjhOiI5BiCDUaG3fxtyH4YUzZsgNa8+edyIpRL6 GIBeSFDIzFhZuR2uHdgcr1/bSxgEG08484DGErJ2CEuuwAtGB/lZgVA31tPR+fv4g2RY0IpsH5bv WhUacCWiQKRD5NgmFHM1tNqcMjJkbkiooErF5ITEFtgk9z97SbhD9i8nQ330QmWLYv9IAsiOOnTF 9VqJYjvbsvvmyVvF1fSEUqjpVgqRA8ciguAsovxy8C5D/STMrDWC6R9IjhMnFP0qz+l2Mh3sGZv+ w9NxKdeKyV4oit/m3qDQ+4j55+8Kc2aawfwdV1iBjpiOO3GfGj5aL5GZlmj+EuF1/ZwE3y4LGIZK ran1SEyMzVemqkKyNdaGGRCBjY+3WZleFnWTWzzjw2gPFQNUd7I1qJyhIyladMTHziT+cmBM8sa+ ds8JPFIZrU65AHkt5o6ysi9BHS97K1fBAD83Ma7FJwhT0IrRcD7qBBOC8BrEIxcLvVV2ysACYOSb l7E0V312wJ17jzIQWtUiCkVNbBdr/iFOdvv8dPRVuX1/Yej0MRATLz1WN1JwM9lKNZr0lBnZi2+c JZpr6WghJr4j7Vn1fcco6h8eGQAw3idCT15Z4PmRQd9OThRcgPLAxcUj/F4W08nMIfoQBsE0MniW W5kcbGOM22OMtiTJc0HA3hSCt8kEQ/z0jghYIxa0xSq8Yrun6jGG4p5WMX8/fkUfNCQVu0Yx8ck3 yjdwrUcZ1AwV4bbs6GXHqkPhPt+a3AuDVLurGKspMNpuadlmK3fPsv7Sxp3LRuLSBFziiYKqxnZS SQkJWIUQRWDqSH3/kXl3qTMPr+LtjdJwZj65Qo5FvI5vXQ78+zu7IBqa2bBuhmbjjLGQUKeiqALw BQiAhx2DdKdqZQtBVBH/WI5yj8Q6qhW/b5x2dihnBCUD60LqORDwGYlKwXxxClarbrS+oZf+jQyn p6xejSPEq4KzhT5Su4XPs3xEvLb5oCMrFYHYjdo9BcA8rcRDr5t9DErdL5OHSsLepooZWUdSANnj 4/uVGeJ5LngfxwHxUtFOK3mBODuBZ6bFmz+MXhOXvW6jOgPQCLf1m4Zs6aRcfyJ+mToXwHEb8J6/ Jvc7oEHkp042EXCd2AlnnsEo+txC1IdY0xFMIXlxY5LzBekJ/UVwnYiDOLm4UCCRZIedrMIGTa7n YOQmVLoFkMCaCCF9ooDWX4P+PGhLe8doTKZXX8wmwOjORzTysD6eacvBCUqo8ytwYAt+p5oHVcFi uqx19smd/E15qcx4sqvakidegrYixne5O5Pb9Etrd8dLy0Wxg7dshxz4J9I+jSTmGrAo7jFFda70 8QQCjMQnjfY7tXu1ksp/AjyiIR5WkvDOb82YJBJo59z/bRAd8TP5NXYSxZUq8WyGUCbYfZyh2/5Y G3dquHw3qX+ybj1Pl+zfL6BKSHBpnsoy1hUEpy2Kla4IvIqMYd6SEYLd027tV0JG5qAE27UZOrpO tNnLf700jqkTRrIjzxvvcf6CL/Yd6TbGtVHBeo20J+ZsRaSESUEFeWj+f9jiZZcAnYc5EoQR0OBA nQVYTC1gg8DWxV0sfS0BZASyUWMDny4ORrX5m56pso5i3eyCMyyGqNYVbb/vutlE+xTpNCOqHdrM YleDAU6Q22D50/brn0qfN8n0+0Z8YxI3bsY/w/1vHtvJ3j9cwm2tTw0/dx3AGWcA5pngBrem7NuP Ey/rVAlIjmJTvUpt4LHDrAq9OsRAM8Fjwh4YQtr3K+gWU/XNX8HnTpW/iu/rGtrffq2C55ReKI6I 62weyWrxBNfqK3vdKyTsHaci4JcgLfKoxToBp5ZNTIUtJnhb01hdRpJjt6DK5zmXIgKY+0+I8spR +/3K9CCm1IND2hB/CHSvVV4WCz7FZRREqLqZo62Spwkc/TaVFrPoZXo7kBcHntSt8WFCDZm59K7X nc5oHcnvtPiz1vIaS/J7QaexDG3FsBlRc5m4YILoAJdMdGRepe5Tifbzii0VJEm8KcglmXKw+vmt moL9jN4jgc2MxQWlDps2oBaBNN6WCYCtBlpdhzzIhmjdIofukaLu/fzOEBKUycPFDNth4sux2GAC 3T8hEc7/qEhE4/mIAj9bPTHCJHake3Lo8dLXk81NNO/G3xVM9LPDdmX289Z0HCNJe6iAwq3O7iHp GiDEMHjgx7PzbFdznzlo2fpdJYu6nLyMlNuvV3IxLlDh1UOMPXs1qZGplHI+O4nVfU3I7Mzqtt9O J+t2IpTW40/PhIOzL0XiTnvHCzKfJT9JiAKE8MiZ6f1w9XYgSW7nkvOZyaJM82vJ9J/jltjWQxwf gCQP1qpRRA0bCVVmvAH7C1pIhgD5j1oC4Juk0jGzg7SHzqWTJYITU5cFIRnd5s1waWAhHzmu6Nu8 7M6olHSFoI7wkFlOnsw1sqcBrJBo2DBrMSdtMKjT3OkJt5VLlG9wmBaoGPFZa5NceL5hVh6vuWKU FWKeAvTLJc4VyZ7s9LFUo8EiljimEVPLFYecRVQRfyrZ95+mRrURe6jUliCtgsvWob5WkI32SlPs eEe45Po2OKnfVhzgdQrYoY3GNXOk3r5SrYvqr3u9x6DPPYV4gtyre5te9jja8tKswvHekgkProrb dkvXzWE9c7a6b59rW+7axuoO80iWPm+tR791f37yUWRqOQi3yGnWXMSouMGmmStK2+iUft6HQsWt D+m22NjoOER4GHYxpG015qiuRkCl1J6PDNq5e9Zk/yaQZ7dRv5TYn1kcpPY4ZEJTfF6HRK2hX2VK N7smBxpIF5c0Kf57C9zBtaqIf8jaBM0q9b8wnad2bTnt6Y/BPj37/G6G4Fpk85RjIBoeoddp24J8 4rZqpB5IIsz4yTX9rzac97DCOjaaPBgOp1J8qWeUK6hIK7WfK70hGs7pB3/K/vB1CFTub4WweBq1 KtwYjaRyIrq0LcFO/WY1jF9FmfqoXrwDL5y3udcBqzgnwZgXP3GsBopr6knEObDuNuwaSvUWY8tN kOQAIaTBy0u4+syt0iIiqjB0GqGNUqKGqyiPFEwWxot7x0HJZ32yvpyz2XIMQ10rCejFUYvwGuik 7Pj5LKBfght2xcKZeMQO2oKpqqlx6w+0QH8m+L2BjSqYsKi2gxg6W/xzDbgmIjuSQRjHTSxxZhcT L2xsf3GYe5BVdAdNhAcuCGYRAjBLy0XpX3TN6twgGWZGlFxnqnzPvRMBmCX/MWt00MXZajZijYge SSW+imQKRuRtBbGaq7K1HdCinPBWD8pDSOcr7c3hb1kFFhYQ72RTelTobsfYWcO6xnExJx2P2muA znhijT4WdWyrcc55sKHCR5aWCIE0djux6A7ADgpKZFD5+U/zYBl+jlt+fgcYJT7sln92VuBwzNC3 3+D6erPFtSBvo518uuuAe5YfVCujWqgbSAQFj4tD+36IBFbbyHjIUCoUiE0V37jHW1nyCF2Bje2/ ON4I2cAnDr+53y2ocz8A+ERmOLu2DD+FGiMRzq1cwoJBkRvljUhCIjpQv/ZmL0eXEw3KGgme4gPO Eo4ar7CUNbCA/090dgRF41ZqpLhVVPdaY+/1VbivWBOPYpnlP1FP1dzpbTVC5MwBtii1Rt8t3Sal K8D277zyIMfvvzJS2ThaDBgOPd/FNvE9smT0B+EiXyle1qfVNv5AnghV8SVXjb95OdVZ+d/PDoSh RTm63aDp5v4lpzTbo6W2B/evQHrDbgT0XKCsvBh5t5WAn2m+9dunAlgU04s6XahTqdM7sg8kL4iy hpZ5r5KxHCPW2kMcr/ZqEJjcYwnaKU2+PTsupKHd5IqIXIR7Nkby/6Xco50pskyF68uhJ8CR7mOO S6q05Oz1do5kI/LzXKlRgCEVuadHqRmxOCLEWqtZHye01t9XpTTPBbRqzYh/hlnq1WlNHIqh59Ot GkxXcK4tAoPnyjqvtSDqD1DrIsuUSJxkWduD0D0V4ahztYlBPGEmHyNHJUCVBaHZxnKA0ytcS8lk sR6eK3qQJNwQCClbG1BHdEy2lwsR+dBGxt8kcc2DzEHj5hRKK33PyvgD+wZnIjOGftGUBRQ6yQc4 VCLaMdrfuaVOBC7ZShClYW4iNw8upInhMzBP7ENk5SfE3T+/fxJ976QSII7TbRmvvbWsCpdahvXF oi4qG5IAJ1VK7i16IjJ+HTyrJrrStcAics8IHGzl3KVVw9WSYIz22BCgDugJsZ4K7ZXOXYnS3q2P C920XdDWCaZlGRT9p0J43vlEhm50eAlqwvtp9jcBaNuiQC93vsnJQDYEjFyB/ZYgh9HbuIlOkv2d D7dYeHlIgHECTtjNtgp1oBxTvRntBqTENv/SXvg5xtRFjqYj0yiHMIL0O2Jw6h98HrRnqj4fEx+l tNo41sMgJY5D1ELJZJey2H/bz3IifhaT546qAAvrsP5+H6+Ql9j/7cRH5Y/P89w3k/7Fk7thOu2A GU/oj9M1TwhTWd5jjs21pHdnTSmTweEJKXCt+ZyC6PfHkdKlUg+Cey7UgJ16VyNsC6JL3tCJYQ81 6mqN+OzdsB77+4J9jgMNJHlAEji2uDChX5sgId/5GfG0mcQ9xQPRNCYbY+BkNDuSSodPtWU170PD UF9X4AwleMbqOu3OHpUym+6LImXSB4gvaVNh7+OgF7d4DBw7DMvENBtzeeOT3DoTnZkHI+iZUvz+ u/fAkSB4djwrlqXTOIUAs2rubaHlX7oyAe7e9WpLBP2gqr9DKffcIOBc3I/rOz0FcCuShNxugCRd hggayDu2cDmjBZqjnuUbcFAJqHHbMRe7quseQP4T3AVxQvQvzPhGV5OgFeh6JOmCxRQeSyGYi8LX we3umj7T3hBHjhCn5Tg8/Momcw1RIBTVH0++6H/Al1gDYKTKx4M0evZHPRvw11mhmVx0CUd/vkXv lGSIT9jcvH0ReTIfvneEJusk8bOC3G3P6Av+JfBlvLHy89KvmvxlfaRphS742y3CEOSzy8mTXobO MRSxPHeXS6tm0y9COVVzdmshYYWsLddFnJaDwYfI8v7xM06vvBCq2sPumaPeMHVO5FhtiFRM32Jr 1hckg1MNdVH4gWI4dEGQ+bZffs3mgbmnIdPL1hEb2AUVNEf0qT14HE+/rVQA3wDQnSc5WUq6PYkC 1vrxO8gvB7RFfXNwxSOV5hJYZBQzN82KPFhYtX6ZWdcdXA62F6I/bGY/PfdHaYDixykfMPBaJbB8 KR3vPPEPc3s+A+G2WChKacIZ0GwluM0dUOD1ny3ZtTpvey7q3H/7JHA8hDWU8MFKlWu4AHdxy8zE s2stJ+rILbZjbpZmMBNG7f9C/yQM85JNY5umLrQ+q5s6DqyUgluls+3NUNJJEaInBie+I7z9Hkv3 f20H6t3s0k1bU0P5xRPFb3ArGZlk7FcIeZpRXZgxCHzIQiCuhH4z58/6khRGuxSnJTVQfLiUxTUm yA4vGaTgrATPgv94w5aOpPRhpseo8SPK4hzs/46RCG/QZobVAArnPUucwL4lZURLnV0UtpYCCtwu jdtzBzWQAiX6U4PucIQsvkYSD0npqoWPpjkqBdWSJ72XyKweFvT6UELa4af9Mms/EEOnh70NkuDt f5zHZwt6VRh6eocFkvuNkBN3NHAgS0xN2E9AoiE3coR/QNHHlNO7fuxIHVLkSqwGdwTvp6xfqkBz vVfVX9I7N0QEYr5IXFmK2kEuv3vulcismsAYj2UQtbXWSlOr5lI4QLIzcA1HoDfbwVvvK/PQ02UP fuJUg47d3SVbZF9eK4go+UyPvpUBZ8M2mStE6xtlHC8Pqk1SNSnOT/P9BMkdHraFnhOXK+2sDzDI hxBDV2Hr2FjanKCEvbxUWsF+0BWdsXzqr9XtYqDFhwbNjU2Fc6Z3vI8Fe+1dDWbEpzvaZjF/00uA sFsvGZ5b2NvjM4FIheVNl50PhXIqg018jqCBcWMm8GQGO5LZ4/sYWy14SacrDxklV1J7LCIV4KkR kxU0zjoolQnb3kQv90IyPkYUrc+7b+y0WYcjUfMvXdhaXDq0QldM+hzM6N090eCiD+1c5SIISh4Z ovcZtYcWcLy6U89ASSoJ/COi0ttdIWlu3dXCD5cKC0uJHUeaxTsmGIHSwFjG+zkjHF2gRYVedAnl bI9dKi14inYtZEoKTE7BuU6fzs0/xgVFlAU+5FuxUmcNHqt+tQvwoG0rGuFCbY9lVx3wl5aRiK9h sXZx6PAwUdzHqgH6kmy9f4CyyFF5axbaYq4Ojua/8xHioRdjIqg3cRlfC34W7HWL+Aop0hyR8inu 6W3WC04K1l3oSkA500/K0UrjLB2C78rtC1zjpqdWDhKKp8xtKOCW5Z0LnKqBLz3/hALhqIJRW6pS QQYIAWA3VKpbkbeVVirjVKgZR1iqeqkrdw1K8v0KUkmOqcK3BmdVJYJ3/nN8uOnoPwFqF5gkwA7G SjI3gIAanDj9cebi+ysqDrAHcTtalI97xI+beyQkPwZfzxGvYf+pF+3Z4H9shpCRBs9wjOTbsO37 h1yxAd9MtjPNSO/t5z6OCm9T5TIIWnSvT2lzY2UUkh1+s89tyX84S7q2DNHx6A5uW/1TL+6NLzTz h/tF6MPnk53i9Qis7Fpc9SfdfbObkEKS+Q///hcK0tA2xQinfhzEmH3dvcfxddLz/pGvV1SzrqqK Wd6WWTA3F1e/qhg4lKHO6cIlSikbxr0dZjnYFWfdTyRayj7MPAjow/bDgpI0shLXa8dBFWPnD+cD UWdx89i+bHX01LqkcEgmyzNDrO3ALMpHBwgOrdHnedZ5a2BlaCt4F+iBbEoWcN0Oea9bjiRGuHG3 oMhJx3NU0Dyw83o/gi8pQ3DzY1IumXBULtOE2pm5yn1IMIzt0WPb+hTGXtH7Gl/dqKzKvyVzqRHS uBbPCJLDDNtRd3DuzWLsxwSSoXHiAHXqWuozodqQwO+jEDxdSrgPx6v+7TTHc0yFOIF31cuW9BDY og0o5RIGIFxk+lUAxG0/PNrsZEM3q1icpsnt+JcCQibweHpvS1ubvzbjqzwrK4qJO7WPJofzO3RY 9wKmS3j5r4xQjnFDOsW1kte5NhAeyD4CX6lDn8DYswBWnD7hR79ui/P5+g0cLs53bSRTugVz63Me YiQ3/90qzAj8q2bPrXsII9t6s8vVSRZkvdsPjtxsDsNA0VMOjPZ5TLz958tVaLSLlGHW8U3FEOJA UHP7udPvsbX/GdHsur75inx8y5qfPR6Jn78LYD2F9ABhtrcKKZiAUBDeL0dWGUa1+9FZiRhUjDns sP79qCBVOx1de6MdTu8JLUxYSnS09VOqH7/7blR9/aUiXajNS3lrrGgDFZV/ry5RLVN7dkU9y0vU RqF1+9tbDyDLzHDBr1ST8FVPN5jmzBYGz55MVrpJcL0oSYWd5ded6VUIai6qhm247vQXcIF3BAxS 7UJIOfeEXlXVB1gNYj4PfEhth+cl3UFlny0AT+gMfL/EDGn/3m1DIzp7XvTkEXzR4mZtRt2FG08l JgEIsHcKbD72cF4Uo7aFq8aAOPcNqoMdzFtUtpuHQ/oSlUZapSnGhCMXVXRPxPssK7SCRLIxj5l+ pvyZZe/ZlMB6sLqjn4Ue2AIwnwU+51QOg7eSuNefOz0ax48/XKpJN2ONMOunSWiV8AuMUpUe3zt9 fSXvjEVGQ/ScityRBxszi6L5WUrCBfDr1AEUyzvahYkTLQ+Yf9V+bl2qCIcRWCW3pNfU4ZiM4MUU WDJ7sooLalDPJRPAx0SXnq2xQDsjykVpdehxeFUtaWfgOONvDnRTN/X/YUJZyLGDyCFHDCbXnVTN cv3+tRkzFMtFwoQgNWvO0/ZLb4m7gRXvOER1VJSUsRZau9qJAlP+zGN7VFfQy08DpmZqZWCMjwoV sAgCdsaEEh4D5Nwbvi+Mkkssutjd3VR1IYUeZScT5jYwYJ+Q78qjSa+BBxpyJMr6utbgogfgVDC0 ydQYiRxdh0t9DE5sGqLctCW21DQxLWWYWVzNQk9engRk14eANRywJBXIHNxOv8frzy47Pv6MMhNP EiS6ezVkBhSZqPGEkRyqsRSa1Y++AbL9ivKLuYMPY+PZwtrOzzdgouh/9LiggQ7KaqBT9tQjIZSa tQrjqe4smDZEk9Hbx8Cso6ay2Xup1PsNxjMNLk2jkbT58v/25zY2hWNFaBD6MpmCQr3s24iNtGOe kj45L/VBmFf8LuXynzcyh5ZMMjZuSU8QcO/vHb5pLTLpN3FDqY2tZgohycuVGvV84D0z++4a0Z9m enZrL8tM2eMHH/wYhMYf6Y8H76t1PZveIl6eClhEEB2x4mREnjOtvCrnOQ1IOCOXV1fMfzqfgc5H c4SOzNwKS8byc7VH8FvTxVentJCZn/npqN35tcg6H1N5avzY++jHIih7xwZMm7sR4zeC5aJ7NrPU OYCyurVPPSafP7LGTPjb+bvWEoLtdocdemdmgTnaqDTH5q2+vldl3uDaqwN+P4ZNWgda/Ih0rOgA TdDnPMdtTwVjjwMKrO+90eivKfyFzVWnExffG5f6WJ+JzX1G2ER3x9nSx5LRQ3rFI8Pqowosz3pd GFmtzczJWYYibtp/bWyzNJkdicVLTWhUrySbiITQFZmjOs+Pyoi3G/FjU1koGg1ZRYFaQVtW6Zt6 9lRc/THVo+thkT6XzRzexWN3UGI1zFyKZoHW7v1NvPyMy1lfyEmOPI/sMkV3EtmZfrD5BhBhJjWN aViGY6p7yw0IRN5V4aECjccWnVuEAxs3CrpfPiHl7rcwepvfjMqF/e9sdAuBv9hRRHN3A5GyPxcq ABxsCP8duzMczKxptztTV375CjNacj5iqCKi7HUnDcZDxBxBBjNQYEQbbYkiSmPrXXcBwH/Dy8by 7kA6yrNUL3SXdgnr0yzj/XHcoeShjRysNcXRRTg5szDFeTXAjMEAASEA6HZ+d4+V31wimCyzzxv2 2jW0hlI0WEBXv87xl0kq94SqdU6/rTY/oyLbCifag5a2ECYYyl8go4cWGNTJhvs1vktbiQPbsC/o ibNuO98WP3OqZMudU2qgN/UK8iCkcCUK4wP4uTxRB6klbN9om1iQR/Vqyn/KJG9dZzVsOtXBGdda 4wkD2r4eWBD0upA2ZW1ov5B+lpb3olBl6OVCgBKFu9sVcZLzhaNot1rm/lK8x2Ys2UKJgYFYzI4B zJ2dyQa9EaVo9cu1zwYthyOM4/pkBIonw634k2Wj7Ea6nfVXD1DhXrkkJ9Owl/qT5f7jZi2qoxCN zAAkOcMIlCdLj2KPE6ECtTKEtA0FqKXQihMHTTWzC99Gzica3oph7jb7JE6V0giuhB07DOc6kUqE y1YgClGpikepNA5QsGhv20ZgvZKbD45el4tjYmFDE9Ke9k8OBKpCIiRjAW9wL98FAmgp407AVouE 0xUcm2Qzli/f3H+m8cWVt1UbobsFb0lt1zWOuMb/DXxdYjFW++KhDEb8opmsc33CClGFsTCXGYTf SRYUlpViigz8l3tyZzt9h1U8s1YoU1KV9/uKDtrz30nkAwKOEkYhYYCYFdGkXX6q46XbKs5vikd7 WgGlH0Dpz51GYVb1OSRHrw+K6JSfzpa+sPACr/ED8QRMgbf1SqxdqKJltM7S0KMK0I59oE0lVDGu 5BgS2Huec0a3UHssbX+3xr6gCAes0+AD9J8vNRrBVcHloEi/7HF0PfLEaY3GP280iWoSYElUyb32 /2IR7p/+ykHpi2flwX7HBAaFKE5y2kqog5Hh7TmFsfjxZlRrRR3gbnedL5BTXFPYEuCL6wxuRyib K4MRmvqTuMmE/xIMmzZtOHGvIXRQ+C0u+UfK4OQ0Lj38R1fe/92b6ygXhdRRtgCitvVWnoDpK1H6 uqvbY4D/7hgCdzaTk4FdJ+RAazKqN3FS9PFwYo0tIlvHmGw0r1JWeK1pAld5Is+OG9jXPZ6ik3xC mFMUu+rkVAmBTpik0QWCCA5Noi5997D8PLK4cV99u6y+BNskqDswwQWxQodrRNlCFiAjMpzRKmjS GF05Ga6rLDkjF82BViBr8uAiWZSts/tfnrk0pyDEM9wLqzY8GD/xqr4nFoJUAD89U9tT4w55ssPm chsyPXn7ipmhtekfT0n3dh/seR64ZRhoAqqep0nGoRnNPJmJOXqECHSsnEa29FOhbBvxAuL0kE4i uACy8N1gd+r0LcJQ4bjuBUm3gTkKD9aw2D6kbaxDawhC+y4h1IolXI9NEdRqO9t8udTiS8WxEmtL BuMfiF5nKtglrVtOEv54/xzgu7XKr0ScBf4ldRN0D2XYjWVspwjI+l6Xugut8SGzq+qjroXXms83 NCYKXHqvtEFP5MIxVa7bGpvIGibRdvsOlBSE70oM7iXxjB4DvTpMmYxrQ9dArTWVuFVEw+WMvprm mMG7ZqEFlqN9Y6WSYNtIsl9rX437JPIIpQHh0jB96v6waEWue32/4tpWDDDomCizbcnfQwJInFfm feIHjQqn0IhLF35viwxQofQ8EXhsp8WLATK++htVsoXuTPZsd+F3npK3eEUIHKSO8EigekjjApB7 0rmK/l+MjjBUdOWjep5QZ5hHUEvfXd9dGFBmaq4Ht+/4kpkgVVZ0z2TElwphVueINokClHXcnjcM pyr5lJM1KC8Ryws64odgAo3cuLwjvr3MNnyRJBE8FN39rM7jzA1ewpMmr65MO4jKPc3BYYFL+waG Cq8tCVaDnKcZeluvN6cR92RrcOcvlQpktLyDKFu8L0r/3yw4rx+B25zuRiFr9IdGcD7QGVBqqp2c a8Rhe+0MTdOsJIYUHLK+2U+TbZFBaIehCWSUFKvaHXJsDcRYJe+rnqUVlFGi+N/j72OFHsUKZDIc 9kUyFuva4/j3llgtpLTxpE8JPgnsZjEaQI4K3yOjeJQLpAOwhHblwIHKDL5ujOl0+6PB+Y7/Ap7+ Fb6wH+6bYmKjX9d9QBGjL5Lx5mUh6m5ioLB8foMlPH21LjOo4Ph3ax5XbHrE1ia14ssgpThIcFYG s84H3smC9SoAw/2LQZvNxUDesajhzHNjQ5dGVsZ456tNNNfvO6CdDUHed+HKnaLIkiEqL2STPPAB f5o0NpMNfhbBwb3QL5GArSKG14B3kznlf4u/kE8N4gS6BicJjoqCgeAxb8ECxvfDClko8iUeVCOB tdGj6H77iOoRWMYVEzADeu/2lb16+SrkhuXH360Kuj2kTMhC6MTkMEy00mFnvu3/G6NLfh+TOTXu RPGedQMDwPDhsIeafRp0XyR9XMg5dxMtQbIPi2Bh/stbuVh2TiDT0yWwERVi19hhzY75WA6RxPPC jcf+xFGUGHo8Mm3ICk81miKsE/kbhT3ZcRf66a1V+fSkiyh8gwRWzOHJ5FVv7DW/QGOCdzUZVY+R D8q/rT0/0DDwzsswr9jShBj64z/uBR1Obe76FIHjFnaY6wuUfzynuPBILbAc39AmUsQLffWqGMUh Ep/6x++iULqA0u2wRfjxLRb7AyQHTI1zPf7hl0WgwvZwxJ7T4rENJA/RG6iqS7n5ZGUEbDfCgGHy dSOIQbmlus7nFlzXHQ05yJx81FwTMs+eP/NaDfg8bjzR0FCWHyqi+Ye+b3xzTC1ySI+lZ0PxyxoF k5nBdlalrs+0hxYbXyzgre7hLjqqtRTax7n/KwPnUl53O/7VXnucraz86GJ0uR4ecGRnTEl6CpmU 6qG345Ao5G/1Dl9a5f1x2EINRH5SzgWrunoYXj98vKQvaG5PnAC5XcTMLr/nyFEhwHooMM/RQ1Q/ uVUFfEQyDXaL+t76DiQE4dnCxiT/0t3ZOn/N2q+SmMKPYRu0mhHoZHG/r3VRJ/I9IvLFglhRajvJ EnUuo34ZapvrGowEZlfWb9VuTn/NXunX5fKEX4RMSsR1k2PppGW90UkwveM/P154Y5bpvkf4kE+0 mRJw7eilvXtgjXHeyMONfq9bP0PlxswqmzPWioPr4Rfc5qNHR/DTAXga2SrsKZnb9onqJvSNeyRb FF8sM2O4b6qMKk4AYcctpw+zB2IZ+gWTwKR1lvQGam7lpz61RHsWr+XY0RqIPlcPqXBVIlvAaTig cVRvqi2FkHAtyOnUx2puWYchsUUwMkT8+h4Dl6jX/sk4r0heaAxPknfgtG+aKx0p/THt94skK0hf ovVQPCmxUsomBBxkTrm2xDKyOATYMvB+noPbaiUjxl/Hr9SIlbp2OtYK4KF+VTVbHnCODPMLumbC QQ8XhulbiAXDkWboxEJX1MpzE4B1+t7XSymfOvuAMrj5fraMZH2jtZMg7lEWNMOrrTV0rvs+1r9P MRMVdq0VhBkJSBFykzcJhRJec+sCgJPMly5uV/ahYVR3+1ZgCACTnzuX/6QQ4WE6UAftiDrLD7jA 7MtI0VyDRfRxKzNd336wg7debEjJa54NBJ3x7T9rtJbf2e2JSW4lFTVtUyWQNp/9Vp6yn+X0v8ac z5MHWVAL4ZQKt9BauX3QlO5Z6SxhKakEZPqWtDPXI0Y4JoThMiclffwFzzL59XF6x3J2Rnd69UZr M+WwKgR6IFoNONexUZ+9aczR2pCYGpF7YjZwDSsYY98f/Nkdgot6HRDzxNZ/1IGW1kzaCUb8kHIh 4jC8evBn+qJlobKy7TyP9YnhvYcjNaXcX376zLg3ZWFrGPTo9oYQdwvoMmJgOy1OMbdQKLl8woFf n6/xpfPhTvKOuGVAQrio7jX62dGOg0K//LuY3Xf9I+/wY9ay2HogCVKtxlb7BoZBtgJjJFH3y+Gx xUP35Xh9ZMC1btFp64mD1c9VIiaWyWO+nfzeBjBOJIpgGournaAOTVa3l3I1J4D0LM1rhVcpRpG2 BJtCvyh7jk770j1JhUW31T2PVHp/TEspdL3QNYjJEISM4hcMZMiPwMQMg30BwRjv9JbHXAIjFCMJ o7qqwyYo6z1H2GVAwvcgc6c3xGzY7qphWu9fdBdra0/ni2wV/Nq3inupI+diWEvREBQID2eF3mvb qBOz7M8RfwBmPYy0gknBSvrwZdedGUw6QsqsFZdhrvyzFBqgskS52rbaOTkkEzV78JWNOB4aUNnb zn0Sl9grduJFAekmTFtObtGkpZhpafNdVAZYl2xjnU0lIlqZufoFcVuGheg54KQGFTXEEDf9/AcN itsmUr7M0WkO0jY6Qzpr/3MLz3fv8LM+MsPCmqS021EtTSAbKIjEh/4AqW+z8q+U4GV0h4n1VOVE w2qk2IYLQ//ymkq8WjvXyt44Jg2u+3b/52cCm5ZDiMaMEdb2WldoZ7RFMsf+mV/m2DgZfdzAxHed T2dsdbZyECvShTcJzg6+sUPwQJg/PDXNSlAtXdetnWoxCjHfFyofiQsg60S4xJJ/BjbvBU6+0qNc LgkfbEEDQIETVglUxGU1E2WqRss0WPfuCf4uAvlyFF4aLsyHF5E4Ov7zStQVoHz8il5DWQIH9o7s /cphyKaGI5e4ef8ACi/hunU8ReHu8sDE1qOLJDGVLW5eHCJAQ2KL9B1gZKHAL+X7PizivcMCdCYf W6WxdhZ8N5addWwtwKqzqK1iCioz8oLeuU5V0HJt/vSDRJhZ8oS9AYzj6cWUaEYVfJZBe1carh8k QM5kwpZNARAUjzM84REjaqWoF9vf/R2MAhCkce4f/l6Y9hbv7ootLQBD5TZ1noRdLrFd4tBgsCQZ Y8V8aA332zFxqJ8cKT+4TU+dzjC/bcZVKuG1yAHYY2d2GJPW4+SPBgGMlIk7xiOgGX3F9XSOdNg0 Xhz1l2qcT/q1I+5TwtStKuIA8+Lz/d6AQfaI9MJ+71ZgMaXd7xTK+fyKC6eWzjvk2iAUPS8QioMR RAV18Lml7Urw2WAhytqJ+bwOu5g57/y9HlhW1kXIRj+0p6+rdUXL+yZP4LyI/AP+jfv23aPKUuaQ GM3NqtewMz9NOUyuyu9FvulPCx1nil72700Yl33oxp/HWiwmz9QsoNZrMyfNgcyLduqkUUEcK7vj 0QSQ+mIU5KAwJ9HvXgs3TDgH3tSXrAJszJO9Kb8mmFvfQ/64tAI6EdLeoDccDrVItF2lH0B3Lqsj cDrSQPX/SeaYR8OGohFglxx9UoeR2T1G01I59g0f1ZtkDucbxucThNiGEJg+fCYBPfIfVwkXvYwi o9YNKsNIR0/hyA39x7MJpNgi8bSe5SuWkFky8EXLPVTUz8WDxyF3bwspWC+pq25k94kqCqhOqWzQ 8BAtrcX27XY6pE+Y93TzHNMUgOn5Oj+M5sI/SxgFZpvWOfEKpPdLatLLGLJpINkBS4v6l0iV5eP8 qg8h4V6Kp2otlc8qdU1a0qIWYW10yRWoMEy1A6y6xr60pw4vHykqG1JNaYAlTKTu8ZM+OpkxILwD sGY9Cnq8naczlxL4sr2QAnympJhS25dehiQBwdPaYyPs15CcJyU6jChyy4g72muIsapz1nhWxbtS J6hSEHyCqd2nvthfOKQE/PvsbMST8TbskUi/V0cbbTN8964+SHhKD6tfaG2n3VzaV0uCxU+GjLsP n49Zmsaqh2fSNgRcvMto5CdKjBxB/EpPC534gVX+x7BF4brt2jgcM/a7GmV5d8c60LcIDqEoSomb wv0+OiKyOVHORQfHvW6VPCZD+t328v3ytK0gzYFXPdsChHWMs3XEIAXJTlGQscei1Ck5fYR8xPeB z3Rm5O4NIOq7eAjQ/htEl7KU8Pj9OiOSfOddRSI2CVXiEmziYkWq1Gx1Qw0542aiJ04Naam8VbfC 8BhqaVFPc614blrdynP7v8RkN/T2aHFzK+ejoqFwzCV17w4iRxLMn7/ycNxVpLGq3zzpYxgaqhjq uBgp4nRtDm3up2PgEQNnsKvPyx4ptqi6X+cvD2j4uBbJMzxmj2uGxo06I/TfZ8Y0tCTSHmbytntV AwsASD6JqLx0soPUXTdWmi2PINA9CrnePI+UTfBq9YcLEASL7scQWrLDDQgHYLQN28Ceddup/Gu2 pfDePJs0a7+DSpQWe2f3BHu6+c4ZhvDcbwNT2LCvZO0htVVGU0MPu5S9I52s+nRxtQzw3CH5cBY6 MoOZdvaUsSK9wtTpMCoVs0P/Pepd9InTDLsJaETTJmRH6AIn/39q3AaqGcL+drNzOAItgnsJ9CQn drCtDHJazcrPz6NCm81U6ghbee0vy7rlCTJHZ9GgrSomlqEFJIlYQNOD25H7ehWp+lXhVGUUOfLB bP+S/sjpgdC20VdcHrcl+Wvp5+j1Nwu87TahhIbVQ65iB8sJ7ahpY8zRuxKwvDDjHcHsnONu//eP litlZZu9og2U7opmvCv7dGKZo9rxTeBYEOIVtsNZF0+CF5kxOhoHYeuAaRnCSmFnz+RT5AoL19c9 YZKdB5aHNUiAOAIiXOpe8GypTISbNlKFRupESLcsEL4bH1s/lQQJrt1sywlPQbjjeuCob2qNAX0B Y9+roHnQJQeXRNA6vjbNSj/AYSctmJOu0aEZM0SHHjN6pDIYZlTxoIUTsXZWGR9weDgRBEMJefw9 kP+c0/j1cL3Bk6BpYNs0nK9psyilcezvjJ7Fc5K669frTJaWWqRMcgUyHlpdPEJqpT+IiwkeC3f6 0fSLaAuXPkMbjw7oLshWEAEwfA6Gr4GJb8cMFKwIiS7Vnt0hAsvDm5uiwkNAhC219mo6Sjkvn3/h ElmSL5JOBsqdpv5q34o76SgMVQ7+6iMAxQim0H4RExv2Pfm5z3V654F2CrElVV4BoNrSr6YIqOOP +VBiayvLONUADZ+GiJOuHMwYg5eTn0vkVqghTuuNC/fWpRmAOhQSTtoVaUTrlyv5ddcGNZeV7on4 HYafxiuqF2a/tnUkBLAuQ9t1fbPE48l6Drf1sP/Z+SdJXM6zQkIXzZI4Oo+9vdNVspfikWnaAjTe Rt7DUk+tjugSBaJFc+MWpZKciuOHcdNCuLWoNxydywR/5++8yCZeYrM1JAIzauhy9KbGxv7OVIrp TCnklMJclo7DD9nwvYfl+7Rp6wvD0zbvWdIl1NMAUjk0Gq8KZuecMfp0HNs8K7HKS6yyw7NZsd5q qwNUOyk3tfauhYPLJpL38Q6c9E3/cQUg6DKwmnNvqOl1WAOd6plxqRTc/I7Ooetgcbg2UY9PHflO WsyiFtFxQxJhfVwCN/wGdVWIO/o06IHKi+gL3G6iOD8q5w7V7oL1xMBqwyN6WVj5DC2jkWLdIVDT Rn2G6fOe4hrlOJOKyqLKMGqCqUXSSeX29qhgUCWmTj4gxA25pZdCjCReDpBnPZniD5QqKupoSnT8 cf3N71WqBFP+cdA4dGjiD5p4bPdPSyy7zRrMNuzyImTDEgN1FL5T1D3tjXGOU3cZh0eeQY4xK6+U zrY7QPI38Ml91Lvoh0SddNRurKFAZDngghbYcP1u0qLJRxTGcbdQZVjcd9j+eNNx830mrlgDBrFP hjYXVV4WzXUUs8j9soNNlGu0IXVCjVCfYztruE1dHhKP+A3DEHIvOYjzEhCQ/lMU0CT7XJ7+4+qS UGOnEVjLHDfkIbgeawxS9H/VHRtVBfiu/SXPcPphTaLAEIbv39RcvLkXbYgEXgbRmJH/5Fx1rlQ8 ERm9V99m6gSojm2odnO/mP5OZI27FFi7dPmE5LM0gAl0Bm1ZayAgp+wkxCHaY2mvpY952pQFUO/a rhz5aDPHnjS7U24TNxiDGZ6HkSOEjNxSd+Du9i8fkaTqKOwhdgrA8tGnS/vb95xK6XDt4TgIPIdP kv+Xjt0XyLQeKLFT6+RPdrVb7ZfW8ra3lUvqHfENf7Zm/41IZeLCcmXzyogncv/6Itpibcq1NJ7R XpL0lhLhJ4QNAZbW3kBVQykJ5pR9OFaFRMUdj1rngp5xnGvbF7HQC3LWhCmx9b17Zs+dA33mXDB8 MiatcbrECUrFp64m2l9Ku1jQHNLBOYHU4YSPBkf4oIdKM5+mIqt+BBpVG0Y6t7SI/88996I3DbUh lJOe5sYj6mBd9afHHxPehQAKHuNRW8sm0AgOhgp2yOA/3pf1magNgQ1Cp9aEi/bsXDKk6FiMet61 aiA3wObe68V/2EoB8QLHDORZnVtQSqrVl+71Y2zyVI/5g+EyFH7ccV0fBiwPetu5TWZXPgc+9fIS x/g1XCljxRJpOXHci2YkI1jDavngMfS5nMXizQBeJdbyKyLj5tJcwsNwPV4UUgzTb8JF9CiA2TGN ZlnXib20+jsJqdejGugawotiK4GEEPJ78p/B3+bybNam0Jxr2owdrA4P6xPUozY4dm2idd6iFtTn 5YK3O8a00qwTivFaOXRLFZGipmDB0Ww5M6iTA+w42GuM9y5/yKBthOlDwyicwPq0wzaGTHukFGcP cHKbXyHWsUiba2YaC+uzrCHMBIOHlAQJDCeKfelT7OiAXh0rWSyNJtHevUrvXbmKizw8pXG1IYbs pwhcH9UsxE6CXfEydkc7l0BmTWyJkXAaPYE9WHFJEkfNkJIeXhAgbl5nphgr0xWX0bHo7bOEJZkF 9wBM6U1OinZpXktkF/IWKIfj7UgHiIP9TCKS7GlhE7lGUe0Cjifoizbk01wS9Q2Y8sWEiYJOGJdA W/5HEGFSvYmHg991fKvHEUgM4XcsnzKSQYABbwIceWyxecFctRn9Feo7PM4eoltbVGCxk9UxGvid a3wTvTF+8IqR7xgLQwC+TlmDf2BSjvG1SSk2bIO5JOhzzqWkTNWdhS9xwaz9rvpl9Kr9sgxf4K9E kcdg9oLPb5fXFL/JRoSjpFoIE+Zu/Z/zEYOqEytJaP/s7nC4pgblpUvfWhEAcr9scKA+BpTtAbz8 GjvQhYpzhQhiFK3Qme4vf5NK1hyNzwTezHrzo9gUMicgfXm/jONgtrfA+LUaiF6eKGvBrSE4yQoC f0ZTESvmeRdfq83kchQ3MpfAejn+kE+645Yg96nL6XuAFGLA16b62E5+QIxY+rEYNO65ScC2o7lM x17CgcIFrMnSYAFbqb0bqHBL0wwjVtUPbGqq8Y7cXWEYutXZgeOfdzPYVCdDhWOBmTnm2xbByL3A MJJ1O6mmZefNvVsJgPuq1Hvp0bUuQ5WO2GTvGrnDpQxomuJ5YVQ814+9JsLohMDJgxPZ1hb1iFxN XdXBq0AnhBynr0d25Df6SYK0ZKSdippNZ22coSg+KY7nURdKgzN3rIIekLNNVQkMUvSzMI3GLqAT MNnnixQ7PkoF9vvk92bLxEU81zBZmNKKGTAcx3QDC3MDMeqtbbjFf4qN3lQhUayh3RmtkotyYZw8 WRrvB20AoEGVO+c48u+Y3sDZOqN+U0kIESlXQ3HA/ZyjN3IiiXzzbYJsqflKvSNTB2ozdjBgzDc6 7QuBKfxsdoIJK09n8DbXKyeMYKzG5Ay8mmFvfZPrhBzko/TGnN0UvwlQaIFWI7nOEmkJD4PoDGaD ijweAbjj/oA3hq8YZsplQNNx8S9hb0fI1QnL2EO8skePGw+en5EqRaUyrBF/uN5dtToBx96cgT4f QyNpYB1e7z5MsyA4nSieQuhPrrCi2WG2JQIxeRjWengaxmC1jAYz9uK7Hne5nyz5CW3rqu+k5SAp OrQPbnn/XKwFe9jXob6gnr6cLuBsh3bmpaVRVNnzgfVCd6n8a+sywzCGBSA8GKXA10VQXMF/lNJR O2Xl7dGAdFfU1sDnvhRxnIbZ9SmpAQrduotUV1hel+To7NZQ6StY6L9gwkFdRGm+hIY/BjblgGj8 TbKcdJ/Nba6v40yeqI5Z78vGEcchLEKx/Kad/hOo5rxOKnrmDqVAxUaAcnyVDObwwt2+f6zMqXbL El+8AT3wE5GaIsQfOndGv4GVLvqi3kOmNL02ALaTYEzAhKguEmjyh/KsJcz8o4slErJGDhXhBI8O 6/y/fsS51MwcGCL+x2oe6k2wEXDhkcF2FZywhdyTiApFN9usXBUFdli3/LpSgrhgUI9l8B21iPQI WOSCw6aIVUKoCcBIcxiJZk3LFrk2+YtoE8fRezO6+kxJH0M7+MWHDW2/L+ZJPeqv0ftfviLHMn5A ex6dnldrbJKVwbvEIoFs20fj3LLgWAXaU139ra0kIbU0XFkcXK9Zv+8nkGatorWlhP+4qB1gORV3 5jRkmXzxPunca8Fbc2np51I5LDQDV9iuB6Yt+2vyjNeg2hRlgzXTBoYQYK5iIfPUHN918YVGllQk EmfaVIxqpzqTa7aD/RvFHAjMHUIqrWDyVqllMKPZOi0AZjY/zxyCXNjoSdDy0+TmZlyGMqKnUu01 /l2JNizX8Z9Xk5WrCpPq0GHXMf6HEdwDUSS5DCddpRoOm+M9mB6TdksXBmxkQqya9QwC0G3cM4ih 3z6D32fLHR2lKEcxHSXdEjpvwMJXhobrRolfj8TMxvYXclY7+gOWt7tW+COot7BTXcyLKxwpsOJp 3skxlPaW/Y0lVQam14EK7484Aq5MBdwgyE+CsijYHbTDxGSbycuzrt0sUrbGvdFZp7o9U1CSbKy6 KMBOfyydjwQBcjaSOCM+60J283i99IKp8x49UAFrbFybplp67N1WUJc/7/g4CFbWFQLPlQ5pJ3In FTkGc8XXidq4MfT+S3kHheaU6aAgzKNh7c7U7R3h8P8zNIFWWAQzfREqE6Jln9qdwkSe7s5iQ3nw gyxNjYARWC+H5iq1fjur4tTlJYfHwDZEkdvugUjIoyKqVwUTaXQOrHr3NqZpi1zHluZFXIt2Tq7P hFdxYmAPypKS6sA5Y4FhBJkrvSTH7dZngjqGGUf961DsVVKiNmRoVkiCs9FoJ2P+mxySizxhYqtY HHPQMGBHwqZfRffCKJxEXpVK8hhBeNawMFqJu8cdaS0vuoaMKIC/Cdt5dJvg3SiG9SuPPs92TyaE Lkuv4Bh+5iA1p6YVhoPhShlChDBnSx0SBeRGyLAsimFuCCKSA5z1K7zb5EAYlvIJy01TV1OSu8IM eI+Poxkp9Eohvx/TL5sL6SF7EFaZYFpbAKarw6yJ1zDBegAAygXAIvQUQ39soJ/M+2lWPSCkffR3 y1efXQMYtmapxV08Y238pXH+OOPu3ICD1Coir15FPRsob527bwsoueKXPd5aqCVMe//48W9RzVk/ 7JeVm635FsKRqPwcdkwz/BIircCz7AD3HRRxYE4Wp3yCHrnrmwCeqqJwvh1CrBHyuFEfbmRUkMhc XcVgx7dPXh6E83DWTk4H8rulpg0CJc4dEb0JgOFzow/nvAxqPFHhkDs2lbLVd1MdAeZdpTaoVih7 YRN4CD13FV51XL9bQHhq+UjKbsny0fb3lZ9xNaIOyn9VMxQXJU2ZoFTA/WFvUcCZom2W1Dt/sN1u nOZ5/UfahGgo7eJchCv14GMuC6TI7eITkyMezv1ehGDNvpAZZTAT4T9p0wQT2vcOnF5GbEs+lA4X QYDEEzjMgOYMQz8ul9qEj0TkVYS62QSg5MCxjguDQWKINEkkjCHwi9s1p03p80/sK5f71bmseZYF HJ4KHKa1DFYLBJxAdONzBwT9IWwftTMeGZvWdyK2cjqOhOkcG0svY6hGNaVc3UuP0fWkfJbXFiEA eQgKRlSCVMpXKjJUI7VPLOotEhIgKY8aZvrfF6/YRig76x+KzO3z886F2VO6WCcy22+z6SpSSR8s 3DenEbTayByTCmZaq7kE3zm/3B7QGjRsBJE2jvc35D28vGjRuI8RYROivCU2I9H7sRMpCX2XcwLz vj1HfNeJbf94IBr7NBAapAtDmlQJesDHRxpXtCxSrWZcZhAcPTZzNu+r32ly3DTT6EKRf9NnwhXK 0ZIkxYG8cJJUL2Hos/FjLNskD2VVcTBLMOULHIXQURDLZF8Y01fEhPzs5J+rHsG4J3xqgSqyJ6kp 6P9vaMaEY8aob6LeAqEZwpJW3YlvvX7Shz0Oyn8Izm2trXEmuZD8VBLudN5FAmevz/VIbQezXGWu lY73AtR72vxRVgGnnPVdn9I+94idSgRmOfC06expQQ44RueRzJbl0J8Hfbt0BHhOiqe4xca7qt9T 7nd5tGD8/cQSjJW5pKmjaqEyWJZ3b2VtK5ffMy8Kvjj7fzuVZu3jcmHxMA30v85hDnX1TnVQySGl FJeZLHbyxCYvqaRwm7aeUPhXXN+kL5Ku/l7zYHLGZJFjtoniPxM8/tWTttbCBoiomuQEqjzMW2Sz 1LUt6HcYhVHEG06nvXA6Xdu1uMk7rJX7fy64aVVzMVfVJyZHI3bazvUwivWm8nw05Ir+jiKIrPMp +p8i7I36SsKQH7dM/97wEbhkc2QwpDZs8zbHmt5jtBwyIRuMu3Sgx8C3uyemehKu6SXEnJNL641b zWkGkZ5CP9UlmqLcYjd5hTVDCuHsRu/si6lxgERlkr87VJw9ua9VcFTHto88lFsxoEUK+ULNg9zr zlXZMOEx7Lx2ELZ0OL5hBm8I7u07AVpkLjXmfQgMetF4VZVW4o/poZ7lhV4RFU9RLdw4wLsHJuCa B70LFmIykyX+3BvCG7txDr0jh7KJpxlTiDFg8SAz8MKT+I8K+TmqocdcLZVBT6/oZkP+tZIDjTta HHhR3KFtvGwJ6kt3vF8/u0xgTlG2WT5Q3mHfFDs8iJQfo63UfyhL1m0oMJ/ZbQzFeCIzCzW6avwW 6DlL6PUddNyfnGv8SztM5IHgwW1nkSxrmRA3blzNMvVtAoWuxXeOpFegMuX5vBraxWlBZ06mzveO xXaYPnwiYqJ3hnq5G8XLkXOwduKm0Lw+8XgtTfuTMqXrEFf6pXfshj3gTAVRrXK+oljmWegr105Q U3qAk+PLcOdX0Sowa7RnCpofLdX93fkA+uaueUnL29k/gIQ7oYBjqMFhDSgeIcnxXn5EP0cx5nCp Rn1w1p0Lfh2VfmOtadrZEFmm4zOkVFjx7gV7rQvlhhKIyUAbz3adhc28c0eEuIDGHvux3d21K6au idkXRBWiE/7kIiQ+5/MEZM0FYLB+l3Rs3tWZh+NfSm1hARdVla4FMCbU66z2BpicXmXL8+miNUAs rSTONC2ZcgSNptgdPFcIk2k5bfAp030xqZ9i5WboneyER17a+8m1ko6Jenpy7CrlzA/xNDPdtCWM +IIMymHANghHK7+7DYDjFBd1YkHkpEB5xuzA2fmSHIw9020BMg9UesOnmoEwtTqHDe5Ip6Mmz/oZ YilmWyDsr9mklicsmj52TP32QJQkJzR9vkAh75BeNn+Nn0qZ3N7nut0t0PbmDMKZZTN7GNiL/V5W SDag9ITd8pD5vBm2tXOF2iwmt+k4CwJyDRKhgilmoVwigvcstSqOtBCS58UUIjx0RQD6IKo31MmH iTogEZi3PIJh2Ny2KlCyypz4axb3I9RWxD6DwpEoYZ64uvj3+wK3jA5hsqecPzBlRZuTjYbXD/F2 1ifTziP92+lgYSub048MVJqN1AUsXOUmqZRk6piWY7I0NYNjZ//QLgaMwAOtg3erjfJ84d3Tbfis Va+6HoQnGmMkv0enO09x49HzuVxrZjBJ5KumMOAkngxG8948KXyKe1G99XCBLlVnezUMggA4eQsD VQY1njiW/w7dlJLsbRiq6d9Y0GIyMYOekJZGFd9+pmBFYi033VZGZRXUMDeAja/iQBCiSd5gJDwB ip+y2vz1QHOr28XsrHcD8ZoulR+IOgYY460wBqR+6SFL1DUxrqEJwb2uw00zig4wXEXoNo2fGObK CgI3hk3vcoSbntrciLnVSX7gyffxgNBcMD0/ZL1JTuJKHg4Rvo9PDncWR4po7FsLuTf8vmVobip4 thadmJvfxrwI1bopeT/zsriCl3ECYXkpQ9NC+8D24o7Ee6tQlptVDcLG45Jt1jBCnSyldzhvepl/ K91U5BBS5OQcFGhiT6TaRiacamAdYOCoLaQODBmfNg4q4upZFn/yzeTf0gQhd490RJiP78Rlbpa5 pkaDsMATZu9d4DIgpSET5umsSHrSy8RURgtgbLI1nsnBctz44qOCqehMYfvnLOfHL1V3x1b4GVWU avPNJ3RMuoL+WyyQGchfXBDNxzppC9vUfuaYMV83OEH6kUTsm6JYgHq8sF9IgaiHA1i7uIOvBxRn 33ei5tTKqnQl0mnv9FwKuz9I7t/gauZmihz7rlGZ2+60G1eoVQrew5qhx+9j7k4WObVDVXoGLDn4 MAzgjpIm+cdpHfrZv7h0j1Xcuawr9h81PJcph6xx/Z0ilvDqulVsU02ea9x5yR5fsQTWFv2b+Zcz E1UOBbY7gS394r+3Y5FN8tjjpZYSEYdUlRhmRt5qCS5LWDhb39C2Wo1szqG8IVmhzUJV5+T9M5P0 XCC3+wio/LIwsQ/B7l5AArM+cd8adsIXnoynYenRja7kVQEfRq9JQKD2vLFU0aASzVnZn8YGq3/n YzS1It1afSF85EOAhjD8QsGmdx+vyrZygFk+NSbZxc6hCKoI8kYc1o9nMMpng77uLwXfIEWdexqP 4lW7ThLULgLVDoYNFky0YfabjuyGs5FVBT6RTt2tY2BGMzs1Vu/X1Bn0/XSL6Sj28gVfYrmXIJk3 UMKyajmEpOdsWNW3pijogb9de1zw6NjxVWc100aSI4qB+j1GnlEsK9DOc4Vt/tsAX5P47etwrxw4 UtSTAAUe67ErQGKCNvrx7JpHBMRM4IexVRnxhbC0rtJ6KKgarzIIQDFV/08u0WIMe9hfdFOybg5P e3DgnAdo+urov4t4pGHGgc3NAqLjMK2093ZVkbouAHf9kQdOLWVcry7zCEw4dXZStb2V8iH+V36i RUxtmU8tLlH76bcNj7Qn4lcr3p/pyRYR+yoc6er2nRwuiV1/EfBW/+i3u+jaf0lktgIfo0FQkzwP WCpH1ynKKloqwqPytttEWg0FClaLgh9hD+Z5Yq5lfCDVwgsQrS6xdwFXkJ12PDMpg7g1baABhRQH QIRfKa0XH5hLZRQizjAqxVIpxTHXEDtqPNhxmXXvk06M3pu7AyzFmdZDVoXtXyM3VFuQ/YvGboVF TeGhZBNjv3cjlQxiH/U1r/sG6pYulEGcpjtkyekflJ/ruJ2TVcP+iiGncWVaIKRK9x3Y6fDsbVzA uf3+mBtsEe556COZTmx4sJr8Y9Z6Q4GINiyZbbdPN2LoQv0f0u9lOYUPIKLTM2sqH2gP0/45+KVG Kj5fwirKSlE7sU8B6wcrdmohk+y6BhJiaNBgKbr2xznGFnjDbwWvtHChsw1H5Gq3N5Tl0PWZgZz1 l90HsFYl/+oldbEpVT8SYBatEnJWVPeIu5Uy72rgc1612AJFVuaeZYrgGMPJ9SWVC4j9+6+ytTMS 8B7qVg5zirHXdieaBEV4urhwkKWlYczUD0W2JyBE5TSMa8lvYrwOhQjGnS1hEwyfbaTf3uupQh97 rsq/dVKSR132E7Nl5R6nfKds6GP9hgBGhx9QbBBDiGD/EZj3DVs23X1+PFZXHL4gyQ3iZMjTD9hg cuzyt50jk0WDRw0OkoSw8CIb51OB8SqtE7EXn7Lt0z0f36Yv8MN4jv7Sitd3tpUNHgzhwQvuzX4T fjVIt90m4+eV52Tjg0iKaU3KLdsnYLbDzTwN7BKITX3afivkV7SuEUdKhEtKBWFVhG07wwvZ7Jl4 rEKHcepLvkbRTZ3vAGK37qFLBUxouHaene8Hu7S9Rg9SYHfevX7e1dn71aKjDl99D6QbMaf8HVga F/csxJYBXblLkORzVvWbZ8Hp9qxu1N2zis547zURQ3iH3RK1HlRH51uoEgOeVizYiM7mgw/ITyMU e6rnhMUbChxbaLo3IMLWglnMHqohMiASau2ilwY8G+PDLngY4IlZh6JH1+DZ9dipnQ7JI876gtSI vC1EKj3Q25JspzFsXJyTA5+elpAQNfgTe9ix3xTLJTNoLltbcvHBcHgaC7fpBMGK9P+uIaDCmDkN MONRD77TC988UXx7c2FibKikGQ5C2lStoKG0L7ETkJZQ7x0x5lYMdMZ8hHv5k0NDQ5/wCfsC2lI4 2RxidUx8WE4bihQbU9IvB82DuSXLut5tFYar4YdloJlzZyTnExWiMzy/Q+gRWAwW6kkdI2/qk5uX euOjo7Tu5eGsqJPT4o5TBjl7YzCMdfbcAt0496SjPCJIhSsINhR9zNA0qaDah+R3fS+7VBuqhSjk 1OkTnKDuwxDFwwwzoMx1QIjdqkLDEdbVL+aHtjNuGzsjkcLt+E9d82C5qpHwQ5s/ZUYJmqMMNK3g RjyDh/DqkDKQY36EfqsgeUEFI40QMx32b9hNGjlYEf3sSCc+Z6RFMklxIB923VK0x9FsiAGCTA3Z UCRSvrBsT1dppfyOfjGanVaDAwMs8OFhZJCzmv/+2W9Ayxxioj2edpO9IXnaW+KAr14MYK9sApXh WHBgyXSS4wrxMG4gmvIulW1JuV3bm7PM5kW7OwPkJ3sxy+l32MqwB/ZJraTg/GoLkx6G3k1BihTJ CZI6ugU2bcZZGxxv3jmCOG51Xi8HTTcC+UlDYjv4dVhpEqNqhLuOeEGXuNm7YQtaIkElfDm5dItl 2/jtCth3g3mi9kb+jqGsqX+2CoyU6C7O5DIGiEyPfZTJj7eftgrzyQWYJIj2o4pckEAsJyUBuEtD G3k0K98WuSzh/62HO9bfu4SevnnjYQJuesy7hlkcLQyGVJdynMQ4sXt21gIvyGWJaWCSu1qoHTTc bGCJgbC6ynUEgn3Jvaz2fTQJysarn6dAEvGrVXxxjBMkvKgIACM2L3def8X1A/u+cYRFj99ZRBgt O6bnfYL5MObYViF0222N3MTZIupNAGmBbaWi7m1ocEREsgGxpq59+xgzPmtRjKz708vDiUI9J+M+ hyVelUt3cWJS0hCig/Kp+GgqIioFKsnJPm5JcF97tbawlJCCNe60g9sdsHLGVs8qzdjXKSAyR6qD EJxNPY0CliO2YL2YSAYOzmVLM6TEozeyOC7cNeRe02nsLXOviuoOXtmXNVZHciGY/3Nt5mbTOkFl Rbm0DswhUIUo/pQvfDc5vYm9qwgBqizRfJbPwU1dBIRvUIOogYq7zO53ibM25tIrN8iSso4dGw18 9rtAxe+2iAG3d1EGUDdQuStOpXAZYJkkut4PoZXAAsoCEVmCpPnM5LUscEq45/rwxb2U4OsYbL8P v6vev7hiMZPqS/oGUvwZ3LF3F1M22dA8IYfivq+0/IHSkhYJGU970rNroz4vKZWqUL2kpjqFteWb KkZP6/ufb8OIKW+tUcOK7st6U34QTYsTvIaWYYDbTYPq/thfoXC4yPHF2Pv6sv8EzMeA8m7fNItP dUTlTO9c5YTjdyjKveMB3QzzPG5xykZozUA8dqJ+pRyHkWPmXQ71DybFApC7vds/cGWzVL3pkiiP fGzjLkDC+cDuArs7I3KmJNVaDTa4P0rqnk5w+ucvWOBboOorp571cGJ2YfjyI+nnX80lQYmG8o1/ qBeyWOvh+r/4NjbzhKroJ8l+O8JtdYLOTgxpl2owEQmZeb9E6Y3YCbQO28WVa3R1UqO9kJl73IFm 3P4KmV95QAiHVuOHWML/+SqCtySX69Mc8zYV7BpOJaGMj2y8hMJasd01yPFDrRLohpy/j3y0IRIZ sINR+W7n7lK6znsVfwNFApTa/PicnwmruPzEor8akIxOat1VlLOXpm01kH9hNqBrkVlUP0PtbZkr UGUPWHdNJelfpY216matN1P3Gc7Xbd9qk7tQNvTOzzYjBjTzQs1SRvPZr+Rn/4XtnK/2hD2/CjEp VUsyl1nF4yUDUxxUe8adQ20CYlTT3t6qlb4QqMRUTAivOoC5J5Z/zHNt8ViDZzi1koJlLBT2sG1j maXXwhC0CSx3wM9ILC5VYFss99/LEYvz541xnoHfW0VZ6W05KoZ57HI1QGfw2xbp+a/Z1ADUf3Ui D34KiNJ6C2qCwVhkPRiG40wVJFsmzXkKbj8WPOVEvVB2J173R2Z0YEzinkkCgzx25D6Zu2Cg5KV+ +N46gpOe8kDww+SR/f9+fcmmgwxL06DEoP678hhQzQ556UsRsVm2dWi4vFg9aiPZKHSukIkI3Qhq XDm+ohaXhTJe8BlumNLGetrtvpHGDtPfnkwPgqzFcmEEnJm0DOCl7ienyqheFbGyzWJxFEpKe9oJ +W7IcVe9CBBjazFXdoHL1biyoYqJLqIBLs44fPEsLOTwlBxx4U0N0i9ygpOaldk2rV5YXuJh4u4G ZUul64FEJhORu5X+dUc9zfyXnZ3Eqs8wS+qIU2pMANceSokB8NzwQFTMhriEryj7aM5LUx80sMp2 ytkI+iqOndROoxiTFTp8a/S6ZOD6TBm/03KDRKZGyc4TVztq/bf2jDY2n7Q7IwcLekdhgNIqgW4k OnsBhsa1E52r8h6a3Ua4ZMPKl+87PS89E0iABz5BP6qMNB5dU8zqwzs9TBpdCwm1+K4PfcZMzM71 keegAUXt4aBGI+kAd649umdBP3ecLpQr98Vzh/bszgXma6Rdk7h1FPwjPhINgGCDMDfd0lAGMWO+ aXbVND9V+znb70HPXR4r667LBAsMgfSm7WfnfzaMrx9KOgGzPf0sOo3HCedIF/cEPXKGS67o7H1R lYDL6o3/U3YCpRc/AlNo6OHnIqxRiVuyNo7t2fjRIBTUEEQaWGiW2zKaXOWhOy0nyWwDfYududpr 2GR9w9p35O3LfvoBKmUx24dNA6Chq3F0hC0FRu7Y+zgXxXUmzoOPuH+R1suIvcPCuxNwcelENYfz qeyF0Jvf+3K9LNKV8W9W57MYYaHyYpkS/JF6Q/2MDxzFr27fPU+0tDoIyvjVzBqof5blKX+2hzDc NbMCQrYgiPDTdom2lOPiCiJ0XkA31XBkwugfQE+w25tvKky7HI/c0wMQeiGcDL1/iGRqGnfrrwgP 3mdMia3Vc45nvTrCLkZpzCjwdNPiELMOgq8fHVy+e4evyH7aGjsNV+V9npFeDdMyC3SadUgxJS35 Y6WpVnJWejgaNfZQNQQ6dpFJAeDAIgIgdJ0r/sEWB//o7eB6J8pMnJDG0JJpFsyXPRNH6w9bj7LN Y9ElBoRQ2+1OJvJEtPsCwNhl7ZLHBj8JWnHMSgwDdGcJA1W1vYAWCs//Nb8bj1gQg3TK5oMZM48r SnPlL2ofzbftE10bwDE/AXyQtzs5oePlh7J7J+QTItocQX4DDj8xcvMe6I2IzuJqd80QMpiLml9S 7niV8Rdd/gmU+JxG7ZuDcAp3j4ks1JO/ZxfIT7KPC7I6h2vhfEbjBKcIivd/ubkT0rrqml4vK9SM VcSQE3Oos2zoxZZKPE/OrCJ45yOHSSx6k0Phr7O2l7aSl1srzwYmI7pNdpcKAzo+J03Sl5h0ydml 8N2Qz61jb0X/tsWGcUJ2Xo5dsQWk/SFXF+GKdnYZIpqWwNNVrzTdHXW6WwNYP/2qxvnPQG7hgFa+ zoCXa9RQdj8bnXXhyWbfU4T2ucu/SkWxBQIKF3+mS8ZmQOcWj8mtbieUUJWatU72kY0UysTuKBgE 4D/WsHiyJeatDTiSoukxBwPmviM+bc1oEcpD/CY0cXD1ZC2EfIcUDZqG6gKilSm7ItRZPY0JTTNg Q3CZZKRV6axXzsWK+9i161Lx2Y0LXGkHOMTYMBp4KRpdw3VwdfWuhcCnscBJD94Okt6xhpFBneF+ UECp/QCoXoz+hyxZ9ozztpT/3N63bE5EBDVAs6ckn7dhn+PgYpNA0tgqoorpd2c+1qCGmFM4pvyI 7I5wkP/PAlcEpHD/DDpiaZR8HVWU8Qjw7jLXSdy07VwE9Lx7e9Yn0P1+XysUquP7EkiOQqI7HZ/V TvNn0fUY0En1t+JfKVtj5nKrq2OkwTXmqABx+Zra+NCe/Bnk7aMb3nqGpVDxGt36OnjUqcR7hvt6 8fhWaBqREpkiI55oTvEd0CFdlnjTOwmmISxCNYoJAOf1XIpmePRUL/ZZJZzHk3e7A1qTMky8t0nU r0e/rssZLwKNamncLPmm9+p54MEuZo65MQLBh4guHPWooiUisJRk646M70XiqBneEZNN5in8RrMj QQD3htayQL8retk5ZsHfBy7ohenen1R10tOthGh4Z1XxnU/lxnLfpzKdlpwm6ivR8lgEXkGek2CN jHCuOnwPkEBz7mirlpfpQafkdbG8K834u9SfzQafLCpaym1HHAvld7sDuuXG88dvcjzpNELOoVEm rjKK5zRwv/uxX8EszDh+SDNv0+K7Kw8P4A17UYxBZ0rZtdWX+azIQHcSpMmZyFwZiDDuQHNuQ3hw 0ExtEiur75mSUD7BXIfDSyW5zN66HVrNjlOCDup1qOac3Vm+WW4PDGnetACz6OWRL5sn+0Uo90Zo mqrTMUpZ3AZ8KOUEBEgZo4endHzdjMfwphQK5u7KEb/r6ayZcNy4a9F4LIGXlUBnyzEXLm0rTzPG oeMRez8/y2ELftzrZw9XrZiNnRCo1d4RjiMq8xfPWRIH02vsc9e9xs6wR9KA+1XSeRCiKwH2tiT6 9gUZCPU9kZ6wc6GJdofBye35Mz3dmdRLhkiJZmH1pCQnrxm9X5iHtYgwnEnvAdNzsToXRV3XNsh9 bntEhSWB3/7ANq/LVB0LjP+nCru8HiNqKSjxja1maAFrzV/Je4tq78eJDnA//W5aLVVZzY1OeD7/ wqpU00Pu70OMZEymCa77vAdw9158fXgatk2omkxNOHCP6xkOarUlB1t3M93fEcocTkk6lklwOVfQ 32piJ3KgSkCW/T7tQebqAq+PJoGlnPvdk3G1QRv78iyJRRs4mMMLwUuyx8jHkQKkZ/Dym/qL0FDK 2RLKmt8Y4pyIIamzdjM5sJPkVXpAXz0IOr9FBx4tdgB+1cPNtbdbiGCkqHXsT8F9lMgxMhAh2mRH qjYFG3wa1BKfjqK9AQx9psoOQzKIt/t5AW3j5tJA0CwRHbGdiG5V+5+1aIp46Qzeo/iUwtRq0jp6 QnW1X9dpf+RynXkjcHEvw1Rqmw2EKn71M60amMY91CxAZKsGjBEzOWXHI6WGA2p6gbKtVh963U3C Y153ea1Vj7M13SowjLN8vA+VBw37dOlYQ+ndv3cwKnF65qXV+hcQf2jWW+Bii3FObuqq9olSHGN7 RlQV0BlYOonN9RLkSdJhVeIPcRaXxIG5khXmQ3Pm/ksI4nUkOnOhX5AXoF/9Fwd7f93G/30/E7co 4FopICoU/+wz/WsBZxchQPeNarixv159RNBJgd5h4w6PWT0qfWsSsXPylZFKBr27fu0sQTdVfnAs 0JKBPGX/jboq9LJABxOF/7drU4sqZDE0Vj+6jAFO5p01d7AertWaZRjaO7boZEM1fFmLjjzBicSd 3fsnc0ZyOVAlXLcS429HxqOBtDgy5HiiIW1tNnYRLMQdpE8Z8g4cRYAkMKctwMTxyq8EYAjYpTSG KEnh5/9t2cO/xeOOJGT4mGtLfHimhoaXowMNGyIXlwQSlf7FIUKNqdlDi5SjVyN3mFFLYzhX1M3e KJwM69oz+XFCWr9hq27dgrfzYzd9IgOijIz6gRnTLPPNzKTOVf7/Um+iXYjcJ+wYXBwQs4XIJHmc Vx7OuwbcODWPJrD/QeD+C0oh4ZTmeAOC8UGImdgbMkaIYFb3XHyvNzu0qAlOz1KkJV9NSVs/wxR4 0miuF6hEhk2aOuWdZqKNzh/3uPXX2Ywno3aFh5cIEZHA1tiNYpauMcVscZNYXdo1/6M4xmdJgDYG vnVRuVAGRPmSeR8OEzAT6/6fOy0aFpStHQ+YqVhtbWyGEsGIgatqsYUuNji77dONfgXeppLBFPH+ uv4PjQmPh4IrtYd7kiWe+IzcvfRbWriGEu2IEitN/tx0yEh2G//IxC3PIP5HeHKycOfncyu43SZz WltXuRdqZEjWcGHOm1bRd9WpXdd+FI1OefLxxSLXAvScSwWfSKWBZANhI3NE7OFcs53oTCrdiQ6O DPGOzmOppQuk3QiVouXc7zZ18bVK0ve0E78OIyNQQC8mkcCeTp+7mTX4M431xUm5NqOOFSsRbtpM +hghklQJHExK6Qchtqow9pHMeErgxRrRYurAeEvTkfBG6k055sGie9zdbSMj6b6g0TUzIyUd2J2q giD6HeEJ9X5rhnG8JVKjUmvrXN38x5/KFL43MQ9zM98jOt8MKbidqfnrch7wke17blPhQ3NHIP19 UBYotKFJdx+jvZTG0LQr6nv0+37VHF4jNh1IgRidL8kN7IWCG9etSdJ8STHeQAy5fG2Jz2u9cY5K EnGEnXbts4gNE03pWCBOLWoBT1n669FOZhuQow16jvnIbfopwAr/DGOmH8gzRlqIX2KM1TbJduMp c5BLFBiyAHiFqp0ROhjJgQN6kxpno22KaZZQ6edRxoLIHn5EZdEG3E+SQIc9CYwMqt2AHCd8H08Y 5vRLSI7ASfPb/OzJuOCAg/YCX7TKcc7+TRnBVGWe5u/qU4BzsNg8i17jveOSBZKlgMiL0x8/jaI/ oiD+BcI+sxujFN90kfwE/N+vIEc8k6aYhntHJFdYJSR8YQDUSELgRezn/w9S8sQqwfeoh4P9JiFh H2tizxkgEDmpu5UxhSlsbpD0dYh4hehdFLL7YJyS9dBWWJO1SkoxNjo8uThPWCF6mBAJ3duDW3QC 2CrW4nSlV+pw4JTf2Nv2W0G7vH29bi+3LhVrt3b1vr+tYAcRfuWWb+sjYtNFLSNz7pyHWhZ6/6DR nTR7ZpgYQOGH6NXE87yMm7oPiZawFB8Pcn/88Tug/8R2QP3EhpDTD0ri6zGsl4av7f3v0TzfqBpu F9whqinTghtH4n4axswCfC5q/Mzfu3uH72S117nYEInGj8kzqBbUWxHe07h1S7Yp14R7cj2whGVT EF7jjcY4ekXQeTr7O4DTisZrA7hstx14PMLMupoQKfoVd7MKUqrJ8M8RmOodpV23K14+IKLihnxD Z34PWPfvCZAtcxdRczXY9MI75zOUcCLf9n4j5mnGacVq7fcaroaXpfVQGF9lfdBiAPRGndeybq0c 5CI0XdAyc/5VGoZ7Bk3qHUzj/mqyzqOATvy47TVfA/OYjL5WGtsV76hIF65YrCqRpOqPXUATmFXF LPlseLPN4b6IEQBszAYUke6TXeTe8FEFvWbicfCl8r2TeKjg7mPUDEcOoaCxmRGGPDyhTGl6oFCc gEpsMfhAypxDnV1EJa0fwLmykR4da8IZ99RIWXa1CSwZX2pxhRUDfBCL1m2QX61nRt9w6NMUJG5N CC+jlDNjKuRb87HbFaQZagwF8xsHv/dTQtpZzePAyzGZf9NN7mG3Xj83bRxPMJdWZTuonzGwZ5MH oRkwbWaDGl+OlXmGUkuH+NI2CT95lesFR914X3BvmeNIeVrmhNMS4DnR7+Ahq4kiVz9LXYYRz4Z6 K5sSwE9EJ6yYuUWf6SxTSnwaASHXO2N18fbTsPryA5Sv3zcQHXbXv6bQC7t37JxG8jxpMXr63hR0 XDHZIz9jGMSW6Ziv8VIGON64qx0k731krvfiTjVyJFS/YW6FkEOpwxwvN54BWxH/IK4NlJx05rh5 H6wQICCfpZj8b7IUCrLhPd1lJxV4Mwn5yxmOsI0XHKtzoKobzY3dDAvofzgdSEeYBHRN+dzIiyxO GlVDJswhx8009csSHj2L1JWc+1E1MXmloIP3hsD8Zg16TVFNwXMhKPl+ezTdyul+evm2lX5c2TvM Q5n9/rXqfiA7CJ+unhcdbX8ISg5Le4b8+JSpfThCbFpp21KZykqaqi5esZ03IaP2m+Nz5nQg/Ger VWWLuLHdIpbHBn6Yykfa9Cja0ppfYVRYUylhqzqYb4MByLn57Wg9pATR2xLDTjp5pQPPPlnEXeXQ vuJdsOo0UL9e+l2XS5RWEO+F3dL+k8XhJQpG46f3o/jvyU7NKZVPalo2aTlkiR5n0w4sTLhdZEDe DtFqYLJ9RhJK8AYd1Z/xbPZfYw5yw8qdU7RlXEKij8ZqixQq9y6iR0JiWGCKOB6hjcMOqOXQ9hc2 aqFBk+eat23IBW2VoCPUWzRM0aaT+8BYZAKrMDqmnP+DvmwhQ7uBGHJVLuOHWl2vcXD83fZu0219 3VhjL1y8dhMNPCC/HHVi/Cfvey6eYbFId8uKL60/XFTSYoHf0tKYmfIrVhvEvq6a3IKudxwNI8E7 56ntgzpaq8b+PXHrOmloPJh3PgFVqEwJvLNasdsfX5+bayinosKNzqYLs6LSMAA8ya4PA0Yl8TC/ 2JSEN/IwP6hFfBnxpntRWwitiuRhkpuomiHbqJlco+PjtyvyiQLECTRfJQ43jul2JWvpBXve8enw r4jApRyoB35tP5sUm+VvUKCjtO68F4XTKqugGAETaRPIv2U1Lv/vryKhHujR5kHWFQNZHo+XJw6+ GV1bEM1LoB459hYs9NC9XSziZl4K6Tr77XOXPzNzlKf1OUr8S9flAGzvmlHdyHHzk6Fx2NR4+4QK Wg+ndqdK3/ASxe2krO7i5s+PG9Gb9+7/5HPJTN8U7t4xoCVAKTfbI8mo6vhvlUt+Ee/Gj2Ofl0JB Gt6WYC8M01hQyQorYM+WjoYmhWQnwiOdq8Aojeg/5mQSR6d3qV+q3aY/p6lLCCS9yj/ND7bj08gl YM9G+D7ZUOFXjdpXG2l5oLI/YDId2mH4AknQzRnKcxWC8uVoB33WCwwl8IPJTTvb8c1F7uSbnkmb 7lFcAZEYsjbFzZnW4ke3uXns1k2ifYGzRd727o0e57MjDrYIXM8SDU4a+a0nzqndn6t9xu9YWy1a Up+i2AS+Z2A44V7LI3h0srRmOHv5YucsKVLTBBXVbrjx5/DEKYRmB9pcFYP2EL6z5SbkHSAVptlp vdp0cu9U7vsplbpVLiKeafemiRquRiVdpFlO4YHl2xUcvfjpnoDDodbiFyduZb/Nyoh/Y2LEBcSE U4dI5JZ1UEj6DD+XkM5cW3Ke0u412T/okadRvPbIX09E6iR3gXiUPbZj4eGGfsaBnyeY1NInc2Nx djtZNXgjSUeuTSLrdp+Fa8hBb97MEcXNvkBiB04EEF8GOL8oL2vqNAzlNw1Et8Itp+zF8UeGilS8 HL5dFCWRp9mi4hl+3WvA3iHGMIg91/B2Wy//AtsfM8tLhAFT0/odLFWkqB3S5kDICgtER/oeRacT qxVtkZ2fBKCVvcDSfEeyIA6BzRmvl+640PTfEGF3abCAbbZD3/JlllfPyWxURexOw1k8wHl0HfwS AM7W2lweIPWNu2E8vMAxdEw79rHadFFhbRAoo3COI9RccZh2cV9gF5t+eVplq+wifSMUaiIy0gKo mpq8nK63ng380TmWodZcoug4T2IUJCMoKH/AlCC56wCmz92zJxjMOTlmoumL6vBAEM1LO98kjDuN CGeLknR4KpovO2MXil8r4BzEbWaq52cwjXILWbTz9X/Ip21jDTkM1J3mIWmxm/DniORrXh94IWlF BPi+pohcW8IgDoJFjVvfKmhK/tejwh3XOcwgjUxJmIlRyYBnWMNKzxLps8to7c2TiXMRwXG8Cugz 9AVyurnlEwi2TEo2sahPSP0O3n0ZBtdazgVc086DLDKxPiOO8/uYkqK6OD5ERL33z0PNWtMtLTIh TY0NH8XBmH4MdxSrVRnG2SF+N033NYoP2bJxxtqi4gJSi4iy3+Bg5wnXDgA3JMnQdVuQWFXSU5aV EGiT5DEmmapdjZGyNrG6Ko8vc1emlff+v7fqAtJaSEvguQwmqaYOwBt/hPXJsx6hzA9a4tmDzpKP Gbaiy053szthts+ZNVf7ySt7rYHiShpayB4GBMhSl3ytZjgK1PkVx7kzNixCoR8TBdxHnBF+N6nM wLiIj82FrQFs4IxAY6WPTKvVUBKbiMnxWPWh3b2lvxcPUYNOUb0FZlX++U657prqx0mDQKLvYj6L GPGxRbWZImbl2Xw/uierJniUjFcClCBnHm+CvNfzGBg0U6Jre4nuaLKnOypKo5C8YW5VpfC2qa80 OaZRLTZLGYwuRoHtF/X1i2Lme/oo6OtugY+eU2Lz8vgEdVyEDDPuj4+DgcXNZyNN1DBueeBlTlpP EfJVLMbC0oEZN29q7bVDzuEUBWzGhSSUVBKmEFKs/i6/1BeIoFY86iavbKzELPlh9R/SLGn0eSfV 3gJzoVHR3HRXvnT6ERWm+Wfs9xiYcvG/jrbNsBiOF6QIqHN/v7Bv4xDdr+LLHJeoSALtiKecCcsb W8lvvCuASSqhsXXHU+T4XOSC90xXCsED+GUiAzIKsxTSgg7C9HF2WMCrsUkhYRAOAgARwwxnTRMj bmzFIkvQvOxWpGHUStDfbhi+XqJalxEXg5wcHrRoxoy4q09uLTR1fiKm6hNArFnkjzm+XXJ8Bl2A tUcsVFSFmK/3oRBMfRm3aI4jyAuH6ZXKHaX2DG1uTvyvZvlCcg8L+z4/HZvRT/7klKjdseyr8I43 LTLDYKX48/5AWLwhr5jHtlwdsTAuNHtnxcrN+Tmt46QesP5zpNJgfLqU/q+nxlHUGcFE4+cER9UY aZuPJIIaIQtFpkvWJGGC0/t3e9SnVPWnrZ1nw+Ka+LvzPuBSN/+56ZBAbAtmmUlTE3qfOtjNuwaK Hj+J1zuFP8iZp6nJPgO4VKu/yrPF6La9ebtF+ECExPB7LJly762CnCKIavnkd0lL1CDIoUFkIFv1 kLhnb7k0QMGnxBpvsXOnwFc3wgzjWZe+KXzOJGBAzLj45aLqhnLTallk5dBB3WxWShlHrmyhRuqG A33n47sw3kuA1rE/t4i4H6ysITRP1W4CqORRpcG2HXVDXIBbL7G6k3xyn2q+tHFVAD5dvFSPWDUt XQjTAYz/sBjYLS/eN48HTBishSN1cyLzZM/JgJkbMzJfTl09+GtBmXIbpyx1+AKvboFDYLosC6W2 cVsexQjiSyaBoQasFMcp5gn4m46HnwHccN/1ioc9H9IHpja2SdEqWLbJwblb5V7Xh6iwZZ0xQUlo PeaaW1xYeWSLS+x7ePywfF8oW9bHU7NsuJ8vNW2UFzPWVhCLFRv0VksRoDBhIvRV3S0DhWHBkZp3 QdqEns23Q96C1XQ5iQLyCGa8m3WyjFTzQ0OeEkvGLr/FVhsXa/Nxm7YFuwUAv2Jk0VdlfrMh5Yqh 2ypSZLk/ynmp+b3lIMvTIY4oZ7X9xcn4tEeHYBorEOQkeunU9duMuROMi9xedLI3E8bRzWaFt+j5 0Ug53JEWiXj755luK5trR0fpXX8+mHsLsOj3zTk6twus+kUsRw41UjWhUNZsjacIBNscAWnPja1Z pXh389sxPpi6iyHJDL+10nQ9BWNamANKaJmxsq8UHU89gDC7J90MkF7QjIxOMOIXwMB8E+MFt7vB zLquQM2zwgkr0pZLmm7ZsGFPwPS4gNFxGk+rA79zNEIIZ18v/KNc/Mj6pCi5MiAvpp6TlZuc3fTe 2+BMBMm4JQOqoiJSJ+HaLkTSGcdBrF9UcGX0aNuF/axZrEkfo9GZO2yRobHCqPEe8MW+L0IU3uND JH0dgLB1MDH2TdNp4AmSJlz61JwKbNSZVkCpg1wY8C0CLEGR63frDAUJSpGrMR/b11PjDCOTxj7+ N7bxIqp4munirm4SspjuEnF9fJdRykWCtVGMxlgbYpdED3IYvOkYUgORVawdJLZtnN8qWmo5XW8V 1gpdfi7lCakVscyYUtiCrgV1LQqUuyhghBMtHkcsWzMXCUcabf2sYVJxmg2xZ01e2/IOn6KvDIU1 xcWN112qmV+SQaQV1DgN1XiB6qCTm75u/KWzADM62UtvV6Fw1WqeZs5Zbtllu1VTmAb3U7t4FGCP bnM+kW4DEjuJcB028KbYpfWixDxzRABXRKON0SX23ga9Cl29KRj55m/9Owp77VK2jMXqrFpUvcpC H8NtPKRX264+jxsvQGNSTlClhAdr+SzlkCoIDmp1laivUtyyKXxaXfj57BZKvKyp9W6W3oHh/6Lw YOXTHJWb9Uu/KqesBZ1CkQMmepykaRY+jMT7mj1BrDzsdux0lhKOsVqc1tB61db5QgJC36whrKG/ z0MWC6p/1ldp3pBt4JxSV/F95OpFnH2JV6upxQs5buFFR5cCCYSjEYJvKm3FFhDZOTjlI+kHh/Ku ZS/gDrFZjWg8iVN+FrIDdYG7pfTSAVX93xEzhBwJp6ndmnsfqrRZCUqmTQGJVtSj542q5Fxwi+h/ qQGmfZkLm9hprOOw9pustnEWAHtn6pFwGUE8HRJWgd185poZKgYUz7ZYy6T0tkWWSVW9PfTJ33wk W2HiiFXcC0HhT/yt9dOs7VSSDZiUYPRFy6GKgxPFmjRLE+XjEX4O98s1Y/iJmHRcdM9IbnPTVH5b bTNUQ4pY/QdvoUtWjTr/g/p0PCFkBacwdLFDIsPB+baqukwfw9iF2Dxm8EsFDxTItkmdkebE1YjM 6Ue8E47rJ2MrMlkX8kvglBI/Q/8NM8wG/fY28LFeItq9CY1cyviix3DOpxKW/W0k0rndlV0QymSS eDEzZ/JHpemKPyBaCGCPKjPpD/v3NHMLmSmdHzin5L8uO4HPzRlMtJSDevG8ZEhQCjAAYIBzcaGS NLahZ0IYN5pIO3A1BIKv5TlnfPJYdv66l5NtIh6DWWkHJECKOFoPFmMHVy1pH3E9K8mnR9suopPe l+xumN+XA7NNd9lWoPNskEJEnLndSebpV1NDqZVRgEQVeRMhYeaKknh3zELU6o+yzlrU4pRZUFNH XaF5JOCS+aoZ1lvPfUUPYYo6awFOSAY3dwfx0v3wzj6XyeDpaJouMFxoHfiL/KaBs8EH9vuoiW1y i1sUx4Mv/uqmM3ezNjsoquPTJtvAusxvCDF8c51RcQ9/M4R2Q90d4XdsFq9H10c+ZNfUw9pRvJLf KRY3JhyQzDdoOV2hIk/IQKrO4TIoPYHK6nIDXhKBrzRTGS7a28JcqWJPlWxGIDV+msjf5DA/RvFI PftG+QsFs7GIS/a2oM/ImokP7x/TOXY+6Nyn5CiKludhzgzHapT4NraHn0GM1VmB1iw0YCjerGid soTeEnxBieJu1sMROb0YIIOVZ2nv3noPYyig/Rwo2ePJuzFfM8Kz5vQZYOn7zz1+LSI5IVP+0kb/ vprkWWoIKkaBoCKAhyxHqqhpjFy+Dhl8MWwIryvTbMzcGVGhEW5PxyPbH9J9bQqjl7fhyELt7Og/ Bqfle7LvBMXf7w+aX+/1BRC9vR7PFC6T8SDx8x28w407a8C8RVHK89iZH6KNA6bwEedIzRoZ/DHw z+J/7I/23LQ04PnWKU8EHjlpIB8FdQ0TO8qpYGy5QFhFV8gDCEfX50qbS/e2zWvvi5W1VH0l+Fg/ s+PlfhE/1/JERqsZ7Q3S5hMM60+u82PspWdXjZnMszoLy92Lx5tk/hTc68zqbsDzsTj9D5zUoQH5 Fzqdk7U3q7EynXQZwDIrVjxh/DGIyjazIzA7YeWv+3vTa746HcIBE6Tsw4ddiEChBhISdsIX4y0+ uPdUCZuAnaBTz8fXuzmpJe/U8FtgJcZQlpi59Aw8T6VpHQe53uCZdD3KX8z6InvndhX32GSntyZi nxpwQ4JaoxjwOG+5viz9LLEWqTWSTRFgyn0qC2Vtw4Bx+J1XJWEG9XGHQZgPQrON6lSS7lY8fHSY WGrLxKP1wKhkLumMUaAj/oOOLOd2749tFf7GLzeqlPf5vb0dVGFKqfFyX4XsV9aNuzuDQGiBPs3U f3X/kCvpfLGdOv6auE3WHk3euYw0ZWsJbvmw0j4skmjV6rsvzOeMr4R73NrANgn5ji1IDGD6AOfy kmXc6Q2dxWywSNXXsVbCw2ANYA4QkBS7s4PIEIJ9GECcdLsO0DgPrM9oHEIY2ghg4nx0aSfhpPJ9 YBXLTHnixlABmaui4ptfYHs5R2IGALW7e0bprPVKhW8saHL8N45ih+IBP+W5zW1YIK1Gh+2zkoRr MFfeNQw5Ogc5mtcE0OG0rpwUz2fGWY4rCFY2YsDisZ+mQMh7do0hVmwm5FYFQvcYS+HLe9aMiloe dNCUghHuvtF6X0Z3KMS4pN64qgwNQfux2Nu4HCpOoGXvUtoXQ8RBg4uhOVAbB0Bz5H7cDRe92z11 8EkwZrLuPsvNzU3mn/8X9ErpClsfVyxe0nAtiYX4Ygzyx2mcm7ur2McRt7rJRffLdyJVI+LudnaR lx3/HJwXE0piPgayMkl2WNIVnVS/HZQCnv1q68Wsw0rkQfKNXpowsKAzn6N9Toq6DDECNshUdeLJ JpnsdlffNCPSsHXfcGB00deGxF09FoRPkgHGjXZEW1VqEJ02tj4h+TimIVOcPXmDqm5k/xoKTi1/ C7yetvqC45v5F8kxDZdak+8NRXKnGdOSQ4EFrs5EUMkf260yg2xlYBgNBPFIZz+DoFjcKDlh6jss 1knIFdURbYTKz8SZtyd2+jN4f3bh+F1AMu3RAsFAyTanbeB4qKJRn5ffbOeKBTmVmgKGjJVNXAuc lAq1UluG9VZrBY/7UDFqiII3ZxDt+4Iv+SDjc2W9sH25Vfv+pebufb3RTMf/7SfmfJGb6LDNvjo9 a6zA7sgLgBZRmDu7hNvq8VLuvWPlR8X4Qqs3wf+1Crv1lZhoMkCn36zJSQXqcoz6qn5+sek+InWs NHvLEKT9B0uc2u5vvvaD6PbjkrVFJWDwyqZdxs8frXvd9hqKT9FlXwkdJA3GCX9PlhU9oqtHj2RU toNXx/HB/gNIsyM8AeeFP1zJ3OshsWAKNngCOGGhmZCqZg5Q2MO3qVF20RgkAUAqwAVgJxJon0wl yaOGXNdR/IHHvP5NpkBPHOdtHKf9CJVjSMHJjIqozAJjNK69a7LUurwAZNFCW4PVq5rDSkQFPAOc FHX8WQJT3t7S8NBSVBE9Ho7DM7hpxftV+53BHK5RvaBGMCvaQNGoSBHs9cZ8zQ63HLMG6OzUKvBM cb29q0yK8ULbyqx/lyW6nwMiYPXRQ9XEsd91duzLuYk//ozDkX/dizWfdqhn3pu2P4WVytZfP2Fx 2V4FtjeV6Pg352B4hqUye2SHQKmKcLvkNPr+R7wRWbSAJFTEsj7ipxZqYYX+Lb4yLQ6wTMoDPlKK JCOeqcvCEcyPA8bZd3pWxj/Vs2Vl3/DeahRKNzRXZxFFlY3Ulw4t19i4u4NZ/VLS0vf3envsKs3t xO31p4PXwLEmFVHaIODzuOKpuyqSTrIchoZ6I+GG3LrjXVHu4VOZ3myR6+UYPSX/bvwYXtNvz7Wu igUhDBHZ1dmb8lIoAfj+A3e2NW9RancUoZWjW9GjjsmPLcvi3EHmRAzZFbIseR9Cd/Pd9Qem9Jx7 X0pdZ12s8ZPpCeYBctLw3OxmqcbPMaxRFuyu/uv9n6PbfrAwodwsNWkPKf8WcCiICqlmXsQxvpaz HpXLTHUlbD/XGMT6FiuMfbEwl/ExgonsrHaw7xAxJBkjXZ6onsGk8OSNBbKuy1s6psTNXU5Liudo iAIzqKRq+TOEI+H1wztxRbIw7Hju9xjvnODZ2StNrRCIMqwBcsxLEGwQN3F7Z5H8aVD6XxJi0Hm9 l4C/mbA5bAD/XkUta+EBaDiefXBFw9zj5ThuP9ClPwzatiTgTPIY82ODQ8lf5suijnw27yjfPGVO 2oDx5dmZ4FkiiYUKCWpbuxUYQxGQZ/eM0TWromS2+JECqQkQphhAtx6N3/OIRS88cUq0xQEeeH1l NRDM6CEsvWYGNX/H3LMViviMIFD9DLgfqCiRR+nV64psjBpBi5aDn3WlBx9gehEqzRNWC2IYLo2w PjwqP3MyGUtMZPEl99cGDb8uhHVLdZp2hKcBBsdqu68RqtxvlbniGMIhT38UBgfaLTh9vWPSmEdA dkNIWTXu2jDBQlchZM9nlXqG9b23Y9HGioajfRl4qOMENwCxg5/3fC84mSir05FlvznpAUdAZ413 DI+by7HLhuanNAqhdm6yHsY0jrm8HAXcKKEJNqZJjY99YRrIFObwU+7LRkZjsvXCHeFY32QG35iC hUN+hagcSW6LNNQVVtjkT4bpLdOtXdyUiTNLvqNzawJv+3XH7wJuLWF+Y0wtAqJ6z54j4QaWKTq+ JvEDgt8gpU+YCk6M8+y9HPCR+HN/XoGNIg+cW2el5zgdawxAz1BMbR3NhiZDaf5DTLyPELGrWh1T pqE8tdAVHI4NLtrNSoMBxiYnUsM8b7reKo/4m3myv+shwx+tVX7gR4Xn/bliZrX2AzpQR0tM9f1X PjrgTIILGlT1JACdmXu18JTEh5usDhVFN0bxTJFpxGob0VullH6tFy6HLVOByfcd4djB/m9DSrw6 qfE9pjBq676CHqjzYrXf3Kwzax2YvQW20/DT1buAKQjQ10eXfYR/O2jd5t9m67Rc4awTN5ThoU8c g44AhVpplvSooHT3GPQFuwfB5LraVBiUcEck8/GjOC3tvvBN6nXhEHSubY/wDv/nUjnwyJvhGZ6a j8ScieJPZyqqnLD76i50NNxn//tnJq2Tv2FCaDOShGlSKnwpmXUrzih5CgaC15IJWShnRT+3YQyu L2YGYw+XN7kDxoBUkP39G6bpD0L5fog07Mr1Ju9GQ8CRed2Uf6Jbv2pEK6b+/4dgPO5aBXG2Xkt4 /U1TztodcqQeJ5FNpJOf3b10UESesNMAYHnlfEkS4azLDaKNj7vwmCyUNOs9dvfwmx2l5GBdsKkE O+myIcasdL3+rMQWLJdef1Lb/OwuVOXZWfdFqxButfsxiu3pN7mT+1lICNrzV4Fjklui0JwRLGnh lmXwyC8giEBalmW7E9aHUYT8baHm+ZaGa01U8IsT+zTVVof+7M/oEChoAkjdIwmRxRGXBZenBsLk FCeyaFyQjSNcUtAnbs3QO+z0cvpS4hQFny9dYHhCQB0JihRSGaBta9pZ98OJUyg0AUc0B62A8ig4 kRC0uEFDZn20SObrWo/9sOttY4vc8+6YH0SsdSsrODgd5RKgmbscmyAfuV9uTD12JDEyuSbbl3iR imC5OUAlf36KFId1dp8tibc2qaP2Nuvdq2cmWQC7G8biz7QZ33M4vujeHDYkFoH1fYEBaNkDJXMs A+9S06sJGMKyUXCcK5QGjcXgfU5A/bZ0kbTmjW++R103ubDeH5QyuHgLy2ST7KkucXs88IMAyFNT RFnUJdmOWDjlZlCrWRqzETOKwSW/7pTZd/JlPmdh8cUFG9hZnRi1UAaIVozBZFNtnDumqUeagvtj xAiZJt0DH64ch8kG1vN3FGMABfVKodrVvYAr7EMVez3limXdgwuTKWof/a28zSQ9OOB2EBWUb9RO BFteRFDvGHadvkNGJfDXN2BJEgI/V34n0I0J5XQsCXEYFdBkHp0RbDMBEi6+ycfrVRHU6sv8zA03 VBfAEt0Nnx4FmrptHZ4phPfHgIy25YbOARrlH6BeLbTIEbHPLQl8uGi6uNyI4pe22EgmuJR3Hz0R LkvE9kN1d+OPHSCJ/qpf++R1KgQc+q8SLEY2IAqPebahDx8QEXzXi4T88OQLgmKcsDjKffZA9nw+ yKFGC5sxSrSmZWax7+9U6T3pDYxmAS2QS9k6WXpToC6R5A43g2zhNtV/KwOvGQHZMia1kNe5Fviv 24lgdQBANK5sCini9VIPan9MdQbtSp2NRjS+rL5AlBXpP+6VnLJ6wXX44hTDXSDnJUubVSy5H3dV p3dgmMZL1feDwzn/AK9Tiu57ytknNjjv6/ij3sziAZ1R+VpBR2BkU5dvWWZlWaEwbjuaKVX0dY8J JH9kXzNhLO97piu8yHdSxT9Mm082AUjFimgObmDuB2YPfcrDufWWAOv/c/T/xEEmqYzC8tMiqL19 udQeCz7zX+cRZWWQk/C37YVIEXmO34mzIr6UHiHcFPUYjand98qWIa/rSWT/PVIMxWuArUMdeA// nbkpkp488tdvpN2Dl5OE55Y9HLrzldfSjUF917EKpU8qnkUT1w8UPTjVgvi8d1lgfWuiXA2LgkRr FZTca1GAvWvDHSgO6DbNE80w6F51XL2Kg5BwRUQRnRCzFqi8yaZtqz7R01rfZ6d3IrVQtNTcp83K eqBbgonnT5zFfdpHuOcuBGXMNdTM6ulGqJSHcwDUtrRUzdiXBAfGofeHSUVHPlHf8ywTicbnROCb iosyHfAqClf+v0FXjZYLASVgnTzSzkUu8WHUW2jcn5kxPF0b2z2jEDnmHGq3dbGvbnzq94yFiS9b mEvSmVKBjxdA3S3wAHIJjYVD11Ul/w7/wSj58GYlT3irLef3mxDHnSb5b7LXLLS9fTrv1Sh7TOyy eFxXfgEHHzTrDPW5/iukBSLw7FNnkJlRKoYRXb5rxI5pLIb86CAdeziN0h58gxLQd/FM/Uu/krZW NUks/sSJv26TCjZOkKysAywhdRHbnRPE006E7aNdgSm0Cn0qPDhrMEV0IB3yWWEPNAmGzISsYDD8 wJNSAj+zm7apucDpgtbdN4gObJPUE7VrTep/uavyov3iJU/xGFDh61+ubKldPrnZQbUWKpd8NQEC yMdFxMZJqai73VT9FfStS+hjvTvYhRnUsaP/IFOb45EDdjdDGsqDPwBfaOZwllsSpn0UnVHEvfNN H74I0hoWMf+fgp92uMCk855AIr4pfq7Q375r0+ds7/hpabhG3x2klCsihKl62URRMrEoDHig3N1J k0acKucAMzmI9w7QziiLSnXJaqVOb80U5c9QYrsL+OSuLqQu8T7B91gVrDpO6kuoZuUHkfQzXCOC CSiQJEp5IIsp3xBZaMONRotYAVJCJKT0dZoW0UR+lA2ciCoWpIfXC+CmoTJl06hARwb35/rKfouB 6nrAkilg8tIUOi4za3eSjU2K/xMIB4i/A5FZTMo8LhrDtisZxD2MqJignN5PjTCDn5IaVCmYLaTS wX1DtYIaFjUxYZMttOHiQNvvY97l5ILwqlxdqxE2nZAgHElAEBSfg3WobNlOsF+jRgwkTbl7W4KQ jTvUBiO8//NeFSSjx59fQ2dQd3j3CD/aKp/iJIHesT/MsyI21IqmyTulBXdGQmCp3vLXhwezDGGA OeQdz+Wp1U/oyGd5VaihwTGtv3BwXyrlSjMrQ7F/thGE5S76BmHBbuJC6lm7UPUYuNEeb7PKYKQV wrYC4IkaibAZG9wg98+p5jDs4AzIBVof9RvNxWWDitbVCLtPPE4RkdrDmeZoo9iJJ0CXULwO9aYa 8clHL32E4cRSMGJmVYDtihdj8fr5uYOQ0ipvrlIMXdXpe1c511PFX2hjQz0H2cC9k2xDCYoZdDhK yVK6n5HwJsl3ZiR98IAQVTYYm8ETqRPXAmHUnFeaHTwZdioG4j976hbz3QXSHn4XoqtPpQgUSjYc MxjO9BmLyEVEhA7tEW5X8odbiVLCpBxJDI4ODu0BHxsoWebV1hDDRZ/L8XCoAcSVdzlrlBLInMAT 7jzhaSwnFyZEg8MbknqPjuZkmm5pNc6Nu6Ecg8d0ax1p3Xgay/gbT5MYYKNzzQEzF0LgwJla2qpM s1nhnt+wWt8u383wMkcMI4tVDqIdXWMq3Y6pMfH48eFOavS/tvpTm5yx3EWZ26DZXE2cBMjGw2uv wS/1gksgdZYQSG1KQu0+YuQcPFte9epjmzbN1wfgn7j2W7FLaDhj5Dk8qtNb8xlrDlC7eZUNbGXK pNwVyvNsxLaUJE2y8Ff5oq/GGn92gjhw5qYTXYLwqC5SX9qbvANcLIgqYbRtwSFC0dPLS5+VfZjZ hgTmI1k167uaW4qfnp0Skrz2fLDK7GxJuIG1q5ClJMQ41oip+RBjnTDtvYdIP5IJp/wi15BG5KFq B0rh1RBDL2mgaJuemTw4LAGha2ibnsQEcB4/w9H3hr+/FAdR0OCfCpK8pCofNEa7tH1Knu0bOxUq CA+9EMHOLCEG7hfUpVzRZQRP+bZ8WcdGN8WxPZyZPs5QZcKrCnuUlMwQlwLsTi1VkuY4OgUzjkGJ 31LSOhEMXIOnYWqkY23swCFdUjLbpQ+11lDFvv+DkS4YcgJ880d64CXsVRIQ8mA3Lt+ko7Ht8k1d BwL7xOb0czuZBS8HqcGMn+pbU0GCD4W7RuAigLJ5N2YplcZomvvJBa0SFA+TeNuTzQXZ39ABzC22 5pTlAG3SXfZbtcf4r4+MQJlyQFDgpHkZ7K2wBC4mznWU6Zajk0CdFeWiIsLdBgHsqFkr2TAbsHqc KZ2DhCTXL60WMD2/cr1eDl41IMgoLCqNsrCwLZesLOZhFJ4L+yD4Xh50AuMN9wYUaKy6hCb1W4wx SoypCo9Nbs+P5/YI0r3dJe1T0g4/iYMUHSYYkC9BwtmbkwmaDWdozChVVe7sZqSQj83hATedZACj mw7v93V5uaxekBDji1UxDMDiRteN7bgS3kCNBzH0BwNb4rftVgBWKGiKxgL5FjuU8GqcHpIz/4LE sI7N9NX9RETGcqPpzPEiR5hJn9NCOQr/ih8MJcLYRG2q2pNuBcfu5nakUPd1yVVBDut1lED74xRB yspoWTbxww94JG3yrYednRaPguQrG4d6fjfkCoea4l6MmmShSjdlYC4W/gVh/G2/aPmYWRBOflVy 7LpEhE2OnzTLwp7cgcmdbHCJff78wfAeLOSTw9SBxmr1T/0HZKMZ2AAe/tKM1iqiJ2umXTOPTXe7 82Llqq15gJWlcu/U1K1rOGYZuE7AXXcuMh3PwP3gXQw9fnuvqKX+fSuy6N4YlyAlzt8fmyppWhZb 6gnpx3AqZREtGSxvSygOz90ey3SWskRA5jONtrU5UUTs0YQ/89FCePI0mk5MLNanSorGssTsBc9Q a/vQd1eHFd6LUjrypwtLrHQIBFzidJlbE84c+IQt+aLIMzWyXUnfEn4Tnt9r52Suu4t0WTKgxM01 su1uH4VMJPddiJNY28sQqLfYY0//QLjlJymhZZI75EZmSIzOt7jilTjMfp9kTjn7fGAOAfldtzCw mwVsejl4tA5qkieA4n2CgWlZZEzCjV38BVrhpx1VMMkIep63e7uqFo7q7gfRytvobFIpBNA3paCX uFMZDQRS3LfdXxITJPL2/bTfs2hPF7s7fduKLLKP+l7s1YA0D52WdOUDX1oOtaySK9EQb34t3Hyq AmQppz4owjHgHtD8f1RydVwnOd8psOv0wiHSh+M5TDKKvnVJfY+Kq93FXRL8IGJoQ8GyMrIVW1yF 0QZDR+/aE7Ob6ucApTaAhER6Q9agUWlVIg3zWXxUzJ0BneHqoc0Tdf43xwMlu9T9/y6bupfDWnVI 0ppFt/Hgy+lZ7tmR76ydSFdHcPjYnUdkFHEn6PalJcYvEIOZPGvfTGW3vSpa07No8OHy1RMMazwy ga7ZYTVfgNRuMdVEo72ViRbinxmGQucXqzHmFyvrAZkmmZWKcCjw1kEi8hlye/8YXVnfUq4UFmYC rTNk1hMirz1LtwFFJJ2czZUSTa3+n46VUfHBEVIVWyA+fY+XzDdfur68+pO1rFeRbY3OlTX+OMd/ y3YdeC1D1Pys8c9Hv/B3syA0ZOMdVCQhOyuxKKzyEhnQ++Q33N1zegj1BxSoVMbA9aiIY4ezcehQ BhEyysir4WxtNiCJ8eQHakLcQaoi37Jr7cdm0kdACwimE7rfQAHG/T9gS4xEFpNCNRIMfWzmPWTf JD74I8zvYki50Pu2ov2BBK+IOwyvafMjQ6SC7JkRp7bGMKnBcGYXs7aXCDa2/NJrFKmWQTTMj8jo xr2TngQ7RuLIUQYQhVke2C5JPOUImbN3abPZICegHBGZAkqvTvG5rW/98b0NZrcx8crBsvDye74C GdyR9etQ7QX67QpJtrsMCPCZQIRj6RkZXw4lwD0SvY82MroJYPULhJF4r71izK9K1Az8/hLt9AkN jO+HDTy4EidxoLf5CTnT6nOq3H8+s+M10ZyJZamFjyMfJ3Xmix1G26EL7buBdI+FOTFPFG686ZUs WVN34h2Ec985LpxDsZhC2dxwX/7a84iLEKiq3FQCBszh6r1g1g/QwsyMTf2HhEv4PDLMone28xRe UwANuv17P7HbPhaNkvkwCaV9+PgAT5mV/ZqJtmmy3x/RXqJDkgxYcNI4Qi3+rJis/b7KEPjF6Exs pyWZX1pf76q6lx9wTr/Tq0eZGdAq0NYjPf7WoZt4EAYmeMVciRAJttwlCOMx99S+iebb0xwTVwPr TfSoFD8Mu2xq/nzwA2mpulZfvMD9F8CzyP1zaqEfoPdcgGMAvSXn9jf3bJGywbfjfeZ9VcMnv4wV h3R/AyW4TIRcMCOAEXntCMDAlhpOS2vAZNGDcM+/9Iovoo5coAQtDu4tw7qwjPjOCgB/h4l/VzHK m5G7u3ReB/Z+1RFTDmicN2ESNdhBocNL2DP012zsw4UjnyY6oylcqbP3Rb5R1Jg/bYPVtJO1ifOu WpT5iv5zxbE/h6uiYqZdheVOucNYA50dOQK/q4f5VBauTsgdhdh1lKWLFMSiIaf7uSL8y1z6HnEm 15ct5bMJUUHgRugG99l3BntumMJCd9Z/OIws/5LP9inTyeIrXE2Rrr8au3H/I+P63PU7n9Brh334 MR05zV23O6tGD/bHxX2DtiU+o6cqax7lJxigVduEjq4ECUdJ+HLm81pTo2HSxR1Uzafx0r8uJVKU h0wnbZwYOU7oI8mbnALb9UTQppDTXpVoy2AdevSbMmz+WRpNH6SBMmlBGZG8RDeNX1e9yKAJyCSB ymGkNHgSgCzD/lQKXtYxs3Y/0O1mwGLt9pj3G78n1YqyLSYfAzs5QbsiEKyixwpSslRFJ2T7NScC bpv0V9ElC/1bGXBO/uj7VlQ4XASHf7Slkz/VVMaZzq9Qe55/PWe68AODS2b4vW/NH8NK4Ci3c3Iq 785KsQCwKRRKXn7sIX/AYzQfny3NwESzURHdc60Tu8zXtzeJ/aIUXNTRF849L7pm3q7ZxEwKvUiY u3pmy2z5U9bP49YZVIQHmI5dwwk//GotwR8uLZutMu7clijPnnWkB/LZygyWssOuwDMxeMSRLnoz AWEFzvaMhSu3NhXaluJxaWJaqoFAY49GKTMY7QqCUX5qs/BVwvkmKfH9JiJDLHwPzTfi+pptGCmu v89f4gfWJlO8Phdn1Pw1A9QKA3RpVhLfs8JvZXf9o+rIuQDu1e8jULxIz38VkYMpyhqUAO+S4yKX Vn5qYYMsiPKuDu5njZ63VilCq7CEMmtHaCytAbjAldcxH1sC4RYtwqwT1Wsg41T5aJqtC/Jrkr1e zxewgnICulHIOg5/vtSSKYSSerq87fDgs8JX5ktlFu+L43aH030Z6mrIsbD6kArf93AY5gijGQMe UtDwcmf3uJBKI3HlW6HSNdF9ypKTmfEHowtVP0CziScFyDdTVsh4eiET7haVGu0Nu3QTtVRQTCA4 7hc9xb6LunfGf68Ek9atQPwNCCV6DqySDk3y0YPslnB+/3pw+zvwBSOKfLfs2HM6pJxNpkWYiqa7 2lRDqU90Qy4hg9CNP1VqMk0g+SwID633WfK+EbgrJ/cqUpK1XjSP2SLatbjy9nFBUzWEVCavaHfV bu6/jtJx1UaUrhJCo+0vx4unWRSebB3+UBdPNE5s7E8IS+RFRUewXgZDF3tDBGGYQiqCTfNoxo+s xEefmyhRHcoP+apkG4ewRALPlf6M1HxzPT70edkQeWl9LvNoAFOl9M3xNku1eFHVtl7UmUJEPfj2 OcfCBwbhFzgM4feh6E+abCZz+cxLmwylgUic8Po2tYo+gM7PPToXV6t99ZOQ83qPVofswcLNch6q nGepO1Q9NisUAxB23RTh+e7Gydm0OUi/M6F9AR2NPzCnKHSBI53VQPEqk9gjBQWZ9XGst0qsqym/ otpvGUp3UPIbtnnaIXtp6/9/ar3W6YFXTooR7NrMPnz+RkT56xTbu7zoOyFT/zv4m/i82/yzAe1k W0EI/QdwzhMm/i3PfqfsA1wN81dJ2L/cPS3znOH5bWnaeUqznrMXqk6paF6GhRakM4nmFL8Hm7aV 51hES13+ExEa++elZlfG5JY+Skdw59CI+dyiRG6EJACJME7HU2YVdHJL0AuLuxuC/FDhcQnZy+5a P0tfCcVI0s4Og6vDaDGvI9hvgRqMDyMaoGSCuDIVghl9ua8JAX9QlVOYwg9W/Ur1ibZ3HLex45mK gMbuJWrioXhMz6R1QJgPJEsAbdPc6juZfrL5/4ge25u1tJoZmo0xSqUntdBOzaoUxqHn++7kb1s/ U/BKguTWrehKPcjqfnRrkmAm8U/Bl05dm5GX7F0aefLCN7cLGOML/p6EYPgFP4H5TTfVnq+AU+X1 gMc0ejFOc4DBktYcRoy53cXDhqn6VlX79V2A0kPCPuuvQ7Prgw2ATpEXbEv0fO9Y64EHlyQkG4kQ DrtPDAgPQrxAFDc77SYe6J5gyMvM8Imt0vRgwgOn25VgqWWQf3n9q+O1dTQC2kYD2QpGFIvvaVeh 7M3RvLMdUOkBw2Xy9yphVnbmkgHouFR5lU3RwAG12D9pvPM9DXzuVtIVOsh951Z4A0NQvTylBDfc nq6Mjzwuhf3L6L6zuy2M8eSBfrB3H9E3Qmsk7RxT0Pmb/jX70o60wYvMIjelZttwmJb8d47JjzhY +VfLBzwa+Kfw/vp9K/6swFs/1w+FUXKvGdqNTsJr8h4I3tDIUwSeX3cRmqDApQHipgJkP4ugkXPi qSlmYpnIh2acBtqvz39B0/Z6Gwc78uTX/VJk1oXieabN6hI/mTy80tIY/AxrzPj6IUlppMLIjZLU ZuiCQsKwGlBaDEYBRkdpLR3Pmxyg0HYSiJV4J1Xpd7LSah8iWemrt8VnZMxLVgVWFVvliG8gjeuW BsMPFzHd7XOkcO8wer3tFaC5mZWxwgOBuoGmQ5ddtzGnViupm1lOcDLUvR5S0IW2du0IwgwqLjWJ o6XtB3uM8fzAKCZ1dIJFWOax2+Ju/seuXdU9ka6UurXQVknnl+bQ6jdSp4p8xbS3Md5RxFWcJPr0 OvG85ySDJNVSsfQsQwEn6CZDjPfQAG5PjS9pRN9X12Ti5xbZb5RFC8vZrG/K3d5taBfQqChkTGNN Xe/r0b4lSfHEXc/VTfygwGB5wlYkpFu9vz6rfHPkUfrWhEsg5ypEwftAiuhQlTAHGFeY+4BNSYG7 tpJjAWbd6enxDOsbq6IOaFKIicbxXES+/UsqiTI8V0583XCTxgvpw+vbnQ5UAq75gQ5wiLkyfZDN Z6APCQ/W85Q5s9cpyrq07cTi/fkZYjscykjYKSzXQvvh0Nyxu5iTOPQomnd/LYghnV6DXIFvxYK3 67yTummlApheUaLULEK7knVSpSseTncWaSLRwfRg4a6d8Cu1tFwh3DmF75XEV9meQDvs1Fqsqots JlUlNlCn8z8XXgc+Qj4qHGFcp1ziBQANNWB5Y4YshVf4N6IxYryhw1HhjEvGCq8Ha30G+M0aZ0yd u/9QjKp9sdI0FagyZv2l7YZG3bt6S403PiWfHs4Ds/dGtCI7JCEK30xVFNbAl5gzCJ0Lsefrxebd z9IDLAZLflxm3KqCaC7Hxm+HeQjv5DLPE79JVFyk93rM621nwIROkqdkN/N7xRPhpEbVycyY+oyd zMpGU1hg4eoDngorEFtnn2h3vl3P7OrpMglwheUsGVOrBSPaDajznf6lGEh3+MhKAL3M4LRTbWkf u5P7NvAhprzVuRYCzNYQoxXzN5LMp4AIm+PG3PPT84JBaJ4m26fTUFGxtsBPvzNcFgkA658c9+eh suwYkPnKYHN4l+IXu0q1AmwECgEt8x82adde2Sl1zEoM6TevfXVS8qes03gCAsJlYDBMefg34Z+T oZc9ClPF01ZPg60V+MCnNArEfLElEy8J08n1oJdGUrGz8eVsq58VwVYRWnQD+R4TF/za0mxKBWW3 JQin9USyit8C2fJ+tbv2LkjWTiZDREQbEp9dqgiraAC1OuLQnb26omKwnYl37ESkO+8Z8v+0CNNk BBYAKTjUnfOiv5w85zspvH35gYtMH8cEvZT+ordRXj3qwDMzyNCe5qo3P3WVPPpRSoxNyWyanoF0 0lhmnl5c+ohRUXMkMhx9XJWQ95bNMS4rZQuhWHIgrkE5scQzMtCP/cogF+uQTdno5onOQ0+xwpRx wehAUIh5FgwXHNz47R8QfhLi32QHSlwv+RkWARflUds3GWFYbYpEI+p09SE7Mm3EiBQdW5zPkaxy Ri/djPSUz1Kgw7GWs15yVLNAyWogOdojE3UiLHsD3GXRFyHQuDfwbgnPyFgMGDB20P0ejVHPL7ts JGfZV18rxNGUfLZZNW8mkPcazS9R4sKAZYnS4v18V+5ZK7lOovXUkVK3qsESJ+0FdDtFSf4QP3FM ERqRdg/qUB+e8tyb0KjZtcfb649O103CjE1ahoU1DV7iM/FMQxwdLdBPeYLyTzbWGNIVdfJxE77M w8XVCYZwk/lYqBYtpGAYl/5/d7wSUrKB6ns2dvqu+1TRryEjXVs8+bbIeNcQ9mRv2gLc4SdggqVB oAOO2z0juGBsf2jGrKtErayykCNA3XAv/vv0fLfpPniKAquV9iWWX8tcyNDOzs5hNdYzOrFVAy20 1cq7WgYiP6ma/LKVHOLRLgw+qY9aMgedOUf4FlQ+sSTLwEMNrci1NPUgwS8WqNNoho3ZfpZv9W4D LCL0gRjwHL15InCbtPViyllns5bZr1RkhblxcTEtAGw9SdGMk25ZjVMLqpLABo50JBNruTD2KgT8 qdB+z0lVByN6wkKC96J0t0K3WSedH+loQ/vSB2eTR8FOHBIIwE5ARTqEa31JRGlKRswfKXfKhAtF AVq1HKaoSrsmrdNkhfeEp8+DnyYVGuqH5lklsXQN0rmHDUcqSwNAcwwLhbYAeb9j9oAFX8XMsVFC iGKFHaaelYDinpZZcrNpc7/T1BblB4lLPZLv0JTGXflmf2mLJxp93qxENIqM83ZqcjWCuY6eLdCd OPdEyCaGk3Z1Jb4PiQeCmfy9M9zR0MYlW2MKYfmCPxryIGNGybpdhT1w+0sbx/kxJ5wMA+v/Mvq+ EJDnsqXeltAksmgvC6gAbxrKKqAXjFhzId6RgbD6yD149uEJsmCO95HIoN6JRz1z5QiVikREAjMT t7/XJRIzUmFZj+6vhSujpJ/wjC1BBciQ/e6zq36dPZATIcUFhIcbLdllLlPk/wXqqWhOtJiHHJCG UilSc1YHmUC4qjWZx6cWVRKTVnrYzZsiyo1mxE4iJf1Y93PBwMLckEhTXRRIhiNNfV79NSGk6PdW iV1lwpbFKHOIVWKGsPMLkiljkdg0M8QqNI8/h1Dj0V0YM+tMV12acOR+vFkCx1tVfWZWfyrz0gvF IkwhZ3ag19fnoIW6o8O4thnMODuw9BNnkwPM2wnJRI3KIlucKH15e+O7VnkMOsGyf1/MY3nV5cSY YTbemQ7Yxfr6owR7m97tdZnb2BsTbI43Nw/Rm0DN328PtQ/v2e/m9VRnfM40CHCA9TfeqabzNHXU fzeXG1BkP76va/EhvfSakE8nWEvrapwXw/zXErIZwh0AR/zq4x0JaKfmIIVq7uU9Bdq73f1kAbXY Y0/AWsMna1hvzk2iJFCfNEN8XqgBe9ZG7F2QtFS/GwFwVt8WT5KpH0JJd92Xn6TydBQy/1l1TIds nsUuGvqEz3oqzW5O4Y34DDTAK20o/2j3TSiIN2x47c9iY75dnAhj5fkIRbG88tVFeqJc8Tz9Prid D1O+hR2YaAWHJPhrRtiG4WmAJ0M8y3x5EZOTY8quaV7NcDMgU5q37smmtQlsviOqz/vA7F5Ml0Ue 8NindH3tu+qSAF9GmyRJDI0AXw2mJywbe79BBSFY2xGIOWDhgIFGkKVvrT/F2aEDmaPDORPpkjtL FdvxcTqL8ZmkcGt8NxRpXwIRspEajyirKTs4XA9hiJb2wfvbLJ9rEN5QlymSiRX2+NO8ADzXytAZ Wnu+6HblYB6R36ZtIVWCoAdBNFYk8JpkoYViHbLx1RNNebx1jLTcUJ6L0C3F+sX2p14tCDDjEUmh AhHqZt1uQjnmI+apLqeO4pKlGMxxhVkUecZwz3vRsjMKDobCzkQAScGpgKJBHp69trUJrUpRaPHN 6cH8UaS8zdLkqy3SGA3l11WlgWLtw8U7te/Zq5250PK0viO92V/DdJeycLCDTyOLM8cV6JdTZoRh /iHH87V0OdsMFRi5qZQxSMhNmSBbUDKGM386+aLCEk3YpnE1tGK4sZ4+JK43TCOqQgbuym90wIvj TLbIr+C7OOYStfje6H3qgBFgR9yNLZwImBjYLCeYogXlNSfZQDMqrlPrWwiaqhQwlEfEhyOWngAa zXYSLDpbYrnWnGRejL/XoIyqYG5Iu8l+hyq+cSD46IKkTAvORU9htiHyH1PLn9G1aFdy/9mBZleU iqk3NEkRJYlebSlDIBiNsA2aOlLZ0et5vXOrigYWELE5rUpQtYdQffp7brgYmN44QgFR6GHs2KMY obX6sUrPOG0aITN1dOEhHq/jw7AgYY8HmyZnWac6wBBfJ5F3GW0q3iMzYuLI0dePeMHsZ8mSH6yr 1za9m4TA0+d1UmDDYVhuV2hA+yQtQF8+NhOsIkLjZ0/1txOtOHPzc1aMRwlngZaAn0GhOvWD5Fvx ZzdTI2hFFN9ULagNWRsbBREJ0NtWEhTWe1muwb4pQNN+cyqgmxmIRwMBes6Dl3hmUDMSS8y7ya0I +2e1dJ7BVUjTGjf4Lc+pYnS1p2cRpWnuTU97/mFqk/iSXY8haYWZnxp3t7KHfmGmMpDGGYnBxLuy y8FrSXVGjBj12hcb6Hx7xs4mpsYMGXY6ygaWD4puEEQQM0ppOAMGJM2vmzrlBjybuFfZUwfE0TEu eFteL9tNKVl0MP6Q46sjwKuxGgy/3kalFKs//Edem+QbMrfhW2d6dLxhLK0L8rNL/jXpn37oUSdW pFEPBr3jiErseMmXfgecNxko+VMZ2p7l1cLvXg5bXh0gMEKRy6lSncM8aSIjHH97h4E4BCXOKVkq BtX87jmi8F0tAHZzmFPNK5l1lw5ghKsaZLFkZX2Kul+WHzZ/0Fo6mgyXKfJqQj5cl3FUh4UHiHUG Q9GRsYdd7B7Nv5V4zoq2fbnBHb04t73seqimy7b70grbiqN6Wy7OyoGByOJtjF2+7iVy8AxlysEP uRKo5P4R1JhdWT8R2tqhW+W/FRvxvtLfpASRkMc6QMtNOprrYglvInckH9/GYHsYeOXpJMD5wwlY 6p0da59ikHVWWkgBftQBTa0Wbk1aFk+q8dVFjoiJNrNxrLvKZ/ctAO5gmdRc7uNPtrhRN56FhD2h STX6KYwDsBc5I0ZeMwPussAGxcKWpyRAGfOAf0MXTQhfFPh/ySKsYD3+fnKHVyNzPYvGd5SHJFxO Hh4Zci+IZZc6IzhseZw0n3/gpXV4o2kzPq78y1rhW/IulV8GqRmaDaUtbym48snaBz0gV/84elBZ LsVrk7y36o8WX2v1fnUa9fj6h/YjbWkhNw46pbEBvnzrFqN6dS0lZv2oLUhUacGGg3mQzQgvXqjU SP16Y/XVsE1/MzeMTUHCONOPJVNMhUDWsXEkKeJVWPpaRhC0cpjMCAnrk8/hjvgNJ2rVmVbE6huv vnL3Q2tzcxlL2Ps/YhLi7d67LEwIphkFU81atXWf0jVWjJK8s3N839wUFpn0yDu03jrlAq22bO06 hRD/YrN0OO6+naASQeVxqYIwoPAJqcZlff4O+/hjd+Cj7tkDu0hoZfs6bMw1E8kLufVlioAmTlVe 7pJ03BlewCUH72Xt99O5JV0CRe6+Ws+hEtLzcwL27F2Sxu/nHJRTZ/PGvqnSmecq/V6uCdyGs3WN JyE4d3G3zPyqksQkSSQJqX/UgvFfH3FcQUwkzPuTxQrd5cvIXYhtur3qvuGShSlhH9+5uRIp4Ogb 4lUVlMyL0xtCY3J92S0ehpaeQ+0gUYWVsTYMiqhsm8S2UHC0RUpuu9g4GiXbEB4wND/UJSnUxKar g80eXvhpkuQfopRFzPlMfQ8KRtsSowezgS1gsoRqbm+gAdPYFvC1ba/z+Huy1XdxIV7IbSy98Zgk ykBsSw7x9wLJFyjJbN+8KW6uoQuggwkjaA5Lvh3HWPSXb/wqJezhQUWVurdUlTl5p9tmLPSW/f2d pP8x/6h367NOvAQY0RnR9EHvaVZeo7X/BnfnmAa1NKsQgact7OGg5bKiSgrEh42MH+Rwwuiooq3W CtrAqJ4usgJoZFW8OG9hzFcq1s4hDKvIe3JZZhBzjlz+WQNv6niyakAQYXTjQBBoOOzN8HOuYar7 6H9rnh7DSq2EVkIi6V5pSEjiWTrALGLth9Igxn/GHN6smrY9Y8m1Z3Qw55ngxvxpK0BNRHpOn/gC 4E7hNU/cUv1d+6vbtRyhZHR0xlCmyJBREBX0iTO4vrR33OrJcDVViXJfkzwGWSDLbjgHQUWtmwuY vKlLrO8tp08+/UoKCnDOzlCwObgwZie5KRof+AQ0QiILssFhB0q+wj4hTBwbsR7zWnqThst68nMD KJPSzo/WgEomJbXyrzhBnwOO2MeuMIwc3LE2lvf4qkmIxO/7G2nv9Sq/ex7FpUc+zPfyPt+KAptm xOY0mTiFhfKxGYzMjD6tvWF3mpuhmnp5FxY/nGETmfYFWr0EHHJQFGFOpTITEuH6dhCN1W2SWBu8 JPemT3Su/tK6blPi3JPWtpLESXrKJpY1Ifmbr37kd9UrMgSfKdUsn7rTaXF09D4pSRyK2ugmmOc/ DVqmk4cLxuLOayDyChY6+x3QSgsCorE0+4q0h+IOfLSyp8UzU9QW3qDUUKS/bPLaETiVBpUC1J/n wRL8L7fJaVhjLIOyQSuaW6xJPmepvIDSO7vBU153TDwNCqx1aKiiK4VhHPMW/hSdAZLIrDajdjCq J6tbOVgnz/DpW/a7OICFx6N6SL1v3bEEaPuOCfbCD4bX6F+EVNmBnUYjOea6RPBhfmkqQmFlrDZZ 3w3vhc88hAIb9xBl27IEyTURHGj9jPa0zTdYOyEsAsugN2FMgJMhm44km+LLco+GcGM3edYanGHl x9ctxqKnP8uQsiyFF2Py8SQiYmyDkvITe3ucZ775/HL5fOd9sQBHJvBlml+ylvDVz00Qm6qpJsaI xEcvx6YmSC0SQ6zx0bTSnsOQGCYgod671VH/rJvUCotmVcb0rTFkGnlp6Gd20XV6lDOgqXNltNh8 ZYvOzxYIZWvDERjETdw5aGmVc9ztiXGTJB9m7Fta27PMH/x5vTNTTd5f6eLbklOEJTD6NZ8GODD1 dLqqaYOEAYd9GXEGF0MMxAwiSccONGm4c02TRCQJZZ92L5XyqaQvzxnNgg0l/+uyibbS/jJR+N9p qMQKP73EI2+MOGu++JKlH9Yk5NorDcfqntKFkhzEN9tM2i5hZuWIeOJFLr+b0mFIqbpg33bHNxcX l+m6zAQwUofrMhwoPMl0Hgk+wnrZS+uUbuDu2HWDa3Rm15H0TFz3cUfNw3OXrX0jwp8A66bZlPv6 qeHN4SNpJeOgdgc2Ktn7VZ91EUxiFJjMwf0dkOkk00cHc6bsz+y4noF3RXIQPRNCdVdpAHoT8iDd 7eIIjh4CYNBEXoxAQcC552rznEEiYuIR8szLcEnEYyc97VmTuchxWGV6j2RprBe6e0D2iJqATYEa o3H4TAIprdSrWHwrqK1YSm5G64qXanzvJimeYWw8Bkw3N8W4vXtRLPet48zeKReBfj3uLo36MT1C 5C4lGdX9DxuPQqNjDsk/hAyIXka+XpZIZWC2zlJ/Uf1CjTnYcSx8iqLpY2huuw0y7hE2mA+n8GvO 3POUglWnDY40+gyLFaHuJQVjRhBg1ZkhaeRVpZZrp95vR0rjb6uFNG7Kk+xvt+Efy4+qkTSbcJgD 51dsWtwZKFXI26N/zp8gq/wlM0ZLvjUIf4Blc/ebiiEXL0gddF51RXpYT1UE0N9+t8P80KuzvVGb fRU2ZFWr8OW8AqSE9bUVmml8M7nsc/EmCGRycGCPfvYBQIBzfHzWnVWClF39Zq0fUa4CZqZSyRvT OqzoW9N2llv8o6fDycJXRQJDcn0n2k0YzvbjZRjjgn+kSEFmAecLeNN4KprDNspUiVFzjhzACsD/ BPqX/rGHFYi1+dKZyIxFHpSO8j9rgEzg57pb7XLtF0jSY0tzyu561h13x+g/3pg/C02LqLiV7enc 1GYBevZn3Y4MUaBpZUHBAXzHp0srSusNdenjQ76/6nt7iwwrw9ysGlEFaqoLjbtKOscOGCt2IIZB qhMu0Wk6+Re0kmwiK1HbFIGPWxKDv84SfG05mlzDaksghseupmNR5p5Xe4VCFd8BPYqgLMM6DJqf brXuVk4W7rW3THhnrS+TmkFa69oTs/GnJ3OxNmbXpgnsjgNm+GqZ0uD3Qi59QsWs5heVLuWqRw0A NNeEcMuKa0m3ONSFJn21+1pueyuCwhYjN1GF2NGQGzpP8svML+UZDpxUH4znJGiUgsl1vDv9fxlf pjy9xOhHzHrfBCznsS0UjEsnJD9pXjJ2xECuYOMZvGVk3zWyesY3gkN8+0fqEYlojXfumvv6Kb/t mpR1JfyHS8vx3D8gNeAROkiG79Y1WN0mp26tTGHz0807gOz/ZuY8yiWDhyE+D1A1BMNeBdtEhAPg GK5PcjZsYP99kZLuajHYkgqe7uHf/+Xly161xnPPuQYeVvHcre+Y5vQPTwL3/TdooaZsfoHWaUHW LJFUafHikS0OHNTSIZCrMOqNUuwF0X1aD93Wy7W6PWK63fi1Si7h3oOzEPk9hr+5ZNZIsIEJmIZd HZbIzzKkBGJMrTmYgXKuqpfSQPX7d501XNn1k0w5gswc39aSoSG0V0Ey7MWT+FWrT06+/nPv0zmN yD3iRRDAxXJlMucPRsE4EmyW9/E5H4pWI5ZELy5H6a5m57MUAsR37KWRTH7Vt/gBXejtr6I0pChi GGULIXIeN3UtFQU4z6AzyREz6+1QjrI+f/dxE1TePEMyxprsfEMO2eclnh/n8jpdIY3EFogg/6y0 L663skcKqm0kGjte/Jtu1FXrsIL21YSWPeETkFFwIXnu2uPSFFEmAvgJPeP2Y9xxkp/aaWzp2EFN BybYbO7XPoxM+dBae/6FEanlgi+KvKU5hdSBMkt/G/BYFCN0fqZ5DMd9zd3WaUX/+js8vBtSpdhy jcUkKYNNmDxyeVd8lb1BW/yKKfrYecHAyjpQ4zyAtODzxJl2nwhlG3xYMlJz1JcCYrXzyDZRml/m sQg6jAph12O9rDhPBqSad7L0FR+1jkoGoqxDsPIFSiBliyARJnHHnuN7LyaiiqSSmZwAGRFfdswE qWNKHi6QYG3Zuh+SWG8EJPvcCAzL02pMnfK9oau7vMmXUfBur21ftBkJ6T89d1FVacj7CfZCnn0D OlTeRfojPZMw+sqlQ5cuhDT9OrjgJa+/A98Xx3WG5jXUGkNrkBycaT1JHCcjG77gcc+ZRU/MTzeT vZoWyqcl1D31MQHxNOVBV7gJK79Gx2U5DMYvxIAiZFWUKY5E1TD6rYtQVCnnstfl5eTXzhofJgtp 1nbU+cAqfilG34KqapNkxia5MDN5Ub0BNYH270+fEdNR7Izr7Z1ymzYu0vOm6/OXuhZx98xiQ1gb 7nSkBlSwD3eT+k8MCdRqzGY/fQWHCKVi6fYo37gK1hiTAkfzlo08qcxcl48SEID3FPdN/EWF5N7b yiIXvcGqbHg00QkkQrcF57clRCSGJYFYTYWnSQIJM3+lorWVb2F3PPSPVkAqIErIX1/218YEkZEk 21bAkb5ZiccCfAxbcPAH3gYJKUuGuQen3wKRg8w20bXAQKdRJX4+2HqyujgSNpnV7N71GIhyi5BT XVa4JErC7KjNPgXL+vlqn7+DdOSLyJeyW/5xgI40HeeDdvgHOiGBbyRZOZkmdEdroXKbBTQ7AEkS wyZ281zl/jrE/XEd+pGRebLliSDlPykq+WivdZeopfGSnjgCLdEgXJvEOf0o28wuLyruibAqFAz5 tR03+l1Jmn/U5+DevkkJLUjQfrWMlhCQ83yZNIH4Vunle2Heaku6s6Q2GcPvAgZpNkyjrC8R/VW+ hyU4lkqdujgSjDM0mPJmMWtmedSE1Ld5CsemoP2kKMmY7chJG6ZEbLXIMqfDPFKlhTDwPAHtqdya Mp5yCz2MFIn8DzMXmY+rUbFLUf7BC3hX5V0njFse20s3e9JGbIAYlsgQ3whv4Nmp3ISP5XqxqBmr hGmo7YkOSTNyUApT/a61z5hNAwQUSx2s4Lr6hPrHAJFoGaRLHVRlyyh+UEN50w7GQf0Vp6/CQAWo vv9WNvvxfrGS5HoY92xDNytwTof4LDKJxDpMoOwyy5oFihg/FrB6O9s7f5kn0lPrYC6/dZj/cFPL /HU1PMH52cB7G7KtLB+xXi9nUycsEPUxQ/nFbJyyY6rdMmS0GA29tK+KgOuTPTLwwkZ9emYvqkKD yUKaWIfDX09IG7EUQNa3DGW8HRlXqR5cRCNUZZBMmPqJZrdfuIAxm/5c1EcvoPfXky7TjWLkmMPg e5HXlMtdlYHv9ke9diBqw0Nb6whyQvISEqdAXKdXLMnY1XE+oYeOE80d11ds8ZwIST75ItaZ4E18 TzOBCNoXuI0yf5S09dR4n92qBKkKyR9NtVr84N8QXXDLzs3gJ/pdeCQlRQAzep1Gu2QqYIa2HJaB yA2f6mWCYQRJTkWaRHpnNVaAE0SZp+88XLLC5S8vXba4yOJL9o46OJSqVj0090jP2Ryg5juAC2UW ChGy/7wJv4pZ+FWxmsZj1r92lPOhT2JOimgL2/NCvoCz/52IJ+SAT2mBteQtTccIgUZB+Ew8MPz5 b5x8orrDHFpA5LkF/bomZjqptSHIK2Jt93LgPUvPiBATOCUR6dgkEHHU5HYw/7P8Z3Jauii9168r XvaeT0JVdd7IWK2Hk3U8KQ2x2gGI5irVHdQQVb6hvNj+QbsJmPl0gJXjP8rKVhNpaKbJ7LPeBOP3 MMaFJXbUG0qDG29EOLiOyXFu0Pqtmi9IS7+59Xt6+mrlWU0+AGB7LxlJCb2XcsqK2OC3yKEzXPPu XkZ6bS1YzJJkYZZ0DO2DPfsz4x54bZb49zfJAMiLui9GXtDOlGoTnL1Ty/GVFMTMLLH9vmZFQNnR xfliia5aDG3dWRFgfkJlG1QMcnfnDNSewy7MMwcNQY76mvTlXr4oVPPYquV4GWs8fDc7GmHV17UB QlUWi+bkeV6SyoE595eyvDjmubS6cHdrXZopO3FCQXfk8i+zpcUxqVzGjfV7ohThQG5TZsiZAOBp A7gSiUzJgs/1r9Ulhlhi9uJR5BtIkFLWhJgXWQ9sd2AUpDsM/6miVPGEsXzFfAbpoW9F6sTdR+ft QqMzYJxDrCzDIxJzpZu8q5k7/9ygXrFE2vw1QFbmk7uW1U1aHK+TbKLAzMIybaRy4KRvRdRmXZev k+MDdWctnAnhTpsAEHSkspTx7pj8bZ58UVjQWH5opFPxY1RYPqyh3tZS0qKEjIxVAIF2CS9KvgZW 93jl55zroFNaadptzQTIPHx4Cgxb+HPA6CF2B2T9mqiBYleO2WEJPng3ff2VFLEpk2HjcOkvWnbY Vu+VDOi0hKK2A225WnAS+sTVmv7xS5SQev+Y0N42Q0xOnZWyCot38RzHzgdQbgiMwwomedVPy9oX kn4ptdoVU4wViWyO3cQQ6dLIsdTJaE9Qr+W4ecY15h7MT9X3m9sNORVeue5w7Y/CaCo10hXQGIJv XE3mdmcj6izpvVVEMmf5GfDqTA3XTNQP6aBNrga6BMDIc+PzbHkdx7MJumWLhIWkLN+8PoeR9Ed/ yUVRJadniEXg09+uVi2oXV3CXRRI4oxbGrcl2IQH2hSVwB+s6JlYpNXzlysmU0DyktnAxLZ1AS8P IsZB3IcjU65vSkiWu2Vjp9ajDOINcbpa5nenvJmjPkxTHv8S9JoVIEl8TaIuCLK3r7cbinteZUWB CPiioySICCaK1JeJ5ofVJWitQZ0F2nfzKGvXKkxd3c8+Mes6KWkqIkI+oPbGIPSCI0WCp5noTwjz skZtHFHHM+KsYaYardE7QNSViW9U7UHwTpi7bfJP3Sx2N8QSwcEDKwj9o0TxBfxf6ltFlXZ/mY/E R0YsOVR4LUXD2X+rct1wrc04VN0FkjgzhOB1BdQnKGh+A3ff59OJNJgQFjUpBEkhQ3fvdHUgnu+0 B0M2EBO4C0uh7ik/iF4veaa5n5bAEBXwwHk1Z+sAURZeaKD71B7tZWQBhUkBbFB5VCI3iClffvNo d26zviKC166H6ve7Wx8JSZQuQm3cvFT/SoXXvko8IIrFzsG6FqtxG+LgIpEFvfJD3yERs0HVblUU MxGPKSdmEd9yMoYNB0jdonFMLJpxHVMR8p74wBo68rKrWG+h2auBmIN7gsQu18yifOHyZ5hjjb4n g5gAUO1W2VP3ID4MI9vn12nt/6nZZzUwI6nlmD29DaE8VQZsjJxp5U9PhM13w9NHwhQUsP+ySWfJ mSPA1lihOcvK67iRIpc7FLRy1nMozqk+Zhmw1xRedLW9JF6RP5cSROsK9h7zKqS+9x8nZ3Sw/iMR xVO9je4zRHu0P0Y91Ir1dbR84YZ20ev53RG/aYCE2Tmoc6zkAQox1wwk6W/zsH2adlJnIBFazR3B XHQ8P9P6e8AMd+YieTz3bmfyQisimrE+pdMj7dm48GADyPG3JZQ1JFr495jxwVpx41k1e643LlC7 NJQDrv4q+L19ZNisvAO2p544uuNyzFlr7kW/k/HcPolO7kstazZcZer18b1RoGFxxDYtIqHozAo7 JnGe7jM3fVsTevyF/Ro+5041fDD5tGe1dc/9X1l1jSbJmalrJ6mEPTqUr8UCd1cZhIdWoedR0wBC EDnFxHVrBerwRZvpV8VGeezUbXufEMfCseCf9bjVZrbyL39VnKymsV8F6oAMV2AjvU64tUaguaS5 VmcAjnIG `protect end_protected
bsd-2-clause
szanni/aeshw
aes-core/key_expansion.vhd
1
2455
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:25:50 07/14/2014 -- Design Name: -- Module Name: key_expansion_module - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_unsigned.ALL; use work.types.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity key_expansion is port( clk : in std_logic; reset : in std_logic; exp_start : in std_logic; exp_end : out std_logic; address_in : in std_logic_vector(3 downto 0); key_in : in state; key_out : out state ); end key_expansion; architecture Structural of key_expansion is signal y_1_2, y_3_4 : std_logic_vector (1 downto 0); signal y_we : std_logic; signal x_comp : std_logic; signal count : byte; signal round_key : state; signal rcon_in : byte; begin rcon_in <= count + 1; expander : entity work.key_expander port map(clk => clk, reset => reset, y => y_1_2, rcon_in => rcon_in, key_in => key_in, key_out => round_key ); counter : entity work.counter port map (clk => clk, reset => reset, y => y_3_4, x => x_comp, d_out => count ); ram : entity work.dp_ram port map(clk => clk, address_read => address_in, address_write => count (3 downto 0), en_write => y_we, din_write => round_key, q => key_out ); control_unit : entity work.key_expansion_cu port map (clk => clk, reset => reset, y_1_2 => y_1_2, y_3_4 => y_3_4, y_we => y_we, y_end => exp_end, x_start => exp_start, x_comp => x_comp ); end Structural;
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/updn_cntr.vhd
19
10193
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe V2+V1FG8hw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7 2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9 06D0/bVknfjjRpJI8Po= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/ YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO 2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y 9s0qEeMi9k4HxMVPc9k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt +8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2 RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808) `protect data_block wSnbWrCNlW89Hl8c5qLI8x5XsG8/CghupqMtsGERdMSXa3EK5J/Qit0WHm+njR821JFwXT565GTk PfQmbPHqNCS0UKLtcdc3PZz0/PLyHx2I+i3o31d/LEWCSvVej8q9Im/IsuTfRijqwwpHV38mRUJu zFppdNstRebIZC07b2wx4/Zw/EX4cSUu0lNqeHBvLS2bm6IdBe4MgzTchruIq08TrolYM2Pec74I 8AurMryqYhC6o/Ybr8S5oyAQKm3bDPQMozGLd2GswnXOpVxdQR7+Hcyfq/5aTzsLwGcNe2JCa9i8 0p/PpVMLrZWBL9KT3BXC7LiYyvbQaSj7GlTH5LlBKPsT/zboxVe8l8P0bRe4dyxRXzeeXPmsxiOF 0/97i6LbZCS1yzEDwEIGqWsQfBYSuYjMhqx8QLIPe7l4MS6pMtVm1wAwbZnR8MvKCKwrridhlL0a sYEM4o5StHOo/sLWgJlTvYzV4wBL1kYSyuAWv5J2XUHvmseGIdNHnQk/EHV2Q8USm6z0OKXDAyPU Sh9aHmD9LCdkDiyrAJGrai5dRFUJ3Ddo8PUjEdkVX5acXglzprK5M9di71HwWMt5aZ0Wi/SF68sY UXFKCBlotPMyX1Rn9f+qiGldR2tYWjyGjaeTqzgFYeTDOxuNZ9gO/D+s8NmGVG7VaSGpNswKgdiP NbcOO8Nq2ovne7Rfr6W5Gcc6ZaQI3aXrPm5aRP+LkyT5kSCO1bNOJ4X/klsW3zGGL8Vj3wS0zdci 2dUa6AaFKd12zun70jAFPzUE5cTTAbFsMtClV9Pq9x/yrZt1elEvkGg9M4qPjj/U3+L0VPjb3Mh4 jcxEwGjb4ogTCeX0kg2z+xYoihuXrU3c07HF8yg2tM4y6HagSoYplt/3TuLuBovINEnhdIW7+Wo7 wwEIEDtWtG468YEvpPnMVZL8DQPbEFRaN7ggyg3ajrUmGdEtPcRMeD9jTiRqin2dbsO0Awpz2Rw2 fX2w6bcZuUP3r1GAVYOP/fx8tPq58+IOCQkm0F65Rto25RJaO57YXZxa+tdHA9BBpp6EA37TfFhe GGQoH92qkzX94C97QPn9a0uRzBIZzUXMXXZUhEbG1W309NbQetXemgpFw0Te23Dj2PSYua5lmUIm 3lYjodOPINOD7P8upsOuPgxvF0mVRPbv1EtF7G1dl7MYCqLDh+ES1/GE4C+Q3Glrcgn2xP4XJJjJ VSXnkskBosP/n19w6EzI7LlEFn6VupIkTa2s91ujn8dFvwNjW3eu7SqSR7kPuoQT/uUctwSnLu0B 1r6df9edkDQmkEkI8rbERFx6Xy410GK4t6e1wnHkXzpSwqPwbb/h2F8z9sZH90KSbm2x4hPW4uaS Cpfk2AdWjIYpUyB9uWvujYFhEYu2FhnpepEbo0UqnGmi7nY8Bcipe/uJWMfUd0tkMxmrDDMY/PgD 8/nodOpNOcJA1euM2HklIOvK74fGp5ZEip2nXGGNBVL/YnpS4W8+dyRYe/Ai0+0mVOHcBt+vqKBw A6xOkZcouLNPHR/tA5z+ThOnUd0NvslK1FY7BB1Vgfu4AhPX79e6f9H7HRW/WWBDso6MpASN7NQn ZqJcZkPN7WmHcKT+Be9B4glpqx5Bb+BqHR1RsFwCRRTzrj+8JppUwrLiiEyAhcksWOXkQSpd5DRL Igyh4e8icsYXhfVEW+rPAcgvsiFGBrIrJ0vupk7WkN7kFwNH9kgNup7/Dvv+UgDQI9Bp3wNE8/vJ Qw3rYD7p/QbTvtEPzrqm5oLEXRObv9Iars8jrsnwJQi9JCHfEvy/Zpq7fmkvAPq+ze9/1ajaSej+ j8xVFpMSiiYQ8C0nvWWcFqVe+3yzBm1j6R57EkF7SZlh7OLrqBEv9bygNvk7l025TgL9LIVDPtkX AlMWZfEJ2bXrWqUkDD1+wTYjPXQUvoTrKab8t5wyWg8SVVQbRiCT5+MP8ShfKgaDvuV/40VELz+p LihJQfbg2IdRC29XBGeVnlhwhSPpE3KXVCPl5ZjwgXc6cS7OVwBn50JIy0lErtdqdAopRtXyoANC +nsV0+rFq3JCsEAXrsfTW2yoXrMnoABqY+V6Rv4C/wd4i1aoAMp+sgKgVMVpRA7QlBRLoCAjzrF/ jiPqfrpE9WM2yNPW/P1v73UQCOitYKQmeKE5D0VnfbB8yrlhKYElulMkI+0tfSdxJB5+tVTI8RTI J46CsXxjPoYHQkhtZuYUWmWXcgH3D9kf8iFub7ZKZHgDz9BFBh7gyRXXyX5Zdo1uhhMjH8nBUDoQ mEMbPjVIvmmCf33HGlROPfG+avNhyVz570/9XjJMOvOJPU3HlBtSQoE6zs33xFyJCOObvzcQCJG2 aZVtSEfPBbUVI3IWTMBpkMKU4gQEbBiNQdqnmfjLvOcIJ4pag2zIOoGa23QbGC5fk3DNCZlljHhu l1EayU8CQCoFW5R49Dba7Ic5Wb5vxEwLpssaqCPKMKDyBL9dh4GZKsLpTYlHcaRYCRocL0LrTLjY cxFBmOuv+2gCj6z8lXG5NY/0kNQcd/+LOsYvvMAP7tGvQYm3SNRPReBZIfbx1NseTxLuLb/da/KJ N1ZyzGrugnyChiV2/k0XRoozzu3i9tZ8L+soqLnZv0dPV+b9HBCugg/yQ1m6f4910Twi1nEzBs/B QbF3VWpcOGoUm5p9fcILVRe9gk2fVh7JyM8dBsVi1HwJMcnxoUuWCzLqXgRVU5pF4z5QxQOim6M/ /gPWCuhV+pvogyEUrpH14U+mycKeMMXGogT0hRppCovDZgDavSlp1nlPe2kl47Q3NB6fH9/+ekOJ rNWIEJtw/77kqXnQYQGr2guqvZqnyUZ14+ONEmBPeZHm8jhW+UQHsRk61zLNaxrJSf0XPNuV58nK fAiB2yUy0TpzQ+qcuwjBUEoIxDqhO+K9nnPJUhSOfxzk1OPTkZxMhr9KId88i8v9DzWJyNHvIaLN KOzhFFRDn1LO49iqeZfabx0Fqu9jj+R1xG9jLMReOz/+EVcEopTnSen2SgOBR+yFMDHc4bcJl1bu 8StrXNQOHG9CcVaWTTyfM6VUdC+YhI+gq2IUykfnqv8BNOq9FcwGKI1rBrnZKIarvOt7Ox+4O4W7 6fLp4OC11RL4jemMTFekYNM3+bwko4NZOcE9Hgh/IgzS3D/vg9wOO5qoPm0WMTTyBU4tEbEK9TMR 0C6b76r4WraPe/w6cDZKU8et8pdhftc393/xONVo6yQp22BrW7W7shIFBU/ye+iXTiK3omLftWv2 UUaY4uZJ19Pj1KKi7m+C5BFEKaDafpbVmdgdtN/OG3BMY015mHf9DawcUE/4P4vh9mJTMsXl0a7G owb/SKrLWo1SO6tiXczT6dlzLaberrflmdPWOzxRVT4g+kth93PhesenGDq4O7uf8e+tIRQ7opSb TiwR9kCP9BekwiYg2fT72UFGozMOL8/HNhjWMBSl/jMQxP4edpH9rQz/vUA8GF/ixNDDrPrNoLes yXC1prvqJIYsrfrdzaoCYild0p1R4CemRPopfqqwJRXxwwLDWFksfqKxLvvZz8JA0uz70LSSQmEi YOtBnoHakvM9/yFjprI9oEAEKtOoK/0N0Hv6zNHyp/UbMX9O0JF6iDemf7RvyqWu0Y+pza5M4CGw x01VtrxVlxmGc941ZsBmH0tD3AaSydL6wVhzhd2Ujo/KYpSRUgTfNMdxZLLwFZBidyKc5CHmlnmi PIwqw7VvRfsGsqQwHSDNSciJ9/nApAViPFTxDTiPzfFRjX8VmB0gHdil5x/4jSpQ+l24n5C4l7S4 K0LWz7DeDZLjDBMXlU3O3ZR4KhkYMjdlw37mjVjOK6W+339Dgz2Ft4qzXqKUA/ZGY6wJeJMHJwcv aIwSG3SzixxwbKT7ueRbtrLPsvdHmZIF9b8ZOxypm1BXKxuZ8ZO5z5zCb5RnhkWoouZMRYexuBCN K8bVb0DXbBLVx42pjwg2d9Rstqv3KMLxkIVyaH1+pBMH9o0tXtEqEaZhlDd69RoFbrxeEJAB2Z3S qSb9yO1/UCIOes0WnZjgdxBb8a+NyHIzrylK70oua43cGVTGmHToqQavumxBhXl6QAP+jGP0HyqJ ZKLTg+eeGsNb4jVzG0ND/UVOQZVtIqGq+/BJqQbY7fnLi6vb4OZkTYkIpYmLDrN9i3T3Zv5wkIhb bFesC6DtCFJEb8EYYun7JZ2+mblPfsNLQmcC/Ra8eOvjNxPoGJshRr+v6dS2UOgHaILWxRlA+7E/ pdsfzU/J6zLpCHyoZ5EbtqKkyOgI0H8ImG4VAfR+YHdkaGhz5pPzdInbIldNl8n9kTwjCvoytVhZ auX5mrq5AYrRXY9aqIvHg0NPGYt5fG57KPBLGhu4QLzil54zV07mUQ6PaZ+TtU6vBJeUnyzfRw5p gSPrnGub3hbkGy7oaFINsdoIM4vYhdp80TFNLKatx4RpkJ2p3JnA1+rAZbpMnbDkcdvZTvBfXuWV EAUeFdop6EElXsHK2sBAY0I+jHxjhL8v+uydJ0Mtu/24RnYebsamFVu54ODcmWfaqS73dBFUyHCG f7cccuQHZrQPzd5l6tZFcwImkB7EkS9DKsMfjAAm8Ly6IDDLdvRGP8MTyKz9ZYllt4RCtD7d51KE LMZ8aBk2rTCWdIM3DGxYViDzx+MQO/beexzZni6QLoXaOcxO0ZtAm6jelK/Z+qJWy2ZHwXcjPXSq UIfzCjDYNuvLLzdzZfOja+8xp9qqlSEgwZxJT5/oy8A3/DhqdmXDWJE37T4eZjwb4j8ZA/Z8/DZX ruVB/k8qzPfFtH876p4hhQlqjaFqmWxQ/zBevroLloNqPXX8g5EoAI9FC0LiWrx/3bDu+Q53rUw3 p3HpQ3KgpZGDvkm1ilulVN54SEHyPH5pUsZ7kdfw0EiTKryaggETK8yvZD5564bQ3mYs1TzAt3FT oF5JnnN/WUgqBSKnUAjM986kAdUn2ssD3wCfAWgRjog+wY1+wzirK14hFUKZ4YQSpMc8kQOvV6L/ xMSmpnUpImOke4fnILUTJ/q1smzXIaoUDvZATHHIqfODxvu9jjxxd0yS/e6N8kq+t9Aqs4QhvGNe K3hO9U9r2pd+GmqMA22Ne023T+xq/5031gKYMbWkKSsIcU21m44ATTMES0/5o5AsnF0QC4EGIxcU 06pAUhhrbe2sCw1fetB/x6GoS6egWvLAoWcfnqdHFyIHkvtvxBX7LlkeILavAFQWkjksihKG1DOz JX2fvTBRFPOThKHMgOMxC7XpMInLlcKv8queRGcTkuRRI84kOL14ho6OScq/8JsdFLSvxASR+aJ3 Rgmh8CrClSueKZJqXMvOeXAySGovmAPQuVRaEEvNrrWTsw3Y/YQX6HxhMYwuKLPtYd255vg6KUom ztcIVOK5YFLO5qEZhys5Y/tXoXCkmMRV3A/5VKRbyWdf4OzVCzV5h6KCJs4dyBXcNC3AzXl3t2lQ vAaoYx7ti0w5NyNphC4AXnZj2YUT0ZLOIq/xE9ex5ABHj2fnbSsnJ66jIhozsKbxLA7KimDYysLi HaVCqoP8IdrV2WX15TabZPyZ93dXqp1EFgqDqvkYyyyTx1qyYHNWBZHBST7Epayt+nn8hecgtsu3 GpolOkp0Uyfn/dR/1D0piqKzuM55CNlQPg6YU1sqDpyLVoH+07EGLcd9DT6wYaQe3nIf1sWEiV8f eB2GdF1SG8nsHtclUfWE3SDikn99uuQUpKx3Dmi434KtWCRBhhkixNpGY1mL8v3PkGq+aV61TYn9 eXzOyO6wB73aylUtgdxeQ9sA3o0oCCEdN897mfJtJJ7O+AuS4RUTVTm1Lr6CFsIBecq3Ygi6bqJS eaXlsFpOO57BydJDP6HiY9gxAIJ48+iFPvpW3gq9FzMFHwvz7UWXwAFsLAtox4lkiWcHdXn9ocnX 0UsArnpTwehW6tQr4SU553YuML77wavoaN8iPIgRXu/tGnSFqEW3Hu1MXfd5Ml/AkJwslvD8qa2C LaCXQe1fQ4mw5cnmKwRn3WLyfh1n2dV2zbKjVXEUlW0VIiaUvSmHNbST9FKA+HXoj8YquE35oGLj GpybA7Vm4/GUxixUmH0M/6B+GL/kRnyeRVCyuXEcVMZFrdsOp9a/l5fjrSFLJ/9PhyHHhqXbzMGi xw8bYZ+TI6VxADEEDfzv0sD+70+nYuTUpkssKWrYzNzVTNQdD6loVM0IhRgnNOta6bTVYGPRl6qc nT4KQFc5BXT24DmdX8a0YDsRA8UFvE7kZdrQTUnunQZlzABfqrKbkRL7S2WxfwdBAEOpfGyfjELB 4prIzok8MJRwQlcJnnVvG74n9NumqAopPR/rhH0DaApJnJM0CEZJcL/afIedD73tqwvMM9R+jR8t UDLHDo/zv0K2eZRMOdZmtEuxdtsOMFhPRFVrPF8evJ2PNxx84ki1iTW/SVREQuUzDSR5CAbHUUc6 jKVu49yXxRYutVPNdYu244j453GC+/Z+9LFOkpLscm/TfmdBlcuzkJDy3rx2LA8Wjr/xC/D39Wl/ KKWzzXR9xDI5/HCIsnmQt0/71u5JJWgeur5wDsXzjdLiG6J3br4buqwbPYalv2lkunPXFr6qMVh3 QKHq1ay9j6oOEFZ0JXlxX3jKSOT7mM3BGytgLixoWlEAW1kjf6KdWd0uLjgmIEEZRRRfThq6HO99 6u7Wl/Y3S4NY0kjt0ka8q7aKKYQkLHZ80WBuXcZx9P4wC+ky5I5+8dtI0ZfQcUAKKjF3r5knSpUd RVEUukcQTxcM8xREbGueAEn3N3fSa7BYS4CQOCFVKBVvCM3FiM0CcrSW4ePSmLFKSXnwH6esZyze rJkmSgZU4DnnGCNK9vqTKN91XHm6BaIxl9Z1vncL/DgQ+axeEr7ZomuScGoc7SHi3IIiY13F3t+G C98cdDSj+iS5EijyKvQ/WbNOIewerOyp+X9/Ct5wEzoSUObAAhIORugc6eYEPiek/DBFI8y+o12z SHBcmzOvEPPiiYw+X4HYPsVJcy9GRqhBD7/biDvp5pU1lzVElYcbjn75Ol09L7WitOiUfmPBoels WEzGv3bGQz3mhPjVareZdlysMkTVDi6sZ5GyA1VL+JVHPjuPibO5Zws9YawrFEcfc0nW6Dxa49hu UGTHNaGvPZJXKmVaqsPkeSua3jubEzs9EkyjfhubJIUnBO6MQP7d8TeSAP9kYrpLH9kghFm6HmXq XRU92W/nvSJIQPxJOkeS1NUXuqRUtz0/CR6otZNIR84QA92zcn5ua50BX1ool2GfAaIzwyhTA3jz dvAqMhZQh9FwPY/Q3LGz5kNtRLtJXAUzXRSxGtZKosqd/JzT2uxoFQTTmya/y4ykuztzTHBmpEZC i6VRxUgZAhvKI6C9cO3BWtg9g8XE/6/V4ry1Xn6BXvWLJVO5pjtVxSEjVXkLvo4cWRqnmU4li2eM EZPlt/fra9+Xp1dBkMlJIrOraGOtvVbH1tB4tzJX/f9kVamPStmvhxH65OZDIcaD2PSyWN5Js11F 2T3wr46PtaHnUOJkbLeqrsfmYsbEbArM0XxRSaMiX3B0//X9i5v/Q+dIfhuvY8k6xDegsHcVZz6x GP5+c2vIMAZR9eGMA4wbxSValgbOJk1Fvn9XV+zVdlhZwA8LDODZAcY7UdUpg8YQQ9wjLQ7gjsW2 uUPcU9jQShaLx0qxwcpbbKS5w+NFWp3fJJQb2UbDm0s+9irVpX8ShsgfRExB72lT1H3G `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/builtin_top.vhd
19
47568
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dpj1rsbRiC2XtvMMkZeaWceey8TRzfvuZghjsYUFfvEbx0wxaUtNO2KtH3hQvHr5R05ZRpFvbxnS y9eflHJ+fw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RxF4+BsurVIN9R6VPOZY6IjRgF7yOLOJFH+DEaCvilnRUUfGXWquiAJNpzEAXSnsWuptbwUxy5M0 I2FA4+Rh4icthIWWJqsNOFS1K2ZEpNoHe2hVsMzmtRpnsPL9VGvgfvA4do7AYV7YhTUgoQfClGAQ vFYxy/RbXBzM3PrDcTk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OvIp9LkjFoctqOSaxZyP7bYL7KElD3vYsFbzOXm+yqBzueGP4aoe0+732BJK3cSRYLmSREwKo0o0 Rv3hIBpxf0Y7nOdTTISL4pJ3qn/Q9Div9rDMzGaVxIOMLNLxqjT1ZbqCGU0LBxVzmDxHhBalP4V2 XUBBBCK3eeYn9YA+pujel3BBQ67ibuZRmgjKTwyT9B3SaGu2w8ce0O/YfSF/l+ncmV9cvUhjGdBV Dsus1J4qhNTtraXR3S8daDpX289UCjsNh8krOgCnmBNlKeEFeTxbhmhnNPIAjDgfW1fdIgrmAH+S tzDecIht4fghpU24F+FmCjpRFfArF8+d7uvxlA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4ZEqShxRoOQpy+XtDUXlHHAe5v38IR2wWpAtAq2KeZ3f4UCuk5LQw2Oc5c9xFXi1a9SsCAzYO6Rg 6iBcvyh5jboOYApBCjz/4VZfMAndhqby+l7lpAzkB6TqAqvqUfdVhSRn9DQMcQZ2fMALj61IBeLk rnvtNe9XfB9vaA3zmlE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CxLbTp2UMBa44c/UwixvnmtRjPsy2Xb+fkOsP/coXETbFAb6XdUuKlopddrCIslByXBY8SiCzN9B XnnZENqObWvYgo2VDZVlPu9SL8ZNuOrh2v/bJ7ztAhTSojfY2dBi8ojKva7J9JwGsRtKubJGASjY RHw8CGw4rdc0A5dMEVmmoAymqmzBjExIxX3UWjtVz457DADxQ6UUgPgr7ysxQXkHN2eTr8eKtbK1 R8VALM11jq0MxZUpiiq5xDX4POkxGrs4QQL6Repo1WUK5V648ZRUZDaWyRJbcIm/J5ref1gzTZWX h3koqZ0X3HGeO0DTx9nnC43UDVfA3fgk+YpVGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33472) `protect data_block 5bZ7kSSCidLr3jSD5JBW0RPv7cRb3VOGMWb5QaLcvB1A2zKZN0EIx3lTZPq8F/4hApM43HJ8E5wJ QaLMeQzwTGh0ED+qkSTxFoBU6UL5a8vQ9qCn2MikotVHy3xjrq13idvtxFmHqjjro5Zp9WLIUECZ K3bEVaGL0AG96gvef8fycJV+od0vTLsubbhuuCm92L6EM0j9G/f65X1suXMtbrnQ+Rj/aceOFABu j3uSEv8dgCjWKGlGZMiSLX1xK8lmlu66RFg46IkRLTntiBmgl+8PzaDj4MvRNgvtvdJmrMaViw1z EY7kQZMJ7rc/FQGEo64krikKv/y360HfUov6tP6fSTok5EitLcPyPDXcv3USpB1lS5cG7nI6FClG nxysQwGIDmWThWThpjb+lFDTGpRTXeiHHYl5ajJzERAJPiL3ObUR58MRdlSGKLf+Snyvy1xrg6GP k1/iFsVrVPDHORjkrVvQ5T2hl6Sfjf1cj8m+NW2SS9wTLCTZYa80oomzdS2xutuQhgACBxLJ/v2f l0hCCODIgtNnNMDKXBHhf4c48mXaGUgwWXzRf2SXRwdAcHzcyAxdWUXa3fr/zbGdRrTV8F3TyEih 8Lh0BgOXEGXthM9eCyTpv5emzCHWQGLGGVOuAHiNiiuaiP3AUJPbqkH9j0rrdPiBAXyz+oLM0adq C7uDn/DChjW9uBIZc0unNlRAFB9jEjZv2aaQyqhRew6ivUHUMr0fn4djlIHQJBtS29LdG4AUUNzu 05KfhKqaK76v6eu17+CjQwD3D4CXrFquDMv3ydihez9evVcGcoZ2Zxs5x9a7NzC49x5oFu6K8/4f XwOPpjeEPpN12mYzo1Bu1iRJFPUHWCIeslH8qK4kWsvuBJJ5uNcbq6nikL4L1VYSmb2Q7/0ggDb2 827m0j++39HjByHGB65yyh+l6DXOBt4oNe4uaKNoTtukMZaYw1QD+074UCycwbk0kgVDWNQ2MP74 MLb2+feMGdAoVT2bcDVsI3jFK0wv6Thfig/Ywh4MLLr7TFyComPtaaYX+ZGy6QEGNWjWgV0L6bkb xYMnHedBqiVeFzJO4tG7eYh5rt6FOCyA/r560n7xhMmD4Mzv8LpQeA/nU9F85Kx4AmXztGwSCS+I bnbkhL3S75cHoJSONatLxwtvgdHZIxoP7EDWvHowNVSsAbxuWmeQ8RQHBDJ3vEQrvECaFSuRMvdi ZMjaReLaMtFYl1xGkwA551H55vN81zVDQvzUKCcPliMJ+1zt8AAngLclpcrR5Vwbnteli4F3bIEF yJEgIyN0vCiDdR4/8wYmH+olE+kj13I/zdkzKa/olmQXu4HcR7dgUttJkqHFTIX1S/22NfsuVmQm flRQigT7emvZQDzeJEfT9EOKitjKUKvr+ADPTTuLB/k0M2q+SyZrgYQQgPWFjPrVymE+d822pjzN LDPHx2Xkb00A0m13UTuk4gAMpXwl58V0qqmyE/ZXoXWptyZPekENrSET5W2zbsYv92z1zdlyMhT8 2KGWmJagvkKhVt3VkYyY/ReZJF0pkJa2DazoSl51koIaPKh+zeicykYXoPjhkzN/Q0uZYZ/j9z79 ofbOz47XCpAZQiW2hEOjWFnwV9D9QOBnzYGI60aH6IrtgpXC1oyjZQpKzz9LOAZT+TUqjkCW1+w3 QudwtCIsi2EGjUep6vfLd0ddB3v/HjFHyVxwcl7UYvkBa6G5Sb307mh/ESu3lYujS/7bCnx6M+gQ zT5GjaGPo1jLiFwTcIXaNXrFZVHL20Thr9VfhRDKt9vR3NGQMbq5VmCYjlL8wpeX/PXfLNbA3JJK wvYzqtsqtFnzuI0qPLZ57vL+XNxkJsw6y1b/XEtH/GB95L9/fbDri2NN39xzMcmXJJacyhk2UsJE cUg18b4+KeTJd9xgftS147V6FLivDZVtwljkWrHc0B+ClElW/AaclTZZwUmitP0z+4BmLKJBBDnn 493FwcFNlWAZsTpcvTHw3t0FI0WHoV3C90/20kJUUf9uUOjBOYPYC4utZtfmsvvemaXZjueCLYyu SXiNQwC61u+AblPUrkHDiQvjRLsgan8tI6WsqWXeUXwqaiDHNGm43a9O/8QAnxXscUaAJAck030C ju3dFUr+lXGi/rf8XgiZPc3YbNc5qED2nkAMsfgazA9b7+dboEo0eAyPp5FBX4lOiM7nSlNV3K98 gJZK+ppFufBNT67kV17q/yRLw/2LSc09Lcs8F03HPWYMkK8BYxSrGZmTcpipLI9lR6g4mRdXrwsL PmmBMdjOXFKkDlrKUe7V0Ga19F3bQkbg6MYbsQSsZRUH0BzvJlNtktX5zYLfQR69+0v9eU+5m1BW w4lmiKCfI+W/QpNVtPeUhgX5cQenoESBef+78toeFe1Eh5GX1YxObONGPEudlUrY97XytDUq7gvP 6l7igrCyOLefG7PdoFwFI4q27ErV1OZidpV1qxE5D9W6/5CfAVO0eSeC/LsWeAzRSXqhoyh5/XVk 724zurdmjpliDY2lDeZcm6V7RdccLUWxC5Z164hQ7UPB2bhxga17pERwSHx5zFA+kQifi00RylS9 Her1BtLoWugaYeEOXsQXDiobjQi5pksnXru9upGr2umenwZpqeJN6v2H6tq9ThNkCPGTb0pYj1Ki 7I+yoKb61nura6p2+8T3P37XoncXBWu2hWYeBccxoGPzeb/O3FCvw4Xcwp1pqxjr7JCkVsVPr1OD bQXRwE4EcC9lrFnb/QtGz72IDVXnETYdvhhlhHGfS7JfjPbesqIKyLfVeW26mR5VFPM88ZT/LTnB xz8R394jNUCu7esUJ2keyBNFtwsw0gO3YKadSJYYuSawvn+aTottEA7P17Bj7MzFDM+CmuzlGS4y OalnqPBDXwLPNoYesEm7gvdCN3giq/BfxLyG4XzVYPDIwKTergsEF1UxlywHGMZ188wjiCh1DeDp lY5wlc0f+iqgDBxj2dNCGEbVyQ+14golLYjLBZQHBTFF7ZlwfQLkFSkUjOzIKa4QRAvy+D12dsSr NSCjSv7oh6s5I8gexOtKN/0I+NAZ70/yIoD2CUBbSwNy3iN3Z49PSfZLoWwtEChWajiedQDMkPx5 FTZtlc2FqLIgL3VIzH/gW7QcWj1Tlm7s10nX+WxaAtcfLT96p1ViAwRB4AlyH8/ytqKjYHXaoz47 L2wzzKJTR9MIAIh7E3YVe6tHQFblXFWd+G1SBF6CyM7gZvsQnfKGIQubNLi/dG95BnQCdezv/tAA 6oxaqwvL4lrp6usijARTvLbq6UZUP9Lul3P+BIQA7pZ9iDM50jQ8cp7y9TI6tuBZDIkYv6Bn9UF5 5E0fb9wvSOkvODCbn6etdp5QWWL6/x7FokVHLkgKJEvjk0/lxOMKh0jAKVy5/9u3noZjcqsvfYq2 yAqAfYNSRiG0uCINEv30UVMqWl69z6dvty2HINnNTJ6pQ6odWeK9MJPgVy7PZaIc0BEzGEcxDXIw GFyhQQfyIMcaB5e119lZLiO470Or4nUw7T6oek0SCOh31xy2rYzjqqV5HqwEv89IuOxxEKr5MkNr NzsbmcpMZ7qk8JdPqh8SM9oSie/67/wsNToJxe0dmdduRjakEJ3T6Ju0qfdmavvv+ZA0J+rgLPi1 Bs8xUHuXmLVDiFy4Irp+sXckFvQVpdhkHnPZhwPae0N0jTQhDAPo+UWo6/MkfrabBvmkRrDSj2fl plFp31e+48hrrwMSvoutlbXMHKGyUk4IKsOjH0MzJBiu3AwFADYsNxVhFKPvF6iI5EnX0TtgeWvp i8tvSIrx7015wCGcLWKkKImAUr+cmPAqhF0heh/I+dobcSFrT9/jJI0VwXffVMozItNbWY1jnFZ+ 9FRoHnQZL6kRK7iQYHe0WU0yoSAxkoF9DGV+oAVYzCj6kQyxrlLL8BoxJTzUIYjz1U5XV718j1xM PNKhjRDD48uq4ZXgmiQ2P7D0HrgOd6pmRpx66/hPODZhO3ggpQ3tIsrUd/boo0rLfIHd4kykEhue 7ijUvHnNgARtgaC1GuWXpsi6mDDdvjUqjz5gZFJW+vqcKzbbYEYYRq+o23Fobh+QnY8quhGZWrd1 ppn7uHipmR2+cK3k1ddzqhfH79p2MaaGG1jgXuwI2OI1s2Oo3M9paJFeQ75m4PAm7OmnFqI08riS uyQrnTi+sQygaDANd+fsKMQG2hjzJq7hvI2btmn2jkh/kkEcgJKPZkhzU+C4f2BnZBdQc51hgUEz v6YhFF9Q/ZVwDoDqqgkvmE9S5JunTOnU+6D1Oj15mpcbGXjOjIqft/CoVUzO+QDt0Pjs2I0ls26C McJmLK4CFouYVtl6ghy4tr3zydLE5Jrjd/1CXQ3rnFLdVuoIGZR7wRwljD67B/QCil9hEvoBfx3S Ui2svHC3q1FXREQTtX32ph2EQQGsv0WHcx58cLVGzLQ3At54P5FOg1+qtwBQ5WQNZMSnJU/VzScO irdJ1WreLx/zrcvm7dxz3+5JRAmuK9J4/2PlQo1XZ0ahixj8hYsf5+/FvXNKuqp7w4Vwgh36X32D yCr/uGJ1uHAFZGwUhTEZghH5nq0C6Vg7bYYRm2ages1jAbobh+loPnxoEUgmFjwk8ZQmBkDCEPwu 7DLXvUymPiBsouVwu4CMc9cxZavJeFWQoV329ROEO/dRO6nHHFiAqgIvXcxFtN7VXsttvqNp7URH 7rf3EcPM4XNqxeNA+fK2q21Gzas5yYpeEH5ZT9NomFEIbIp422dwFGEF62XfwW1E97PJlSpYI/nM 4wsT3PCPVVmaso/XCaYLonNXkjifIe5ATv/c3dVcPvVIRXXuc9eGPFDLbqc48E68ZDjhZH2vfT94 zoAMZgL/2w4BaT4hde5CEztDPYMT2NfJuPDhMRpOMehe72wxxAQhjXya53mBLVldH+djvB/ij6I8 Vz+TQ5io764TV+Zp31w3SoTi3G9V7zNb/IGPD8dDkNBREzr1Lz07qnrnHE2/fkUNnxquI+PO3l82 BIhl2nwL3cs6fUb6muHmbSHQ9AZZTgbwafm27sjfjGAzcZguzh1OKy2rrcRFDXhC73EH+UA4oTeO d8hPfjRC746wBl54xwrbm80y/xXlmQwlXY2bA+rzfecXnUidi/9EKrDa4sQ3XR9xfxPOC1HK0W3L 4gpEuANdIROCkp0Xq6ovNv5OQvrFJYswyUjpZ7IB8A1ufYBkShPahD5Vzgg60ba0VyNXndUqyGfm yyP1efDKKb6kqu790hAtqR3NYSIA32M7khEduP5Yr2jmHrdw7iZLEsLDQSZOz46peK0UXcWhuR+W oPSUsWxHnG8KKQCC5OGMRWvCXdc0j/tYRxW5CQT+Eqg2dswAImAo1rZ2jypQowPF7uYHj5Qf2lWy O3WJmL3pDBN9lkYk/IFtX26LOaVZCrQeQpWYg+Nt+UKWlo56XHDbtiXRgN2GnaFEk9/liOxte9pc UEnNHxHWefxsfl5xdK9XRRfb5tkdJBeKCTWe12fmCY2H182mvAJgroxFPrH7Z0IYYdDgazQq3Sv6 fEPaCEgeITwq9NC4qG4uBwNVDjli3PMNgazNYGtVUG4GL2H5JeSQLPMKkNICHd9mcbfSoqGLu0sy 4qxqhXgUuUJ24t2OwHHOABdqJYBqmNzWYhVbGvfofEbuTtpSTIheYihDGR3jJyBxgcZnCqpQVbNj omQJ2pvzeW0Fg3hvRVNTuiQEa8XGAZvcTj6g4TaqBk7oWoJ4xlFePCmGO3938xtDspT6C6F95laf 4PN6o4u3CJT1qy0fnmMCH0wNx7sgZF2/R69WE5iGBnd3i1t8wS2D8/Poh/rhYEX1YvFMjCbmsa5c HUMIap3LfcLWcGMHs9mn7Q/xDJpD+OoqR3v8FYVI+4kgS6ByL70VIwcuOBoTJYVPSHc1Rk+KFpnc VaXHKhWv9pbO4AsVm66cfZrFuaLLUXk7YLiSHy4cNAkdKAM7qZX4iPFI1Op068n4RFgvBMSkLvfR BRkoX3eikGTXI16fC/DH36N/qBHYtEOz4BUZveQDkwal+ShRwt3gi8pMajGtcTfH08M6I+UzkUN/ gGZHir9xFM/u3ZslGGPK0RLdmHgQKb4MfpfDSn+vGrcxqaek0C5UsPdRq28loaBMCbN8agV2YLge +U7RKV8Bkn0Ij/tpY6GRdW6ozNYZ1Ih01QzdwiXcdwNPA+q4/xOhI52LHs/pg+4mdMMjXV1/Z+G1 oPzR7Bo848TGbnjg0WBG0dbKftt4vvoOe6+gMX5NQNcnJAqY5dDjGOONhrZaaQrIeeh2l8V9cn2P +JXgtkx7T1XNNHLkildBx8aKCjZMMsecnTFpOQV7D1c5T52Xjjsxi6JTNy17plVX0YS39B9o8jv7 EqF1BBvLiQ6m30SHJUDZpSr1SmtP0NDkRwWiXAYADpdcBZjUy3HTXCqLVper3v+2iJwLfLcF9dlv l5Wh6ypJPxed1B1Gomp1+5r8TzGA1A771NMoRXLSjHw5sp+SBj4oz49fI4RWWjfTxHKogVJD01WC znwDqawIe+PlcD02n/GtwnY5qgnTi5VQraP0+kv0VJhTK/s8W1kKa80nf+sNy8VxsqNhabr6tsAF XihrDZZQZCg8xyWvVCbBhRKtEDVykyFwzYwK+GjexHNaAL6il9IsR8PvEz65tqnlgXsHJ423s/Gc DXzBzzpvc6Qde/BzcxRHXEAxR4qXWDK5wxGsc6yEnnZlkiJLlWZPL3cTBZSmrfDXMvo2KU0CNSvd gDTcd+AvPyrEIlfYMIxdfQPVfjUOx5IjcWxZHakVAonJQrjfLfzmi9c9wmNEsY8WeLBCsbIlreiE gBmSo1RDlZm083VYbh/47EIlT7i91u51QFKVp6oP3c679mdwa0e4FzNOnckaOvYURwoUw48ULk5V ao/lXuKluuI33EagccETPW0KWSz2AhsBffE4+LN7ft4S61NzcA8ksCooMyx4tDGFiTpofvHRqq7N ZOjN64ncAXvZGwLs4v0EpUE1nFbyivsUtA3jzRt4XBVSl4xha7IYpSozubSEQDWrj0UcZJbd3eDB C6aQfJwAK4xGeITzL3pWWs0ylwZEgZl+EWyusdnCbtjfFRsQ5H9G3VYb63igC3djAN1b7CtYV6O3 NU1+WqOYuf+zrB7kG/YrimwJ893VtvfMIIxzsTEWaai6zLtRmyjPQkVO6X3e9gkQ0zYK0YIAHi5c P6yOBnNX6DE/W/5Xl0xhueFYuDE3JgbOI58nzVBuGGrAyRez8PRrKJ2ulFx20jixBVEUgAHtdGIV dC25zo6PDSDE1W39dL5l24YacFkDvrE+Cn5IbvIaJb5dOi4Z8PXNHfLRa9w2hM1cgrgGCmjXIN9f +GFzyfNUWLPtBXuAhnR6NZK42pyhqupDBG+ZJ40dZZROw7UPDnYqascn1X76IHCFmADExNdwonz3 KwFvTqOG5EH1tHyphNxv3PO+Cctz4F6hWUVcMhE0V/PLGByImewbMkPgfH6Y9B164idVioMVdDaI Z13iuZDDuyn88HyoJWQrhmJTeJoZ20340+Fnxb/1uFlPoKPBsDDkt5EQFSk19O2T1YzIgyvJ3GTq T4rlCZesij1fjngmc7tBxqV4hU3JUts8TG2WFtHI/KEJD3Q5jraQISatr5mPtRB5fiF8KqjUUOxe R9pS9eREMFf+skOGtX+k+F2VQqrUsn5Eawe+UrCYQQih18i6JTkgA5yPeLOPjH4d0wFt4GY1w/e8 pc6nrkDfipNxkBcAqyr9rkVRk3geYQictraHz5KKyg91Mf98VBtT8L2elZaBcuHW8MZK16wRSZVK e6o9WJCs/dGSroBscAvPMgWLkNF2w1Zw9P52WcYVTY3TVPnub2VuEzkMRmW8Mqbgaub2B2LBM1OT l/mmlmWkL87eQXnAJOIwG5KfKtX2kSa+dj3qIUVWT/7zA4lHftyFVLadF2BEAcRWfXikLPXA6jn5 YuW09Qphx1joqOOxUAr7TIIvsOHgW5BtAb1eJo8MD55j7VUPFaY5cJi4Ro1a1p9iVlzLoV4uvZ3r iYoykOaAYHaORJJG86nj9QFOixsXk89El/s0D4Ecu9KxuKTAYrYkYGn6loq1GCOBsy4/69fwysFj PXN/I8Fyka/xS3Ta7bU40me957sOuMSOTuJveOmUoYa0c5sVeb/N1oChMISsbaQBaTwKwnb7JeDJ 36LmBGEyZPzeL44fMBEF00/pWi5VGhdmM4O60f5YYYaQagFaJStRIOH2sDBNiNiW3masmA/RA29L ZIrYRxRflUa4sWk649aR8mz6wdwUVZZ1oQ2aL9/SCvTUuhvri8R4p+piDMmPnEuSaRxbY7kR/llD Off3kg2UFhDdq5GvMiBCF+8mun9z8SOxhYN6TyC19Skon7Q31fQdN5P+theNmK1hJjYuPMNNljIq ojYNnD50PUeugFvABU34aNzXGiQ1NC2QpXnNoelrVEVWuxPM/RIySiG5Y4WWKy1X4Gi1ojiIu74E gz4s4/vQ2LnS7AifoUjwY5S/B0hS1NiWJsFP99I5wbmbd1WmZK6LJwyopR14m+BC6Mal9nANr6fr azbykpJUeXF6Lpd3WsrHIg5TxoFKe726YVgSNJsdrdJtxrEQhfMXJWEWxDqC/Z4riQ+FNhX2YkSe Iae1zvYUhM4swO8uME1ZEMtWLqu3E9HYj+R9ifsxTIZE0qA/ui+/rKjjVMSzXc4dVZX56ybJIPXC Gof8BvtXfdLgoUvIqQ4T8hoAcm2AKxdzPGABBuRGuj9wgFs9T+ET8wR5UIGEIFGdWceC/z37yOzb pHy7fo1Q+NZzBHHlAYrXg6bT1LEuYORx27zKYdDv4SxEdC+MtbOc80XrOPHT1UavTJ12BKWuhTyL vNQnDns/HC8EI9Fm3+cG0q3UplSWmRFw5m0v9KgHNZDbBC3v+xXF2u7LpewyDH11G7rjntotZe9/ 0R0cb9FgDDbBOacVEJDarzttnFW5yzH3sYd6buo8KaG09ffIfpVfJzY7AZl64e9YcRBy++H3UEab 0YSGxxFN6wHg7H9iHD4nwXa/r1rDKEPmV55p45w3JcW2dzasayGedhdl0bMI0+nfLTVHMKWGbf1K IpJKFf8bkduU7A2HA93alUJLsBc6WBbXL3GAjIndeJnmhqieqsS07i2JOTDYg90Tk7GaLt8Y8TXO h+tGXdMumPuYop/G8iDthUVQw+aIGaMDE/BuOl+et0IJILMdaW1Xjt6kLrUVSWVJKLKCp+X7eU+i KSOOQie4KEDq0+sMJreNAAcghSZpuFXRQHAKURa+3bvWS6M6+DA0KLInjucZFuQgeqJ9ju3Hw0b5 77x3eTfCBrtK7UWv+u/E8fcDdg87L0YorS1CygI1QsC7R7GhES1NHwwhdVUfFJ1d43g30MgjBW3L HZVydEK+UUWDg0skWdOqdkiVbksBIkjTOAvbFpiQmJnUnNaviktDw7v7IBUmKew2I7CAebGOmPac GTqjcBqU2voJQGu+IbL4VBdRHRPH9HAORxMd8QVeGmIuYkz4aYHxJWDdt1iOVpWTzL6N8RGwinkw ziZoSj4bfhh0cJwqB1dGAQhcTI1tWtnboDZK76flDzjcchWKzb1Jqa1a1e1DHex5My+m/Fxp0gkH oU+9xlr7j9D4O9LOgpCEDzOsPY/6RAm9O4Xb8aMTat1qfkIU7D7ZHvDsOKqvvMwxJiSG7jtzEmI1 17MtZXZVsVa3CrnUm4So442GFkPqiWmYSrhZ4hq0/IPhZPWWo5LJMqUo6solbuuGOC0XgUEsVpzk tbv4tRCVNnZovY4ikqqH8PRa+7JJZSIY5b4nEsnyuZtq/o171+tJlIho1r3Mjdm7uhyqshYfkzON Ju/cgVEwoji+Gce9CJxQS05VZI/fjRrC2ASt7YI6v7Uvjzv4GCvGxBhiPFjwQ+0YY3CU5TSocFuu /FLxo+IENX5t/SfoaIF0sd3SAZ27/g+ShAolRwg5DMZAg7dy/e2FlpOX4r2zeq4UXl/jmk1oFlXJ Pajey0w0bFlz9BvYcDcQUSd+oLgOIMunR/79BMR+Jz8RegMqVkEXGrZRxBpg5uecJO9y0SHmnMUJ KXhErAATKR4xst/V6JHtUihBw4JjRxXewbSkFp5x38xjCjIE2AtnTxKEDbI7vrgLcPRmpbM63U4w 1pqhCMOLNIYfNLUMaAashf4DsFMuoiAf0YJCnw4kiUGIgwM+Kj7lmN8rwxGFW8/zshw72/lQF7qa 3ELsN2HHARiC+AdfjJch4xywe0dyCbXz5aT+ER+Y/QOTPAKx9at1d9641QXSbixvYOzDkwADl8/d UylCeZw0IU/dYQnpSusOAZDm9amIrFAwz90Rrxf5/JKApUf3YDshz0KroZrfzZg45xbyIOX5zvHv pq3Kfk87FTux1Yb+GNzHuvBwkIx3IS5OjQtDoIt5gqGUKoxVG5MticJZN21ssiEoEiowtqJacHZa 6m9hkcrL7xpQo5aVM2Zm62LYA5Oa6hgF10vI2liyERG61d/PWTNu3XztyIbCrBX7vBfDahJiTHjD 3j1KVJQE2/OPDi3sSFcRtIeJCr59o7MtSZgnetIeGj5pBowY398HHDccajo4dEav3hSthYACRG6z VJTkmPlgSbPxVdNoAUeYwxkMs9nj4MY3H9HCzWTQh1Oqie6msPVubVPCkbRWXPC5RDzVcNOn6onY dME3ECf0Tz/vMwxXyMlW7O5W8D0kWDoxz2NaS/pHWxf9AIW0GxnNfgCgQaHk9+lCobhqwMEzBlFc Q2c9Rf31RHZyCTIVKpShLmtsUZzBXdttbxsWpkUtT2RYHnXfUoB45KbTL0EEFdMwj6kPv2s6L5PJ +DXIqOqL6vHF1Ppe/1/lh5WESNGC8cYrTZ9ehyipuU+fYvi1E/MVayTYMvcW6DgX4d/HyBZZnN/v RQZq/d6+RRTdQPYUYd/nCNMMsF7n/peFmBzeJvoSf+1D8xSdWab2t5oEof5HyFAYOOfyx5ObSJ02 5MBMw/6MYOREfIsBHYmD12nKtJl7wJglb4Y1RtI6Tp8ul5RiM2dGvDht/Cc2sVdDurt8L12nKfAX jyKjq2YbLr4tpzzVHBmnUJXzfbIRpBCeFKsoo79WK45EpOEuBkLRR0t9OiEqdv7ozw+JLHaZApBH TgpcNGmljrUtMtOgubl5flB3RKSZST4Podi5HiLb/QJYhBGX1m3qE6OLugV5a5V3UjDikEM4QTUw ux9T2KzKvdyEdFXm2c/NlGZaSZ3klcse3b+OIs0SjJHJjDt+LT1V5zBgNncG2jiGZxR77guRAFKy QOr7Z3w2ia7cHwjCErTZPDbOyoTBfq4ljpMmCDbfqqnrELJmmeeu9OSNUJ9yDgdYs2nfcMB+2/w9 vVMAo4YFgidrcYRfgsTxfLA0Rv7bDVTPwdGhIDcco1xJpD6+paTbeV13K4FOUIkP9/nBiqPDJiPg z2BG/YgsXWq9RAEeuctYy9QafsK5QXQVnoirRTBy8r2LzEI1KgjrqiDMurrciYN0JNJ9B2xijQcL qwM8Mdq83M1o8gfcfhlkYs/Yg4AUdjwC2IDqmIRuA9PIMBxoTUh5BsgNXiDL8v9DrOZe9W/QC4UW ObrOlMttuT50cWkKcJAbwQ0Wu7JK+X4ChbF6maJ1b4kflU46kXxbU6qfaoqX7+tvEg9w96pb4bmH 6KFXpcRC28RD9Z2wfTdxLWO/q2ART+oiT7ZeywnUw4VTFe7QxJWvHpD++ETfg/zfIJuvZgmTmLP5 QbTzsidUSK5UT2vjLIHXDQpCWtj5Kp9HPFBVRN50Q2CW8E394urrrs5Vc2pxiH7xfY1v5O7TI2FM gkLr3yG+yXNacu7bsHT+6Y/ryJrkkRor11gGLdLLFuSffe//smAjid4Gp91BRA8LJ3q6DX+2+pjL dc1GaDNRhDkExNxDlXv43CerW5n/IbeOiReCWRT2kf4hQeYE5uqrNHcI0G7e7hBTnpi/QtMj3l/D gi9X+rJkPx+07OPff/XI9EPGs/HPLRCezEG5ysjJQZZRlgR2VyjzPsCaOg4Z7NmmbLv6uuPTeTqP HkJe5ru5xeAn4crRsnuwpOFhA6+Ase3VrMQpv04dq10NSn4nS9WJ7Elk4nbbfegYkG/iwJYEXY+v w3bfqO719AV4LuMbmBo7HkxFrt649U8d29yiAxhIlU0NdM6DoWTwAw9glvVXd0PMWDD05l5ypqSw EscbumI7zVzYWEh7pJUhmG3WBkiHu3Sn4DiJzPItrHeD0f7v91y4xnmhLoGvmfevBQVzCVyZ2P22 iTEBwDlBi3fSTHRfFH4qtnsYOQzTz582F/eC1/UjGtBbemuazjGtphZz3xjpTLuqN8zW2R+JiCOL 9sBZgRXLZXD+T4YU+k4AZBkWVCrtrp3VM2+Re/fFuFXuVm3Hl7s7gBiC8KOEF5jYuqYu+/xeMrIx vv0vkSLF7EhG9ArIQwRSxRBrQGKxSLRCP9tCpVd0ir0Cu2fJxbWT//iF48CP8uqYKGuu+Xd8OX8P 94TgT0MOcww5EdTAo52kLAioS2imN4Bgzfrvd8uUu02iAk3MDHm1i2CD6XdDwGqoYqEtRi1hzClC DngdCnDFXA229yjsjd3nHMB1t56zqG4FsAbAN0KERInqbGmEf6HWfnVqTDMdsgvJp2oaNiNWJgOC qEIq/6qk6/TVjII2jkGVl05i1cr0dyBggABFTKaEeUqiIduW2v8kQEkdkWeEzmSdz6tEdmBs0pc7 n1fOtEyeBzVP3YxNGmRekVEDeK7Qy+PH6cotZiUcXpc+W59IWA8olus110BmjrEHUGA2ZzHyDnxC J9cQB83wOuKogkXcekTafTppOQBK5qm17RDlG/AbGkpXtxa2Ek0RqIfzIEteBT5MYX7mA0loJiuQ sguriBfvFfL4MUIi0GZOpJQ1qW8X87HXlSlcgYJT9c5xw/R1ZWpvNkIolKKTJ5JjK1gTaX0wJXL5 FyYE1XLcNzLOdTpVPfUXBqpxiCeMQx9WorIaC0sZJXlex4UPjJNFLgUVThVuI+h/xi+18x67fw52 Wsn0iGKg/k4//EK+Ja2CktgQPXIo7DtwEegnUJCH0hhL67mDL2qXVv1EvhioaNZ8ZOeN6rfkG8c4 KaQZXZeiTnfvFzQ7NAcRZnNVKnlONuyqlGUZYdWaG4I407Js773xMn3rdQg3fjj6UFZS6kZeOzHu EROvr7hLwW4Zm/lyrXkVEmIsvCO/j8/MdYitzd2A/sUfp1pEYZzHFRDZ90BJZG+Wc3BzYszh+uGP zHbHkTGn5CVBgHU9CCkME7shX9jxLBRYycYEDYg1zeHx9RQha0Swbu4UsiupGCyYhkZ7EsJtJ/vi 7gtNVajSeNcxNnfLV9mQGqgN66XXW9k1YjWTA9GH8C9jfZA57yoU+cbFJh57l721HM8dYBo6jMsU 1xZLx5YrLzJDfqiopZ7ZIDa4y7JKcc3FbUpqn2/l/dxPxWg6cbCEMlSwxd4KTvreS+d4iSuA5BB0 nM3jrQwnMyYHeXye4YD0oTHL7ligLz2MxSacN+EnflR80RDwZzbeCMhA6yclZtK7QQnZKArNFFO8 /Rh9/HVYGpegyv4U3lOmW5UDlC+sli61bIdZ4Wf5YZizEkHsaUCJqdHNILPfxDKGwPBGg7jzU7L5 C3tIoGelnTyHvWkxHksSuiLoGyS7lejelqE10ufOoaa6kJd9XqjzFMwHsSZsH/BJH7qg+hirpxBw ZslmmFtbHW96soEtFkjmDeMeHrgJ8Vmkzz5NSkvauCy6PeXKs1Z7c/adngBMnr3+Ot3JfJo7kq8j 7hm4/sXodo9ClIGm8/TrWhkfXrCBs7g+4ZAPVp9imZKMiDw+5bLvUPwOHfglRQ2V32+CG3FCfF18 h8LbUbPVcFP2iMGFJbG+zSerbZX5soFEUfteCXON3DQbdsL1LiZNbtKuXMij1Xig1v/8zX1sHVCM rImXxhjFVVK0rrDkgIMMoVAevmxeWfQHvOi9DhQNSi/ggY6+ctwSA+D2dyus5/k+Ci6tjWNujNiQ mXmsqtkhVpii6ZwhmT5DGAvyI0dfOsUwGWWxuqFlcr+75Y40KzK5yZ667xj2GXwvgf0c1B5IjUtM Nms/BiKZAqBc2+M0pxgeimQJO2KhCbR7sM8hqK0ce1gLnBXuY9i4UjdV+VbCQgv8kcKRLVIjoGjX P26ZPK+C4X4d3RefLV4nOTZ/cWD6nw52nxqqlmGmi/OveQHG59RgtErS0ZNYKExA4/WUzjbcvOmE wQTQ+r2gFBG6ImgKj1rOO/TRhjLJarZwaYdKgM00GdF68ROBAOl10W2kfvg4JJ6Y17z9Wf9cf9hu miHE3lHV9Q9v6TCIMzUuGwDtEh4eX3l0xR712tmOaDVXCX75S579a3WtP9f2/bLZmQQ/x/2ZxXOF dP9kJtb9QJoFRFznTeAz9+OGp4tp8hS3rGz9sn3XAmXJ6oRwZ2dYwwRzATrB71PlRiCdJKUuQ9fo tRuD57VDHR/Zrhx7Lf0POpUkLDQIGeMvh+Wx1ntUCbMZLw5l022gaaJLflcoIh9bxqhcVI0ef7R+ ZYKQBT4SluyzXoaztuqeesVXHcpqMjdv8cFk7TD5Aal300+boSaB37xb8C/mgQt/Ar/06iDQN0lv IBKpnTF0b3voYN4KxCbRGomBB1ErtLC9sUn+V/xMEn1eTAJVqqYJYbLhCSQWaY/BY5ges67CLz22 N3VzIJPdWIzp2eN63h9LmUc7XTkv7GXscWaYBrirYgjBcTGawaJXLBGzVOxy/y6cA77105kS81Df N09FjSFBGNWEaRBGqHZtd9lg7EvGHAx9AzRugtat8Ibm+YLBRDBNrd2xIniOcMJMPk87UbvyI3uP UD9O8x50B+egO12VVZrz2+j10baOa0OuptQ+DYr4Ss/Wtt3hY6PbmTiBWmd47eUyYYSqwiG464FX OL/dM1p2C4DvmThShJOnAo8OT5TaDGt9m634EOVqK91s8eiEjUo1Kni83DKP5pE9+dqq1X+QfLqA E8LJ3vAuXHBq7HXXMa8t9HGobf9x5arD69j7xqfuTn1EWmn0CvXwZSLSbphQJ8mA/KFHd7cuZZ1E Rw+gQj6UD+RhqdF29NfWmE8LIC6IyKO8RSdnhKOxi7LblwHb4eJZFbEFakEH/m4cG7C0DaT2rx/0 /umeLmgxI9JCb5Y5966HXNfnNvXKhWUeQlV5GSg7uePxS+/k9t7lDOmfuHjTW65UXzyTfuLShqxi WxohIhXWOJ1IJlWlxrr5PzujrePS8wTVo7gDNG5I6+DlU32HMf9HjRn+17Wryn9Z3m5BlSOgJ4Uu GW7k+mvJh2AAFruVC7+7Dk7uz6FFlY0V+hyNtvbA0CrUx4kmRyqkOMAr+B7rE38zccNHaodmZFyQ RY4CWTJDukJtcF9Z2zYEKEphwTJw4IXIkPK4FzBwu85ddUh6qmnzDCbWVaEa6sAHQycixwBKqDE+ Ecn0zhk74mKl9k6yyraxG8RtgJOEQ+A0JXaz6bWeyJ5nWnkq4yI8cZIOotpDRz6nXyBtb2bk6akt 6xoRnrF9vN8AjTpFqDyCHqf2SqIwEPcwu9/WALUGjj0QUt0/637WN8AFI214JG9LAhRrzNlclmLR bCqm7kPHNv1etnKRj3LH251YkdKLFbVMk7FQW8N9zfBUPuq4MyjcQiEnP2s5CgUM9QKucRM8rzpc JEzyp/vqmAu7WKwAvJEniyMYi9NKftGos5tzHJoyhQAZL7Rqx9JVKruN8glzf2n68BaJLCr44Tov KtfNVl9aL9UlmU+nwrBqF9DJC3B/GUYrt/hodY7cXgtUYvGKXuMVfoWo/oQ/Du4tTm34X8VCXOfK mrxI76t45cCHG0HW3KeXwsPWIIkwHQqPEFa9Wfyk2K7M1WA09WsCna7CdJbBsY0h2j0A/q2/wpON xFdsk/9WT3nZoo+8dG51GhWIy3alcHS7uoDqBiGx+m9oU5OZtA2NH0kgYhKSJga2YWow7hpfojas Vjt466ppc24425rn75goelFBcztbAGo99jjUDweJ3j/6B2bJSAYRLao06vS0lBrEqBHS21/E1unk qEv3dfa9iQFvUY8shdv2ApSpy676mq594tafzx82FYLiLvCICT67uv+KPLFxLc4zKZ09GdlKI7Oq RTjwo+OMcU6YFauGv02bvO3OYQ5dpGrrGbaI1bc+upxItLENa2fzmyRxFl7enimet4qtPSgBOG6c qAfMIBY0/M9klxvlmHnYFLbOHNC3XNqOdTqcy6gC+5Zqx4iac8qFoUauFmWSI14Peeh/DgZVFe28 VfK7FeVEv2wtiReS1moA5PZa9gLqkAJ4iZMnatVv0Fx5xwfBkbBt73/vEzoOZMfAYT2jVWU7j9th G3elKtqDMSzEZKV5qa3O8Lw7RTQXCGylqMa4vcKZjr8deuWJr3d0Prx1MBcJyO84eT0s//gLMSpr v7aw3NbscK1KZ991nr20DUgS5sQysx0/sadxqLCjyUAwUe9mUf/a1sysm1kXuWFBhTfyrgQ7IZHA hXGKMvEKl17wTq//0KcyzQtOu0nHzLUkN/f1Vdh7F+Ti5cJ+iaPj023RuNhAQzLv+61658Et6JZE blfZPdrlbrW6JT9t1z8NB+dW5D5QIFgqixD7817HSmpJ+WlbCYVFEpZXrGg5XAJWHVQToLGQgacV xSE74NS7ZCXlcYYApMBqjw2KOkQUSTcNkyUnkPZxHi25Zhwavh57sVscGOPmYBAOTxZV2HTE0+dQ BL4UJzdHaVqs13kSiy2Jc9xmUSvwHWwvIg9dx0RVGbZxlwQLCmOgCfmj4NNVH3+JepWakSdJ+vJ5 C8hFgmqWkLgkTWl65CQGSxhbx9NUNk+NdiaLTHb+Kzi7TTq9zoETzsmd043R5tZ+3r+dxhE5OWjL FGwy3pSI3PXUSvTxYmQni8lsjS3XBUjdPUpPKpifTBIF3JormGcJUAxzz9MdrjRosYFxHz6wp2Dp +jHCANgYZTXdNaNKekrdVf7292aHyp5DsMG0+MVRT6tjQB/2aiZXZQeV2aLzBfquw1ZRvjC4Cfb5 4yz/6RLvsMRDb5faiZGD1LFA/XHklt5Klz4H+IFETVcogjhBp+BY2zYXSWDMwoTzXaQOAjp9e7E+ iOYOCo9tLymgFgieRprRZjL4/jCxlofPGXcv5W11yEsoP8P+9OnkpdB+xWdFy7aKAiufsxvI+orm 8x3rLBRRsKyMLwKSjHp3Ty3xd72r11v2WzBKLCFRauTJzh5cH2scl18RM0joT7+vz3Zf2JNoW1vD TCED5PdQMTfEtqW4UFhl4gVgrG/2nnrEJAgxZcscJOXeCyU4iujeDBqO4rfjv5S2x5tpJXJwlyuk NNo51AQ8Ij78HcwTzPAqxQDGea576rdGBKIBkfFoPB8EM8AbZyhLk9joieGuMlLxH0vw123+8wZf z8BswBBnITRa+m8mady0+pnaNPe6ATapKuquBjxZR0F6fOkUgJhfqa/R9cuiGULQPL+lmJ8CcIvA If5uuDTaM6FRnicB1wfNaqHl1AvojnrLIJ0qnBxdEWGP5lKV8STv1zH1MKR/7g9r8dmMqwTTefBO 3cAftgbIBNxpGMIbwq1cs41FTkwzrsRiH5vxWg4Gux63Ni6sXkk4wEepfXQ4NraFxulhIfWb0uka g142u/7oUNQDhnPMoSzk7UdeyZfT8JOBFF5DJTJG/bVFABJEO/DGRs4FAooi+44iyr/0NKw7GOFw eNTuZjMQtyzxWB/XGaDfYwNhgvMkincw3ck84EHqolFOMOKf2G8neLPdPCETA+aBap7DIf4YaTDH oi6p7upYBl8y8/GQlr5ffzDpXf7TcXfZNxagz3RTsGLXfiqi3ueI0OgksittcNqchBqcknjZnIJm 5Bd7TZfMig6IfMMUXEVs+OaSvwWk3PQviaywL37rtjmUoahqQ4/GWg65GYHDgqZOeHZDzihtkJzf DQ3qSADd3JrgZbG2XkejoKlAU9r/81OQnS4OAGJ3GeeeeOM4OmL/WXp5UtW3zlWeXtl27aab2DGo vO6tsKt5k4Ck/gk+oua15b2CCWTZzpEPZXKApDj4+Eku2dpp5LXl2/qO+r/I/+Atg06USSNVXWzO JJFTnyCFk2q/CElzU4eCeH+LjCcC/duHJnCUoqJQXKqfJXt02q01wWiY/870GjW6QuNlr97zBHPP zlsnwIKV4hRpldnUMwh2nK61uDv3fro7H1tuvR957eCIyagVww/Oueqy95B/x00Uu0Nv/cKB6K9e 9mXd7xPgICNkTz/+8VkWbljn/WHc4EAyqIs+9OIb7Ypniv2dKxe4k/RU2ebEMfAvZzxUSyz7K/wP anQQvZyhmb4zE8xuoW9u0y0vRZQ6v/niljW6wU709idXrMInZ8ekhxc81+n65l/3UHOmT6CDV4b7 QWi/IMSIK70qmI64MDACljaBEB65F5OIeMwzJ5zUkTyVWtk3bOko0erh0G0aPILcfuWITsoB9NK1 o8ry96ydgvB2r+m6ZSn6lE+WBMp170nZ5Ne3g/8ahHgV1FW7Q/UBfzbqHCFHC4cYZENkt1J8NYHU yE3pace7+nNFCU46p9YHMblWHF/nvUaDPveiRR0au3nH+C2cwA4fC+GdcPEVGz7/WYbw0V0SGvNS beV9+Qe6UCLoAyAH4sk3fj61o5J95MNzDh1z9RZOdzY4PLAZHrnCQOvESSHZCA8QQIWwfkwJpmIV u9gaGGbS37IiAHzyv1m4g3ka03DCdVpS1KPThwEtc5gyc8b4Irrvu0vUivnnkz8gXCq3HskjboH9 X0I95bhnEaQpjfYvuU9xdNuytz2EbvwXMHjQYmrHs28skodJleCytwuW/CjUbeSvLCRUOhwk/gBu tmJqFEFiXOOHTwAhPIUJCU1IDT26uWZEoO4TiwXeJ6kTdZaRwRWgFX1MeokaZVX/oPPQUqAcgwMs kFUlqSX7Z/9iUagzTukhTzRFFLUNacllZ4bi4rtdpkfqeXMfwokhaLSLWi4f79WjJsy1jd94gl5D lzjc9da4pBYqwPFPz2jIQohXblMkNlvl0cEH0sn4+KWTiGEPuJOkffzaBVIeTs3BJhJZ2x2G2Tfe xL5slx1DGuA0h1DYBnW83qGZOptKrdpramXs1jlwldh0Kzr51Wv+nTO9Bjt8QstDTZlFuVVvE0xU rmhlHItt1LEt+u9mQXCPBB/2HVCCEJ29hNVjq3cKJAmEqPtcGSUuk+SoUjj6eFQDcGaGfP6KlMRR xZFTB/F6hk8MEqh96F0zip/O2EMhlv/ymBap1/YQcYkZg5lEQJwo4LfnjIAlBH0E1NH3MKJ17YNL JeWChmAabnW+W0U43bgbby3x5d3jUNYQX0yXPXPCa7VimspkgyPZATIOGgU7OSreP+hB8dLzivSw /cBWPOz+j6M32J/moyMcGveTnShb5U5JVIHbi7QtEvUtzw5op2Y0mO4usLyw076z6Ug8whtTrDW2 7XVl+a3qPW1FD33GC2nYsVXRLLwLANfUtzaI1aQBDZrSXKqHYmukwgU4qrN2JtFziFLx4WU8Opll g2PWfQaxuSfFVJl3e+wdSVcd/A1siUFttjiwv1obRmpLwbYrMkrogasogptKCMx4zh1ZHsJ0iIE9 W5ZIYZxRP2W574M5MSMIQbv+rW7+v2tKb4kqBhcVFMHy4CtWgyBhT5T7LenOFUCnQkFTBV/pm3x0 2yVIjQSLei9MPP0TeTFt9DcjYX6d9KoX7/ZfMQt2DOHgVwarZ5DPznJxzeA5z2M7N0LCwiHeHfgV 6g/mmEMOslTmFw3dYSFH4PGzWqgSyP0AfT7RqZJkWhtxgAkaIPz4oRfWGaILFKsclm6RdJBIpm2c AfhwtJLBEf5nMFHEHKJ8utIxp3WtiMxEHoJnuZ/670xhbsUF7vJ0kcESZOgrcguEI9wBF35dhLEg 0m1Z26FAtOAE4s9q7vCv9fR4EZpCGMFh0yVevLVnztKSkuzbRFyPex5lWle3Jimk+7dpbihxg4br zdvhTJu5CdrgTLty/6g+UAvhEwlbYkUnixWZnv5SJbIVplNiMfD71BNM44B0Y9A9pR9E3F4RIu6W DBW3a9pI1DfTfJxqvdhHCQNbBYsXPwcUeQnpWJpgQyNDETINTDlKtcDh/rdQJ4TkRyY2uqj5kGFx yBK1tmzVCOVD1nTpZf+HsQd196t82kJai8zvpjPyRABLEg3b7rh6Td0yWgYHqcAR5UYk3U39LEp7 VI4tKugMp7OHqFhteICTSfSXQ9FxMIDpsWbZ44IKDhOJXFnmhzJmN3CTakREGjkNQrEKOsA34Baw tYqe+dJSegXLNHF/XlBhB8ax/dBj5xdJAQcA+50T+Fr71i2PLOb8Gbh6NNiL+KGGvDK1EJBW1lOe /TjhYokrGG41D0X8F+/0GFY6O2R5JxTBd79sXujiC2asA2pPo+fbMl0oqraznNUlo81yeaHB6FWx 1LPcHZZPgd21mCWFfzzK+s29VUEimU9KeBszsVFGsaWA/3gPhmGqJwKrQBq8e8h5Kph4QvRplDFw lVu1KN740jbKwICOnC9lLFmnthkAQ29PN/PgJ3Y2csYN/HCoR1By+hqmccSxhrpJO8EF2iosldVr w4+QiGd9IyQykukvYW0OwlNZovC/25YHnJF9tZwt6+Vp7/tDv+BUtfgPP9xCZzTCqDBh6IbrK5zY 6R8+FQXljX+YlOLqfOCjvR3or9l4ROWg+DYJAz73YPHE+jwjGb45/WgI6hol91+7YdVxbFZzL4tb /s15LYHDumrphNndyZ/uBrnRFsWfiatzI45CT7BfKWniYqDHOxgxchjYQRvEjrav8BjglR5KyJ4c 6LoD4vqwYN/BtKdCT2VxQCnE0RIRDLyZQEoWLN3CMlI+b79Iw9onHwZ7GmtBjuqpT8lHSWDVwVxb isI4m+THw9qosrG1u+huv/HFYhHsIAGm0j8eBD1bErbgOWGW9yupupDgkVY7CM3nWTAf6RqUDfJt yQUWdnfGQemUC+pO35l7HQGdxb+ZKgKmCW5pVlSREHI+mm5hio8C9wGeHMZruMb0LMeW4qdLmUvT 7VUylrmnh0mLAMD1guvBioNwUEhCvrgAQP5orTN0buxPAiLrt45lUsLZP7Af2taj96LHXSyaoRb+ 5g3ZM2evVNqOXHRwQ5MIlJGVFYg+k9A+5xL5HRS1F2ONQXHnVRZzNOWE3FYEXcOOO8OMFSgzeUVX yxRKkYjlAiOSAFpXmpQ9nCfSc4fvajrIvv0l5pBID+gZd7+hZoK1b87rVCkN0ccuKzRtbYeT3wOR 3HQpwgAhXgMps4C/LMfcckaErhmt7/yc8vBjDDMCarEmTcZE+14Z83xJEraGv+cV5Lyo/ae/UFVj YjJJvyIwTpXJAp6CXJqZ96sKppXfE/2c8Rxl68MRSDKbfKbRFraRvSNx/AiU2aY6nxm7PKYSsBmK ownXjQCDvXfEuqi0iLxc++J1YIe+mGcctV3Apa6/dT0G/5QOhjI8WtgKQJ+qppOLvU1NfC6gj/5H O5efn4C/rFxmkKdAXyToYH9vn7O1FQAZsMszrg7E7IcNmFaF9mla6G3tmt8r85N/waB2xxrPUgX8 syFN49mwx+K4wEBvqwY7MBkgQlyqSuRxot70qfrqyQBz3C7//EM5mpIkVeMXgahrkj7Ng8batYVl Ca0Va147ceQYpYv3uLYYOg93aaA9BmOHuL0RNstn44FqUHa6GgLw2B4k7WeV6X9hHCDgJNFA1HX+ 4bJOs8KzBc0YuV1aUSqlDXfx7KsBSE7u3mFqB5xYHWtK69bQj4SdPrChSq2oF+jRI5/ItiWFTqxR g0y6PYvDgl/symxcoAMEKiI0/RQL5orLUz/W4bYmvOb6Jyj8BYEGSYcVtq7ChdZ4T1iBIUD4itaw HgNBAntrPDbkE9yE7Moa+mfa0s2U8LELpZ5PFN30kWLpNZvP23fCvhuFBVU7XX3fTGbO3YPClRvx A3+RnNkYq9Dw2ax6a2hP06nLx0u9qFfZGKmiDMNX0TkhXv8h0ArkRo4Byroh8lK3lfprDaiQrpae ic58/V37kX9TVSRQyewxnZsIxpEe9UtRKKOVbM1VQ4D/U+7+1sAsc3wua04T/YotpuLhmcEzNi/5 NC8rhHzct9S30pfsRyAbyZr7e9RvZa64ycU/ruLaS01nZlCulDDM7lwkeqtMgSrZmGhKmTzZH6cF qwS7E1vashqEeTPQwurn0Tvoq7o7xVIx74w9zojkXPvCc0ZDl12L9Zx/jCaj7PSsEeoc6jMsoTBc /EDj5rI7L/1wRtD4KAEl2401iQ6sjkDhZYnj9csgulbWXgsJFbMNRu3DydJ7WNj2sOQHuISEOApW kMJsmygVVEWTJiD1OK4bQSQ/LypX9OVTrXPLfaws1gHlR3M5TKmvuz6XIKb5BZtjveu0iKVvPtm+ hsPf71hPIKDWaBOfN0mRIwaMRiJ4x5VSS8Gp54plgm5aiRgW49Eb2jk56a+b1PCulXxGkUMM5PrI uaB0CRBVh+ggGClev9TVe/j7EbKLSGjcTfDAZ42UvRjsEAq48cI4JXHcTJveAkYs4bIj2WL7waYM ZxaqzIf1lsq2PR11Cu2DtSSGzwIm94SnYskDvuqAWX7HMg6jn8KttsMPKvtJFV/R/aapXOE5y4J9 Cv8MueT/hM//8xtBH69+1X32RUTYOM01J1uFjiCHUrR25vBtGCfwHlr+24ZTfdf2qkV7+Ig6e2uX glAGdJ+/rjYU1sjZbWJXmLQSim14k9Ac8RnOBQpK+VZPVNYttctDbo24bG163jPpApEGuHoSvgZz EoKfyeaUyeENhQY06/rXxq0Kd67ZvfN4hlmfDH02yn/9wjhD+tiyr0hcYByAhaY7zLUq1Otm4qYZ J9BdeE+WMRpQXTDFaB+W+lFmG7iuQtCRvNwOcC52kDZ+SHZD4bwWU8OEGFyRmQ5otpxxoFoQVSQH Xvnk7z0Wcm96OhYpJcHoUbiJyL7KCXsdSUxigM5xauf2vcVfRroctBj+H/NGULgk4QhxO7PDgGFn JJoAoxxgyDKRfEHUINs6L1WyHVIMCbCMAaw7OhjXJZU5oBgfuj4E5nRTbvKuqSRtEYsgKntwbEgU p+QdpzklOi/0alsLwFUuPbW/su2tW6lkyYcC/JbR53F+oYJen48zFJSJNSEykLt7YmppMhHF/Vcd 7ZiiDscCl1JhSJ7DIlagK9/9kZ/WDzimi7oVrWgms2G23/iX0jwKBxdbh+E9v4Ntj+/4/srp3ogP QNDgy0EOE9kUqBaBYDBrSFQOfyhIR6qj7ISYSRueBa1bLnLzojKqOd6nbXW27XUZ5W9JWQ8eU6Ki E6QEUeH39LlEcRqkNb1ADBwmnnxK0QyndBC9mouRztOZlHzjBm8uRGkRtMx/5y4ZFaUOQJ8JQFxA 7HThw1GTcIjxTgncUIvWb86VELwW9GPtEK+zsH5QvkLzQbhRqexqwlzLzxVxtPdGfPwbJIU8ZJp9 pInqWehRyTlmUEpcbli35b+xbaxBD8ACAlMSc4y3gw5vgGN4IzvNHj4X87aolZlb1SbIbLy5aSou rFtSN4HS51U5pt9iuEbQ9DwEfKCPzj+GWPRome2Oe+z6FcioB96BalswZ5almjdROQG4FLcG1A0A 5BIViz3pqd2nKrRbi8HOkTq+XVh/K1WKavJuQi74HtAXJ9Lm05FPubK86AwzFtJ0BvbaK12CIU16 aEqrdIkzPDQbO8BgzRjervT+iVSTLfEysgQS4t70pluev1xrpqI6WyR+ZtJdkeD47kcESTmmALg7 ufUu56gwIJQhbv4RQk/jeMXAXbxKCn9q/+HE+/LAo4wtIrFCiHYDKuR886dZ+h7YXB9B/E2waOPR jEH2KMOjNWwTdlFugaAp9xJjxII4a+kjpflk3NoVjrgo603fN3X2UXYeZZ+guSYvPPV3wz/Re6mS LY9e37OwBEAJXBLWmqiGJYXwSXsP1MyUkpJwqenXEGxWAcJ9ROJaqbBz2l0u6wkR0kYaVtfpY2vv D6i5USCDlc2IWlRoykJxEi6ysvxSvJCSNJZYWLVemFg3OMJjTVmgfqFxVvgZTHX+bCJKPdjUK0Cz T07ePYasFtaE4B0Kfv70zF/up5C7j2MpMWl37r2prZo6FieUR2PonI+th3m4ssQxr/owHpdnKwx5 HP/17B73/T4xtu0RIeeBM6zIclgIwIXn7xHiqbnGxGHvrATTqtLTYf69yCrqB0Ol9gEnd4E/6Qcl V4IdNgzmkxUVpdZmX0R6JnBJ/gWoysIBrSxmB1xyY1sqVEPeNJUZT85Lc8GhmuLDwBt2IXZnfia7 o3mfPWAGnb569XqCkYuWJYUK1fucCnDIJW3aGH39jDtroSOXZsxZhn3cj2Pnjqo8jTRn1aJdU6Af /QG+9eSidQEpxleCAuhqb8qP5BN0ZBxJvPvohXSGxz3387xPAR70Xd05Bri5+zGdFCVdKWhc33Dh ogszdt8+3YX/qffCUhJUnvSiAjcPO2SDskxkIfVGlTxR9IkkdnVibXIZ5mAC6aE06HXdjjQAmLU8 8yuwQL5i7b8BuLb8ig2L/5Fy3wYMOnRzzc5+XrJxBUNgcAljxX+wxuZkil3gxfJvQoHbQE7LkIxF OufsAzpdUopYFOvv+/aYmyFOg3YKx16ajUh2JooBHZroGwuEQLFLgcDBZmDhc+43URte9/+98Wwk POY3fLnPs7kCiSnRedQ3ej+SAdajxjOk/E8/SfLrAqxxQnBYB7KADw/seCozYL91FraZU2xCGvBf ioA6uI/1IToOw1fjIa12eTiOLOGGF16mWqPzLsVZvBadZRdvGpwwnl4EYz5ZAueUxfINrNueAK3G NThxKYiHoBcgQofvJY5O5w74VxzevhVRnFQDvtLs1UNBPgUt0bR5rppOgCbmzyN5RrTYWGxFpJ8X FzuAW1hfQr35Vm2e8GZVEYf77oB/l3+VnF/OxON13OcY1Kf1mHMJYGGJiMDVW7ICZdYMIR+Zp776 ssPMNXzmDP8H/rnE/sKEQlwcyERqMgrqh7Rr4TeeQ/FrmulRHH7McgdQA/JaUi6g1p/QCqsNSqGk 6DYb7YF0GaT0IFvJdvWFLfjL65xwNaKELCcelfqYI8tM+AFaErRsIoHG359/9LRj5i5ARz0PcJcy 8TydnKS2T7GQm0AJ3jixvMUtwd4KMTaHPysgQsGeTtT9vu5ZQHxaowhSJSPea4kyKRPRCEAGyvVB M7ejyqaT6+HWA/oelyidYJKNm75Kyp9MKWt63y0s1Oic0bv5ciKIP1URqj/EPxFy9TwQ8tVvEdjK Rt1sBKuXXTxJlF+5SF/QQf+zXgxn4h+tvWsMOs0lBaJut7XCM8uS7yNxCFZd1sZC4EC2m85Di93K UNa8+YSpLVzpL2QrZRSFDhaip/5n9SRiFmtv6hsAMNbyg5KdqlEeMGzOEJ5EsexncSO8EpWTqWfF 8AsmkdM+pEXlNzyCYBW9Akn/JwZ2OtJJrPJyB0g9PYygiKuq2OKKTTtUFGgGlQP1bYo4dSKb9IEH tcyCI9MAZZsAMRKs1aSOqjo8E8b9vexx0TN8CikMXkqTmB9e2qasc80S7kw2Ya6+rXdgt9RBWr9Q pq+fhEZcv9AZ6dlKi0UABNfXCQB8o5JGzHrkeqTGq4wJmEWZX9W/hhURnxMoralPWcK4Ma9wejc3 rfFFgWGyh8o/wAD0MjNvSz1E2AtD9++wvaZ4oh3fHXElyyBLd1YDcjWYuLzq2pHR4FIdnE4fqKiN MV8IoD3xtzkiuV0SwyjGXU6OmypT4EKYGQ5mOcPznixYNs2rg8bZXDKFKJRMqRwe0zREioKw9Ula lvG0npzEe8XIipIXSMmgtKgc1TrmVjgPq5ikLcHymkC9V06Xl59bfYSg7Qo8UCqxV0vyD7a/AR5e wtX7kE++adIlV2AnXi7egpY7iF791A5jSpQ3gQ0JOut4DA7DsBPwmWNuDkPee4Afeximb3Igxdi7 rwf+bs2QGh6aWMUVSSv72vNPi3O8h9C+kLZKnLPDCrVHvcASyFZiqqLepM/RFSbPkUZvpSkYeF61 ZTvAZxA4efOArSbsb7+l1Jwa6Tct4QjmE3LF5nGeZARkyLh0OLXcQ2Hpwcn0iBrj67xvONuU0jW5 CwYjzibsxHhFgibH9Fp+li52ND0dfglsSMLX6MT1wE3x3xqL6ZLxgo2hj8nWqGLbna1lho2IBp87 GbLhLiFdk3nif7oLqkXdwiXzTRNUOhTixxYR68QgbF8G3wL+R89eQgZUZs19Ta98mhDtgg+mzb2Q 9tbLqiBeuTKS5exzr7AWnxwl+w92NpMBx7Kfe62PwtK0UbHES7Y9ZhtYjGAaKIlgCG6aXKfEOtWK gGQtm3oVD9dH9oOD9KfJIMhjceiOoFOBYEBVgXLDAMoJ6IvECNXQ9B2rYfohANzVP7SOuAzjy2Lc W09ub/y4ANRMVB5bBtEGSfZxAqcwz1oPbLVaRpv7wuq+ioL+WuQ4XmSj+1BDIhNIcBk0L6bsiKlb 7REBM26xPeJN3WINxd2nPB4IHNXwMpTWL6xkNyLiY6dBjmx5wLC8ejwkkTPBralmjzYhZD6Q6OUF 8ORdbj+TA+z1y2gGAVHJyUg1SAfAaAZ5YhqFDPx252ItIrJG2KSIziwIXhHgAlyZGPqEAyGSlFoO ME82yr+FZmek5uPSirRggxdivryPoQ+0sXDpNWYyqtHqqLWynnbHoUfc1OgfrsduJcSF/HytyBkz UV0k7qNKTj9ZOqkui6WaLYtZM0/k7MfL9R0Katjm3LTUqiSlAGzEYUTZQfLRDeR0ZU9vXu/w3zh4 iV+0EZjk5ZUpKwPJftG9hyP1yIL6nw9/24RsP+Ht6gfqhlb2YrEbSFgse4pgBIaGFppIY38Iu9hS CbQdSyuaeetw5CRIbRYgIv//YzOK+GVQwDaXii8hCwCGtCLswT/DyTbP6YvUyIyjB3B3qiDb+ycC /4P7s8f7XEtQnveoJK20dLd7d7gKGfIyb1x1SRFTi1MYo2Bo8XwzmlChbSegn1QniSLoFrt0+yoU ID7p4bMMdaTQsF3w0qdSlCRab5lKeufPwL7ODaI9e72+mm2Pzn/qlmgs4y+UygT2+PDoXf4oXbQE a6bjoLUGBBDFHHdA1A6XHtBDZQwzjWdztJ4PCGa/k0CAC68FE4fYrZHTJCO34guqQDkN3ZmXm+rV at3XrDYmDbPFavQrKRX7f2tQB4+tPdrfIHikQ0Qa7xX483F82ccLybT1mGycYq1pO5aFKwiU7Pn1 p22EqKi8FRR5Mr/091e0yUZOdcGhHmtHa5OAgKJSWew+M05Ygod9+HcHSMkawgLl2xQ+Czc7qfHy AE7zh+VwPUF8wc5eo+Ua5Ph1uhQI2qkx1BWKrjGzyJXalPI9biPrAHauJsmLvrbWC1y0ET6v3GJo /U2MEA2dDgbQetLEpsQUN0ruvbHHuUwOKIXhntxSMalvSjSZuDmtCRW3dFfAaZKWF1IewZbHXWIQ W85NVkwUdrBJ8HiaYVX1dcBEp+7m8KjppIIaP8sl31K2MGo3OkOkxv2PdZMYGowTHnPV3Bem+PfX alC23JMH/V7VrO1+mysxrsvo6xGs+xbACJ7CcCY6KMHot3BLMVvm3AfdqnZhkfcZTRCKj+XCzpUY IFFXu8Se7XyZu5s/81xa9jIM0PkyN80TkzhDRk5H0UuY8ZDPIHAzZUC6aTiqm4PxKIFvFKIkTT2z J/8czI3olmyTTyo1CofE42rMZyoPkoJm9Y8NqYofSjoYXS1bcs49TlehXRKjfWHzc6+n1zz2MU6t K4xCvmbHj6mfMBLtsauxMUSzQtmgb5QE8aTr163yBq2EKlMnzmzRpidEuwYegIZQw9IHpWwlUUSQ sduONZKzh/ridNle5vjPBYAwoMzrjwLkTl1Jb78OSDoxOIwZd+NDwPW+UI/O5dOGYAOkPM4s9nRU mL/4fzUgkkF3q0CkT4tky+c1ZO7wfdl1tnA2hJTgUU0sIHdkEPsmIl0bN+W9lFuAf5tJ/2VP1TEh gxc3OfametApAQzPvqHXjTMtWuTgKimlgqaoIsvAPPa3jTVpV8rIsi0/5ZOx/m8nr7A9b7ANmiXY 4DLOyP4efUYillvr+qxe2gL/b9NMIkVW5Jg7U3cnYCH3oB2zl+KZWtGYjuZysFqAMy05VzOarxkm jU+3a/AmKaU5BPzsJOtJHWBzgQRFWZ8qBbWLa20hcBuIYc64usF1mSmW1Fju03LrDjpv2jW6ShXm /pqz3QRiQD/bP0uZ/JaOBBpnRYGTQInrgQLI7m2BoXBTf2R8sNlhVs2cRKGsc3An2ltprO6v765H CRzzguW23VJB/l6KMhvnBMmsObOusxYF2olz7++e3jsSNLRZ9tfIpmMjf9eWdiSG2tWwVa+m708t Lvlv5xSKiwlrj/8kkFbsWlMEHfj5dFydqmviBXVOvyQoW99vX20r52xZp+fnt5XUOaOtdVP9PsQM +TlZOIETCBIHukw/bj47zhVxBMxDmMXV6snwcBWd1WXZ6EL1Q4AasxqVV2YeSrbpv/nP9nl3PPCy /EjryGTwbO782POyFqWqfA/xw1Y5UpcGKFxCZ2o2hjydEFVSzScKdmPPwUFG7Hw90IeDNmJZZzqF 5FrW11yf14y44haUlGY5wfWfby1UulM4ziziEytB5S3R9N+mSnRlmcUt2m0+un7IJ0ltdkjyyVuy sNR/I4TCBmUsJIfrmsSXWnw7KGbPDfiyNY8qsHEYrrC1H7CZ3nN6F7DvEJdz7RCD8vmk7gFTK2Ez +8/tNxNWLaUFD7jsd75bByYXVraaxgs+RGDRdSaRsg9cy6Vo/MKsL8Kk/PQZaaT4HdkAqx7Vu4gD Hfc+lheSIFGVd2znySe8+eMQYKgZQYPx+RvnTDYtTq9OwK0bBQlfaUXbY20NQplfjeFEcprzV7aF uR2NZsMUeGXbbmbTXVgGQ0sy4VMRwhAtCZFf3TO/OcEA23CanzErOK3OFXRvJed6uD56gzyVSF4j y7GqUgJX0LTrsW2Lpk8lXH+CpdaKqgOAWq+h75Q3oYUus+2q6cCHoTfXu9XpfPr9STH1qqlJDPsd dFepyRrUk0ZUw8v+3zamU1wkT9mGjOt0R0swX3OX8xHBr6Nh4ZDgsGSEofNPE+uu3yGDurtVWYUa ZsncSTLtzAMzY8YYQjOKJpLErlAd6Ul2SeONUMwEzIhik8p1lyKEM7T8qpWhxFzwwKa9THSIS+qw ARVEppoNng9k0PHScJKdvl6gTn57XR60JDZsWHVxC7M/xDRXyE2MmlhJbdv1oDo7NQ8DgTVfXU9c JtdAkKRiY+OouLzxellV/hermD0hZeJR3wNct2VFZMMO0hVskAArJ0HkvjInPd81tuDGtiqm+5Ta 02bgkzmBRlX7ZGN96Im6BO0OJHKrC4nZ871jgG/eyNEma7jdNT0cs8XXl5RAI7VoLNbHUV5XPVjx J0bhiEQKgYrtKijf7dOrtfe/hFGtneF7LkUdQvu+7QLyacabaWTzMyMFmfPoE561s82WNKH2kq9v 6oMRRcavX/rZQegcUvXkFo8Z2ql3dhIfoK9R7EpWyUzLnm5T3nQ3yAhgIfhD5l4K2eh4nlAyco6v Q5s38zrfOOYxFaim/GmPRCbOPmZV0V6rvmuh4nbp950pTPksfInUX1zpLa6KMCmDWOC7YADwn9KY SHs5qm1HzGBA63Mj043LOYUn926P/tjWCEY/WPgDgDA90W5XeQyWwvOpcqgyGVxBPMs2RbuCPue7 ARQazk7wWGyD7qjGGFgpJPOCc2y1IH7CYz0ttxBgTPs9+3Z+xS57H+JsxMUXxps2Enxy4xPhvEjw e4y9NX7jPDnO/BjQZJc3JIIsYGYHHtJx66LZTcsm5EiRvyHmgdYO/jAadRkkTZVmD1UUOlikbJBL tGPFWVGzCa0AIRTky5e6R4VlDmW+GNknxVWysJkvdO5ECESWOOt9pGuy5/ZpkV3/RszbpdIvO3UG OgUycT8f+B+UhL3jeTmkd2xVrHaXqaF/x2chhFuRTMEYyeQrpMQmgg86t72iMWIrX9ItqyfJ9eOJ 1iuOiP361Z28rqqIA0uQhSglYaMNaIiWwuJ04xIQdZECZHc7Jo0jjVzZFAysegk7vQcbd/7HtACX p1AbqgTtgJ9RsqTK7j4DgW2fXsV7HgzG4HYlb/HrFM1K13EI/x0zOQ+EuyuC4a+TL8LxpG07nE0U 67aSsufN57bkXlhNzNpuE7b59F0t1rbZd/KJygSK9Q+yzgzldbwuo4PHoqzBzL9RkQtsZKqKL8Ct XHIV6M3eMWYh6o/4YEK00o3A3aUPHsoI54fVQKPRp+7crQPf59rDNpWwCXGROzZePXZzzN06ojvh 9eBS4b8LE/RyBqcGL5XoVcP4hi6oAVig39G83E7LU36oncLe3JMQ1gAgB68GHChU3hRGza5ckRNj SkiJYkl45tAsNqZ731BKTNPokK7KD/EgP2Vp96k8TuTGpHcnyoIoqkY8GtD4qvO1BfhukKHwLqnz BaxMVJN7zmBQ2Kf4a7lXdcsE9vKaUgjhDnFaWUc59Qk5iXQR7JhMR8TEPRL0MzXB21dTwVj3PD4Q ISjeD0q8ewv/0chZ5QkOtP06oqGtwhYBO8P0DAUAkYupTHAe6DKpTyPk5CAk2Guvi0/cFCbYQPqu YHDbj3QLmXRviQk7uN4hPpbHOi+bV+glVWOGLReRYaJ/yGkHtnpFAD6CHfEHnBTA7KpWrffFjPGG PcCsVL9PqgWGMvEbSiSawyP00mN4Ge3wY9ipKTO5EcOe4q5/jTUADxKjwKfCqF08w3U7QMwy01tv 4TK3WL1krMd83dKICmZmHnPJyljkJsIx+eDjuEnC0TQiMnRGa/Q6KKutt+PFPOJSsmZztvIMcDRf UqmFINaGtiES4i8vAMwmtDkoCHb+nNO88CI5QK/YMEiuc/rDztje2rRGEE5TWh7JqK0p60VnqbZo M1zSPYgtvAYMnsww/d52hVrgjkPPsoP7iXLOIyYWE6X9odW76uzY7kxJ8sZetXMV4bhbYkD2rbJ+ 2gqa0e/DHJCG1KFDnTmxYL3mDvAr0lca1wdCt7EWfOpOB3RlmQ74U5p9yEjJ7tMGt9uLScH0+iDc G9nDn0XPKdnVC6dKtW1wcR+q9KzeiDSKc15Vs9iAVzfZwPvB6jNh+vonMUiJZLwZW034IJbnCQHA cFR9c+MUVZes4vYEiv2cJupqIaru/E8awqtvP48Nn+KCLzRSohmidQ9g9PF7ofr9T9A5VVb2lwwq oMtDAZCrZwIplNzpjUKD9Hg5BwEoCnuvExtW5/3QSw/lfOXAls2g/3K0eu909w8soW7kyQtJI29P KFkLaeNuR/CAB8xF+VwpGHzG4ZfX8DJEtP1hvVIbV27vPMgO1FP1fF6J1tszJWAosKpMDZ8vbKTi WS0/EVVmZGKcNF1aUm7izjbwZnGj5o29OdTBgNfMG+D74UiGqrGg89+M5JQf2DF7dp4FXuSxJ/F0 3NThxwB6OmCbNvkTqNamyi7epkWIe40a8aGxTPaJSdeSRdpuE5W2shm4AA2vxVwQNR+oAGgOEbtA iBWJZT6mZ6tmGl8jCncU5+c5b+EnocnJRLGi1mFOFEQvULGan+BU7uiy/+f++V0u/6egmp21Jpmh n3kHU9L8A9NxVq8Pzaez653QYJvuRRVyhC2teAQ3CiCbTzKk38pOvej9FCc/RAuA7VKEOHaXOdFe ZxyZFxcSwvPxXa+jmZC+bnqevSZkCw8opE3B4I6M6VnAbruLDm5MTnZVE75Cb4+GDV1IDZmwIqes z4yGVrX/SaiOXKpUCirRGqCC5jaq+Oh/2xv7IdyUDT5c5XG7F9ENCa7a4kPvej6Iz23MmN7ndeUP JGE1rp9S0fHGsX0x3n6yEfCiVdgnN95swj3f9SnEvR+gJEaNvcojxnU9ZhmJ2cxr4wb7XIERCKQ/ 3szZW4iK37fS0i9ycZmW445HpOZHhOCvcDsORhb8DoCq/DFSqzz9R6iu8L2GTgRvIrW3Y2PURNqU yxLyjLAcErbkuXJo0m7Aawcdwb2hH5oiAKsnMTrrwsn7GOGW1YnO2dK83GSZKUhr0qUDJQmUafLS LC61YIbJ0zmCU6WUa3HTr1O+T4zLSlXl6sTsmobQu4z5bZwdytTkvdV/TiQfiNqn4pQpRHAGaUBt HFLsNDc8T0kwpB7uRqiMLfqgv9kt59vSjegK7POJbWrBqGfmWVpRVZ8XHQSXKKCZCaDURBl923D7 21F+/HcrMtaBPHJWiDSidN5XxylEJAFB30qKzvgRgqkNAIyHX3MsrqSfQ0h611nTV3r22hMuEN33 6LFJrjIYh/NEkClSCiRUJ+GlhJ5XVWjQWXzX+s+ZJc8e3TePvDI6+r8H1Rv1iZMNLAJl5X+JoGc1 uHFW+gpkK1yTaVJQ5OMfhQ4Sull5aPm9/J1jp6GZUxyu2gT5JoJEGduj/SPDusS5QEiFt/UTxv5D iEGNXT5E4K2/nEmQX//PZ5hZ8mc+lxT1Gar1WPoaDmXMqGwzTq7pGfhARDZJ0ysopzO7GGCpNwpE 9sFLbRcqSvVlRonLtsZ9EwrDcSE+f1UQsJyEGtea/MlRGAVuwCN2TeRpOAPFo2t+SlDdpiUE47rl oSp+Q2jih5WJpncaVaxoaLeqdt1QIMbzRU+sVBZzGjW/twCb2i1f2TdyjnL017OuQnCdhUsj/94O U3jK1kyXSlIakIqrXWtRK2VZQrTNRa4GqWcmtJ/G5ay9kPolmlbwCRBkV1dDeqBMeO2S03srdmih f6XukTXmUbo/l2CaL9Wb9uhVADLhvs+fNJNViUn5+ERKKsaWmSwruwcMGJuwLtk1IBvqNkPxgUVl CLTBwsDdVQrbpD1071Ye7v4x430B7LTh+JfcdKy5mcDHqE3tJ991jxeTeL5nUdkiMCxwdnTYeCqe nrvjEnJsiVa+YPomc4xoLAKNo24zULd/mqh2Iqh9u/ztQx0YmZXYGk0WoG+QaQZt2+y0bIISCfKn u7nR40hV9fGcGmCmphjODLFZPdbE0vsG61jFz8gMQ1zBN0n4G8ALWoeB51dTeUGM7QjjFJVIYbHg scbpIppm2BhV8E/z/ns+dyoAzD3W84uIe7EeYyaRbJ8dxjZzeWG3jxpNiDgkvecBeYowYx0qsLPI oCJxZuKXoMtpcLCusYxEPZs4ppHKmx0Jg36vnEHZuES71LJJzNfuJVHHGdcZCympCNTt8Qw/vahd Kmcw38P6j832PVLCWn7eSm01KCjlLv1dd2T4DnY66lJwb5W5lVaIh8d5S+qrR7ezDRzUw/AN9tIF A1SVfui1coSEtAuvSWzFoA/8RHaKQXqWl3zvS8shXDQhUDyjwR+VGY8z5I74zGLUnBkejf8gsB2u JDSiOClLNrbgGvwJGYVBfz+HmqM8q82xOPhoYq9AbCTpl7X9R90JGAg4JjcYkzcOMMaSqDrdKd7n Wg1XUz8GwrcgpuXzx1X8Jih7S/eZm6Etpl6WRG0XpB2zMShdQVUVbEpnn/rMOYYUrWwI7K+0xdMj k47L8i0xKQ2sO+welD0GIlW3vJmjsWmwFlNA9ocIMw4wJw8newLZrSzx6/tJFTSiaKHDvRsa/QBi NTYoNAXo7HYrjpx1hukPw5rFa90iQWq/iC2p6kSIfzTrOqRAWsTfz4dbjDEQ6pX6eHM5H2it08lM LgadhmGD6Kb3o1yW2KuwCmJByQgFGx84WWB9sl2XHodqjGBYBy+zIzKY0EDzowCVJ3Baxq1G1lcr Wf+Uy1WvodWHzI9UtElE6VwAuBOHOZ0GjbydjS92Lj1opJK8snNiyv+6ZJl+EjtlFQTycxT9443v wBm0YDZNw2DhVTaO51d1bxEbbjQKrMlHgCYPgRak5QY2zQQJOqn7r3zyNaCIznvUwRYoRJwRmbYU e8uEs09FKNF7qbKZ8Rd19LGXBNZy8LLIzX/eGyLHM6liD+p7A1DetLBOyJ/PUor/3r6aLfMbtiM6 b5SO39sr2b2wMgZP2UK9AX1kp9ZWBaJYp9JmB7ayjknzLBV15fo+bSN3/2RKVS1nDzqymHEkfiC8 oE0giywyZwh9w2Hquf/RhmyoOozktEeYq6+Qyg/+o/NJK4Xmixx6UPYHxbqJHpfqOSrriE34EIkV L1pMygA/+C6U3NG3bgTnmV3hA8FublTDh4ERKDxzM3rdSH2rh1RnXcHHEqLLja+DI+eo4FIs+SN5 lp2qJnEyNeOm0nBsT0oHfNdiTolewVIYx3K50xHkQm+UfIDtiOZfzuMZzxT0M0ORJHveOiWeTvWl TkEOk9l36FwJBbWBTbgQ9E5Gh1pVy6WbYlp4wzlITCjGaH1lpH4D2wHmwXWKlV368+4lhZcdymkM HoG0vebnIYq4avk1OTpP4V7WH2TGBZpWGhtNS9Cf5wOLPxxwLa/sj98tQrbzWlvjDzwxd90g4AmR PxO3GF5iwG63if5f5hqrq/+1gYmuoCsAXprnrXe6e+v73vhF32FnzK5dTRc7z8z/QShYT6OMRq36 +vgYOO2C/7iSA70CO6eIot1/EWh6GceR0ZYrGY41KgKJrDcWjjBM1ic466SdZ47rhJyrywUt5ZgY 7lnYMCQeuibvop2yG5HK3QlHk1Mri3UlpfD7V1kj5e5g0eD0/UZCWfxTMf9HtyTR9rsEp2YfWUOa hw9ItRJgNAevOyijhXOL0xZEV8g8yAzN3DaTrOjNF1+6MPk7HZRiwlkGVmj+Z5lrqO0kGiZnN6pn IujpFk2EjLhWEgFIOHKzE0LbKCf+fOtva5LlYs50i9Mm5YjlOKDxQAeJWKUL1RfuAE5GJA9aq3dc 3H/DabLSDXFAC8TpIe3r7i92REoAbL7IJcKpHiaWfmqcoXOJOiNBglOcJ1ELACasX0BvF+HEC9hh jSP+hZfw5o0uR5pZ6KTr7FAcNjSSlGbvDf4MsSNyAoIijCHTc1WAYSqGQiDl60x1N2rNWoSZNa6e zOsTSdESBzunvimxFxgeGYMJaTDMWX4Dp3SLKT4EqRtCIYVVbLytizH9fZmLjER+sjulzVN7VRcL rPL0dYeo85O1kSN0GNpkhNxxaid8vjiI9CwoyNuxUkP4mCGPKWggXN4/RhxWFv+ktVB8UTLzA0GZ k5Xy+XkyvpDHGVWjFcoegqJuTqQhvY9G4Fv3AR5S2XmcSJ3QVYhs+HY466aTyyfsRaC6KpSTJEcW nWRCuCeaYPVk5eV7Kyw1yVoNn4SRHOEIdXPZx85DAQdoQNXGL9XzUvPI0K5EiHy1dUZLg6HHKNby wFs3Ou0lKZKXYf/1pBmZIhP0goBuiGKjapTnW0AV9pay40ATvNSTlAJc7pbeo6qvdrXqrLgJaUPC 7Pj2j3FtU+Wa5rb23ihsFPqwMfhV0AOZJ+xln9MkdxYoXMPzVrdCj4SMVQoLwBZ33xUZ8BvKiGZW Gnr8EaqSI13poTjuiKMus3EUTmMuzVXaAG8Q//40oSx3MbsTeMNe0uqZe+vk05/6Ebpa0LcII3lC sTzSTXOVb3lfd+hFh62FagWQjwyZ0xEVP1GVDAQn9GRF3fekx9J/SI6O65geQ99C/nEif6CVIxZf SswdCBTBw0G/NGU+qz7UGcxX1Eynks01/QHBuJ+/HF+/uEFQJSelhIXR8e9Lsz+VDHIw6PEBFizE Z5mZ+ADbXj6Vei2QVm+9f88d+GwT96yD9ZZoe+uhNejQ2Au+CtMTuj5Dp067NVsBtwlLXWbVgj5u CEAA49wEpUEvrXOfBLMEWwOS4N5/iqC2x92usfKblpVDstG5hZlR4QQ+Rc4KyZulvE4Yts2iFzx6 DSajJb37ztE4AxI9buOHAjCe/OmuF8PbkRE6kcdX4mFSIxmg8jpDkePf65Yl1SiOZzsvFzBelxkL PmYN+L2BxQHzl/PnItn+hEydgJIN3s09RunxK3uNPkF08lyLYMvdEB3lwIxhH0YpgsRPsJTSMOHi 3mYl/28Qqj7QnbLuiXcbK2FSH0zMvbalUNItKnYdmk991kT57Gby1v54R7UsIo6ESe6OVgupWqJp mfwnd94cegU1CjzOr7YRJaWjbaBqNh0K75lXNmrUJZy1S34+WSt6VP94amHZRU+F2sV7mKDbYUnE PkK+0VLPhJ4utSuJGfAnDObTyeeVoWacqj/NPA/nQYqnP31BsYHiI+f7w3WAQkGLaSQi5xuauFoD qn/eLGWhL1bGZ3nMTxOU4kKdX/RCFwll6tWI/spoJGvzUko3PRz1GydlUwbVlkv0beGnqTtzqRGZ eyYNX5jZyEC6v7TGahGYinn2DWVUSKaJJ01leY6G+vN1KiElTw7PIrk1FJZVfkfmRrvKaB9QXvPG 7Vcvm9KOZwit8hSSHXdf244299bgLbS244pfkr4ASNgp8uQMAWnVssN5n6+EDWu7/RxlthxVNhHJ OhWRUMs4M+3RQ7nWE/qnvc53vhYeXZSutIBYHe9HQCgNc3WqvoKplRFBAhdYRUbNGvubIxgK8FK8 Xv0Z07VWsxaJ3Pj4/ke/SXhg4gEoOgT5DfYtw5CQ+SENgffOVN3IPu5ZCzjUBoBAe035agvkEVju J2FiC46COOe4ITGLdhVd8D66Kq+6ZpmhQN0SPM/6MVU58y8PqQHzrrndPLdj+dBj3oOrJDxXr4FA EZagxYg+6mBZf50D+HyWRMSmlobEd2HiLbajumgadT2nY6v9/gWdN15mmNrfg2uCbIf3s4DRqTsQ gNxq8tBo2MMK92IIFrL8BzTv0lVkdRf8Wt5gV80WKbV2qbbPPJ4RptbI1KJWEbkqCLVNSu9/qw2j 0MqsQFrAku1dU8nD1kEYiakancu0Zd62063crnD+YbwnGsIzQ/HSiL4j1errUqB77X6ULfZU7hjM 3GeLUpFPpq9V30YZRfIsxRE2LtUaADg5GwzivuxFqEURXS1bbGCbm8AhamNhI9idwstvqJhmCLXV k6hKuc3bH1Txrl8ocLEgbhaliESVWZRQ0E2l3h1rRS0otjcej0+6FdgHZHfn297/i8KPutcu1hSP bfVEd7HUOpY3fGUqUDzQrWuwQmEdryvYqcLVuV3QCAnxkL1s4mfGZur+CHW1DFsoEwa+3ouzZ9SD yix8ArbnKD+EHU0mC3gX+Js8B1s7antZwThK/og4Ye5WabYmCjQQ6gneIdfcUdKx0XEnOFtltbmC MfLmOXncv/G5p+0fuP+dFMpMu+fdzHdGy/zHz8MG0SutAnlj4pLmNIhvw6ew6krhC7oUGLvLbNgK MrbEIvYpMQa3VlFW0QOr8wji7UleDd+Ia1NRMd436jIDYQrXOwnaulwmhOYv6QGcAmEl8ReWDgsu /6DNBw2CE7l2k4EfkcxGUNaSRjofr+fAJsFJPVmXDAtmxil/iYIhzaq1tahadAURieHrZtkNrBHU WwXdbBx3/aGIpoDFIYPFOYcWKxWUlGyyBIxFCeCbYm0NKrG5/xjTsuxAtMP/w8r/b1GDhzZb76f2 88c3AyMtDO/JEy3j1F5qw3VWiDi8isgbjmXxAqEonKGvDmAsvKTgIteeXupSwBkptkq0TKLh6TLf cPq8pcLoj4yqbqJHQqdzRWeJF03YhkccK5Ml6TsiEZFhxoxkDI6RWJ6BJvk2Kz5DgkVb+cNVXrkf JwXahm3p6L13rLrOXc/xlJHKkg2eRxihIhwK1mpzQmVRCS4bh//NCyEM9W+ozy+uNJdL6i9fC6Qd XzsNxDBQx36iAHzT1mj+b7SbWnjBjBw3meW5c3WclrqXdceirpekzKhyXOYddeEE3/jIg9MEzSX4 S1DIkhDdnLQ0T4FmuXmTpNHp5W/veIs47jNmKzeRUUYg0MLkPe3KLt4EJI0FXSOSAwNwv/wZoXiU /xbI1pbZR5iUCx4eO6OZ8w7EOuM4RkTnmb8KkE32bW83Ca1ybwQVEgsbGK5K9cDYAu2PQgzQGRMa avxr5Q9uWyoBp7+bCozwbXF292fYlgXvzdtMFH1FmfXCbMAKDcMIuxFW6sZZqOdbl+wfZLkkuUPW mvUmqkV/7jLC3TrsekUZU2SnQglxWkeJQLESmaH0M9G9Go651xYc/iAAUo44Wdwqn19PHTeSFpXV vtjWABYoSP5r398iG+xCc4oomRbuknNktXnb9UAOlsKqf8j/5iMuPL2EEwRX6vKzdSCAG9YJBx0L V7D2iwlko15ESZkwRF1XOxVfAMiqIlUN0zaXKl0SKjJWbCyOQ4JlAgf9iG92jWzO0Cg78iy1vs/k wv4NbGR0Vo+BLPXzpndf5uezPFyRnKSn287uykpbyJhrTCUVyDxgVuMCZoFkK8cEvucaNdpQA1aN iGeHr/I9sTvKBTIZvuGe+rdUqOHYX5ERfpcilpGLwZ8tKCqW/NXKZnOagVYsmBGaCxO+ziYSqFmb ifmB5r8ybluhxOWK7oCmIYA69WeEGV4oGSJZEi/XG2KUhvPdlqI5SQTn2sNdSeNgoJICQIYQOQPd B53FzsUxZiDhjBXUrH9vqzNxKKm+CoI8OX7QQ0FciwJH31LJt59F9KgZDPWV0NRrWjzAP1+PGV8y QES6mLKCjCCXKgIEAvaNF85D+RWUJVELebUiUeP1SRRB9DChzjNbdgzwHyy/S2/+hY9w+9+QtCmH 99UfFg8mGvhwxfmuINu3+YT3uQsu9Rmki4ql9OFn8FsPjjgCQB1SyVDDIJBQ5RQNSVfXKBxjAxls OO2+LUBXqFRj+1oc0sWgkUJT6gGQIiJue8OTROP84g4cYd7DlQKwoL0zXRJwe0a3uPEzmXu+GFBT 9VcFuwqKoBR+dNFBzQe1hdn3V+haLE7RybrMcHGgVDDlUxaSdxeWK4BHA1haGtAnZT2yn2b+1IOb UD/BahREga/s7RoACC3kwPm9Gs2Y/DlUnHec07wmtJJG33U7iiLBn+jzn2UkLXxmh6o8sWRBfsze MVrLvRZnGtt0vao1jtaKw00XXGu51JbPOTwZSwdHzHZfitc8S0Mypcf/ieshsbPoh11DBYJA8Vss Kns6r8qhZNe3RilF3JVLMu17JnD8/qX0nMdHXcU7D3FTGt7Dn/+u+HXAWXJXN2VxtToBUBqE6QSa VXnALNR0ewqhHnrQR6E1nrbqDblXjf0K+DpjIRW5IWeYMrtgRX6bRbl0eie9TcrGN9FFZsFIdMRi j63Nn5rDdBIzGPhbniiXsRNb41/HNCyVZqgn4xnOBuCnDRj/zZs7OI39bB8fgiwU7jEs3xg+mm6q obb0Lhh1CuipJrD5xLZg5zfYG/+DBTtwUeQ6MAE2DvF2NpOPGBzFSmPLIG4nwQHI+BqAktxJmFsJ B3ba2jp0g4EtozZszprIXsIBcNd4ufDLZQON2DZzOKLYdSA21RBI7+onRepi6XtF4gZkC3Woj4mU Xdz1u83itPSAnMrSvI3OgoisVMlK7gMlwk1ogfFw2uXt0ca28gj5ISgKNoOjbtEsTHw6muLmoApl kHtiNdz87Y3y57q6CEBpjZy2XBtgvuANZaCXXl8jbSMaZi7H9rW7+TILKx7yp/bVSAVetLxMW9xv /D67v4vdipXMtitN5w4x5G6zs6HyGlo2soreeSujXSB8lfkEQRFc3Bt+/hqTZxH29dU2KdnppBL2 oppvGyLOLUqDZe8anmb8oIzznlcQJzyxDxmvsB6L7LaWR5iSZLz6SAAdASuFtdMvR+Iz9ehNlWfm oWsfzfesAx+s6ZTO1LUF9c5U0kiPhR0BbcaQie6c2Q5yGzqAW8t1DnTO+8L6j8QX5oONZ4/3sNao up9DWHaViTKlvlJNZ9rm0wJKLR+wBNo/oFVs1zsKbu4Ii7QyQZqTu0iE88Ay9ERiae7fD6wmq8AW xJmUvdujNIL32KnOyZtqRl4r2Gng8kkiS3uWbznK+QXJt++2d4fgZPAx2/0TDO6N4t07RwfmEaeV rzaGIUn4Bz1vyfOmtckNP1Q3evarPI5iKN/dove0h5JnETDnnMhk2g5I22jXNYooO3I3Q+XvP1+/ wDGGkHRCmxBp1oOOX3mrne8s5UZnHVogyssVKhaBfR5cREGkcUVhsVA7lmrxJMXYFGOcvGikEcmU p1jC7MJTb7qGSe23GhO/orExexU8fiDmQP3e9Yl1y1SQzMcQXF2ZrbHyBJ9P+jX7mIOlkq2qAvu/ 1nSINH0cw6qsBM7rWgAbMByqNb9Eu6y+H55tWxqhVCbyo7Pm54C0af4d94ok9El/fn5MnLIEI4ye XD0ygRmAvdHBRLYJ73rkI0hI2zBMnNWvaRkTc3A5YKZ2wL9Qd54tQUwjHK508nqlexcy0Yj34rmp MxNbaDCDAOHxl21dlIzcoC9M8eLye27Evsenj8ed6vI+3YZ7QBldlLJJT1g7teV1+7qwV6o801BG lYMYjZEXMCj1k2EFU1S3oeos8Cy03e+qk18nYKKv6aAP0eS/C/53A41O0gNYhy/gFZBsObP51QT1 1JG/oa04fuwQZdVq6caC9Qd5nJnKk7fEAoi5J3e/wcIhQC9ia0riLpfnmPAmEUC65SHkHTLtvEqB jzE5NAttnAM1gkytyQKy1dW6mRlsyxa+Hz5COIUMubBvIM4Z8vlFMJCtgVEzj8fTMkX2CG5XMEkP AzmNFes5RQk4VSizI3Pi5y7f9QnjY7cjgwWq73AI0hZVoSGjDZPAppFq4wvmtpYWGOGCLLmFrlg2 K1fbTUXe3eiAYBXA9vZEaxoqR8VLTG3Cy2BCbE+pCrE46lHjPmpMSWh9ICA9Z+bfSVaMdmi9yGDe wS7ZzjCIkTb4bxwsz3yigelTHyXDTnXC+5RUUa16R9LmPyji8ouXmiqbt9CZklluJkQzgDCHVcDg jA5V7dPWdOfIpSmCsVzh5eSJLcC8zgSS6Eibiy0byHxJ6kJvHBaKZJkQ+g0B3DyMlslzwzW4MOSx D5tlDD9o5En4DbFkbTW/wjP7/mb5iOOh2HIfOVW/TYPT9aV7uMm4TqlGJmIau01VSGHV1SEYMDO8 Z/wQPRYqATcYAk1QQ6i7Tr24fNpoANm1jEfHtOoHcnyv/5WlCun1KQbfJfDnpquWP2ySbZ9TUCEY ZOyl4/BN6KAJPvjGzCJVObyBYtAvXIT1M3MLAm/0iZKFUP9vnXoCm9G/F7uK1jJY+IXgMLN0dBZb 8+fMTmYuS7Al+Di/xfKafaAJm5CNyhWQ6eyGQu2k7lR29inLjUGBmO2CeiAur6wMp9KzswBlVL06 LL/w37G9E92AoYxvId+OhIJ2w2nWRX8RRCpjlqM188RvY77+bn90pNrtp5ymJ0fK0zRR0mvDTKj7 1ACNA5bQvaQUn87VdmRF4k/Fym173M2LKWLFeDFT4qwxZka/iJQHECP9XmNRcVU3g1zOCE4ewkln sTEe7QZTQaZbtfQ5Zf/3jDmQDMgsNnLc9GJ5VnLJdtH+NbOkeOmNv6p5maAzn07NNbYurg+pV/Ga GwLcvfO/QsPY/Kf85WbOIOUsiNiojbyKwOEuEL2szhHs/c1POk0weq/HmgOs3/C7DoUx0uGIOXra itVwzDx0sUzyBLUvU8DepmrOFGfok7uvrUgeDjbz9jhu40x+X1jfyl6Dtx3D9I46UARPs6ouE924 /r0HUXT1MuBPNXBPtn4wymngWZ7oXWrGg8836OubAwCfOgcOyy/YrYtTRHLSbeL7nYTUEcIEI+MT uQTs88sYlDPsyMOXb+MMYUpngtxPFB6TuDgisfi8OE8Wa9DDDlekkzuhMsBvEpgwnpMbM67J8sM2 luBtjKD9rmHkDHENSp5E+oSkw4ADYdqWSHcMXhtpsILpEDtXeZx/TEzqyoNAUUVCaV5SXoKmOFTP BtVRluz0FTy6wqhPy/wwykJV5unZ4ZfKTE5GHdzZZwSWNFlJ+4Lwe7O1JXPyJM8TK/9dLWUyDYyd oljIUQHPJgsIbNW/6aM787E0/ajyV0WaiiTYogS0j3YKhC80STHNlaarXYmIf4dg9XNEDHRNxyX6 b6kWS/gzMa/E9MP3cvfOElA/NiONhXfXGeB056Phrgg01OB04Wiet7Hn4WqY8v9/ZfkV8t6+aHah oKLUs7OBKXYP6DKEkQT+ucgknHsiV4eNoLiX/xGIxu2p9vFe+JQ3NMrM8Lkh83cyliNTCxavib2U jQl7Rf0hz9oezx57TK97doD7rI9yFrPrNaOZmRVDoWIGsQvvDtoIwPY1AFkYv/qpbnrMM23KQM04 OMx94OpV34Q1mi2LMnzMrq/3dMB+l4jiI1+3hEUUqlUejowXbGYr0F80dInUoCZVTh3RGwpPdoeA R94vSxRtVev8e/Uzuhd8+0kADnbuDH3Guy2OB9OKeHHbkc6tFU/X1C4ikN6h6xXVTqaLMz+bOlik 4r4X6i81Li/sk8+KSkAkXScUvozw8AzZvtz32cemQXFrAo1cGmaPR3NqYgxTMJVEOXOT85EDzT3n VO7HYzjCnFzaFjTi0xqq8asntrQhPmT66Iu20f0bK7GVESXw4/GB76wmP0m2dlxDZ9G/l5Zx2KrL /6Y4h+PfA8oHOavj8IzJQsvll3U0IvukZfoIBBEHFuCX1yAU+7dHx6fIzHy8tjM3+iE4vM6dDLvj JH0TUSjWyL/0WKvuLQgvoD54bSwwX5wH/od011ZEeJgarUta5eGvAdor3eEvCTXmQRqnLTy6z8r9 E/5rVWLSSQdKBKKqWVBzmEzQFTim1Xg2mpjYkBRr1wBNoA85RBNMvo31My5UxY6VJn12xifHE4ko XNu/fIh9rtZMuy7L2fCOavLcKNy7ONPCE98crd1oINGsQhDOlv/8PNMjcrZYYlSX+A8+ZFxdQaOr RPnzNbOpnj23pBiMIn6GMxRftxte/F6WwIoWebRyEEwg3sgKy9sby+H3XxmI2t/RNpSMIegPYD6L r261wepZJBXai74089kvsCr53qEWkaboeyFS1sCYDLrSyRQH5A3cIhpVPb+WBBmLSFq7muekcNBb ygHAEWiS0AbEacpdjrBRZ7dmcw8OH9SKpe3nrggQdfrzffmsU4jl6bJ2jW23CP9JiXtj3vP2CrIs dH/Mdva+2chqOfXz7jKm13RTTEKo3CcpLMaKe1EaXVZr2HbfA3GFb8LjW02uIKPPKsS2WbC5bAkp Z37uyz0SaX+D1somzR0yG2gCgqzqyNqntwE2VDnT6qBdL6Dyhgs7qesJ98WrPVmWi8zolq9bbDeS RpW61jf3y8LIBvzFz+z4n8krnwEBZVtAowhaR25+XnlGhTk/TlmUGestQT18fSQw2s35JIy/Fwf7 Mxkel/ligh7NW6wbx8SfhE72oY3c2xUlhHlXYnzQXyOlE5C2rK93xa1koix594lqofVr1/sHrFK/ 4r9mSx050+FvksaWBnbyrgPQq3FbvkidS2Mcc9IAk6KbjdFzmM7AF3IQVLkNizi/6YqntmfIDnHQ 6cFJcy+S10cj2igpWmEKN8o68yjWoX3UrlBDdofSEv/EtsiWtqa1bz3FJeSDlIjFcH3uw0tEEeDq aNiaGXzdT3V655vVdNOc4WjOMvcO+cfhrKxq0rmTWmLLtqqtqcn2HHILY1bngMzNbTPVSrgx3aRS AOJTjACRaCKLsoj31iaXVg0Vc/Tw5emnxDAo32adPHRXBSfxV1znA9pKtS48v05QYxbZ3Moe4cxz KqWrtaQiNqFt6C4uurWKGJSdP3AzO/uuy7aZDfPHdgTTM4Scfayp86yzTlc3KgL2i9vwa70+3Itx 7awaigBmpZLg5EfaSow76ThAn6DfpQODVAqvvMFuQkw8dltWAustRzJ5mIIVPV4A75pBZEfrzr5E wCbeYwbskc0zwH7ac1vzyzDDcUG9bTH13Df7GywKMo37GX0RKyCxJ1qjVRmRC+viuIXDq5HYp8oC yKfFrWC3zqPpyQNEkx5LKS81UoULjwPWU0L/BLXEq/g+FM9c4B2LWZ6Mk5KvZemKVbUbP0RU2s+L UqCerJqv74jekrgDXSM2x0nAcZWapgNUYI/NEjmSfOqGe+iOiWjKyHc7c5OXWyaGyvD7n/I/mgVj DB9mQbCsx1xKgavI9hUx2Klw3Z/NcI046pWqxXF3KwM0p11/uHJbkXVWVEDODT4DcmSebdgunoVx l4mBIc8PGCuXj8iL9LeCC3yIQGzcjc/hfjHdLKoW1BE1aGVQTy9HmDSJRxNg2gQAxxjxKO8kpLEF OSdiA9wox3Wvtngot2SO2ncvtj8tEEnHJMtcb1XfDL28DrChvmJsFvlOjbcJznkLgHDrVVgqwBPK XXPZzA3K5iyQ5tdHG3NYsSmxX6ekdCFWXs155WLLoMMygyd6BP4Cm5Yd7nWtkIFM9+BIDLrbFGRu izWgk6BW+S9gFEm981dVc8Re/hzXj++V+O6xH75Js3WeplFlhJaOit2t/Rl8+p9vv6Wch65RT7qZ hzD3pWPnuL/n1hxh060gwl3dg/z3PzTTinoSKSN3sifqKV5G8i4aS2DuIs5qNaxim/yHZsgIfsYR X/O/ij6Ukcn4GPpv1w== `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/rd_dc_fwft_ext_as.vhd
19
12811
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPpb0sHtYr+7D0Z/NdHkBGKHFj6bPnAk4zCT9Qd9jSi/NZdzqHWXjKwgFh3NrYG/AQMVJcT4R9KU T1kWm6bsuw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PM0w38wqoKZTqxD5ZMv+He7u+x4mAKOhS9vNWqYsLtlMu2ni98hkp4Js0D7iFCQdcFCu3Jaj2Vqe E0m1H+UGB6We+zPa+TnTKUC9+mxtEW7xpi8i+GVKfIfe89n3euEibIBIS0WLtZypuPRjuzr2TWw/ TpBFYS1oUTQ1qwWguI8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OIEbVz6QJBHT228fhImFLc7Q94gbSg/QOSgeKpAp1zRCxot1azeNL0EHN3pwZU9Qs6kuTNEAn7+w agqdilWN9rl3uQlRBfW5KbIj2khza90rK/4UYrbcPGQyMxF8l/LBS9RaSzH8pqlJgQ4YfgwGNaq6 EHHkNL7CBEprP8VBO3A9geAIYBWstNirz3P/01jzH8PT87csZHkt/KV+1ancvBdl8zy3Pi5RrOtK WdR5qLkbXJ6m4DjaubrW8HdK/fqusuCVkVGxmajuQw899iRpx5AiTEwKYKOor3msJGxdK7STL4ZT S1m+Ec1GdsxDwYBgiKT0A3c1/unIYBS6y17V2A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y9qoE+tEhAFEsAZgxeFxNUflksEoY80RYly6rjz4X/QwncMYkOdY5w8AxmW4IYZfWprQfyfkxMrN 8JuXogLHC84iIPhEFIhJ/+RivFHW4gCUIf9NTOGEkQza7hd31B0/7LZttbZHcfTR5stmYGMhB9xi VCriwe4C9iR9zFvOJxk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eCcOM7HngIZB2JCDRQ//SPPOptJbtDQ6WJM03A6xR3t8OhM7+MFavTdB4aR11UrppwUsYiZCHTBc 4AdaSSNbTEcILhRaZMNZ85hgqiNgFb3YTJu8ZIWifM+Ad5U1zkzbH1xsVssRl/Sl+cf+TCDh9Psd UOpjIzWfsyGgyfaSSbczC/DMklBqFcyspqzOP0YGdgI4It3e5xnwDvYeewRqIZggj0RyjkJH8PxJ o1XlyTZFQZIIFN0x8sDbcPdsUekU3pOCvI9JK89jigNzKmLJRotLEgZQt0B8gMiz/gm5u0+k01OA f/7Xo9TSexSaZ5evmswsNTBQhg4v8j39bgkh9Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block 5c14H3XnHn6oO1IeyUW2iFvZzZ9cCnGgzIUu3xjh8e5xy/i+86IXlWbx5i2mlzzGRsVALU6t0f5I QeLGf6AbkZ3hlwUCPMwVm2NmJK02qmRODGZiQlWi86n7suSZCs63ZjJ8pPnlCAQn8FaAgD+sgU+U LVJSO14ZQJdmeB9GkCpHLzCfmtn8G9pebF+4tvm+oH1Uj6EAIKVfWPjUewpmjHF89f+d8S2xkvls d6F1pZhG0Miog0UYG98SqUR6cD8feORy/6KXrmhEBqlx5N1uGyRpBmcg4JnW7T4/l28UxSeLzrgI 4U4PONALlPBLOVnyVaJS2p9a6dmHqVwxrzpygh4ePWkz8aQCV23fCBCRex9yZdPwsXD5KBoAtTOV e8m6hpUgMopGSCe/ZgYGMJb5h5Ug1v77eD5d6E5Tec6ZV1BBzld41Me5gj4RFU/7nM7Zeqx65sQv 2QrqR8YAmfROrLQk6fvAiDvNCn81ulN/k0mUUx77ADvCzqU2vNzog4QhdiTvV6BwSiQyVhLExOAT RP9xun9p56pDh5dbI507yrklnIOT+S+ZwHcpBNEswTZsn9Tz5O8Izrk0z1HfI7y5064+Phb4LNAg iJ52UgStrHi6fIcPLqF+nI0q4L80u2nS4VwuaD880mWYCx0rB89Q8U47GVQFUwE+Et3pto+6HnDR zBJPxRBs0/nBwZrTyibxOTfQlrVttYxiXEEQrBs75MmUf5VUnPUcvumnaaBLLy3CnPHGFqUV9hyB 7QfbtWxmBfEcFZpLIJ3DEEd6LBdklewU8RePNbtw6eSxJIzz2qg8Z9nC538q0h3Tl4QrcEO/Mk3V /EdZ3Uk2f+62flnxW7FfOJYVt97Spa9FVTDxZ5Wgshd+IBYPh7nUc7xSe2mzU7VJxE/GLzavxO75 I3XoeiB1XDWZm3TCQE94c2E6WW0IaO1MptHx1atHjIn4irTOMQHFpngzfn5J6Boyhh4/Yr9VB2NK a9HBu8cqZODx9oW+/jH9/7EEKhTeQebSHO79mjsqRG6LZdS/sk7qo+rEyAYv7YZohW/cVu8bGZIq k1cXJS1QBCudOnZzIJh+TaMtrVAtxdlqxUsTxCA5vuyf6weMiYdFIzna751iKfkRRDLJ83dAyHmz 9iv/fXQGP90Xi85xe8AC2gyzrDQGCJW5G/x2uLokQiKUu7NzqoPvLWQsLIXCjPOaHkjtcS3ncdiA vDhbCIys7eWECB3nTAr9CFCaXWi9Sia5MQ57SGoaRP731iJrqdQwPrXG+2fczOptpWA5jjtHEO9S H2SuBg5ZHOgBHnmqNE68npxq5dX2BGoYV77/gOJjNMT8maS0ZPmDN/OHFuqWL4uWGbI1xogG+exe WQygKkUbkqedsoeKd0mwWsxUenSMqmILUkjYEoxz41pevqFRkKbHuX/mO+ZxaBzzZ0ScsYPKGDGU p8+i4m2c07sQ8f7PmgkR5x3zbQNNNysim8a85mNC4KYxHMXTFc3dALcIbUpu31cLczOpb2Q5u2SE Snl0l8Hd0YWglpJrBg8NpKyzXFnTtK/1fU1x6HhObOe3WE4kKXLv4iFvAHWxRyMUTeDOTa0mdI6C Pqo+ZITRzKpyG7k9pSp8gN95su3ofhmm37L7jWqEilb3qXor09HAuJTld34C7obfC2OWRTaj85L/ SE46aTHHxfb4MQx2DcVYtaqeMi9cBx5z0BgvqwNcIQxuYt59WgWH8QV3zi79EDB8bhwTuZxNSdGX FfcnCFvq7ntDqOqUoy7PRPo0zTeywPqzDWQe0LCSW9qQMSkCbjSP2JKi9bVsPW+V8avobRCE1Tgd jxTEFRYiJWrNXgo961FaMuyrxs8215iMEN5QTdpeqxTbdU2MtMmMHEiEqvjlBrhDiWLw8GYS90dM sb/IK53ooAF8QVxjWp9tJpodQigYKNwEuMl0inrhMAgU+SRXPPWiavciUPCb5drTK6BboVdcXHil PNmBSF3HniyDVT3iDkPmsgMseJewzXdJnkrlT3mNfLKeNP1SSEjQVbbUwU/iS6vuupbU1YPjmb5G QUmJ0sX9O4xS8VkkcUSMGtPtzqXlulgXV8CM1VKzZrNa/C2uFyU3y3xuaVkz9br/Tf4O8sNIz3t2 9wtU0zkoC4RuJbnhn42zJmTQU3OZtyX0tiPQhBC9lPA9bUjqUVj5epeOdamKSe9dzb5vw1iHIDS6 kLRuRSbCuOCOtzarozdpmpZIMu2vkiWJQ8ns69jgiiMctt0nH6dZjc78GcXZj2eUG7ELRJMw2oXH IWGGL7X13RNv+/ih1RDuJ7SfPtb+vHooCWwmH3vZsGb/oITNg70+PpuXZU/Wi0Gv6Vrqr868Qv0/ n8O7U1ki146Rgd5tv98JSv0g7eucYuENzW1UbfAeuexUD/tOMbifJMg4fdm0A5ugrRJqt3V1qRRa y5YHSOvhbVQYQtpU8qeLw12NSwedrQrKbT3EB4bfVsDHeFDBSrIGO/RrmNg/2ni9g3MI4ExruoKL +GmlIPivWj/RWL4zjOErkN8paHTpER5QFduI+OoQP96NLOBEZeeUjEWjq5afJSCGv+O3NIDsYLWr PcCZu2iHkLXwLC/JP2KeBnbWpAbouXFuZOIELIb2R3EwoafsvDq7gY+mNJH8VpzbG5Ie/j6UTXHC /ZbMIz1vnQ4maU0hAoXs7Keen5bx/D28sS1RsYPHq+szPSGdzPNhGaqeQ4UjSYyeVo1nh7+Gr7z0 CdRzzwQSHUo9PWG/0IQ4VgTk5mQ67AcMGSiW2734tpMPS/GKsMe05uXX60mQdEkMBQFWgKKwKiKt xs747yhJUtH2HtFEZ7THRs6rOUdOC8QWNhFJ9TAhMRKzAUziNvq7Biek9IN61T6+xDQiPennQyTq p9S9lZmXx5wtHgyR84+5m+dLUaJMaK0o0gqYgrfzyr5J9uHaTYN8EkJOOCwWD9yv2UIcuWkrWvth bwQCq0hb8yj79Yf94p9eeMjvjAyYHgWN4xiXAyc6KXa+nOlreuZTGxQKrsuaEuw4flmPxy3ndyJn Vdka7+vsnvYL0Fo3Ne4rTXkzRK7XbYa9UR7P9U7H+hnnpoXPTxA14SqYsRnIt3pFysdd2VtVaJw5 T+WVzWUH78db5By9zm7zlv0p90DwecdXN6rFnVuwMmpm3Nq3NiGvGSPzIe58UlQ2ZIJy2J0dIJvT XdHQMiEJ1vCkE5i7hYBs1pl9TlsBVwKH8XhL8XRmJTojX2MU3HUbgjdF+09nMGaXbr1oHSgwXUwC xe6PpkChpsha7EKQrdeu7C8B+VxStvT/oRGbDVTiATcTaSE5B7Dk44VFki9JzQ03wKJBzjjOyIQH 6N2zoejjGs2v51NwsTILZm1EAqK4esOEvcIlrpTUMISMhFDfNNm/2LAsiX2HG0YKJdZbJeMoS/XY RCEk4nH8MUOyr3ZcJ9tVeoxuza+OazXeGObr+ayKHrYCOwVtFVhBwtyFRQYoKt1qKHe3Kp9jvoIA 0mrKlB8ZyPqCVO16d8Mr3UP4T7t1EBUwLRcXbSVaQdYqkAoMvpShdBA3hLLwRl3NYWD+T2LuK6Iv rLO7SLqf8Licd/wWToT5nZ7azrfVoZMgLaR6fx2dBGBNZoqtjolgQVWRZPzTIWjV5YAWQby39A4V T8p+BROI4pHZ/hLe55oA8L9ofrSU9vvkADrSnecLx+rRiZeV5DBmF++OLvpga0X9y+v2HWnt5PpH S5TVI8sAQjG3MEWcXLVRkOGFbd6fR7T6UhNe7j6391Jv/igk/jVWZUlmtAwp0XEf/cPbCLS+Fczh vJvNIGhvduxCogdW035A5riPJPvBlFXrSnrAPJIgKVVk0YOyn1pG4SCGZLmSb2R6xmvzjYyvGmmf OhU6PK/PeY18z9DGhXm3lj/+Aj3ek6GY17iPxD6d6uEIzcczThaC2t4p/TClmeOqsj+Axuo17y1C FKPtpHrELNbVNf5ZCt3b6syz9V3TFjvhpWd+GUYaSVae1pNAElo94JoeG1v7daAvFp+FtW4lq8/u yCqiTUl/YtiAfmdj86vqnQ7XEEyeXewk3zOudWei8krlH/QLvfjBkZe7iHnuDP4dfZgmzCMo6sXu O8lj3ESK9E/WQU9R3NSDj3KSysqSv9NuylPipDkvTtkX2vqJbDBA4pTn/c8mgzTI1eJwssImF43H zjyX0HrZMR9R58AJIlbRxdVxG4buA8K7Cc7R9fZqflL24G2y6CElejZbM6QsgAO7S3vCm2sfL9pz w/KHPZeRai7ETCVBHtxiXTt9uDreie2HTU26WpMuzhlI3s2mErCDKqm2sz5g6HHfPmUsyPvHOsSJ Te6k0AW4N6afrKTwFKQPRPlN032D2wgecoUE8cGnnnXPWO3g5pb6bTb7SuMy7rMX6EqDT86LY4RL bXYZx1kjuuup8IbmcNSp4QQIvq4oekb08RFlrChM7KDB9825jLf3ZG2WJJdBT6FO3E8S3tIgopTC nA2UR7hUsYz8wb1ZrKLtAz9gSS8bCVSLYixuYQerLQV/khG6d1L8BEqg+BRwaXjQsT0htuVAxiH5 CjoC0vJOtc3N0xu+6o14vOSXxuYqXjpHFHRjFmLtPn9AtsIrhHmo2uDAgj3sVRYUTYcwUQv6wvXP 8CWcQkmflKhjZsZFZ5ANpgpZW9vh5mnCf4kN18VP6YT/QQycckDVOiVIfhC4lQ5SrwLlzS69J4q1 Y4UExQ/7aGCQwYsF52EluNq4rK0tYNpLEE3e1oZwbnSYePh/vXnbp0z+OfGeP9jucbIrBdHJIpuq zia6W244IruLuxZs1rWMU82qmq07mAqLHXy3fYHczahoT98piGVU5ORBYwEJHuDAiXdFCfjpQeo0 GGK1bEngb/fBTda+6wtnUfVZRwidU8B1QbRTkpOiGOcZlODOvxxcg9sO3aHhhQkKyYQ+0kvUMSIX ePXgoOIoSDcSDPwpmrS3YTE7hAV8FzeJuNN3JEdjnmTIyKwBmmZKj/Kcfxmn6nILMYkl95rFKIlx ucixorWznlAqkiGWaw3MAe+khdZAMba4j9uDqR8jY/BK6LCer81zay20OQi5XjmyYJXkxad/tpij O0g6qPuSGF76Dq+wy8SmYWJ8AiAYbW1UHQHRWWRR5L2qMt8w1B+g3LKilAr412mWzRe3FQo+zZve PJw4k6mj4A723Hm8c+A/sj65++XedC57rOS7i7GOozhWW7H8KFIV0CWkwXmCp3mHFn7SNgaCAp2I 35RTFhim73iHVHUot3YkQRA+Ut+Mc1HDjOjYAbmuE5pyjK+Y9/5dUnw4jamPxC3RhE0n0b3xD4kI Lc/sz6PM6y7NxmItSDysysgYZMp5WTKOg26zz+GTiGIQg1r3bcSjO5+huKjxTosw9N652zNOStXa +M443r1syNPbCyYPN8xh9XHQiUkllgL2WCzebcOt5NqPtjkavdYLfFnyZ3WGpxfvRKD117/NiiBn EpjkaAA7NV2gcRaPHt8iE8xFSVVZdGXl98kIIi4NCTe0yvIoeuGSmKS9kZSdB7QNj1X/WUVmNy4V wKwOcBEoPl2o3AOhOXo0/pp1SuDEdCxhYvk45E8rpEYgD0NStdtllE9gQxyW5XnOJUuYPQinDYwZ VVpuNiLPQeZhKGNp/UoCY6xQCvMnFgyeghOJjFFXSY8wM/W2YC0na1JRqByolrALKxAR+To+OyWl oaS2rOGB2Zk2okxrF0o66GZimJRFfyuAebNPTQQ6le8EsXUFzCBIdKJFKYO8rz5v36h4RraDjQE0 wx3FjQkZE1ce9HZkqXNSRfa5Z/kmvFbz7+RZ0AGAWV5NU71CLyAB3FiLbDQ/4U6AGaurqxfiDYrB neRSmthA/Eoga7cBwEFolCDn9JnCMjq6pvXrhXnaQGbfzPUJcMW7DmAVa8Jr0bJzHdjXUTv+Yg0S OYwFAGpUc+41XHT5fNc2y5suN4XpfJigB13UkyIyPkKB9WSZsomMjWkb2xeJ0gAymkHt2sRaYkFg uzE/t8Roam2aucumMnM6UfMxWyB7F9gQ1Gzxsz7z26ps5hv7S3kGJ+AvbVMmeXmsrVTTqDAuriIZ P/DFlRyCmQkvQUU6+sphav4OqOjRqyrgTEMTN0qNjmdnNJ0ZZtowQ7i84GqL6XSWl3hHzXO5PLKx IVgRh5/Z8cJjrbisoKBzGTXSRobRK7yHMnFaxWL6N+5sgttCYe3JUFMyQo2IHijaob583vYVpFa/ diSJyyaIczwPWodU9ym/+zJwiA6tAZSqPNhFjKxna5Ev1KmWDx/ZQ21xbF/C0fzr4gAljeYZW9RD OTCqo6LC+gS5x9Kl5yiL5E2nK9pk/SEToMDBA51dAMr7eiZOdi/2xITDyYNSLhm3wk0n+354fPS9 L9HQ21eVgCbemWhcC8n8OIJCrCSwdeO811qkD5SC7wCUZdY11nM1SVwf7eVLbwPrsIDgJg87H5WD iYQIXJEchoUMJycrnaRPsxjoU3GiGvtu/GuajAj+pN28G/2Jd6w2pGpAqEgWFOPZWDftfs4SdlwS nUOO9vZhp4Aj8NF2/fQ3lPlTvb+ADgVMQoaxApv3Q3/6+X2LfyMq6FEHEy2ffniUc3fgTKYxrbAA vqyANbkKRbugnfQ164Q+z6GQDIaByEwG55YnblJbgNWmFt2kBgEfvrFNj0RuTMxFFXK/uzG+4Hea wFT0F6wX5mf7zMXOOL5yyfbERfFzQgJbfaI3LlzPzJOhfWINxT+lS33HP8KveDoVEhnyySCrSsi2 Ek+vrluOEQSi3nwTKhTZKDPKJHxt2J20Y+XlRYA6Euc9zMnghzUUg0mEnAaUyrzKF6lWAdoGWscR KMgD323NyqdjTJfR8cR0VOZm13XDnC/TXocrGbcoLxMFmeRBcVcQIyq2qmFk19ebEecb8xR6kOWf WgKFqvW9e8H2wH8xu/IHXKc3wkygcYnUAoLs6WmsLQOCS1DpOb4jEsKDlpqTQAjxCklm2nkxHxa4 07v/IHSzgDXKEAW8qfgespZFAkrHcw8NdQZyGvG7uZoz/Ob5kyn5lXktenwRNcOSe4IKL9D07nmm wSqlxALhQusodxjwBkb+Kbp0V8zdYCQ46gfja9Q/rPlTyS3yhLXE/9zjkF5fMkYHmW+bVUM5h+uh 2YjU2+0Y/6l2pbUPeEex8PSnQ8L5VrNtLoZbbO9ZPKtZ6AW+m0t4C2RLVt5vtTYFv9vUKuhA1xAE 0xOepgSWrcfP5xzZg86bAlCIKjm3DA3ixk/r2cCnVLTfU53lsNZ74yG2SuOfIc9XTt7EIh/l1VXn 6K21wJonLoQ4bDGuN58O6ilwD43jGh4aHoVwwRsHTJObaIH0QRPBXiwHkOks8cAanwr6tkbJJrwo vmpbdIZIG/qjhYjCHRWIFxjMr+WOCZbX0MYrwdjIqBlVtBqOmLqJF/8qgEaLttJ/Ir9WJOVaRj0/ gPnOReiNZYtXVbNeLVqqK4B/+zKgwTGwwA2x0ipsQAlq7urGUv/vVgrN7J4i62dIH+FaZWN2xqNG ddzu5skm10AQenGFziR+e+afKugv3alwWotxsFMcKgAMqu4epdqoozWU9KXVQHdMRXR82VfzYMjG 1qbPuNdtqgXbZzifl5gqcEVy1p9NntD32Q2toFeK8bHHsGVfNE4Sx+9UphoUtqy++OT6t4SE4x+w PA963tWa38nzJxvRUds+0htKdnn7qzO4oyQ7JOqm231H8noezbmelvFVxrLwvIgWnZUVyPDFELj5 9+1YL3R/w/O2G5jnQk3ePSwcWaR3hA4GN5hjdq4qUzwy4LUANroHaF/Oi2rQv75yVjrnL99pr8WQ TSuo8G0kpYFW0gPF2ztU0sL0MtWxdMaAgqh+9TgGke6pkZ3r+tt7nGhzUXiWYg5BRvSDt8QYEKfA XhAqm1D+xlrx5vPTui1Z3JjI9bwEdj1pS6nQEIrOZuXj7jf8UHsAgUmAdOB/Xf2LhLdFLwVgk8U2 Y3tNX3u38ZY81Z6QvjH3kSBZ2SMJgMMaqvolD4B6I8hMwn1GsymtNP/ztKbEqZyctJ4aub+ZKcE1 SidTHKQsUd8ACNsDRv5ygo3vyA2Kjc86L9C+NJanh02douvEB1iYpf7dmpQ46mjQ0kbgRzFKNUrR ExrKWpbnn6b9+texHWPgW8vtFievGdhW2Bq0ltyiekerkAWQTYzKmY+KIWQQuZup8c4HSGmR5qaC lamEsx//IDD+fpfEFI9/1XiOnk6TgJAbjJAjLoVQulr/YvlawYkBvnX3Q9wvwNE7ERpS3QQdoLr0 OnYuTWiSiAR2NtQyEAar9Q9ePLABvE8ImNxVm7Df9zJx5qk6AJOyVJO7Tvqfhy6Aj3nuKjSMLUvf BySS0RJUS0P828vxO5wHEiB3jSUNwRG31StFVoBavXKUUVCVAc+jeFJO4xbRe0O1IomVZm+mjy+u DW6eRqHJTziKi7j3OieKePHAZRsoy8sBeZlTOMBzdER2rtxxUgEljuwSyaZt0/Hvya2H4zBqAG3J 5Smmq1GpXOq7lFbGO35WH9BNS4xo0japSsNT1Qiw7D5/JsbSPvgu8zCpqYc7LMp1ElhGUSzGHaFA WYq1YMKlQW9QYzICkPZLT2x/RjNWMtRlVKxKl9eb6oc/qAfrWpUpVJrp9Y7HY+/GATCQgWZlQ5GA JFvyxR0MdEnG66pRtW/iMQDX2H2vzkuT1NwfUgHa0KJsVRAaFBMce//VaGHAV+HwcfNxNJS/86vf n71ykGC8q1G87IFrHd9BE93XBjDEprUsXIYecX1NiRHFn38GP8UBgdy6cwn1ffrJbowBIRs/bgI1 +dd89/eRHILUI9d46RLu/ke9TCY4aNSvSkfcIJ55nzjHt1k1zvoWAwkZ8VcdKh/pA7zC2OWOQbiF cE64XodqJigiCiTKObeuk63qVU2Z5W+0iW2i8raDj3WhEWMP8xQBwMnzDywUaybHqKgUUv6ON1/j PjKxpFPWH+y39Czmw2EoUVAfX6tuO5nexLW/iVzVNh3b+8V1UlgPJW9l3KQ8Ry0CC4nz/x4+3WxB 0RwLM5FfS07rOoZQI5nyvb74DXBpaMChGmqOhODl6L6GVmXpYNZOWvtZhSXGhz0pJ6Dq5abBzlDN JwbfFI/ukXxp4EZ7XMmfaZPHVFnmI15mSvY36flMTWhMQxMz/EupfEO1Xzw0TCAdbSzBQiuGC89r Igh/23m2t0JjHqRUddahArwhvSvcKqBczPVBhO67QuCKM3FGDsdI53bHD+Kq9VvouyBfeC+SYs4r GNa/Q8lBjLxZsp+BHNmyZ+UNvNhEKYPeRhc5PAw234qgzeNu7gYd0Dbb1JgJNiJtX9lqyUeLdNdw FIN3ZK2RiYzIHLplUwgBR356mBdRaVnDI+SLC9T5p/VpB+etSBEtCUU085KuCIhgWmzKgutEWKz6 ewAXirM8ZUQJrGiZzvHd6ElWfyAIlZ7rJ05hDyhEvspiESruAU73qPoZIiL5i5MgQ5msVNe5JkWg 0aJIqviCKY95tR2hq6NbgU1YoUZWAfkkBth7TSGrvLjdsM1XTAAAENQ9ssd239uosyPbIVd0dC3/ A3bkHFm6rRBxmLVpC4puGDGbvT5HW5Cve2F7rxa8Xx5qLCXcseKEuoNWigur1qhS0i1p8k0YsW64 el1HNn8TtM/rIx5W4VCVDfvHE684/4RmgeVB8PNKJG2W3HfDJti2rWJcWN0sPvWjAp8xNUYW9+Bg 1ic4JUzewiWIS+UerL9SbTWhlQE0An+JEZj4Qq44almoIAdi9C+7Nkaa87vzcz8XImzeEGz79LR6 q2631nl2OPLNpolhQHSt99L8RSccocA9dQRJxgRsLH8Z1phXT28i7LOVIyh0Aw+GnvY2s86LpAkF wp7rSALP9nRbIf7NZdaQE/mKhBqF8C9d4s0yrcpRVs6uprjJoHjD9lm4z4EYghJJ/lrxdy/P9wdQ HqRIykK1pThCk8TjzZ3Lxhw1RfNCMBRQHu3bmfTC1VkdjA1EZH4wy1wmfKEUFjZnUwK7UGTUAK9x hYChfrPX13ou98LwmQquzbZX0ddQMUGatu60lsciXydQ1rU6P/1c2Wfmx4SUDMPtdwr2NCFC8KV2 lwrn+TYRv+9xUsZdJs3fNcNDfVcwKVyJHZo6c7qhc3s5hY6JusdJAidO0EpynCy0YH7Y/OX0bsfu E1dgD0HkYKQn+mQ1tvrLGxNh38s/WkAGsQMjN1/sHKYW89ADUEw9+fP1U1F7Ufe2RRgFW+douUSM YZrAvEhoXTH5UOOqTXVnLR+h01PD5fNtDnNoGM7liWqFB+4FlzVU2aKfjopHoKZ09g== `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/reset_builtin.vhd
19
19078
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block E82wkdGPZb/+6GZoDi5HpckkoDtuL8TGRb/JCIEDYKunG0ehlHY7rWSAl7AxBVkDytYXn4VY0NY3 tD816aZ/Tg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aN+8nTYiRF19Ga2xgugxmmkjykOIKDSAJe8CuGlE1RsIGMA/TeZJn/LIOmkC0L4RXBBy5zkZr6mC 39gWvg+KhH324/pLiKCLqvJkIObctxdk1QghQFlwGyR5AgwumO5V8XR0wkFrGx5lcmF5I1Ic7QCL 4FCmeVtU3m0TggWFC7E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aDXP5DZMSmAZ37R6bG0c2an3UXXBQ9f2UcCbZO9jybJiEbg3jaEsz9OP8BILMEuM2Gg6zqGospJo IL0GjwnUkhmqiXNrUyuU2ZA9j5Qfpqi0cT39WDwUPJ8gireHKMW3Lk2XSOOhzAT2gL6kjlBz97a9 e5WZk5XJ4JpzHsyykVOoT9yBzVvTvBYrbMxRFsaT4GZ3NCp2/bL7FcAdHRGbG5cNEc+P//C3rwO8 4GNkm0wKVMVQq/2HclGOKJAykNBN7fGuG7zIF27nKqnI3IBVFzw28uEsxwVFMpLMQ1Amv9lQcw/X S+F0+1sbjSvaH4de4WOv3cOUzYKQ/wzN6fSahQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c+SPO+b2cpVqItr9nAdAKH8LRjqZZjyv88QHjXDKD8kCd5SL0IXE6XqQ/EIjme3B6XJax0d6vBvr 92G/L1QzXOo8P82zgbpcUFM1hqtYFVROwwLTcIHV5QmMcqgWTv/CxjwYFY9l1w/ADUzzHakm7vO5 G+sQHpPE4aud4403sjY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T8GeY0or01NdwqMo6UKJMUTsmtP7APuN0oCIY7KzFu+PsK+FyNTk9rSPzJS4j6dAZuNV0qTymCiX Xbb3asOZtqkbmx9Ts0TBudlU37PFSlhj9aboLv0+uBJsltC8lWgypATvI3dldUNiHT8HwKeBDDaM ge1f8g9YSSRm9Jao06pgbL/b6i2WQcOEh+n+/rJDy+mhlYh4b7sJni6U+KkkIH+Nz+FTmo2KpEia kiQmZaPY0KLlWtwgAmS9D9WXDnBy7lDRle2NygR7a23rjPwxBp5MqpWylPuquQQaCFWvB6BJrqSH TxLzvd+PYmz3XQMRs1MJrzzaNEb2P8EXhMkKPA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384) `protect data_block xi6bIXHrJ5UHNBNM8QzSfDcOo31OPvVYplYqoOlGhoyl8zjKoPwy6vBgTGkFTKvw38pNn+75l+ol jYDe5z/sRIAtBsWhRvjW4aNOPqqSVngKLPRlRyiFjQ9o3WLkF67bHlyWFn/ceqSzgUIko2Nz/6uK Vx5VX/eaY6F5a2PW9nlKgSmUVqH6GkjYRWKbJt2U+prx4jdgnMQdLOcz7ektObz56smIDdmgmVje IYspPniGZtHXZ+Vozy7o0TFyZVN22fpHRXjEHV03DKKpOfhqsjdUfzl1E2cGTr9MGbXsz4g0e8n3 wGUpY9ms+I+KYwiQq4+bDOSxdXK7rbcK0PvZK6hNNkQuwITBQli8v0YR/Lf1DO9Lom6jMnSvx4uz lMYFNvotcptNaqfMT40K/L4q6dacR/8TIw0R4671DB0CNY2CGp/g4kgWvW2l36/QI2c75CMH+cjC oNO5jYbTdpyRZxGZAJJubvBNA1D/dWX20o2t180aGH4OjkhFt6x8vEjG3YstQXzCCsrxLdFnlSq5 4Mq4r2BpEyOy/k0Vg9zizqakU2UBkC4eNr0HLBZgpv3qZjCBinYG0CUwpnayXJp9pDuqaD4kONwL IAHY5axDqBNuty0scmk+EsC97S0JilcGs6IvtrQMnAb922iV9qqk75kihbMbfVSarIoCqWaqWLGl ehaJbmA3M/BX/LsPSYpsazXFZP5FTjskN0j3s90Xdv8VUfTzLbZfMki/XWF4REiWtKTI54Fdp1QI GP/ReOp4K83nKUQJCpULqxQsc2KyHQhKOAa2Ulv+rUTieTtYfKo0xUP8jI/1NtxlUJk+ZAiqjQxy CZ8kO72mhu6I91AsuVCVtqIR//ZuWelI4kCRh/q93vB8hnb/tzkvQ54E40ZQFXlV2gyWyNN7D+DI k3rUVrOI3u0+eeHJuZ4Vmtyqw9u4eiLrZU/AoqVcn3lY8H30tn6/D3PAwi5bQVCzD1BIhNWWBSk9 Z2g/VILFn/ordNAxoGhAVZeT5Vth1Bj+lKB3RxKW9n32OhpbXofm5J574bi6ND/SOkYIQJ786kNO lvGJn3TVoeFwJg/HcENV6+w5+zsFoh/cw8uWuuIhjUKq6WshF/juxLeq35RsDSLl1vfRZ5D9G8d4 WJAU7nCb/ZF6crdsAs1JnkwMM8lLxsU0cIP/050Q7AFzChT2QZlQ5buRqLO81NlaJZwGAoWwyAgK VKUAXSomne3XQPHbPlBbpKcGpiUFm3pTuAZsiV5TWMidGJvD7k0ZNeyNfNdxdzbmZFiS8RxCnf6M 0OGgD2pFXZXIGbjdu69K1SZJKPdg/M9ZrGc6VUibFjDlawKOPmEIDKcufbQxYH5S0pSyVY2u8B6N Hxd5gBy9MNmWlDH3XbP2aOLXhfY4HWnDx/GXH7k+lnpIE9L1EAdQmH9Bb4b7R3c5nzzj0kwKWzQs c/i/LYHolOpT005wnF+4ehbou+3SbYUyMcOJqYyzzuXKqw9QQf6rrWFrt75jsObNOkHfQpJw+jcI G51ZLh9h7btQBU+ZpRsCi1wOpn6+7qColPtqkgctmZad6r8DFqXwgyGBLqDnHVi0EqOdYBtbMG7j Leiic60okZ0xesPXz3OlpsYKxX20E6Kd5/OLhqYaDiYKnoT+P/V0FYVR4+VJsZeuxzIrgWRgQ68G FaOUTZ0mSbf460p9UjbDhMGn7zZwLmlrVaoTpjzLJd/HX3rdmefsiIOcP6t0s3ZI+aFHHLWJ5MKv On7/he3LS94tG0u5tC0H6vzsUmwobM08QO3LnCOikiSQlCmiP3u1WFV5W/EqX9O0gX7JyRQzhPBx tClJS4BmW/RwAVmumBw1cGPG2Uq9WAA3JFICkpmyy7W4v0c5lQ579uI5KGY+MrzygBd06tp6OmM5 EImj0ymMXrN1VStLwiK+kJ7YdkV9+C1KPXQwoMJXgNZtVIHvhJ9+7QITE2h/avJag/J/h/5H6fS+ jVKb+XhvyqrwDupY4lE0duCZLHtZPNrDR124zea3QX3Ri14jEgkaSZdhtrUPQa6kziKss0944kZo kLbQ6EGaBQIEjzoxNRXCCz3L24G3bkgjSBYktItM9Ew6eN4cSpHcSa8/4iIM2t+K8rMPH81QkWb1 5nLw+s9OJy2TmiS4XZOfaWzJ49vtSVntExw5cO8qbpgoTfbz8mjq36+Y02OfgGrqPpW+sIAC4djl rhKW+ywGmJR24rymbHAl17hzXyk2WPRb9TWtTrJ/a687OAgQdGsR1OM/kF6AldEIZzFdhebW1Fw2 rXPDMf4MmT7NTRBeAQBxHxB/2p+fV2njjEsisNPRms0rQDpnr1kGHJ0FhOtUr230aIPOG24w+sik 4402hBwKsyVpvQ9j28CUEWRomMblVITOZhebUREIWfTSIwz+VWz+qmE+Lv7WDxOIl1QHSKXU2+1a 1OW8mFqmSAy1rp/kmvkzcx3ZcIcHGBIjzbpI1wage9ojxg0iLr2FegC0oY+x2ZYnK30rTRHk1bxl 6vQQ1IiTVUzPAQ6yDQJ0otWgUalNApu+IihWTJ49T33UrWMoENZpscqAuTeNahlI141E8udLnZMt HK5769pBbnpJ31q9z4ZBRfb+dXK8y6Xp7iQlxiJC0q3v7K1Z5tN6YnGA4mdImgRXTEvcmE/Ct+4O xDs8ruqW2ipVAth+mmsB11mme+8pJ2tQo1aK3LnqGN8GZvAvnrHysfkxd/rHPwAK6ydghklhUmG+ PJjNM39YqR5xPOjML5OI0AQHcUVA7W19Q35cLp76UvIUBEpsL9TO0R8CJtcz7QyY2rHF4a7saNQz YhlCPG/ChTYzcLfWkkVdlj8J7NO/0qFldtJC9GboUixnBEV87B41IdxSHDUOlc9b/Leq4wihr0H7 WuRdu0q+PBuTHK5EAjYFNJyxvJHRM0ZetcdQ4x2VL+m1VSoN5uFEhNd0zpM3zyRuuEYIvQttqI2E qflsJ3h54yQUqrAhaIrUmC8I9SsyOMyBF9sRPVLilpUHzg67la12FuUrrSR4BSs7Fw6tXRXuKC5M PccdA3w0YAzglp+RREU2X/rMxSB68ow8blgMjgpqC0PC2nPPmlarkgJV4w3pE6NgdTZbrBW1mwdD e83IPLF+iBVa/olKeowncsHOxnykAofeUFOQUELbnusiikfEpFnn6ktShY3PIaWQ6AyKboBf61ER n5nDpDRjqLQfEbwr63NEpzVy6vPuQv08ic0iipi10sRy0uduRLRjcNABLD/01OnEGXIsjNo7Wkb8 4BPsjA/Hm5BHqbV0WUzwRXiGVLQoWWOfLUBNDkTSS1v0SBaUoWi0dB3VTnN9xCR9fDyKaM63+OEG a7MFMRf/SQdm91Gf2xfFmKZyeb3T8AVz5QcF5hDLCp2IeXrDL9DD34jJBeCb5rT6UP9zYxLPS/ix DgV+JWbVkBgj9bC/Euhu+l29niKp/vSrJm3EBgi/tH4YxqgS+f5TymdJGoAKdpP2snPsxM0iGnzF 1LPbythKhrQKhWiZn3wNcZZvv88bOO4VdO0BKmmHCoNrJ9a9g7fIGK73HaHYzzc8x3slG20C7r8m Hd/Kq6u7j0KjFVZztpsU2Uo1TmKV9RSXHSNyVGl3MDe9hYT8NGNr9/Hop3gSjDPt4xLPV8+FHUTK Cquy0tUvZmCjRth07n3GCrvpxKYP/ZiYJvenXTpg4j+OlkGq5UJaN00iUyXB1Q+1PPKwtOv6u73V p7pRCE19NRbI579SjbZXKReMfy5HPfE+VZUO2yGAO8bqOqr7XhUpLRgWMwaDQgWgsfz/WWQ3kZRI 8QpVNyaJOll173r5T7GHWzA5mT7iVwxbbLM8Tdew7M5/EcjEhaYJ4enOp1qLnfnANwyIFtBKRObL BNqj3wteF5K+Zo+fAdJl/j49d7i0EsG0S8f65eM+cvzjECAUF8uEs/6Io/IFQJluk0IROeV+Vs7c CRTBOhQ9eqNh5eA6EoNpGkByafz/YUDE27Hp9iDIUdSw/+BcaGLp4hOfbVb5G2VDJvUYxH4hqABC W1IgXMfgo5Ubtt4AU+BH5uDwyU+/QUfZesE9mXZk79WFqbbGtZZ/BICJuLMA+K/O6gWSOzGwBx9g 70BZk+9fdgcjFCAAqLorGCeW+GtSrcU7F6GpXa9sQ631T2/koZcrd2TjGv3tyNykpmfXRflkGYFC FiSiq3QJzpujSCtKd9sb0bkInWSeNgjt4nKEQ9Fqog6gCJ2EndhBtbd2Ra6y0HO68lNJ8v+CFp8a qey5H5/gY38CbtsszTqH5qwZqYHWlOTzxtkitMcbKpltumpagFVPkdu6R/iRsPkxPdL8mRlpt1bK YWJokxccoUhTEqo1bhp8KlVQCrsdAyOmPiFjUNDN0L51TsUABreC8GMBRhahT0be08hpUq/98v5Q 56u8hPXCNzhcRKXWEXZib1xrvUDG52m3Tz0u/tFvaWX6JKRW2fEPCguStCI3TdlcnPBY/NtakGkE LRnRkhmbsR+JdphWCIT60LDVcyZQ4cQmDv7uJf7M/Ho7JFkTpPRiDtE7uxpWrLWwLuVSd128jMVl 6tFYZlHT9zWrlq/chCNbJZcCNBtPoDsjFHqcd0P0SbEriG403vKS2XykknoYznBGIzrqPVEqnn/u EOrmOFjv+/J4cKNGKBzWXzHxdwm4QBYz4hDBthTtQ0D3DHOP1Z6I88RTYUYE/vTIzUFOhIWhm+1q JA4FQJakmZ4nvqDn4a53M5Qs7sho2WBVwf1UOFnwd0Gf0/Wwl6dudum7ypJAbqGL1j8QhuCUPAI2 j6744MhCBAnNIATFW5qVReETjwFNv9cTmDFgd5l3jvtiyBvzLS6dEKJDOfUC3NVjosjWA/Kmxzkx Qau/ezxZspwuObs/hSBQvW4uH1jPyxs6+u/VlZaMAXFva4GJJF33R3QMkQ30hYDbjFaoN2PTE65O S1pURx9bE5G7m+SwFTqUDiVz0xstSDkVAEZgt1M3iNSfwmrutWhuIaMKaTKu/2bL0//n1tERhz2D wrAMKQs9qCfOT9pPZidELukohhGuwknejvZaOPFURm8Q2q3DGXaDjQ6Cm4IeJv7qze3U9Jg6/38B 8H5Z+tQbDYrakYwGk4bCPVNc/KRiCHMpPziufnJ+EPQlVoUljo3MalEfpZLv3MHKKvoyQdUpHFfT TgedhfrQXl53yP7Qq+3nLrpJlDtV9w2aGTkvsqY7LJqakYMdQdln+2Igaec7O4ztOLOEin7QtLqA kmUZyb4a64GsIqj3E/nWXXkDSur88x9Ij12omC/L3G9DMrQREt/XaUliWK/fLZs9ZRIr6HFQv+8L JYbPcnpU9NouhsMJ1AmQT9LHBE84fN/+zTnNCN5o/faCU+v2Imp8d6bhtEkDViPwbEusHTKkCRMZ h/PijzIbk71I5roX04bWH33+nk0nLANpL6a0gClB6aopmcO7yIswrbSfTymx0nuuec1wxwZMUQpv 6xlnxSHpwv2X8cKCOO2DHURfLeqMVbDK7bKF6g1IoPgIOPReXvrNYLcpdb/OqqJmT1MTswjruU0H mzncOxWgmr9mCWiki233ujER83DqQgI/BhVtilRSFGn3goi5SHJme0KFEVXuQW4UjaYku5+IvIwf CAtP8ltAuGP5G/BIhLrc8cC8nOIuU7jzDOGlUJOszn5MVxZ4LqMiva5Tjx3dbDotT5MwyDZl6qqW azEspltYYDxY/lX2lziVuBl74qML+TmS8Z9pd5c7qhyEEwNDRPWqgHsFuGNglfMz3kYDHGxp3Wey muqYnhQjbmyQUgEVWPm4xscCgvyY2lcSdJ68SzYDUGKZ3vw0JBAew430nxl1vTZX11n5GdQKsjrZ +cTnslr5mT+eicRY1KykgP7/EwbD2uYiD5ovAYaWWP6CfeefHB3SGl0J7akbS4cMp+ieh/B4DFUU DF3PKsye9VQYwJzItq5EfUnzZtFQ/D3ME+C0Ww3yVCYlEjm/U16DRS15vu5y/1YRdRvTaSNqGgt7 ecF2o1wjYwjv8z9ufPjWV5FfWUxYfkRySjmIU+1rhzT7XATBQouqDZ/QSCer+qXXqayZolF8r8b1 2sIAz0uRt8iM6tiwGcwaMWYkqteqXKRb2anG+N1EQrPj3VTl9Q2TdkkZKI1lEnzzpC4PC/mCz+6D DMy606XjUXz8bvgVf7DXZOUtN98I5vh7P88MNhxY7X6L/yEePg5M799hvhcMhvB83nWiVgiqduHX nmtU3lZ5JJLQ788SH5WdVETCKlfyENaF2UsckCfR15ME7rSTsgHtMJRiug3vkNiuKQJORlZhYKAD DU20cO15sQa0ggP6Yun/47qquV0BsXLwgiXKVXKznoUjcsSWyHWZ6AtZG+nulxCtiRohakGUVGMZ bPNOYPIJJB4rpcl2g6BEBkcTgzbkIhNDv9NXlVmFqpvH49tFu+QJbc9TsWnIebo+7wqdkTLj39Cn SD3U0XD4HRKgpsM0kbCx9i73VyCfARyGIPq5P8zJwKJC5cfgxS7DXnx/7CUP3srasHg+BXxVpqMN V2Jlks63Kf5wcW599R2c4EQ5fAuD3poC+gffxLQJpdWK5frLuVpv6JfzAko0EozyHulTTZrYwH1Q 845segHRYdB7V20BWuoNG+FtodN5F4gG6LH6TsfnmWc44k5jg/pgIBdpJbG8GpokDMLUcQOpwlhG 0Y2TidA/n+8WY15Me2nSFRtN2O+tdWrFrGla0KsahDzUSO/j57HCgDUEmka2041EhHXZHL2IAuCl G5eQqDXmryJiUNbVS2sELcozvHke5RWgyJKtF9nhM5z55yS5P/cf8X7f3eBlJoTEufr97olDyXf/ 1zj4S8DvtACay9OxZRJFBmS8bmlTFLH8KEPemzjqq12Q+QHb7zzUH/FkykTJIm8o2iMel3n9TRYN kFWqsU5ekfKOQlpddo3fRVwgSFpR4SGTi626VIiGOUggsx7mAb6MllbXDRxwZ/uK1b/yffkIJWe9 pFFC77QFZQ+ygnVaeWyzDTk+ILr4Akc2kq+n2JzzXAJXPWXBZUUPiDlBgW4MI6O0pt0o10RomatI G5n6zHrHeZd7JwTgpR/bxsDYD6BvGfNpMekk2zk9ewja78iN9sS5s4yxyVcWBLt591ptONtz3/da QE0W8aPVyoztp8Xptoa8BIW208b/grChxyNdh+ACCQPiX2TzMzwLUuk5VVLCKNLlBnsQjUBvvuBf wCt6lt2GOX3Xg2FtIVCi9IvHunXko3PaIz6KX4YFP7EgvjarL+ok5stUFsxSPGFttKcVh8e6/2hK +xnBjM1ODVkgUbUelbKboI5sW3JhuznArD9uu7bJ+Akv2Kk0V9gvRhSvaUI9LZ191kCm06v8HIP0 tF0nHvqitkz1t8SXPdSTCiFhMsUdilWvTRvfObT4gfw81FtZzQ48jqX8kCeiWdYt3JayQGZoCqNh Twjfx0WmDUL0Jtk8FN0c+UxGC1GU4BCIpOlw7R/dqOiqIwGpkpZwo12/KezbLaDKP8QKK8q9jmef hobf9GDcAs6Ep9UkoV2SxwhbEW9AlA4tLsegw6j0/UlB9QhXX4h81K3vTpq+PObQrHcQo0BpjG8Q UmFMwmGhM0OdKu3hagHa0JJqRq/X5cURIY66uOHTeR6aRVZ1bPFUAAzhO7P+pHByArAUu7YCFuM4 dr26Rp4lWKjyL8ZqQlgNlemOWsNjfGvzzvoG5RG552iNEPJxemNNOE1etz/FGV7HkB34QwJImwZR pvUHKbQe0TkbR6JO6D2eKeuq8SJP19W6orU/daIB04J9DwyrNPjq7BR5M8MDMznSc6u0zBtRZsIv 8lDSHgU/duws11h35pjPWL6ZxEdBZ3wsY3KcmcgqDp+4BgF6/9wsCyMmsjWbVj957a3VJlt2L9vL 4kpe69FcNgfZP11yC6JdpG8wP9EY7gb1ghqriTkcoSq7+B4zJ6VveeWQKq37uv0UiBflZXfCGQ8E vhtll38DiUVj/3RMwlFhKAl7TsAVK6Pds01s2ZVbdFrhaqmlIv2lYHjf8UDrEVpnI4RKBKyL7jij lB0DAJ/zqYUoTK7OrsL7ECPNfxi9fPpQTK1NpLcl7khEbRqDEZpspybXS4L9QA8mevJfGkwZv+9H Qr5xAhxdDlW5iXHlD/mhvR2qzdOfZEhujsDBm4rmiSEYmjgvBBDJ70/QTuC7ybeEOm+pySKyEkU6 k8Bzokl0PR67Z4DTmKoYHQGhjpx30NIXgrkzD1urgaW1J7fpXLVv+sc4WGdYQRMrjNribEyKnMo9 raXA5HjVmFvcy3NUM9EMqRWYCkcl3YUg/4WStgDIdc9OJ7oWEvPpFtIFCdqOfSEikd47yvNLqTV3 A1SjDiEV3PYu3FPA+De7ITOS+aOkabCSkiDAoZAYhlJ+Oc2vNi7gJuYZaCU+Ws0xGC7PUl82ki0R rEzapZBsYFaFfcoQ4CjdfLVr4rYQeAYDY50eV5kMTCpw1FTTpUh3BDELwWA/44NbWOSs3S+Ch3W+ Ry8MfPlA6GiuCJ1NYSM9RDfSWxiNqPfJhwF5T5SCUrwnJnLiemKDQBvrBRD8QF3LO7Rcnjbs1CXX YyaPBCf5qK48yKCeQxjN28PraczT/5LIunKdydSS8jIfa1rYPVntTYl3fDXavXnjyu5fKIdqEEPM yVYiuoCSzTah8oYfmtwJSDj10G7hmZSfGHZ1lCHfz+8HTgaqTobNIOK0VVkwPBhxj2eE6z4IuKrC YSqD21HIHbidLoTOIEeZRqsOYZFV4lw+I9p7JR2OhBAIFuRLLJ4MBH5TurKKUqc9gA1pIeW/uv/G sGDmy2CIR8EpaOurR3zsf/cBNCNOAWIG7Nge5NVT6rw0y1XH9BmVZJEDXP7GUJfcQhX9VFduDlHZ yJu9+LiAQlLJacv1SNxtcA7PJ2XC8/JlNc9lKHrBGc4PhJGYFYL287OJa6xQPxjC7dyp0etmzohi DJKWa2ovI6T4WZxsIfp77bNgkGL5HhPUTXjuB7m6LsC28Fr2OMDnpPaXnxag1XUKcmLi0jJMdnTj aHJq0itoyGo5qODcidZ1BKn5TVTdxPBaBmcp2lxmXFaQN5s2rzcN2Tbqapaq1HYpU+dmFqyzIjXF CKCKWiLa0/OwHsexXaW70kEXqFtpE73azWXx2t/V42dH1jkSXcBRIbMp/a/Sx+OsOKGTd+SiExcI al2ojsCt9+HLmBFZmY+B0nbIyZCWGwCPiL9y+flsZ/R8DShJ05kUY31oF3Xck20u9J1Pr1bvGYST GLMeMm1chJSf+/W2WsMGJHrw0SYgeLuac1kricZjjbkYN/AVamuYFK1cIVjOIQl6GuYXa9M4NC8y /nguL/Fc+PVsh32muidOrgGcY+v84iEATIjawa/Sml5FR8EAIa6d1Dt0cp3VDkni13D3ULSadtII x43QXa01vh6hZKQEQ9jyDTiJo6Zd6GvItN+jHhvXg8icnLeZ5JXPr/7ZWHGar9EV/QxpJsyDpGZC EMAQi/uF8bt3Z7fFxL4FOVaZR7aHJVg8jl8LtTeUpTn9si6Kh2CkBvC/8/+b5zJZtQmmIFrH18qP 8fNd0cjWc5GUrUpxZEN2Iji1/MVmIT3/5q55iT5jxI7UCst/2jIGNRP4YXgS7Fm9KA8zwOvjF92H 8LuiJBu7nD6O8isZp3DQw3JQg7kbWc19nAHLP6OX/qKWT3RYCyTs6pDu+4nQsbNsjioslLX71Sa5 N3jl+Az1c2ZxGjhVmcVHGLXosyymQElngnHhLuHFFcvZxa6mO/NaugNoobuVIE2rh4eK+2Rsl8Kj MhyPlk935zFX18071nGqKMMn3snLgYcTOChRIXnbrHDLBLMy6YXoQadINolnXpY0bcH/tDvhKp2B iibjAmNtvp8Btr1mZmiCJgq/ZzsX3z3F4kjHz5feESKvJXDlgfq/CD4c4VQ4AOXNqUXXnrtiWN4B Pm+7A+sRnRBub3NxS3iQ7YOBXZ3vo4oTP6b0HPEP53xq7zwWavHJHQQnAaOI5ZU1PktZSNT2kL5X /SX7ViAqungO6qKoSfmAJgYd9DMh0NqSDcWUZnD54EbweY2fR1Grc2gh1D3sZlmjiz/8mJitltkc p+k8iqgbD4GYJ7y8ZcfHAIJHHKvdSMKgdSQziO9UWC5i4nBE4CeihYkCBNqzFTT9fPDeioKC+dwx vN1yWzKDSU9A5MeKaYRJ62G1bIeS57eRFhoNgRLeCbNWWdMlxvDSPcEduoMlCWnT4Yipe8SKsDIo HoEPSKmutAeDWRaHhlr+gT1wHXfQwzrDAzjk+Kt6zqQy4GiDsvv4PTmkCvqB+qFAFwnbKm9/cGkH BFb44eZHSwFUuXvJTftOMmSf4F9vcUwR50Y4fkZPy+W/b6q8GxpPDFLycThwMEWWEj4FtxStfAjc IJDWXXGrnzea1zKK3ZYAoULv+eCcfQ+MWbOC7c+I+O4nKoqHP9APljOL3A0Wh8jG1w8J43eAZjEx mxHH2SrElBuHyHEO7suCuSd2ZiQ5EHqyeb5TLeYfZDFMzq0OzIuhPEB29e9vi+FyxiHYXU8S8ZT1 kPzJoqJwmW8kcfGBGWnOFh7WYIMUH7vi3E1a4VTq1ic5ridgUxYBrF/OZGcgSE4O4e1mFAasNXXa cfVxaA75n5UShUTUupe+F7ETOaAOGeOav6FT8z+GbIwgGJjxYFlx3XQZiN1/9ATGsG1na/0XGAfZ 4NGgoHFu9cjpMF+orav+4HozuXPrhbgO0zzIJdZ3uKe12eKX834CutYuTVl0AM7rB8fZ6CvvDWm+ NJARMx+GLpXeYAtmZGlqJEYogaQVUhUO7OTLuiMAC1YmBuv9E3a/HPlzB7To6aroUUPqEjU3vFXz lS5+jdcGgwWjQDYPLHxg7gpC/dR0SwQPpm7BDyjxH8yKFanfv22X9y8BgEMEQOqGJMoGJn/f/tRd JiIaEyQHE8qFCZ8U6VD7loBnSZ/SwZkDPPfgx5JE9i12wOZQyN5I2Q9fy6rWmJrVhqe5+LxHg9mZ CxO3Y2DCqwAJT+b1i90N7uIo9DNxMyesg/6CLrY7fh9Kw0NjNzLRIKTYH0VUkhU1JAKpGQczxtEj 0/PE9UFCmF4FMhw7iVNNXElGT/Ki7XxKdhdD/db8Npo7p0QT4vIHXHRY7e8alKbmjbIONOTTP+Lj A4HTPc20R265/QAGyBsJ+xRX7j5lKw9ERlU0aeRaaSAbqH+GanI/QR6k7B1hwlRF19B1Y2J5A7Gs ftWZEsiyPt+APh3V0qPvqbaDqKv0T3duvnsOsYjh0MZ9VnkD6nrC80x8Xgl6knHnEpCOGMwher4l vkgY4AEyOofjc/E2usLYLsd14/g7W0HjbrW0By9ntBE+DDFT9iHgDRVD2ViqChQWP6kVIr7lJ4iy /u6QNc7ZzhJuDHXKxBI1A2XRx4jpZSBAjalxJikQJ8UnFvvzGhL6SyrB6Vpqs9rg9/H3gWLlaIJQ QuDt1XxUARpJhzGcIJI2rVLlwXCKuVq8uGdEMBmGOO74w2WjmGDnzRpZxVJ8bcqOYnzYo57Qw7O1 FPNOKQCxNI5+S9m71GnWWZemtKQ4ncv6MUMbyc8DY6UhKX6aPTbUMjKqlCXsQYyLmgVSQdIsfTmM pcbDNi+d7ZnnPjPkcxvFm/Td+KncwX3SBehI9pnEPSP7jYYLFUNLq6y5gQLCMEL/QZudh/zaTxt6 ZsP3PYvaeDocW/9AfYbUM1QBz6Ahzss3UmzPdct/oPxxNLwixuwrkpqjpwL8ZSNhOSsx9RZnCw+E wJoP4iXL2/9aRAJL5C+xNAsE5tvSYDo40c6cV5fZYAITmgO02WXiF91xtpYbQOmFinr0HZh9FwXb AN7NuQj4VS0OxvEpFrzU/3baop24UhdXxArjTFyN33z2hnSNBRTEsJkMuf2LIYjTQHKCP8pmMiY6 jtaiFiKBsvAhpk3pOHQjUdxAaNn2paBLTLIuAd41uFXAxzF6KE7wKM5ds9hGjnsoVfncwKKEvw+/ 2JcuQiWWn22HLcuxJ+f8whb0kYwGE7pdeOwconAJQ/yyDZErareB0fxLL4tfuNK6LgX9m4BAlOlx B26eAw9Uge/PYgssUsWRFB4zIPQ5q/yzrD/a9K9D7cg2kXvarWD7fiqr1zdpcR5SDeAO7QX0y3CN XDuVaa0LeOR+PS8+taR2W9iQxr4/0BadNyU6kbQqrBdqgVH37IhZKAg2OXgWObIRPoyEPOHpBZni 3zR81HBiCNfsH8Vonrz0JsfT73rvT5fOr+rQ0uF9mUT/s0EOj3AHzAIrpmp5BzcNLikmt7hoyX/J JfhJvb33KLQ9MDgag0R9G7m/ywThOHPCae2sIbGPPhJwxV87uuElKxlXtCBEZTNhOAOAbyMueqWj nD3LvqFjxd6Nm8Ag0tkEy1pcOvpQH9d0ggLTAa9VlqLJIx+77C8yUFNFSy8XA7djU9/TNYMmnfFS h384+Tu7hNoOSF8XamfOmXn6J2X3GpMCD786bbK78JZj7Vpf3Uyv7SD8ZOBngrQ25tCisfmfwJd0 aI5boXbMjRRNj6A5nNASLcMGjzmOR8i2LrKhW+jpUDRd8OFZ93JOKzt7Dt6TWPGR8adbJn/8CbBQ vidLrrX4AygjRoZVbxrjdRWvOSYc+/UdNj1pAdy2BbqmdJgeETj7g+pIgDZolnlJSIeAmLMDAj+y s7GS6AdiNbt+DcdToPsdfyd6lawUTaSgaeMgL3nhM3JxRWWihfPnaN+ENdgD9+vr+Tv1oF+HQ8Ew tSkeHZvzmQeC52cJLHY1ci9bGYgcXrkquFNbipDs4MYOl9pNhZ1q3zlbuclmqcEh45cmfGUD4huM w9tgSlV8tpkx/lI3IlJCYvNWPsxxciu27P79FChLrEnt2PjLZP5kOUyVK68qNnKriGCwujMjD6c+ qkoMM+qhoXv/fJcP1Ie+EyzvQ0kuWqKQw6wEcrCGh6UF/I2MfrNl9d3SnThfcIWQedrG3iKhVkhe xHV/hbLwlL1wpkjhKgLtBJyLrO92FsjH3bqABpsnZhv9huB2b2I8NMOLcMg43g09mw2PbLTf2sl0 BBFIezvOfNsQJ6tKKx3XfZQ8y3ENVH83vgud05cLzLuuamu3sqLB3r3qGCl4QuMPVsOYHIBL1hIc mTg/bbHlHPjdNWyrY0/lBRiUpQFIMMJh9K/h376Al4vreZT2Zj2816CH+s6M1h9yIgEHzgDBSM3k HGev7kulKcloBFSZkFmCR963OmsKV8+fyLezrAfFrpXU5CZcakur37j1zFXT5KSLLmM0dHAemH0H hhoVb5amvAytoPBSB2ab5hOXwKH0c0sX2UBu/f1+wbhY48N7/5YdkaxmgtLD/r8zNBUrvR9DC9zT e2kP6pCSxTtECoHJgEHkPqYoMu37XTsxPeTSY0Nxi2M/d1FaPS9hsP1QBtZKxiyDpY7jC9pWEDka r2pAD6LpusSoI6XHf1J4woBVKvd4SiiFwWy4q7z6pxvsGJmuC8WKkgkXPgrb34C6bvKDcFgZZWw3 zmwAHYbFaQnhBBYdYkwbIp4EkwKtZCe1YxA9O/+jRCAFDUKAambM6nrmB86hwG3+FAIoO3tyCmwf Gef3IdK/1cX/x3NYA6tBrrOF6Iak05Nwwb/GdLyXJbqycY8V8tJJOOgf1anWBmnF216jrwMFSZtu S8gnqWb256snAidbIlAcJTS4wr6GOiI/qkhdPjwwUw2a471Ow1oyXnbGDqi+24EfAGd7/G6kjhz7 QAoTAO1GRNi1va9qBF8jeyifNfePqT8Nt88Y5LbeGddCJp050+VuXMJWglp3+42M4SQHPjZwQDdR e6SjcnhNWVQZJwrV8QN/8vPsQzBk42IsUrywxa4c8gtHYLK9oQqWbeGMa/X8RroOq4qU7PZxy6ab 3oV3M0UX0nEZO6jSjKg0z0RkAOXGwTmKLbS5ta7vVYG53K+nNIELXSDes5tbqzkeRqzg2GJczXcV uwBr7KeSoeHfEoEH70CA4Vl2zhPrTa9HYoOMplXLfRFFOZR6g1Fo04sFiIIII98u++wOC7Wpy+U9 S/Ssy1O7yd3aw0CwldHpNwOeuXSXi2ichNwzwpjorUQiplnxpH/gKovLsXydZJFNEDjBxa9iqM2x GtDvS7xedb5ddRzu/u4LLWaxsfEbVmpcub/AumCYO49gXwcTEQNp64w3v11DCt1eiK+gKsSHm28p GwGqphqlz9rtaGKNwsd6kRdYKgc4A/qGXCN8PJ0lmorWugmIggKg7ks2y08fDz7vR0GxeBp1uNjB +nB5uVD6hzfczCULSSkijwDvnlEnwsI3ab5e+9Ng6iJ3Tatl5M6CMV3VXr3bLK99Yd+YFYk3uYQ/ 3J8hdEWC78/h2v+eW+C4Y4kdGOdpgSLgu4EoJ7CmwlNwPSsf17kiGcTnGDhcT4fq7lky03QzBADN H6u2kC8XMeFeQhsKWO7dkRL6IpOTaFHECLRJOBXmPTkuMG2reY4S15UIEQEXtfe5lnTVTv8CHvhH KWAKK0MkYkoWVM+yYQ5mrRtMc0vrXvhN3sJy6D+RzfRmwf9n/EUgNDNSZYrwal5NuM20+IXIyXj3 Ewo5uvLuGiywrlF6FvN39zN3tapEScNZdsL77IpFNWjh6c2vxH208LkXQ+D/hsz1QlevFuMX5jx5 bUujUqcRV8uicznbq5KjmUYdiEmKYukuKzem5JtA2IRxdInimjfRU0x2U5HecT4P0b4uzM+XS3VX CPvuLHsj6wSNd1Ls9vn1ji1/WY1L47OUA+dvWxpo33+mZEjT3r/+KjUhOUA/uVSoorcedtX9dSfJ Q0zB7m03A7joooy1j3lcvgSehRh4Y15rQ3XuvzWy/qBked24l0IpIZIoLLfNtWUOEDqj7TjXeVwA TBk3FCFLJK0bf9yLJ/8eivvEnnDpXCGH87/JTwFKhiKdK8jtFinjX/LqUHgI9qr4orJ2i1vBSqW3 X1aXAQlwG7icbVe0lpubh9rGGq++PxKSSU8IsO4AuNIGhoUbLaCPqrlIWRzjyRg6rju2EWacNmBi 95KUm0SigNqsuzLvTs8UL/Vm6fiV/tE3wrWx8XlV5ZqoA9A5D+ECcPQ2+7+h79J+3gGWVQV2AlGO Vlusf/nGvC6pgiwkG8nLgHvWy8r6vmWEOW27JFb+BKYAH2t6fanXys37D27If0HbOEitvYZHKsv/ XyxpN35j2Lg6CdZnHAdE/01IvR28RizNvHJNo6fZoLNIf+oQ8hpDectrUoLOGkLuidd5k7MCWLXi dMeJQCe+PXGSMjKOs/Rfo7YI/CCzZYYsXUjecq1jeQNsfSWlyl2DIfQxTvVLJ49+8etn91dY3dbG 41dNailX6qORRXeifDHTyUW2ETDUcGJ4d/bvlCJaPqCKiyeOVEdDAkOwzdFGf2/S6OWQe6b3KHtx uC8kOkTGLvL4VXW5qmjn/xKZqUHKLR+qU2nw0Zc1/l+qbKz781vV2BfjK9QqXurkqJIGJY3oHhrr PnUc4lQ6e0h/Mle5QYmGFRo/HrahG9D2C3YHRdprlG71v+iNtHpbQQzmn90QGKbougJCOZ2GapNE XH8Q0EJaWW1v9MQPtPyotS1UjZt8AeG2QYgn0K8MgM41Dr4nlxcKsgn3PLIG0WrjE1Ao/tewauzD +ITmFJSU7VhCKgvYidPsK0sFJq1IBtMZpLSflDyYSpRACQYloKNnV8tdIla/NYtn3YYJxChxRJMn RAAq6UJmKYnN6s8IFdTXzwQf6+NXP5s/AwCHg+Z6WtK78UstAZNshScCpqL72b+WoVZ56WFQEe9L /iBts27xoFL7WgdyyjQUWNzRqCiThB8tMTM3j/fkSzs6OaKFXC9chCxmROT19iL/9d522aR9HB8n wiJBwoaxO177Yg57fp0uFpXfuwB+A/p0QMU1yLzw+sYuT30msCLujWTX49S55c3mVnBZrcNPOc+D 8jga7ABjAsqCTD4Cxf5kpUehberjqu/GvntFfq48rvLHf9vSEruZrGS19OMW8cKFgjaCi5dtZvrU RYKegb/zi+s1hunPZRkXK+anFn2ecZrvu4Ey628LFiGtldE+r516x8JI3Fsny+OB/31ludRs5YSU E9QIslWio81if8eJG5wdnTd/GH+nksjbPF9nFLAHFa4I8hwk3eSDP4BVM4c+sMPLve2SRDmyXQsy h3l7L3RMytlEhRnzIlYJpfDpIxV/3dnudd4YzZL8UJxZvtH8eTs0xCnlR0DS/mcclzqnnCUlW+mk EVx8aGYjsaMOt8J86BGrhRlvXe4cm3x8qOoAfGROcTRep3F3F3VWjR9khYd1rP190hkkWhax2aCW XRaOEqD4F1WVUkBT3nQNEeJS5RbyMM8ApjwcYAlzBOmkNlAX7RxNbJ2ufJ8mnAf6N78/6/xowxF4 uM072/+nQupWpINh5qYYnLYL4P6t+DlLFeOxzvOjOgoK1iSUsVHe3q2fZCBfWvutNd1A7JcXkaV6 DIFQXWmlapq/QtdO3UXK `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/dmem.vhd
19
12333
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OJrNPv25gxVf6MOkMLDXm9qPvzcLiFn6cGPtPoJyX0DRSMUs1CiCHluul8VfoMGYUnRu9NzC2pDa fD3Q+Cro6g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OO53+YxV1fz+fdQXiBafTL0TfU0s578DnGOkBDgcp0ZiS8qBHyL1R2PISafYfK37QZ2xP9F0gTav +sG2DKzZYRShUhSDZBSgMOYpY7yZxYTXlswORtjPSorUAG9VDaJFPSJUqemfgu4AY+n/BsniNBx4 zqFaZSDmDQebEViRgn0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qtwd1yFLlmEutFKAPe2eqNz2v7W0I1lWfaUYyRoJyXavTq0FDRoJFjh1vw8Id+dlXsCh4QCKBOe5 q6ztRPULauE2vnffEDrTLD6uStkKikAcWpHaB5kHv8W/IU3+JNz65HQM8j8hOwGUzUSaTQzI6Edd Kua78SuOo2L/RNS2CApKLh4UlLjlkL69KZuDAj8Ds+wPTUwjY2h3tf4V0N6PH8lPAy9xJk9S3EgQ ni8vjkjW6lK8he+zqjEtOf7IEGhelGexSOLg0dP3NDhMEcaxfcI7Zo8kOCl3C+GMy2w3TEyTZkQr 3WrfN9WllC++Z6rNtRNAqHVgNVA7hObPvyuA/w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y5YBoFz+YhLFw0DE8aie27jXEk9zfvZg7zgS29dcVa80RbYJrtSDIAboa1ixJiDhfiME1gY5XfYR MSxbx3I2ZAkTI/5DwNAjKseDEksXdqu1CBQcg+U5NxNg5wWuw+vr6DqkJMxvZoI9BhjAErRu+2EZ DgyTp7XS17TjzQ/Lk3I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block amEW+kSm8JLnUlmLoRCPt0pU7eCAirRawwzTZA3XEOaldjEiNg3FqPsvTGL5ScrzO4MhYsVv9max 1PQJ/lU1FLIUBgG3vy1UPm9QWkUIWp2rve3mDkSCfvDRku+GIP+/ziqovgiDyF46b73fS7Mrb40P ha2QhSaORrSFucLp3v+D7rdh8lKmMq3YY+qxM1KZEpdfbausR1NP2yVxQP/t1g0w2pAjiWQM7wT5 6xmmRvYxl+7EuZQkxaCLozCO1ELg5LiuQuDVfKRWPdTIjtVbbBvnn/eTARAw8sh6+JXXfmhauCWF cGkCTU9noi1D4Z3I/hvgJ8IXztgyejVNBMRBwQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7392) `protect data_block 7/CHN6N2UH1l6E6FCkyUkzICfhByXDc9azx99x+IRkP1jzvnuxkd4l7BZ7L3sZH8PRANNQ6xZilc Vltcg3B8PJA25Tv4iO0HrQDrvVdLy37EhkuoH7lW7VBWZpVqjFnsAlSSexqKKxjpnPH7434xhKDA /6ccROuxfa6rxh6i5XXa8TNQNIUNuxDq6lmj5U/Kk+CbspZYivGgt0Y8WFuWhYAPQgkcJU6ut66P bGbag7OnRmB8HopIkw2YSire6SSsALZ1dqSTyP5ovMsoCdHYiN2Rslv9+sfFSxNjjlwQPn7jcOq8 QWtOt3pPVlmgFF+eHWuOOam8/OlTT1rbt7w+XvLiSr6u1EDZEXHDo6VQwn6opPZoeumlO/Usd5kd OR1NuPkOeoBGWt1Ix3SSdNUfFn5/85DIL1qOFD9JO7aeOOS0odfcizK9jQdSHyZ4/2QkzlePT6GM waEhX8YCgc6dIFVCqU1n6rBNqoe3S+Gk2R3jTHKCt7LbUqFxJ5j7+H3cq74V9GIYD9B0pCDGGQMs thkHF4PgNUfXjhErhYct/2GG3sbSAyRE/lm8MJlPFBYy2WCUrq6o9Xt/vUz1gZUymYjdjX1AHKaB yC3KGUbTPg38j6w2xy3ifpgC5UWP2PKrGLEX+3vuVySq415fXrXJiONR/SU2lWWNroa2r6if1Qdm f2IC7UU2iAyUGfDVOOKww4ZuPAWSw4TuwUo/jHp70uH8Ut1vzY/rcpQ6DGer4QftQDwmfI5dyiY7 ZAj9rsOEYvPEFur23yFIKWL/p2cGpJfXi/dtru72fm7qoPs4IzmLwdxoc6Ux0+Xi7WQgSXI3thTW 6K5Sq0a7kEASLA+BUmlQW1rHV87hdqtpBc08NPgzckCMELtObFidPCfIajvbmI9D61czpwFUoj+8 TkZiBX2RsZeigI+HbEXtJLNg08Nim6lBrftJHrg1k9MutRu022mTMVog86clnIf/oRBnHnlbzJsm qYw4S40aRgu/CfbazZjCAek91QHjH4FY47Js/o7cbBAlnrIPRZLLmqBP8lNRog9584xI6qQw69Sq /PvoIoNGDzfxLgpPEO8upAFt50CjbpRMeYrLGKiB6QJ9UTRpzn+awHFaNAcIYiMYgnAgvRT+6BQ8 qbb2nqkKFq3q7dwRScXzAJ4o6ij44rzrbX3yol0bjq+nb3WJwRniz5Yx8B1GWdGNQdRQHQf1kuf/ qX9IzcLVLIkALqtovwgFrnF1UWaVM7C6zoyS+Y8OeXCQbyFM8kY1CESRwfM2PEMf1DzSr937YEbf r7y/7PW8DFPzJqUfDFBKjNh/A8QB88jiw8D5Q/zt+hJre5PRpJUME+Mdulk/ZQvj9mcW+w8nI900 X2t4gbpmfFJNXyLntPnOekknG92uzQ11NccWz/4ky9weQLHvjh+X9iiLdXSdo08VfT2KP+u1Mmio o/0EXUIGyH5H5T9DSsa+TBJVLEcwFQqi1cyiTkFzsGXEfd1J70m0edCHyq/FJpnXgQ9ro6Wpk0DP 6Urzcr2QjDRgu61M7UiQHf2th89RhZhQI3l2e5DkzZbklPDOOEUgQRUhDOUq75naGIh6dt4f7pxl 9lzn+Lh+G1Mx6AXI6DGqFXhpKOKq0f0xr7QFbz0iSoQzrJxSlKCJj9CJmCUUy1Vy4IBp9njwIddL RX4AruYwpeKeDDRwr2EWj11+NJ9TWY7MfKkIiha9+D6bob2qQnhNTSahNj0oxDB9WUsdHKC37Pgf HWv7QHIyS3LSAAgSGOHLELz9lpfQJT/dNExMWnCzCedKZS2kdPNQBqCSuQg11pe5oN001/dJxCOu GQVQYT2CjWlserXRcPH/bdyg7Dm8bvpTo46pFNRQPOFp0M6xuZpTVCBdml1K/iwSQGW/4LTNc5BN KLi9rBFDmFb1nTl7d4MGNiWmFGZ1PmJbHF07k675AAXyfDzUe5Sj40EW2hWDGzf37zu0PsjL7Jv1 AIXOnCc1dkOHP89Gdfy35Kp6LB+4k+rmt8sjGKUC0qgF8JFs/Rb4t2NdAGrKa7uFn7QK7RCXXtDC 1OoMzUXnAX7i3vLtCQZOb09lAKaDydeaJfvAOemiUDjgVVNluTcxxwKdqUD0CtcYWcmPdrgOHi24 Ufm+4x2vsWjBWnMHTIoBBCebRYg8SKUEYu2WVuxxCyqtg6u/xlvn7xcA1Jl45rDmr2ibOEpNyM8H H1zQLMQTXaUfKJ6sWCy9tfV+anJ2YPuIgYdYjsdFBclrclSOMes59rSOnwjLX8rx1j2SlUr8DuJm P+sImqduhMH8aTXRV8CRfyNC2HxWGOLM7Cxv9yiMal6fLTKDJ3m/e/zPL+OWbt8IOMQAoOA+bvYE oZwHBdzd3eeWwVpTZxIC3OAe3d1+sbio+Bdv+bVL6g53tusqY6H1GEEaE/MQbSGZYHUGfwFEPPeI BEhqAtBPoUUw9sC8832SRFxe9Y7jVKIQpnq/SQ4sXaCw0iMwf0QEBNhq2oKifN+efDmt3vdPTNU0 HVQ+tFPy3kruaS1XnVLUenUkUILSps/2xLXW6gP1mk9p0oodtNP4CCohGVJ2hIS8QKcqERlKDaNd a4lQ2k4bdjHa+Z8049EHNT/vZp/W/PtfsBGSdg9a6E6ZKnvTiNMB/RRtpvYo3p7QH8O6ggRzwfdP QwpvxoMME7GwylQ8MkirSy8E0qtmexuva9OMnbrpMbq+OnI3DiwBk4CFRgp4tobKWmGmvKKkNMMp 2p2ptBZPV60h12HCf9DhTvsNltWuXeG1T45d+XB3cwKyyN3nkGYSVmZc2G3obk/4q5N2kG9mJLwE HytI2C5gJP9Rt/oxhqPTEze2J0V07Sn9clw3QKynuGZ5EV2V3EIZ92vAQAvMiuvY0/42CN59YDpi EDN1FbCPTS//Tu46AhYIvM+5SZ6QGWAnqlHfq1FhYWifihYj3eBWIBVChwypBNeW55HCBN+MhDfu 5VK0rAm5NHCOiH6kRxAAbN0H3/ndng97UsqPlP8ef01xlMh4Q4o9Zb/W8/n/47UvRDt819cZaHVL GaRxm/9BxJrvMVLsTZ0BTiRiWvoXNT4LKbFcNdYHT246nNTwyRow/leBhN2udaBvFufIQwTPxFQC GWKox5YPcuBOqkQ/fXiEnW53z4dw6uGkd2SD5Zd07tNnuACibHEuOInX/4aGBH1vS0gIC3wMNEZO m7Zg4+2GD5AQbD5RmvaJMMpl+p8HrwleWoNIRNNe/ss//4wbB9noO0n7WbKgKcUWovcEuZfjU10r aJTqMy2nA8R3aqApwoc2jwqiWOB9DSvMeu8VQjrwx5/HduaE4nUuh4mq0x8l7l+SYX6jPC/WHmE+ xL/5OpPdNdN988DYGtbzY84+6QzT9R4U5PUT1lVL2lC65RHpFkI2wiFP5B4Z+XMIXNkd+VAxskEc AQ1DDmqLNGQCTq3PjTZ17yKYpe6ApgtzzYoTnj+wX5SXuRyZUtJCDkAtkIMgAts3PLdFjXHttaTC X4JrRHE+ljm57Yp1zJrg/0Orf9KmL5jTySYRodlJYyCm13kTM0F0bUVhE9QeXORh8/YA4NZRxMnG 1SLs5eJaf6FS8BvFgKfNbnWCjQD1PQVD3eDEo8sXLLe90boVDnqLaNlzkyT2klsc5Bl0bi4V+ag1 cwlvX/Yxb4edJe4fEvmhOeO6Dg/B11EPm9QENnowni0dWcWB2kq5GEnU8QnjUd8Ltn8Zocm1HhgO WYzJrURr6gt3PrJrqXNBpHerf8A04MMWV3eA6mp4AzJg7J/Xe88Yng2SrTCzuTB3dt+zyAT2T8tN G4tchM3aSTgEqR8DoLrUimql+XqHZoLbbQ9ZfRZ5wX/icrWHjfjsiUJv+bcM50QOPGEIuuzzu0Zc rLD4fKaTOWnyENfZosfS/k7NFyoaI+gW2ZJcM3BRSGPidk30xc8zSF3X0vLb6xBxUiM9GWklZzcz 28PQytdAqh3G8lFDEfTiPDpIfBm5YUNr+3wta58tBJvq1tHd/w4ssONAG2/udlPVAxCwCqZkhL1P 4YPqsGCwHsj1EF1yH0RM5gtqN1gwjDc7mxDOPFFPv2hPHneHkTn/NDWUu51bElCtjYct8ZokE8Zo B3DVMXhJwsmhyQX8Etk3CxgK2DXCE5dNc9rapR7JwCfT90a+u8e15kpkzdi9cV9a2h9j4MI43LFT TCKeZ0ebCUr3891Af/jFTRF/UOCSBqUiehukRsAXlCyzKpVD35rCs2qY5K++5lMW17u6/pnAazFq EYG9gvZa2m22T4+QsYP8zmVnSzLw1vFE+8JAxMnKePLMVyq4ii43pZ0R8xjNAhdCw90gg2LUrVbT tfbY+PnuNpNvdsAGOyhE9qUWvSDkYQbTyBn5QoPYD2HkFRWsu+iCDjFG5hSpzGR1jwM4dCtj/DOv nmRkHNaLQGH9qoP6xOi6uoZ9TG0XS8P2ACaMu3+Pgp904TdO5EaDphD7U01GlLbhU2X9N3h97fhj 5syrw9mjmsawzIxd9Lehlu8BS3jQcf9iP8nsbOqQYWdRC7YOejIcxjvVJMD/GPPiGFnO100Xk5Br tms7rGq3ptY/TmV/VZHmEbZJ/3ZNImfSqX2TH9LvrnZ7um2nAvyXD/ZWisgjg/yH0EvOIBD+GKgp 6z+0Yyfb2LAZxPEbo2uBGMY38x1hPIgLDZIIXYq+Nd877CbalxjhV/mDHkoOk1w1ajL6egZ6v9BO 9HH05xlhrCAe8rdiIKwE599hp1OQg+VxXeBIK+ZY8fLGnB+45Ms3TW0f9/+oFWzCXpaEUsJvMiQd sDN99S6puB75dnKQlvtStuk1sAIQYZRfDxWOqTc9OnkKPThQ/SnTh0OoEfsTeF++psa6UlKt+wwv 6og1R5PZRbvcwxgsHhDnB3zfwtzIyXqAX0HzbU3Kg6aM3lFVFE/65Q1E+15RFRfVTMfLjTiGorbK ObBM2T38SNPefnCGu/Oqm3yW6pXnGgGLTfUkQl4ARyNHFk86XSepEaJzl+/9ed0vgsWnpNyLgAna aC+QTV04nBkialQYYJ8k+cVMy3UtpTQqTF0ei0eOpjj28OFtzccwtcegUQsyzF/ZwIqk5gmNQgX9 ujG9X0b2YbTM8L5EIkk6xE92hpU37PAfm0LoMztPPOWhqlyzQURab+D3ZSigc5t2gIPqFdp7n6Dl NnNgkuadBlWw7c6fGnX16XnEFuvepCWY7IYmMHNrpRT9Sf8POrav5UJV5LCEcK0hUC4lTGR4xvs+ RRXVSKL9yfWVpKI1UmY218EB+wbzvIVQZDr8gcAc/lCTKRmoFexhRtdGv3Dzd7+jYK4FtqOaQxAM 6aGmEe7jp7WPy2ktWyiBiPaFfhApc9WV1JdLZNfreMQp7ULUx4Vkm3Zn0AyjrbC3/3kjJyQxYKD8 OV5Nd8eTPyRlUyr+ccom8BshhKuvWLw9brJzuSe8fNO7fCyLcCi9A7crDIr+U3s3UyG0bl7VULiO gz5VQ0rm2w58c/9KYRX0HXX8W6lh+efmwfWM34BxESMzoBUZDX/p+lsMCQrQS8Ur1JUMu4IeA2S6 xI4RjvPy5fToit8EjAVVsyUzQpQpoocOrL6AI/DSa7xlqzAyBOHUfnVouz7U7bLl1jhSXQvVb2te N9BKFYtPHDfb65dLEGzyO2hQ5Ei+BQMgLiRJ+UmuEWwcFL5ibZRS+5UikU4zInRFk97Rg1CgVQau qbMfWlfwro9z2Ua32F5GyiEaBLAVHUH7pINQlULTAo7ZN6R/SXRDe9vciTnX2KnG84nMzmBma2zH xZZ91UBs51GmucIeGKJp6GO2TavSwVhtVrg08aCKidResxEwe3UgCjOs0ljjn4W6gbGSbz7QyQIN g8z//RpnoBunhrS6FxqxdR+vET63hkOjf1oVxMCuBCdyCvt9UjvVMOK1ruQCkli8qKoZnNDrdcn2 CixhZR5v8emZH50wU9FLzlqeYDx5ODB8CqF2EPFuU5Lv7aZNOxBrGmyM0QiD6ePPc8ekIrCnV+kp Q5EWm2Fl8yW7w/zqi0hTFymXBDv8HZ1PN5veVw6MKW7baakc0v261M11cZpPX96JoYz2rJo1dmfG 57kHFQEVv76Lep4m9JLXdufYrZVCiIuNUuDWMuO22/mAF2r9ZMte3oxqVjYiLewOfly5BEfGWEHC jGQ7wXF2n0KNaZA6PRI9xlekJ4cT6tUpNW5vpn89Pmz4ZYxdrfJrwf+ngWjbBTQ2AiepFUbOPGlW lOeTc9UjXJUx/jnwxBf7FrpwCNhzJDHDGHTVXMDKiJeQ8RXkeBd6+NyECsJ9WzCO2hmRGX7XkMxS Zij7vCJN7SYp664cIc/vZaTjvYwMyBGHsACOSF9jEQddPHW96tsPEQHdJD+9WX/aYHl/UsrW4uyh ODDUHf/rqmPLJCoQ/KE7s2F6pWUxSAfCTwja8nSaNtpO8LEY/15TlUCvMsa3aBBahp8aGGzpiZgX SzZhCGw7wkepV3k+LCACdWY4wqMoMFEIw3Df1h5xs7QOQLcqkkLD9teOajrS9qoDuXtQ2+5uD48w LCU2IeOBrCLiub43l5nj6BYXwP9J1ufR4RGJB8t6ce2AEPaRBkAOz1xOgj7ZyLy/JjWn7i2pyB5N l3j39FUqMbrOA4V9ORrmw5AvGK2dyg0mxJHzwQ9a9AKjKd6uxk1Wlf3SmFisCzINe+m2qLMAlihG +1acKmd5VmHttqdUv1Cz/JcleQfJvyynJNXFlCWbrgTIbFASiUCCdhPLmLXnmlX9lBEZ7yTunyec w+H8RjfGSsSuJX8Eik94AGAy6crxvG5eUZKDwauw1jyRJpLfz6vzvxhkPQbkS99v4eCwpnn5gyUQ x8FlwoWNfkQpLX7fbUYW4nVUsy2f89K4xK+btkHaIuhNwNMnErve5Sl6ytlV8+jbNqExDWwuvOGi a62BlZ5nZ1ubWTihN4DSqJOAAyOYXLnd/1HSus/scFuRlq1rNGAt8GDU9rFKKrkJBSlmZ7EFp26g +kQrdyhpwDDsfgXM9iI3RUeANOpNVQ2COxchAnYZdwtlkshI7RsWi8sHOsruK5mbtJ0ZHOIiKKYM tqkEFmXF2eB/6FzSCSjsIeoS9j2yBzvYbFVk7mRq404y5oEvSqnLt4rxjJwNBO9gDtRLSf1uAQYS Q2kMNqhbDWFs4XOtvBFwfJuQIDH7dOAr+n9xCqLw6fkyxnIy4bD2G1VkHaWClbuq19RjzMeN9/G6 l/lmHKscmqkmlYxCd+mnv9X/NZdvTzRJxPvfy1HrHg1A+CVUIpM5YrM+wEKHZj7zdXONyxoesyds x6u15wIyRknObXT1adH8O4i/5PM2J2EpHOfa3bb4kk+ESPR7dsg0fLMDvpKrkz/sfajgSFZRogq7 StNGGioHjZcKgcvboMraVc4L0GZ38i65F/50/ETRMjSJatkHnnjBv/f6nsNPNMh1jWHd4wVy2MpZ DHHzmuujpyREW9QCfR8z2e7vAdjloaQ9qDLNiGVVe9s5fWIyd2UpFYQSsiJYfsXKtj1mI0MdfNSd YVGNvOKj5S2NecjaZtjQXIIWTopnmlLbwOuEx73+kyQxso4UGCxBHpbElxf/17tNThP3PDK/EOsr 2766VhJQJpUVj1pFeVOrvIwf2crEJBh0BCv108cESd/3zDMU4mqx+DIjXYDTXLf4gIWuMGchTNdB SH2lINkPsFHIqQaeoa6uFAOx48BqPrakd4KAlhI08al54Y2WMMFlNSpf1o9iitRy1SYDVmObBV1E vYRwbhXOnz1fKfyeONB1QeBOs13wUoMBKIzS3k8bxi/g5uDhXq4aHm6khlhsRdwpxH7nM04Yr4v0 UTvoZbfoPz/wkmZFDGD41NIbbtDh/2nHPPBCocxl3e4dORB7mznvwqezUHaHj1sOQr8ihIEnGI5R Z7ebHqAhdt/nkM2+da7QYC7zz0bezEODRY4/oD+hy/+LFjJ0mZT5hX/ylcjGKUVoTr6uyeA1y9j/ ODLe64plzJzWWDkyirzPi60Oz5hDozPXfxAgicUnS17ub5YTMN1Aj0f+QdrPieNvk42YA4ouM0PV b5BTzA+cYsgNvKvaMa1i2J6XPYROCci+Tv1kvfs9D5Up04vQrl4CyiDyuVc/q5O+DTLpLyeZqbWX 7Wp6Sqc/UudtTcekB/kWGmn6xhm5a/hT/0kL/9+2+kUlnXKwVLB9Fon0KR7D0C+cZg7Iz9kQtKpj QOiXBE4QepAWqBMdTmjI9dE9bJP5wAkPuakE2aYXvOsBpjwL4lMbUUAkgyvL8Nu3vLgJvRLQOvoY IL/mKv20+EBFuszHiXRT2b8mt/RnxkQvBQRJ3l6DDn4Z/ddlZNq4wTtRJp4Q+VCXqoj/atjVKFyv Qf/cpIChxCtsLCSC3H+H2VmLstwMuq7AOlOzAQBmFEYybdBqA0AP+Gc/BfjWA5+qGf+Vdrhvtewp zjK83+PI+usdin3xBiAXJiSCsleRbNxZvEH8PsfmW3+ORjijYWpVQDmoEX/OJT7izKMQ0nHMP2VN Udnj1U/AldJHKD49ckDSYWf6eQVAp1La5xFmcLo+1sqLaQJ9VwKkJSH9NmVUXP5CpBFlMGjjc1UX uBGKCqKhNDNL4gFL7HzVDmsToaJOZk3rs34CWsUGBZqw3m01BxgkhXSkBMLPVjiX3sUoP9GMquMX Rm/DLfi12NcDdMXhqCM8577vKGwvE3JZkNcVAzf7FIlYnzsA8QxqUqfveN7fztBZNPIOl3YNWyLd HXKaZTpdLusl5W2O2J0KmA4EFNZA0UYwHuf+Gt6h24kVhVcFTpULxWF72Os7JviqvZZpP2toO/9u bB5Vn7qMbuCoEvTHitc2Dk4/p3AOu7HfQHYUTWouF7UEhsCPRoJjjUMPJ+jxGh5MvOUdcOYRVp6q LF3zlN7S8K1qIlt6PSOBuDr4K/E1GXOyznRpCAtv5zIctYbtNT6r9OQcucjrZd9qIYt6q6Pu9Nf1 QTDdElKQGxwD4C6ifiqnBgveq6uKJWu4A6WwNe8o2RhzA9iIsoRqNlY96IXbc6ScAHRPo/1g/UTj QoO4p67mAOQ6lFJMp3WKeYD2r9uR1PrpcrQnHMeKhsohFWIlJV4G66ibM2eyZgatqw5aWc2IkmAB LJ3mgEWKpTaQDNCZTQ1ylXrWTZnMQkzzh0nv/rDTjqqQuMXLlWsRI2RIhQEzB6Y0C5EPUc8Qghqz fgThbwHmRk3ht6QqkoBE9jFDTgt8qcwTcoj57Je0uRGxiczafOqqUSF8071yK2XUHJd1i7JIPiso iEzTZOaTf2RhTYKwjHLu2D9LykDalStMFRAOEMAMQNXLBFwBPMz4Tue4KB8eKgaRbbQOKtic5ryy Ga58VKWPhg6sr/PafSb+QmRag7enYe7CwFaOYJ8+BgNun0leGrbXpdWko6MS9FXxwJ5+E727QQBo uHVsNoNV1kWwojmL0PhVX8IS8bJYmNdRS8+ZIP59SzUN2rrh828tR1Zws0FGt/dD07HEe8DKaFic XoFebLyUwMFr0dk3m3kfAaQ73+RswXecCI7EjifYPpl80oA3DL5BehKkIeSNQcj5l92qkeaJFzS8 gbZ23ikMpQZmv8MMU3hdW6Y6lqP95ryBzJsnujsXBtbDTerMJb1AJjRs3UZu8gFv6TxzG0c9yD0t tyOipdiyu9zUVdys+sUU8cmIW8MF6W3V/1XweARPHcxxU1wACGqkE6bhMti14Mte0GqXU+YrRr4a rKtvLpAElW6CSppFRTw2hKXUm+3OQJN1RR11cVaRGxwQJ4gHGnwp9wKdQH6N3RhWMi8/797S465i iCHl7cjRE+YowWsWAzR7JImS3s6MFo7xvPcGa2kLueiRvt5KRP1F `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/builtin_top_v6.vhd
19
52905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hB1MkDF7gDUjtp9+r0pYANUYTDYvtQO1sWNXspOA3ppM8SYB929/qlOMzanhENZQcOQ3aiyEm3Wb ozapXP+k8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nob9JCRq6vcsk9H9VmyBE86hdNvS8BGq2p8Ka7dLN2J7EaHNc5IAaDkHipJixlCbGOjVeeUZyKme HUzNgZTvjzVoRv6O00gQMvGJEhPJ3XxSJAOF+OM+ukp/m/tTtC3aiC1VdkFrdu6+fpapkZIb8cKo kmCmWqIF3vlM9zcrSOg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qx+ritZx2pDvnekLOZeaFDvpDAtmg/hs096HU3U8xSeFyrj9v1CUwvI97hgO9fhp5hx7CLb4dRhp iabDmveFs8T2afhIu9MmAO0ZqxUS0SV94sOYT5DwWoTjy8BTwRuP8Xrs/EEWKwKuWJp/Wjv7M9k+ wpkev7gSf92vj7uOWX6J6ECKwgIRjUGLc/NIrHrXqaq0yVd8j9fP6cvhVKR06OMq6U/6hMqO3Mwi SQI1xdCXs2NXbTiCZKqVDbSBBvTJTo2cH6JXLB+E/g9NyF0e+z7oxCuyReCUVFJ21DVUfLxU3OhZ gXG23tcqWGm/l3ZWHVqrETjEni8mwIO1yFoO4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IXrSnaP8yioZkxR461AE2w19esRr4/fF4dA2RHFQL4fY5TpvMbkL+7RQBJ9eOLT5OFH1DsXcS+My 6KW+sTOsl2ndsfe3ttRCDI7Oeo8joeNZ8xJuwUGdOxtV0ae9PUAaVjkgDttLOomzNLph4uCXW202 bI3eFzZlGpn1iGIKiFQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqW10+NxHcU1vbwMjaJKEOrgcrSi68eS0/IgZB3xPrIkkojO6+T2kz9ISwjr3CN6PcPo+hXCdZn3 Q3TnU/fMPFYF96Bkmhtr7AtYZE8GinVZHXJyKmm5x7dcsR8FyNv3nSOE/XYU/dyZhfnBj9H8LA1H EJZm8T3/SQk6AB6tpXwh7kVAfE+bMsPCp98Fijzd/ynv1FX6O6GWv4CZpIVUKm7Fr8lIGCex7lCq foNktfSIPTqF27RC3UxvVuy2VPf0Ck+rGl7pVu7l375TxqfmSlC5QxbXyTQ1NByeHr2LVJZwC+Xp 5uMCktl5vyr3uh4gEJyZSJlJ7E+uSrhstePVYg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block +O38wPQTjinp7aSSNPtlZhIN9SxS9B2M1vcmifHDcdn+/6TGx+b/MCmoWrnJ1qY4PcCpASOec0rW ipQ40MZq9zgRxjdTSiKnyt31TyPAiflBQ32D/zN1oAbiLWyCzpvhBN5LpsXO47AoxoZZU1KERI+c W2xNqfyXdJ7edQRc668KOl3zuX6YUO0XcPN5O2Ez3M8Ix12FEcYJkRLlCJ+OVoxGTHSXMuFa1MQm TpzBfLyqw6tPFQwjW254guI6HBije2/+ApCmVao4KG8tIYnLJ0syxqkgZhN4HJHu2TAubBgDnLsj zqG1Z/hcRmCe8mjw0XFMQwvvJzl+7HysDherJBaAYKMQAWwEO35+Ehd7pm6Z7MIp+egAvhxyhZkl wbHEvQZ494xeFw/09Ffl6XELO8pO/l8OdYqEKaN51ZM6KDsxLXzwlxmwZcwoP4GGPSMCNP+vHiEb dhuyS9T5MV61UBw67xW4ijEdZBteRynJB/boGyGiAbC5OUrdkI2ZLAhfu3pThPXspBEFHZp/mymg 7PvhGAXj34YQ1fCEFN3cyDhb3xLs36PHp9pcRO7kKpjfMxiIWNmPwCBfEb2O58rTfaxFyGvsayRb hQ9i8Zu4zh+svJKT4SY4Y1KOiwX/qOsTWXrjL7edAO+cKfN7akgLBeF9Ix1otBNX7rww140IFi4J ZdaFqAt8tj6pK5MgonH+JC0vwndwb48bih5xnYWPvB6ScXqzpEHT2HySn3AiL9N/fCbjAvY5D5rg PN+AIF+7IzBRtopCMjai/AKR8P1UIr/Bd/0zLGA3Bpgxne47GFiDIDTxmqkgFEckKxZTKXfW00PJ Mpm5R13ltdSUz1KHboqI1q1AzNzADdba3/FAexlEjo41F1VllRiMQkWvjUcw5i6F1+QjAdfxq1Uk JrY/R+Nm3oV8Iu1UtHhcx0FoNYLVrhbJcj4pm6FRjS4cxrLU/ljT5DYuuT6CWqhC1ZNzZ3pBofVA al5Wb3UBXlrhXPvzmRDatmThOilAzIfD7OliBSvEZOhqln/oniFyfaw/mF7lS+zBQFN7tUz96cEi 1BvCqgafSRk+HdP5lEUMHbrTAxe5yQqGTQVE9mkZpmzBxC7QiL0fDg/wnXRQATfhRXokmRxHeTXH lTfHHCELrsKrb9dm3W2WvfVHkiXphCsio4Tmg9HX5gjUFvz0jgEKIklFG8f0MSlArgz14xVcv6zC Y4IHitm5patGZ/RWurEwsEDhHoUeceNISCZNywwSlVLMoi5Q1K4lHVnT95XwN/8DXbkLzt9VoNdY bDsJhyaLpHnukaPMT2jWJyNvQ3FhgrhLyxgR/7VO3e2m32v8tuDchtuT4qQd6KQo+tGWvw02N8XY E93TvPMwhCc6mSSe0ZmRSL3pHIh6XJK39tTGlEesD6ijv+xfMlyBaMpbR/6JyAyqpXsh4YGe9vYT LD2E8nbiSCg1MLfW25B3/M8N5nfJrREqvcQawG4VUcudcW204W5Bkt/d0M2ThQFrXzdyLJ25Pgt7 /8jh1HC6R8T3sNyo6DVK0w3YXr1Qfs4uogWqaCDAn8Jv+txubLl5w+lyk3ODO7BZLT5GTUPqRBc3 aVIMdOakiy7fymUoTVOCRfR6PY7BVjfvgD3DAIskqvvzUXSmMOYoK5mUC165tdTBZOKOfsDD/ovx DMkTfxVUJARpfTs/G2F4CzQIxgBuoGKzrD4QDVIUUMGd9pC44CvnzJfM3XCobjWs0+CmrRHgv7Ts fnGQr56TtVYqX7QsDNOTsvuLxkKB2wmqTzSHc5++Y1815JTjKQKN/CfnZVcufsqFfjmwjfGJkjZT hp421glThlKL/FrhLyQ5CAIDdPZ73z+xPickTmQEJOb1g7gWq0fa4r0wOgB7yfIS6FQ4CbD+rZVU HKcxZ4Tql4rZxJai63n8BnuhPc6L6Pltz/2xECD2zMbd9GgijSgZXGdUDvhm9G0M6g8ylf9HcDd5 GhnHv7+o3cbL35M1w+6hzFnHg0BG++aVzdSOAP92yYbbVyZxdqew+yuy/tBZMkSaZU8KRjF6ZJsv bknsTVqGuM/FIyHAObFNr9rGT8qZAmQ70aWKDs4p08jQDMbo6/pzEwfPB29yXsg00hcBcW/E2cz2 KXaC94/0XnXwkEBgc5WwfVHx7WJ9IiE3VAfyV4/rBHkwSrBo9Z3gb6oomeR7b2iCLVL/JIOb2lcw CGwnSxbS5QGNxfNXmUyxhoKwru3prbPhCgO3e/9k3ZRWEs89i0eJ8vj+gK1oDCX2bCei+RhzamNa 04rbzUFma6K7f6v4LJx8nreG75tUuqlDlUNAvP9oXzPyZLpmzhNOJlsCDgkCn2l0X4nW4nMpDLYM RsUj5yVZiwX55hZgk0rxPxx5b/8Ssh1jZtnte37MaLnt8pqKHVmRiwkKlWONNvGmI4ZB2lBp8sO8 fzhGyaBGz6YuNbE6w91yu4+Nx8Iix46MO+N3Pc1Cd5GaS8VNvF164kpbqWDfjc/H5y2icrnzmXOq AuyuWL6+0d6kQg5vWti92SNkcKMBAT1GiKDbzgMk4eFpn2qm+CEnA/I9uXBPXBCYDHauMjojbDtK xVDPwQwifEX50tGp2O5QjcaTFqj3pyz2n73efAnwDKOSDjMVl4xDO5FTevINJKJOvLlyjOic7Eox OHlZq8Arxc2XIUpI/AITtQ8+zu4xsX6UAD258WQlhdf9blPRFNkPee0Jiajjg+zuS47zzRq/l5nK 7dgNgmKdM8RbvkKYR8cJTTphD7SxkBRYJqpS8Q/hUeVcZAxiISDYuZUTM1LH1brqHxbByb5ud38k tnXh/ugqFoj6P+6b/8JgRt9JSNUeMlHmu5E34gQ6noHQqD65vzPcoMxLo7bkP4rIKkJJYv0plRci TI7FIVndCjWm+i1c0BgIPj4Oxf9IhRJnankhWLbemT0ZQXe/pHzqXpQpFvdp0GNVqI6QvnKN9g3G n9nF7RtUxAWs8rP+BudGxqL0crMhWUaA9VnSNHxSBpnJLF0jnhMO2LVn1+qNbKisyncuB2bV2nU0 Pd4y8t4PS+/YH34SODNPyF+v5D7pH3s4GD1g3Q1NtiF0rjRM2O5Zits0ehcgvw6Qb8wsjMUQBRUM sWKf6zFzPa6/5yymWl/X3+p5jYqOjOSAxj5/r5H7zbovZLTlWSO70QVUo3ZlQK6dvCTOTFOFuiJ3 vK4lZcC+OrGzPHBh8NO+GBQPrnXBaUcONJKgVgIVMv7RB3OMV5ge3Kdw/dAC8Tps3bsVPoMfe6++ KwtKvdImmm/VhVRymPeveOK2t8Pm5iRSCMKdzVyx1NwPdI+ryD3xc8nOfZ3Eyml3sx/6gkcNst1r Yred71246/weZRtCkF/UPTXGVHX8c9lLyAF/ftGqiXNdxECrYyJdh8xa7LooikJmCH7Vkunqi9lm 12Wbzt+HWlU3Xt8P0maFweRBODKIrRtqBUWTlxHoTfL56+sLoREQXi6odWEkmrnW2MDh66o9AXgs xHQlNvgNWMbCqEd2+VOggOrGL8zP3kT76+hpltJjsC0sztfm0XgttpXHwyr4dtS1RpLRzGIYrtg5 T1Bu/5ek4XwmRZS/Fb+PpofVyRlkireI9z1I1kwj2VbnJB4H0tgblP7cCxz59PXUxhLZaNhnwZOZ 4/llv6YBYbujviBcm8JykB81x8sCkU1NZJ4s5bHMCYUM4mhNXSHmvQYdbAZTAeKfZgyr+sEdO649 vbq4OgQX5PIz5GQK7oOoewFj+gpkkk8UKhEFSXza9J+WSChJPdI4MjGKHEDIkxh8TkL66VtGtsaV daEh9CJiygB07alb+O1nVL2Vs11104jHB/TNJQK3fZrOyKmsUdifKx6AB4FnJNY/BtLrV9tvIVa4 jXrLjlHuzbA/Ynqk+d/ZqiDgHoBfUbhePH8pZpmKgmNOzUJtyru4r5syehGJv0Tz5/j4f9uQvznw 5vkotyr+GBEy4JxHvcjd6p5qjoNB1p93nHvhwHwtJrTxdnMP3vALKcp/ogIwUOZh2EfbvTIlVAfS hZve110CrF6QY+zY0hPIAeEVOveK8zOkbZuHo0s0/56wPymF6fS9R62rgBNVZ37TXQ6zSJmZvU8j 01ZHufvR9bENSliS5hV8bcZRbhabWhxnRXa1iFKpkYIQEVljbQP4bXyNZFRh2fbUA+DjOi9OxPez 4qfYI/+85W62TQCPeoyQbVhb3C575FLd4YkjkepWysv2/5inKwShccdOaCI+nmoHgce48lYbBD2x HD6FkOAX6awV+fCEn5GfzbK9z85mmKhNTSCzuGOzBUUHvdT9aAmsqmHPDgtzsJApdXGE7uEN1PJH +u+jP0DxbFcLB+zAG/tMSb1Uc1Dubu+AkWMUeFz30VhXKQ/bgTO4nNdMwLBWliyY0JMDwdbmNh8O AFS3oS8e+7I6vdFiJwmOh8VuW0S0NOyQR5kPg/Up7CnK/s0aPnT5KP6D/FCUiWks91wH+p8rJiQZ gZIxRrV0wjh4oKBI1YR73+msg73fDlo7ThKY1XAAF3rLFH46mtuh5HpTnUYrvwv177WiPUYUcNF9 EsHHsH/W6DRDXt+Luq0lV37SgjucONxulPHBYFqKLA393sJMUP+GFXbROvTwpbEaqKqSo/oZsMyu eTNEXgMSJYji+TPGvKVInpR6/3oqpb7VFnrnAwWac9zWnXOD1DzDqtbP+22ceTBaRyrWcQYzxCob m9bY1rtQ5hG2QJehg+3OC2qutWT0FRPs9ETJE/NhLySfWYWqmSgaAJtbXPYwoHBSEnd8I7e/OFMI tifjGb1wTCIwxIBwFWxOJyqz7M9aUlwvRqvPyf3xouHi81wl3F6RafmlS0358ZS12tkTdIQRyWcu Tpyd4HPEAADrI6CfuXAWIfJOWEkqCDit9sbq5JzHIH9fRUXl5c4KHiyQe586VBRC30QTIaMwpviK EiPLJvIb6zvHJ+mEOA/IzDxElsvAiv17SwuI5Wi7Lc/oOGp+5OI7YZKe5RpGeat1btLyhHzbL50v r8E94aeiegPh0ypQVqAx0qsVIhuZi4fmTco2IzIhWbmqoxa5X0eLI1KkLc4ULVytq0Kcn5N81Kbo e/UkR6+b38yIqKrolVwyn0WnELYCykv1Y30+j7RjprVKph/4JNR9PatH8FjqINDwrPJ+Pzh7xZZE M/pa3c9/cX+Fv7QFZmeZTMvtB5vflTstnwKLFMC/0AxHme28L9DPfhbvt9UhoaQixWcP1EQRgQpy FqM/j69nFNzR99fWyhcuuPX2wge4XxB1IYAsxtthCe9k9PYYoeE5rpZYEWw2oW0N8YEcI55mK2cS UhpykU/4jW5tzxK1pljdty9XUPY8h4HrNA8TPNKJM58W9Mdg8WEVAg4Jrpf0KNHNuaVKoJFNyqi1 NuhGF7NvBpm9AhLu9Q+7MuDnC3hS8htkQwV3ztC+SrN6fl1K02HH5JZbWYfBIhwzGnf7huKnbfQd dSTY2nW+bvV0zYhnCa+T6oQumgycieZx5/GS8QQHV334Xt+dA9OPvbb6UBVr6fN2NcnKVUyAcgZL pvKBBKd/qVP1BjJdnb8tV3zUj/b90TvhRDP1jHRZQqQSZM0kqFOmTLmAxTjLi8qk1SZ5/CGRklum crI0gC9GpyVjl8CVQlZLgpnICsaYrhJk/nfwS8Z2AlmS1QlP0cI2waaXS8BatzO4RALn8bol7Na5 elgfu415U1O6mO2QhdpTi+2J4nTF7QpVqITXzEMZ2mt3qtzggjiGcDGjTtuQolUlBwuYnQC9qavx Rt3mOUQJ+/9eIDoEA8mYOr96x12qhvxzUy6WTvS8t1jRkth+2/RHnyiTkh8V2ZhmplU6umDi4kWe xOHk6Gjz19zWcfK8a3Zuigjlbmc7m8rZs0chBLoTFf7Hj6eS3a6Ub49hF4W8U+YQwDoRDlVLHUno gBpTl8rk+IekMdnUKnd4E7Dbk59fDvn8j0940lMwcN3I6lKGsvPiJ4+dFARtUfdNPRx4PO2W57g0 cQKv4c4kJSk6nStWRmGUQrxgx7J1Ckq2r9dMXN4U5d8kzpot608Mtwon+cBCmRJVfL7darHAUwVy aPCCdz7u9dcKV2i2nGR2TznOxax7F0hOeVXBw0y9zrdqAZe156wvR0WXaB3kridhFqYRruutJuO3 W7NV7mfT9x5IMJF9eklQ04Jpi8omhaWxJh1Rc8clp2oKC1ioqyyAv7DGGJBzPUx8oxiGb1rsx+3k pLLuj8mAoGSwI7XuOA1RcMXyjfCbQLozYLkrGSA+wB2/PAW6SzncjHbV0OKV2Jr09Az6KArolyqP EkXunH6EU2YhwxWLyMdGFPFLpnM8qrD434qNZTTW+mMD3U1AhLKW1IW5L0uyKZjPfDCLyEB6Q1dz c3khUB9mJQMftM5gxAJtthvBURXfKvCC8o4skgVhnZy9IAIJaDADRaXvrdaTdlF3Lehw+eZSLay8 tCFa2y8WwKyyZTRE8BgMBTwn/vrvIajQvG5ZYYt1aSvFfemdvfwTpqtavEoOSkqLlzjDr5XtOhGZ Ck2GhOpyy6U7CXXGpCNyiI9e/ioEhN6K5ZfzXruSx0Zfv4mlVVdvhfePnTeraGN3bmlgBMkNqG94 3JxaFK22uBOKMgIDAS8Hp7/6q5AqcPEh2zAE8A6/JrGtycyWMPAQMGpIp7++Vn3l7/uf+znB9lOK wv1DU6PCOqQp1C+jix+JUsTARknfA3i8KL9aV6qypH/C23c7Zqe6aSV4sFzvn81CtdSMOQ4htzej lzQdVVC1aeC2Vmq6xGAOBmUtOmZfPoN4X0owmaDYlUtj+y5Msd5AJCIMQlB47TN/Tn4TWR7vCD4U 5Sb3TaFkRdix1rS4vEZN4we+rTmEXd7l0su9k/PwBvJvSqfNcEvdzBieA4edQ7r6ovHBdwhCjktZ 5rY3hH971GNSzT6kbHuKbNGWzmGlceMiqSsCseVENo44JjUU9VZaxe+BoP+VF6P5cZMWC9v6gH5L K3TvOG6NWpuWR2xrQG7Rh1pigTak3gFOL/i1/zrAvi4o8VrGjn7HPm/LtI562FE7hAFDmDEeXoq5 5TCURUxz9ONdz35bq03zCoMOMXgXvY6pK5I5PvV/16G3aFxaHiY8zpwFxNtWbwxSt03mrAYssMYG p68m14Hd+7iW4GQonEcb06WIZ5rWO2wvkSnhOUhZCkl8wzItFIIoqz2RjJiNYbDtYO4Aw/5GucKA hoTfXcJQ7AVbWzD1ZErqRafHN6O1AfPMP07czHZIzvT4tEp9/qtlDeYnLpScYMe0jzm3c/ufa8nP k6phFWW/UTgKm/H6WVogKTCULduBHs22Xg6/JaulPvTjdM29sXhSn5/Ff/C/hG+Y816EXkp9ahUl ueeoV6hH0zZHsRtxzDk8NRKNLjv8nGW2r4d/CIzZRTnH+INO5diNk19/OsqsTpjc2GnUYjQPmg+o 8VbuET9Tve5oBxdkJH81Q9wTrjiwKevU0D/AxeieXN+cXyQY1hHHkB6VTjiR5rh4KqyvbwgG4kJv 2yKN/KaLpOGkIODq52VenLpjoMHxSDXg8qRnt1nsBJHf2YeNop7KjY9ZH1SAoWrBJed7QcumI7Fi 6P1yKYYPVv3XPlT99OlzLnxWDjfCdADEo4ViMX7SoHSPR34Rmzj4qE2p+bkGavnVbkw83d8wl4fh twehChsGheZqnhFMMg/2oUYzNCGixM9NCOoHXBEDoOfSpPlDNHhLiYWl59+eTLUhFQcLSFRTfzU5 HmYow4dxHaBGVwJQSwm2ktqHV1U+FD24LoDZf/eUf0bBrlD3zru2GUHp3I7ciuxW73HANc7F4Snx zb8KOdm5UPUKDu3Xj8q6I7wTGCN2sjJ9msWFLqwP9BG3OjVLbr8zLIfLxCPO1Vb7KT0+ec5BJzTx xoiPPv9ioK1OYwta3cgPvzwRrdONl8rZjpIZj121FMiY84F1VKNXi+ojnvO6Qkx36dhJCvEsD0Bp GqWQqd0ZLub8FY/PThMdk3J98kh+Mvn+CIPXmqhRrcvlQJVLdXyU2JHwHT8faCvQZ16u8mmZsn4N jVrEwL3IpBb3OMUIwhOkgJ3fdSv6xpqtxDSBwuws28sEYzrkalNALfGgBbUn91mGQn+r8ApAPBEl t+OxSjHSZnVBSPtVjlw0K850931umLanNeG0OOId5mh8ZbeoQNZtt49sSCqTjvWMb5M4HoCT5545 P4uDngD/Zpj++2MP41iEtldBDOzIDXuB4JLWDwkkIoAaU4EhoYh+NRF+cNPHNieY73aPpx5aP0P7 xtRdUNAHyuQIc9X395KvT9gI9vCHcZWKVMmo4CWz5+X7EpX952daNx6Bg0KqgztgQsy1Dk3pHn/S zZJXv2xbxAVplfGDc8bRRInIwtVAVriXpA+kpC3I0BzzDNKfcvLtmmtcd8po5lWa7w3p1iLRKSqo OrHjWf/PIaQR4uM+Mvk9ZgzBCgz+ACzg5KKTJhhym3OipTSSvg/i81ZvJ7lu5M3YIYOkTKcHp0f/ i/zBiCVEymE+IDQd7ls22iTpn45VLCmx7A39+yDM8EeWZeVmt3rv1ALWbcBlRc6w3PjWJXEDhkeV WpJVndjtR2jDPwPho2wNVh15BFuxe9vUrtQHf4gf4ctTuzx+kql6xcm1bmxRmro6ah+GEi4V0HE7 sqLpIe2qSN4ltgzGtkiK9vtKLTsKzzO9t9hTt47xfUB3g4sVvmDPQ5AM/0Qu6D+9741LFOIGrrsm hQcX869TmcZbKcEpwxiIvURuYE0YzjT1lePzhS1EZYRmmyrNjyUemaPH7zZXuUO1dw2UmYf9Ti3C ayBdGsxAhMrRJd2vYIepjf1lsBZHSLRKs9GF7fBqN3jULOYoBV4JsNdcIsMSxj3M7hkGURzwiG2f DxG/oU01jx6ykpu2sW6dGGcDk0y0wRIrkTBHznblhCW3zVCCpV1c9biEwOf73kVgpuPktolH1Hev MdBCFW3NJ1dHVVG1kSU/l8FXw2KofPZSof1kOSEFeQBeW7yPLq54q0DA0S6ObDY7OcZcirQ1Jhuq 2shlGgBT/i+ITI+xpyf+oNvMa5enrPY4nxWy8CzJRisyqmV49sciTKfJi3SVdYkANdLTkXf1l94T k76t6kdZaPL9kxFBGwoeA1+gmhdOlzoFWlqEB/fWndyxrULv6dYVmjbWTENOU3khgfdyBYou5mvp 8AUjE5HRYGFtYr4pfoYvvu1F+x87BJfjqtIVrYjRLYWr+kV/iCpzyuqoiAiMClnE8mfQ5zO5Tgck E7vfc6ZydyjukhiNqNRm2qppuVpQ0crppM8TKjewGfoAwUn+eIXQKTuijciYv/Ohqbci6PzY28Ba DMXnsIk5CCiXSRq4Gir++4a92gjWymqlMd720HnC4TqTi++Yqf7/nnp0mVuY01JqDjCXufHRAr1r iYgJnPLqjLzTPWuSH3ZQR+KQhkdwmNCZq4gBGftlB/UYHgOjFfiOtWrlTgbtlDOkShITmDFMwkNO I94pLTBOUHFss513C9vx4UITu508KArnX5skMy4JVb2Pw9cDPHhBNMkjK8F97uy0LtB21Pi5AjCJ 8UoFKmVtSpg+McLUuOyYYiuoJHXHHH24mjpYDufjlCvqaol1PL5e/GQZ6tnYwy2U5CHoqT0ErgJy ZzAutI5bZoDBo4SBNiMzmwmbsRzUZzrU2eeCP04+i1WKxF+7Wp3k96u8Y4fOEKXlZednkUqXPs7I Zikp0RGZ0zbBtFC85VacOIWVHJnqcDZNULLKhqfdMyHgI/YC7Xs3HDCVVRoIhZj9acx3HkLGgh/Q I5B4j9XQg196ZHLMvvoiLcXHAmj4hb9xTqgPZt7JcMGNuCvrwibVZaiuliL0zLre/trvKJLj5FOr FRPn40aTqGqVA+BtxfyglhpU1HCwlNXXKGas4tOVLwftGemAjF8FYHtnP7B6kdzTvR0dekJWjOC4 XtFUl3rCK7HKT6rbZv82ARdDrjQmeIagKE8OxQBSuvlxQLhYJHMJeJYUXHyrqxnf9NQfKxVgZmhz xpM039Hf25J8PgGb/KUQHvfNQGVQj1VBTfX4QRm82uXMyeG0UiQMUDW9ma/qUzgLmZb0Ylw6h9Cs 4MgMt7muTa3Bj7RDPpxfjFagCNg0hTl1pHiA4NAWlBoN4T8cXzTA3u5buaK982l2fdrDTrhFzE0i blD/8CiFy7hGUuADC7scrtqhQX/fE6/sQ2xPFaHqB2kkBd66wb+zsDz24LLNyOUid0gVdZd+j5z1 y1PsiQOcl0oW1/fm64w7Wawqd4ictANBaAaMvhonZhTG6sn8dGzow2F6k+Hnort1z0FbhbBKuRfG l8GFcGICKCTsfbhWegGMNLzlul2rwq8UJZ7a6Jz1VYkjvHnB3VVDLA9WUVVgdESOju1V2muLI2He +8qnspky8J5y7rwmWKJgzLfiXV4ddH1DrQ9Nh6XtVNby5pb+UpRyomARzFrH/FNxaBiax7W+aAVc N2C0z4aiN+Eo39OzDYomksvPofSgq1ea+P+wsN+aM8gb1ZpDckRRmRuRA+7O5iFJ5I8+Qr71nZGa aE2hUNs9P1HTaVVleLgkE4r84FnJSdoyT5g+O67C8uZ/njkoWaSbfseFmyIklUhcr9SLM07zbKu6 XRCorPmLZ+RoBqSHZa/xUEekcmLCmT0FFuqziYatYl1gvgusH1UFLmehvOsD4+9RCco2BZ6n2MuI h8ZX168EDRm4EW7yGUucOKdCf8d2cu3+tGkS1xgzD0cVbEngYmuRS0Emz+L9PuwbEiTc374lIZc0 qqFKDs/rKQUtwdeEy8eXzWH2z6dVEVyDoJmX9x/I3/w+Mm/IP95GDn0xp4eMF3/ZECdJ/ibcyfUP +mxkueUVMe8lq55XTJOVYj50cw4eCdkdNWIqToEKenKKaVGS5J9BN2LV4eqUKiPGcW86TuIQ2JI9 AZZXvSpAymkWPXhfdP5STNfrGNBzEz0ADW93QUEDTrVZWs+nrOOaL/G7ly6q7/i1yl6Ty0lDhe8Q k4l7ZcVyGR614X28TkTsqvbU6oGqjVw7W80EqANdMNbqvLWrYT0JBZ3cw/wbc/zqz60VBI2Fqlf3 BA4wBlPfSePXi4LMqmDYbBt2GJKsFKcFzjzlmmHNh7rtZDdmQ6HIS40VTvBvbhMFnMZKOY6mpvrC ZeroPs2auh7DJFhjAr8z+XT3ZMtbUYTaXf3+/KlW0iTEEqLgrdhTxD+rb0I8voLY+jDmP2LbtWdX 4VZ8jAqX8kzw/IXezbF8R22DfiDxHjaY1a+7KnyUZSsrQ391NkX5OW3vX39PWeMm800wmmVnwelZ 8GNWeUqbct+vATMot7l+RsN6oubKLNFtDLzI6lm4dDq4PGF4yoj2FGNEnEM5iC8WykGT0Z0BLXT7 WkUjC4iulkWPE37ydOgqCnDXz+rVMs6D0DPqLeiEZ44VAORXTxgxTxeJshqzLjj9F6x8etIhFJC5 XdMhPOUDfc6rqGJ+Y3De1BNlw2xS9WMTy1SKvMj/2PxoSDZqLqVFG9IwEjGD4bMya8HZhickW4G9 5HV3a1BYVhqosz2OQIGJjjwHbMhoHlDXT6xNaCif5tU5wCIuY8PRNdeCVYfoSn8elWnxtFd5ggJ2 P95JhptkDgC9snsas45cEmQbA/NxwiGlpBQpPdmQwWdtg2gAHla48OZWfAUYMvnF3qmTQ9XXUrur b6Oz6J39tue6hzFmW/N7sSUebkrcUYND7RpUqF3Q7bAnNn3KjizvkVAHb/LaQyacJmU9XJTfIDik lbg/VQ0iFnY4S715AhxfbZPL5j8vF2YBibQH5MvzSdWKnYO6pGdUhhui1dM19l0cnR+D6XRab5sA FOdFyKnKn7Q7BvcrHTBlsG/72zCDa4Jn6qG9iJXGZxRcI4Qez80SFYiacvNPxA6dBmNRb3jGVv+a i45qoO/EstWY5AQT1JC7qelzIuoPs8wxGUhH6LPYayMaDKEILEDTCCvR32jHeHKEnCDCQvr+2WTn oCWBgH9vf543Zjar0h2LQcGz6iY4mSXCGw241DUUoqmKGtBZcyzqh8GAMT1FaN23DoFh/pPuQi5Z Xzr42TmTO4LXJV81/m5vefCBU/4ajYyZx95ThpFwVvXSw+C/qtMy6FAUU8GwrdwkB4WMIJ44PQh1 PiK17DGsDoklTdRdWkFYHaHj+v34yLh7NsELyqsHYe2jd3HhYkqVbv7zXWaR2bosAGziNIl/vf9A 48p+K4xrSis4+lEQ26S3K6GIX4++22RFwVCbvSZ4GOv8vmqarqo5zYFaWaAmOIj7O1aoNzSjvkiZ Z7GzS2O240B9b5qpx+r5uQprT5iKFeVpte+tuaXiirQl4IO5y0ycdYBmYeUj/lcoBzwuOBgPWnPv nuHcL0YjCnf/O7v2Lhpx6kHh/I7pUHtcd8jrNh3T69qRy5avu/1zn4qxZ/MYPZHhHDiGhQ5VUv9g rveEqj2+aHlD5PiIGJLX6sd0VUOANoHtpS5MXoVMrUTT+A6bPKKOlSdle3UNy/LL4QHPBocUMJVA 3Ne3omqt3nRPBba2NtPDyAmRddC9J+Rw9RziDf099H4/mkG8YgwVOxll0iNhNKSwEwjDa7ZEvJIh efZscnxmPVtuddXterrPoYsbHtRIbmeLWlJdiOyMZkhEI4+xrw4uIGZY7gW+ZoI2x/tuQhIWVPB/ QgxAS6wvx4A7PdCVXz+VTLGFKGTPIHEZICJCpponeNdynAhsE2hFIbiMuGTeyHGOZdzsIcb13pln FK6ssfy/ElT1/UAWtUJK91VtIETvJqcIwBUOiCZ6kqmcT16lPgdhPfFA8z95Nw/L2+Q2q7CWNCPf lXFyQvWrkXWsySJvbNvCj4wT+nFugofrNAp9tch/XfVPBkpIPtzRhHhWVLx3EiPCaN/6BdJ+MEyq ZuOAm/7htJaL+mB6S2I8W+7EYJRz7XZlC8npDNPmedyDZ/6qD68Jlzl1Bx7aQCRPsMZppc7VeMDV ZkGZ4fdDUg1IFSC8wsOwW3fRWow6inDO4yO5LWd6A1xWS06GO9+KRDsGUK7mXb5CXAuXpApTGNFa xszdD5dxoLLDTe/D/AYtAhBNzNFwQ+27f1Jl5YfeeJcsP0gjXgkBs4A5DtsIDHgVWYeTuDPmyU7M vP0JmcW+NvrVrxYWI3OC/KxWaxynCG1w4u0gjRfs8iE6oqnEfVARd/6UoYdlPy+Z7+aKCDAvGG4P QlapaZ9v+3Mt611/2F1dCK/NVgsu59p5uV1F+GCaGLdj4ZJZcjc48T4ZC0Z7JmB8EhGoQ+1Imr4M n5NLkyxwMeDTYwTpiKolXyBMDkrWMxWRcqKy2bPy381klSyIhQAZ1XnW5DK3u1LRRqUKy2lVQq/W iSeBn8+1YSy4KuN0WFTLOAD+VQVTypi2SPiUkDAoPQxFrpUner/2BQjXrx3BtWHl6QuNIaoBfMsG jC7OVA3uFEyuRf8M/JuOO10htHm+ZQHKQENUlpg0VlEUc6T+mCsOK0Vb/HIYSLAavOjN3DQQA4PZ RBxaUXbH9ihv9BQ1t6chutxbsxlwqM5CUkF2Bfev3Av8A5IiKxhPSUT2IsYVZtzvp3sKA75N7XCI B0iBv1RbkAKP9EtjzzotHWFetgH0zuTOi5HpFW0v1e7ZGujs3W35UMhminB143I3V6V2lwyLVpOF ryDAkpNKXMyjKXxHDCx7tKZx/yQ8Gym5H3pNDVjn7OCzKUUrmhRW8zuw5PEPghW4LTl5mjpfSOcM FMyv8bGXVoHb+ZhcoBlshxqd/WAGh5ho+rEmMzJYnXsOaZa04jcFnMwDbE04JUrcqmJUk1pV3AMZ vPe8oALKF4bscEsET7Lk/PNb4ogPhoQyMNCGi3tYSkqPoexJFQsVKnwYTbdtdMe4REF0bmxmQPQa aS0sWFQxG9QQUiwrNBGVGc4b4g1V7UAgVjI8rEt/BmMe+4YEdjjgvLvZU1fTPq42q+WQ6s6JUN6o dmSu3wqjCwolUOYM6geMVjx5VBqZyNq7olAG6ipCOsubHGS8N/yILOvCAeXKct7E8g3VW+kw51bz Mrtf8KNho+aXhvmxMnqxiBdNZGGqfJGFtvpUtyEtTra5I8eWEbPJF1WhKciuFW3gtN7sQ7CfKEOA QQW8oWbY56WgihagQz8jCunM1uYVtjdA6Fv9kjdT0UkuiFHVMMW7snbK6YVr89BFgj1nv2c2+sBa 9vKzZW2+oJty7nSkdNqtqZlsUm6WpPaq+suiZyFGcls3mCM3pTN8qvgwnFtIYmeF6Wg6WLmk7Kyx izeCtcZYvRtTT1E/K0ZYzWhBiEV8MHSE7nXyk5paItIJ3hleEctPE9ti8g8goh2mQQ9mGUbjOL8N JNGJpket1EItRY9Tk60o99IRLWwA7zrP4uIzsVvqReHPL5k1vmqPu904ZsIFws1EJnc9VXx5PVwW l0JR/bKaOWJ5aIs78Yy+bVmJ8WWdJwZIuxANMM4JEuxzGJB8oxuJdrXrzSWGMjjtYRp/PD9HWYGF d01itofKirNqgpezPl4Q+eHBqhcylCegS+6t85ToDxDEdowkqUhVaENk3J5sXczoO9aDvaSUmfOP pWkLtqr0wscI2RR9xSOiKwINblu2ILVqa9f4P35jg+gcPn0QPsGZaRshOoc+IkUnf59CUub5TBNk b38dEZ6Kdn7DS98YXhRrq03k+fWptSLB/gFaA1NV0uE1XaHrD23IDyiiLBrvrcs9OSwnLq1TOxV7 9gOQT2ILX2u7X0QzGxYNDzNh90aJDO91xYcjYZks3VRKljhTYqb7ANeaEi+9TPDO2olShb/IK0Ui Qaz9rWlfUv8UEgLi1lR0ksM+z550vw7Al3VR+ULHUiU5tGrH74UTcVo/BIFcdb0eU5sxBXsbbKqZ KlMLm91wMNawP1X/uQd03cqsa/Fv9GH8OChlK0jPUIgFVyhWljOk4wj2KNqjIYeH7XVUTDH67R8v ijfXNIfWFH6zX1gdnNEM6kPYxauPkGj28QgUZjMGDrQ+qvBUzW1YwQ2DhpKnHOEN12HxMkCxutrc jvm7tn47+ZQrDUS0glwiEdPoKO1jT9ls4ZGIAo84CkL7iWekwipgfl0OlNivsPyyTDXQdJ1EMkGi euySOfoMt8NsArOsBtTkaFrDMGDbd3FqylfECnduN2s1hOmxyRb4WpnD9GLBNYcKnLgNEsrtS95W rZdLa1wYkYzm34G3iEhCJQczVqj6z7wftyETpN2PpdjITVBn0y2u46++LgX6MmRmOv5plkRmhuJm 0Wbxqli9Gs0x78+/6lOlyTTHFJgqr9daZ+Neiey18RWjtWtbAX0Ay/JYY4Aj5pxbCcBI0e1W+8Gn qhY8mhkSR5c142ZFHXkFtJ0oJdBXwFwUjxHQ1GvL5aLhpLHh4sp6dIpWpKp0XBVKbfWURpVKDv7J 5nyNUleUdQ4IOhlbXKixQ/Vj7uI+b/68PceJ2LVJtLfinWIEPDgSYav8rQMBMjinKD6x/GegFau5 nt1fMH5JbrQloIA9XWptWf5qh1zLiXy36QGPX/c1/U8CH0TLtvIDAFrUF4huUDjcXQBqAx/VxXda zBMcQfIVXZi028dUA0VUF4gv361mBpYycyPxBPm75DjgoevyRrbJ+lsPUgprzS+cpSuMgPoIBHtC m0VgiH6/WPEWgHczcmy9XaIVEoIQ39wjJasr163plSjATCpl485+Do5DUURtxeIVGgVB8LpkamwH 1iXuVCStEdwKVhGP9/2LqssQ/Af9oWJfKy/ShmtgGBlGtyRuLXQ1RdDNrfkpHVJLodbqY9FixWvq x81AemLZixhnjULRvEvOWP6qe4M1f7wUbr7rbTE/GKxnervzWtC0Zo1pOweNlyc/hZySj1P1SmG1 avmbsffTg8+Vm5F85SVVvbHqSpacX+h6gmImTdTIGC0ZAQbMmBBPRQoruiQp+LFVcXZsNiEEETnV LR4/ldY+X6c6uYSxmgTBKJFGfvdqYupMR5iFja0JWFAZIA0Kh2lOvza9NKOdRrwqi2+UuHPmKhgT hNDr3JlP5YnzDqmk0xuGT0pv7ikbv5QFuqRShvagxzo//JqrI0/XqKt/j5b9qZNcyigVijbKonjX CYwoN1HLt2+ZacYcmHYXT8RrT9Hi8OI8MNMOPJynsoHpAErnoUkeJBu3oEhsOaYxTDzRgsR286Rx pqL4Bb3vF/OZI4k4qIws/1bcmq4oG/fBCkq3GuprSdEYiCc8HuH5UayS6xzhnmPensiLNRR79DMO 7q7jfAJ5XXwNao0gIzU3p+QCsKVygMxV4eBMmGCUUsWtEsA0KaVqClwVrpyI2asYSBT2USuPpJqq JIsiEuKgIKsMC+goRvNKhpasatvrfOPsFvEJTrWxocdCxDc3MQg5LEYHakgaVMqQph2GeLmiMONx WRTh351GCViO/os/ogv/Lv58Qocp84U0p0RNG8Cg1tMz9jy1iuyjgfFLVJyPwPCPQfmfoEPHbiEy m6Bo9qNMg9gC4phcwsirkm3e5+4jKkggcKJc2YBL//g/3uV7kJzas1lp7r/ijMsTargZc5VBlRgz OBQ5+7cpzSECYj7FU3gihPvFvS0aUWIiQv5wfi2NwbOQkaRN0rUc8RgawGLLFi/UZGeDKzmfmHc9 iBBsoAaMwQ0x1avNBKXONkCrWnjqwjA7e//GEbVOxiaAaShS9HFdbiNgBZbwDO49ZAQhXnSbzbPX wdwcbDA8OvljTVJyqbwhTWq3mbBA8Hm53X5O1nilra/ue9URFwjXF/SF5iqsSzH/oi6D1F0WqH97 5MdUfAaVncpClVn13C62SdPt4iqaIfJDvgFIyQU/sYQRXTvhaZOE0OeuqT5VDsrqyKo9v0EYhqiX A1gWNfPAPTeEwNbrpHipt8YenG4Q1jw8vXWqhgCZO5FeM8r5eKYviWBAlxTRTEkrHw7Ag8WfRFuI MSsTq7ktNPnUyqQ64TuWr6SnLXz/t70hjZ0ARZoS+xMlzr+5lUwGR8YYpoUnpRt4lfPjYZ2Hv9jU Pl/SiX7QD8Y2Pc/J41fqAC+ZyvH+aGG6eyyX/2UF7iufvwnetbMU0bKLfgtX0U13v/OTKUfHyy09 5nMb60T6NhhIDF9iJ5X56oOY+glrGRM80U35rbpXovbhpd/ttiMytrUSPhg5ixllJAffocy2RCR0 bVRGIfF9MYLrk1slCKn/wwZD9XGCJfqMjGRC4lCNnmIw/2qnFhN7lGTsaUMUSlAWKnCM9+sjMV7F +LEqoHa84l75a3raAWBFA50bNuvpytgHxNqB6Ssh+8DV69R6EA+uy32YYN7XTdCNxM768RUEtdZE PywXqnCFs1plvoWNo2EuGbdu4UHqNQPw2vDghYX3Umj5iXZ71N2vwaA2EmBkeay1ft1irOJwRkxb KlE+861MT4qflcpZSEcIJnmKtv4RSp/nFqfKTJzRCPx5qWSZbRxB+dJNRJzwAJDXtxmVFYoVMMfr qQx9cYWB1rnuzGuD75FetofQX5vZ7YKmX0JQMJGjKep1gkoUxuWWCD1fDkhghC5P1oF0nrSUj+Me 2nHZpIF2c5WLDLNNXDn8xesNKLYQKl/wXRkp2ULp88XdRVGwDCvd2PLiGWv8fjktl//lIPBJKq6K iGc1lX52xlEeAv1jrd7nRyo6hTKFeOOUabM6kJISoeeg1qRIgoTWnd/m5veKAWaqOowHrhWiPauH tBEvn9bhyKOlrFlHSBk8S0fHrbseGX/iyznUgqpyVAmwek+Fym/LEaPWTVJ73ekjTFBIwCsVoMJv oAAlzR2IibeNU0w8JzM2T/LZ0FJcAdLYxYXbt1kr2QbExnodEqOzdv/klJWu/WFcyzBTICg4AMcZ SJqRYFORz/uD/tVQFZwXsmTGjeOi17t2TBatmA6qzf7rlESFqmIO2RVAye1dyalIrjBLAdFokvWN MnUnnVfKV3qO6cjC+thwR9YX+mtGG0i9HCQBDWtlgFRJD2ck5s0X2mZDnN1sCbOx0p2UIuc76nYR /0tNc8VmDWssxR0wL1Cu1mp3KlZXkkAjpU70PwZg2y5F7yqqPvjOw94ohkd3G5ktAucHJkQcu2jM CCJvSzQxDmZM8rvN2/WsSSspTa+ewjT0zoi75oQCM66VaH4FB+Kh2hSlD861jHps1+S9Q9lPkPCo 4Lept+0iPfavsYULij6E9gICB5ZOdJV6nd0ZRwiaf2GB5Boq+PQ02p9WOzmnaggqp4khWzOzYz2U j80aWBt2wVZWFMi5L7szUw3C/GDdpfektTaYNiEshSJ+15u6TfGdinQ98T1vBhS/lXoz3HplZ1iN VpqSZ5ZPGBWc8u3qoNGfZswchIQhULD3SLIsKGvqiOWIaWypxZ+ueoBu5+zHE6bGO6xtT8brFxUC aSZK6OLzombRJjzwkoriS0BtlDQ4QFqMkiVxJgc9mYWrf6uFwc27bQuGzHxXXqLNMCZYbtMzpQ04 BdShwdMUWjbQqH2vM1lBkpyykpo49B/KdzsH5DG9dcA9gXjvWVXlEbH4qvrzW52LPuW372qYW0Uo tT5rmSouEFPL9DxZP2a2NybH/5j6RzjJUmbIa6s2yEDN+PCAaGWC6DdzUgtwugX38z0vLEckLeYe xSX7wCPYrc3FloE5r8DKRhKWXmBDQYJeAoDcKRTAH/OQM08PZJqsiEBCQN3tqFYhDxVq+oKcRb4K wiHQqqnbP4evpGEkG7Go/GOaoUQiSoQCjsp7ClqAYZ9WNp4X+c+pFSwrO8670jW63N3kJzGFDDot IzoTGxl3fIqN6+Kl1mWuVJljwDE6zK1yUyF6ADxSswePJgAEWx1K2kX11vcmqVnSqPlnRAUvZmY6 uEURugneV6TlivCG/Bc5D9HyGvNwyLzvzfQVdVbEZergjHligkO7CGETlKPNmJDM05aY027Gnrmp 7P6Aju0eC3rr6P/5lfaUyd5Ub6PtRM1Jhp+0bDBdo6APIsJBN88iDkWLiMc5mm8+t7CfSP+PSKgP ADzk7etNZ4vDBDzNvsb6JoSNp7uaQRzbDXMsGk/e6SeKJgc9tk4SXxgHzeHM5s0WyybSUm/zT1c5 FT488XzMUI0EcfYixTbq+PTp+bZ0B1xs4p+sj7tBcifNyDKTNOR6h2Oy27TH865EnM53w1p+6315 uxyBqee6mxJtHlFWjlNIVKkuPrKstdHoJzmjDRmkN0s3Hnu7EIqIlAShJ4Ova+Z4sNn39D84Bd9E aM/wR9avUxh/hjYPRUt/1J0szp5it7+QyKjwpL/r4J1occBvu4VPlxvmccnum5fZi9Ai/MP8Znwd xO7tRvJ0ecnhvWk7PPF9cRV+Zx1zpB3V1XYQOdckDImO9n9CkZ5IOznORTGKZs2rakWK8d6pkR6A 9azR4iehaySBA1czW4H99SdV4DW1pHjcO3FXRvCV9YXoRK6OUo2DfyKT9bMYQEfxvadonwkYzLPb 63nHHfKLPwgR3/j39nF4N0769c6jaR8mlcev7rdZFmih3pjsHBnpDI8FrNG5jFbjRgsY+5VFziM/ lyQywSk8WhjA3GgGGZuww7QFWdS1c1FdAJzc4JOFAymzPTD+z0BRThy5tE1ucvhXlwAE11P4SnlC rqvxvEi7gzgBSNjPm5/EaCcFYB2p7tHA79HI+mvOHmGqUN0i+JZr3onAHSW7w8N7G8Vvglra9WNA 6bb6YpdRl3Wc2YqgPy5eyanNdZvSJUrxJ7mj/I+ZNeOt39Uho3RztFmjIZjb2Tif1XxFIzdnLIfM lyAVqOgeUZQhVkDDcF3pWNz2dKie996bo4TBiUjhqcjediZ4YEkmEsTi6KlUGhRChoncQPko6JH0 xT5XWxdeOVuvHcAe8jBaazOISX3LXfOEWOPL0Vlbb5iMPtj57+303tTGqIpH7pehCW8YHx3NjIpy qd+kk1jSa9D6wuBwW9vafex+97PYeZWvdTDldOxMi8gGNNjpke0vx2V0aQ3z4bRcUVo22vRoThsX ALkCz5Bxhri4+r/Gimp3h7P6I4Uk5NWAxHB0S8KXWtSBfqZOGTS78LIdyfTKP6xVC3eF/5MIJz1O r216ATVXnnCFIiUzD96LiZR4iAfe1JLXl7MHYuyV1mqefvDRlrTrTu28EqGAddoml3EgQjKJQll5 XSaSwJevQFrokcE1va+rLqX3izDdRmIX+wHYU9sbkM7NeRpag+rf+dCjfEqF2YLUJTknv37UzTM+ hTqWDay8/CYVPkDWNEJ6dbUbpI34RxtDnl10GT1Do27fBPn8TxDpKo3S++5atXLxdJBYDpTpU5xd SX54elQCvvFfTaRYg7NV6J8YZERw5iT7319JVK8ukb5iKKaIs7Ub4YbWiN0Ra3jwgYGwX46h+H4O UUFbI9cPWWxWTICXoZxfd2I5zCRDOP49Lc+St3rYnZT2d7RLvO6aTjp+3QBRECbwKaeH8RQ693lz 5/Yb9LjSHnadUWNQn0JxBYWZ1wCkCJYiKmJ7QVwTN8qhOEmKugEHYCpfruBH7ETMY9yhkuXunjQV JDPZO6x9ci9BZ0cdl/6QAxmUrODam8oIk2c8zoDiet2CRmeE02b4AHuItWq3thAFmxXv4xC1O6gR nRXgZamaoR3hRhxRcQP1bSYtC5lOzuBK30NXiOk9Xp9C218l+G1t4PFbxjkm+gkUMQ6v/KQGlwdZ tfDe7r6+gDKIH/nXHLSXUU1qyRbsa49Tn3rXzRZChtwdj0dje2iFSZecRO6zM/g0fNTCydDIUIA4 tasKQ7dezg9T7c17i+MIEPRWMPoqhzc5RCQvvU59fDhLeRj8xgcf8rq8jPcSG3RDjXZKo/aTPpx3 6Gu2rAsFhngq59PiOuE7vaN+MzGhOqfqqAxMQLsn8fLNUQaOx4jlbLX/q2IY7IfrTgaj1GAAYVB1 hFDgTgw767vMua42TVj9W+2RTc6bKODPI2ZDqHofZKmPCJTBfekYjNsqLn06/2QUvl6WeDfK1FgX 5VlW2yPuPzEIfZJSwXM+0zgMwgDzhp9jvcn9ckpUCPPLgZaHtRAWeZIE/ig+MfzbEBxzgyrlCBvE BrAYAkKVsn5PeSnzQ5PcBeSeYruuagllaxSDFBgvrjdqWvIn/kx8iqjZ9roWJapTQY4/DYrnOaKS eWZE1UeGlT2kDvHqGwSIKJlwxPp5P0ZrUoMdiFVVgH6XeIjNYB4seuq6ot9MiQv8HAYJyrkpnkYM X3KHbBSKeo9QzjRSGfTfp1StS6NX9pD/ufShZdQI3vwmM++fxyoOlBFjd/CtPeErXutyiMu8LhdD TyMpGsWi1gB1mvzQomtxNkP4JHRtzD9jetkZ5e80CpC1f2cXdT0qqTgEcvYqybD1P64uQLD6qxhX XcEdgyybiPpRyGIQw1yjvuRpmw8v3HjaBV5n1+/9+xdQe3t8kSy0WILFBREGcJ3wswhCVwCnkkVS JXfTMZSa57LcTCHpqrO3PXwl+ydCSbse6DZhuqHpH9qezVGfjQXXaDuqFRXx2guEdYA/f5V8Y5iX e9Nrzxnlj4lImE50rbzkhg9jAjoiqhAhSpCKsxNOnPFqKkQt5f6ifBCZ4tRj8PhffCEuPivZuBTw 7bhFKIpQ8LDPb2A+9I/tsaUkpAYHTTyAEI4I/FpIPl9VuC8TyiC6FAgV6R2IXNe1s3jJxktpFOa1 byP6s0sLLNoPSQHcJArcgVDps+nWc1VjUa8rXOzFLggfWTT2a8RxUfJiLzdXZqxZq16tDvRLfXNS RyKpj4yt8nB8XEKIPHwFQjyR6nRXEKTKtayK7+sRXuN78321Tvw9GSTOTaiCUlk+ilNWClSly0UH XGnG1QJcyrSGyxifBs5mJvOxcA3xkfvE0MWITZVtlu/p3//T+Dp9e6ef8kelWS0FWxyLyekoP5ZK vYkZHN1Y4PZIxYuKX37532Nz1D5/Iu3sOS1pV8ZsR5eZn+4711CC5urHHTlPeMWDS0ArQnhsyTpk Hrwxgk8WB1UoKbqX8aIi+BfCPhte8XRBp3fY8Ho1mNT1VwAjPufU31uUTJVqe0lUzxdPbO7A6m9+ Nkv3FROIAt7hyqDmKjgFHVSxyOC69YS4Jt8YNazwo+CWcEXraa4Cpx0MWlsevHkc4gOPmgSlmxXJ gDClcrwCAN7B3Lsuov6rJzGxcZZJ4DdKPUKIYcNEqAGXLBhIQO5IQcdTTpHi0aEOC+oZH3smcMqe Gl7crz1OyNaGKrN9BcKgwZIB8n96ILwx+vUqtmQ4q8gbQO0b3tUsLYiJdMU0KXrRLIllrmvKmYKY yEy4ZKWC7cZZueCCY6pqbviUFk7eHAqf68dGG7MYxbNzTqqgj3OYgFJlUlDR6tJ5ntGh9Gfr/a/j AyP81WCg3Qn2+vk+FyjRBnzTbikVgnJQAc6lnLICHH9hL9NQvASb6KOJBzNF13fKcQRzTaSGEItg fkmtYmwoH18kPfHWSQVsyT328j8KWgsyZG7BjMCqU/kbkOjltsTX/8MOLfxn2YvKJWeuvjtWW1Lp LFtWyuAFr+vgxf7/bWnpgiGOo7sk25eq95aRmTMLRAsnJSBdI8lvf9vich9Nj1QbUykIxKWtwVve atBKS8DRVzThdDoO/5xr41LJ7/lzgu8BnNEvQbm4iJWt0zX66t5qo7FiiEoe6uINvdtMno5jDAtI 52WzaMgqMvuxBGiKVQ+HMa40qCLi5pOoD9oTAFd6Av8ME+p/8GWDiZ12NS75SvghHhnkfkcC7iJ+ 3z/XuN9JjWQ4Yx7AtXeDlscfAem9QNlJJjlhjyczbYR233l2+3w/eU8Krm2jyXLMyJusSjEYS28y BVg6M8eB6Xq+WJe9vr4F4/lIwp64XPJPeNih+kf4/57tg8DIYYkQl2snBM7ZSbUNg1UXmj2A1+ev UOBpuk3oPStEkeKxGI4LlLJMtza0RbylIUF3uFcfAUrC7j+xdF70UR+UNOsMLK+MucYzuBOvl1b+ EqbMQ3ztNx2jZbDPC+WPJsUrAECEpKEX9FLaLJXrUpUf7wiqb4sg4yO9EtsbQ1UgEp5FZmEJ4SNG uYFFYiBKm56Vrz1WcuomTSR5F1SAJgF+vEGaF70ci+3EfMal83cRDE9l17U00cyGsL998T2yZ7At cw4FIQGBcMwggwRvaZrHe1qmt1swSXlB7u7DZ+8OcPsm5WQPcnJndabBJANQTHGQUJ3SXEDBdWNq SCbWirQY9jPujEjqRqRFlbordcX66z0mIatggUSv0xQo8RLp+wwIhQ53fJ6CWmsBNEl5xIaiwsFj pa1QmHF2FuO7Fi7G9B/c3ccSwQaMtGtMcmvM/+k5aiOj9JraNrYk+2tddghwjuhqCH5ZeqGlS/ap HsGfJDml5kr9qTkOSeW4QCy7mViazUHSTmHYSvzttpNRp2XCEbF6JetEOXsKIBj22xS1R42t/vb5 wPD3ZsuhLElyygDH5JKnNeKotXWsW2VjTVYqM7xpGcHqt52EhSK+MTGMIWj6LiPpfCObHwCtUCXW pTX9dgt12oncl2+UCzWEaneAo4lWR3P30XVFdnzX5+7PnVMG0oBxi2vKX559YLohk4BLi6TcGi6G 8IXzAgBTlAy7cmUnd3XaTfBMpjK490Wzll026oLBnmyg2WbGpmgbuzSVPqFqGdC/Rl8QF10OCEUR yVqD9fV4QFOVolPI1H0B5kBkBKrPI9KITX51jfLWtiszQAnsBB4LyW7G6imIYhbCDPraG+zU25Pc wObzCPCSBFLB1l1KwF2LAfvTI++Td3WER0QydvLnkiipM3TZ2KxKISEx332153vpiwa7sBudXGyj D1szweq2LPtXyW7/MW/dQoDK4glaKg0Zu+ILL4tSu92szlyyuzTQrJehOxQDDrGtb58qQ//TyAOt WqUBeFmrInX3V3uCrYCyfUb/t2V6nPyZ3G6ses6Tm2daGpRn1Fogwds2eyExqw8KRfE0XkjKLZ9x C1jxHTDbQd9iMqB2hHVCejTN0EOuKBU3SmBlKf/iQg6QxEXKxvhFR0709IADPSnG3fY6czfS/VTu pwXXJPPiTWSgzRaSYf2FB/wvbhx5ojfw98XXF4DqpItnidxmzy4XJPQBmFVlnKTDzDVvZwFrU8LH VFMNWRi/fQ0pE/qYYYgk4DkZj/eWF8Uhro/rjGkNtc+hJ7gCiOVVv2FlO3dIjGeBnv1myCm6Ghmp mfCZZ4W70MwxmYevGFRMXr5yM1XeDwPEMdYsxM6+M9VgmkFB13Gt8j03jRbDINNNyUANAzpu6pcj v6l30OQzG6w4MIVEnExIJJZGx841N4PKyVUk1CHbZCHI80BDafjbRvLUd6CvRIQeB1LNME9Avo5Z nBDvMAuxvAiMzj586kuaa/7WUAxtCKNGjHwFGhUUl/FHvX8HpSiBmakkTjWrJ7GGrZq6NK3pWCYp pjfbIovmcxedIOT14iidh182hG5abjIp9N4a3MoJhgCpRNTydhVfrsP09BB4bis0e/ZKOhHRL1Rk mdKHFQeHmiFxrVniszv5En59XikIF7on9Xtv+VPAIK1MWcFxk3azkJZYoteh1rteuEw7zjL+IZRs 0QnRN9OpxDh8e6Wy94uTh0HU3dA04CsDEx77N4Ed1sF15qvd9fm/K3s6SIpC101QaPDALmxR5E7L tAcmam+SCtzl5rny319bbxLqnvyMOdC3kU+ANCecxHleJ1rgrx1Alc/k5Jl3cd7u7qNYTyQfzKCN feHxhX74KNWeG4nyXxa6vrAegt1ZKXivEb9NYPFrH6tbQP0sWAHGF9xNGNF89q7GC7Byzcxf+2WT KBjcH8IEJ8hmuvlgyBLP6jlkb8kxYX563+MG+rsPjdIqtEpg7xFeD5GB+G7HJsutebyEF2VxcV1r 7KzG1/EPNX/rpkNvixr9bmy5nVaGZojAhIcnXsN79/tfnoT+nSaBzWmGg5BjYHiDuXI6AGcr09mb NYVUzsl1BGkiWJ/icv/M3c5mRjX093WGh+HEVtrGonE7GSPwez9+43FvyCbhYRkSU6MmbuiEoCJ9 UzATMx++hDCnvXjvhBsM0YpoRMpXxGagEnJk4pgAwWSwwp+BGNBoL7/S666x1ECEyxTJ38oeikCQ 5nlQtTPjMrTyCvSm+aC0KceBV67LovXeBnmCaYBhKH6J1isOFcEP1IBMh6Df4fenkoCBpmTuwjHg a+jpMV49ccGKqafpcasGOYTGjrmEspszYx2MtL5sz5VmIRU0MOoyeCDMpXqCdD73A2pwUa49abNN 1pSMr1CxCggaUfKpNAxxj6PSj0umXXgMGypGyVCLpCgvUg8QrUV3x010Ab5vmS3irOev3ePP8jfo 1EdIcVcaXs+/N6VnPIfTAY+RcEY0mIJ4JUdivUlYY/uswE+niPB8Cq8Tt8hxClbc/DfMSkOmwm7E Bm8BNTxeW059jAhNnkBgblE5zb91NP+Sa8KiVHkSptSUJX97DL2mEx/4qaOxOZw5cAi3tjScTprK W5TIu47JOu9TAY+sQHDnohpnEm5DvDrgzbeuOZ7mnKgvwpp7zANBHaHvZkiDiYF95zGrmzdQsb1s NoKrJ+igHUYOeAfInzzaJqM5nuLlG46xvhl7qDcWMs4pDj6h6ZTldEC5fDB3cyZ7ET0NXD1srEru /IXacEEA4hiUS5r2j2/vUfS997jowcHfCfU4q/g1KUnZELrAQtITbF4sop40DUj5yfnCW9Tlf0gP fx8OazQzDcDzPeMYM1r9qfdy992uMVia6rwcv5rxLJrzLni/WyRBKEpROKFnobmllPQGcMDJEfmd jCKXtgeimdrMs+5TC9OMF9v5wryBhtqjeyaqXsL8pv3suBf96GFCmX89nKkgMathpbXrUuL2i/1Q 9fA4drL+iOiK/le8YGFsGCmIvQD4nUTOulkBoaVwtf1rTH8pl71fy5CInU557pHNMKl8NtYwRCO2 8RS4D9BcAIPllhW9wkeu2TO2eS4GyG4R2Dl5zkM7Fhjv6tcwUwHSIpmgblYzqVeh//vrus7cQoyI GULARli9+pef/jtatXweiGtGqkhNGRoKxCpLvbW90IjmZbzisk7guXKcsKPLILC/EeIqF2MA489i KoitAXE94QYJANScPiZiR1/jJyEY2WYLvwJsUcqocB2WvwzQHbXyqMwyiLcRs+jLrR41StcSQ+9k YsuAgsWR3QU4fl8LiGw3n9Nm8P12+ZYqdqbRc3E8+M/l9culJuSd0UGTSAX5sRYB8G468vxFMHq9 XfYR0PbBBTzKbsREZOwN5N8lJL1LccOaHRmLnSLlJYzz3Mo0HmuFQR8Pq3hmXPXb/AHWVB/6ANBG iQ4n4sa2/yEvpgNhLKjuPdCTWpTyjbQAAyhgg4d1n2+xomBl4iUv95PLJNe94sci3qRsVwMh8xsL cpBixeCi8b8xh6jCeSBZLeYtYIZ6dPr5s4mfnxb62idVWmCAc9RWeIK5XAJCxNW66UnEA5tI+Zsl y6Y87aFeZrbZnhw+V3jILL196hpBE0CUmgZFK7Uo8k+LJ1gNY/+pfkIhJ336gfQZ6jJvIG2HvUC5 lffwo7TvTMKKpzxoYiNu3QMERyRnoUj5Kg+Yqosn8xmUvrHCqIQ7CSO1Zk7BIiJ+RNtHTvxJaoOO SHkeIum1AQqyXT/SYFpulzem4nDRpahoxNOsFvdm34o/bwUnnmEwY5djsBBoTIMTj+hlz5hzamzw rRIGHjQP3HGgIEfRALpc5gxR8XxVnbgddH+/1dU3oBDcO5v63sJ+9htMDH66Z7QIQAKjvqDCdtu6 ESFOc2pIojLGtp5i1/R1IrqM1zRxGdKINuV7tDr8x2BemeNAXYOqdsVTlaoCoLPO/ROn1JPRJvw+ oCPDno3c8DbxG/qrEq20hT6l1/av/fh/2UP4N9ezaTq0lVaQtYGmq2eoNiVCG1axPTKXRk/WuG/p nvv+80uqHPwgNr8HDqqdRvQePXvRaKl5iD3PP+OmYh52FDcJNFiRctD6vgeYZI+iW5fafcbT4C54 2isTv3ShC/tvz4cHH/am5GxX9MDCvKWH45t13tlSu/7Vi3zMyGvZLA/1HrjIw/WwTnxsWrxhvVCd gGSrXeXBFUDlgQhR4UKYEsNQcYAujfpOZf8QKCn9fqBr0sYBI/zWHl6VEqmbOtqmS1jf9FwSCxLU qCAmbG+iA0HgHRY3H+CZvHeMEvGUiI5UWlfURf61WRDHgcB4n0525Ivc/QfpbwAlQeeZfwzJ2iCL Ad49P6/fByxyYSEF8SBhW1jvVdKxlrOmjDWIPTvbVG0sZWngj7mKpaNSNOGmCDx+kqnDxPo9fS/M kzMqCX6A536uZtExMYRJ50D1p1Xh3vXnxIwF9SAktKt36ZOJBQ19UMm9fvl4XSgUtw5Sm7uxFxuS PUiYeXFOyhHutkBEbz4SVnJySQCd6/pzKA5phjmz6JyyLr/KV5wn46ALwgGDFId37dTrLpIPvRs1 FQceZMvo9oXi6UWwN5R2jcKH5SO3RaKNe7/rMEGWGy/l3wnDBf5isMreUxx80m59DY/vttViSTss c0z/IERsi2pEgm147VxJlgQm+RonLqbS1aEa7AT2RRnhdyX6xEojj5jTy9HsOA+xN2SQpWiKVQw/ ivSy0N8eFmWpcwhyR4whvoMrZQ//YG961tNPrHzPI31xHsi4YaXHLbeBrkxCrBQtPy4jEQ3ttMHe Qd9R64/u1spOFKtQZoLMYic3kfZ9YW4BnTppInQZfkRZjNK6VNygvPFMo7QVxrdWWE9FurcZKDZe KnmTaZ0VQWXoMEpIBArkhV4Yj+uB9H+qtUuQ+eaPkWXpSEWk7ekpBQfaAyp95imnj6er2ROWVsMR gFLcUqnMCaf03Weu9EhlrxxibAlx7nhTiaWR+XEDpsB+5ET3XhtXc/Tct9KGm55Bn56biZmS36PR J0RzOw6TLBz7Rs3aXlWnHedKekEWK9AriZZOnGfyAJX0F+/kBLQjZ4om0ZLXHyhIyEunDHbaDjr3 QyEnfkSYhtfE2PvqBspFcqpR/4Z88pGeciXG0pvgrknu1ZlKCUrKMcF/iRUnros+uNANH+rEl2YB I/xE8ZP7IIggyvhJkTR2lZH7kjJEEhXhvi5L3wtkO6tk+FnrUM+bSKtAcWY3z2DcHNFRbDpzX90D WkvlOt1aWGg7o81zRtFxKfsmhgWeC2t//o/of2m5xuASmzFb8ial0RC9zzimZW/X3MWOx51Fmx73 uqBIB15lZiUITNsFrc1qqd0OevvcvuPZlDJVbIKbgRnUNQ4Hf+PooiPzGOjz52nnsn0gk2tPhsoc yG3ZbXt2RB6VsAOjzRLq9GwSiJuOO+WBphGVdPxD1T/dFJZTNlbCgt5QPCaX5LwXML/OfWZ/Gabr BKbR4YonAL1ZDYUL7ExQI9Y+fMN48pZzfJb99BCEUvrJwZLHgdKl/WzRf/sLs+n8YhDWHXtEwuOX Mi52JG3FuOoyqLh4T+tfbYhw/64UlT8jKPkq1XxbyzJsrfKPxhTyTwX1S40aPlFkfp/HDYPhZIJO ir4Eh1leSd2Coeic8zYkwZ4SaxXRxvU4LsTIUDs0EV7yvZ9EuZW47fMVxZ0Mf/GuT+oXHIzYTjqE bQiVv2SKEYm3mloFdspXxA0P8oRKKv7IcwplieWOxJLhXD9Ty5EStw+C0qK9RskYf+Gct5Zcc+Xz qz9NvLxg9mMAjq96t8527gIBotttyFcBbtz40bjdl3K+CpL2UitLyiC7zbq3YLwMdC6TjcQgGyWq qzLfYFwcumdWDrd5EvcTyPF5mgmRgg8DYqw1Svne6XWSy5D8+IyvwMyTHspl4yKCZIgrNDe2V6/f yyLxE9J2+mnTt31GjQEWUscrMC677NI6vNi21JtfkU+ovHVgxpRSDO/J5iyC4Js3wUXkroB35OXQ iuytm/dag/cTGeF/XhvAQWsoKeZlXV9hPsxkgAILEOC/vXHuovboZrmTtPnJHYFd8qR9LUMd6j2S ozqTqp61UC7F3muCZ3XYzR2gXQG7nXElTiwpz9eCaPJNHlIB1VTLDh8R3Ks33K5y8gUaJZzHiLwq nENvkgjVJWpB4mY0AJ+9gqiViRWeqQR80uZNdITrp58JKkIRCNQcdLPKt30q5iBraWIi8H13+DeB DZ0ZX+dj33YFfMOV0Gc1yqdYQMzyNuBS/u2fh5Wv4KFSr+9d4dgNw2DjM5z00Vywo9+uINQ5HwSY rTEpOcoC2sz8Ju/r+mGy7DplPL46dm0DH0POCxjaeluEIPDBzYWPQRF1/SpoKA9cKfXpoMCr9nYi SaBvgOVG+SHlnBRrKMfaGEAlu9Rx6LN+VucOmPd17QMgVALSxF5zn18//FpdG5GU+GdD6kYXnuvD dGsJEGq/icBYbjo6kX5OTA06FsCZkc+jv3uf1QsrX7lHpU5z4C1m5BqxrN1y8bSk5+40RDl5mDG3 6xhMAEwiNenL9y5C+ILeIr02wTd2R6FKNJbbfsl/nwkknA8cciw/iTCXq0vgcAa/G8PhnrV+9e3x 5kBAzQcqdJHCEd3SSq2Nex6POwAY+7SkGNNY9Y7iQrpMBcFFThqQf3UCxyWPb91g/K5TB0HLtcHq K4Zi2fZUZYeRBW0GdYyI8JCpeb3LilDmLUHyETKDV7qERUhlNgOSJd961T6OEwFo391So7devbb2 vpl3iOEtcOB5SGMoqCRB6r5i+/53Qa4Bo5/3c/C7AWHEMMNvnyb93ki/mzdvMqUeCzu1Hu9OmmeO 3qjAOkbYE8mTUbHCPY/ffUpiEltYV06E6GYxZJ3XzB7+J170r/USGQm7ROaxkMJftn8np4+QTsUl 4kknhGJGpqCkJuE+hUN/QrDSBcn0v7/JjPNWG+YC7J3I9C7l413Ze+kINZtVs6GPPqez7fwYtC1F 6YRsa2ZdWrUtR+1V9xOj0pbx/mzBOB9aguX6q0HMe5Tf+iGOBE7ey2WW6u2xx+QeBM1LrZ2Nkwd8 Ad87Z1W2WmvtKZ0F5wYzr7FhKkPqyrnBwM/05Ik9j4jnPTDNQl9Tt12PDo49ac9M4Gah14sAmTrB W3KfXbjHzepBU5MCtbZk0s7eWPWa+AL8ew13UtWpH1G7hL/bAsnQ1WSVG8DeksP8ibqWKtvgQnDF Kd3fKHZTxydypZxDop+xSRH3+HGMr30ZvMMHY/HBTkALehCENbcPOn3C5QXTH/rtxbhRynMfGnJK PeMaQdCA3pl3pPDPjVotE3HfFIRMYo5xubeqGbmBMHj3x9YO2HymUkKboOChq0TCRLIB3jcS7xuQ klspLs3gNu0/dONkUB53H6nPmtVmbNfWWjV9dlZDigSwVP+w2fTvl834Y5a9tV1ANH9pJVJz+K5M x3gO+Hy0Jrw8gHnFFQ8q48jZwFERiG8JQHLAD2wmHkfeQ+MNi2aOr0KYA8RnHjq0br+haYUVSAUv px+m70K01RVItx6t6hIv+PlQRFLwloTaDXg5VVDaDv7aclJlVs3kVFJLjOlBe43fTTxRmuLMQwOM HOA3Tg8hwm//MD/gqzP1AETFAuOEBmogSMJLdhfFahy+dP0RDjc2/2xXZLOxzCvrCTCwUVgy3Waq 6w0exQica4GnSilsMgM0IgiI5pQJTxSN43HoLSPzleW7Tutmtlzg/77ZGTdlYebTtVd0SHeaOKrC hKI1Rj77DoM7A40oHYB240YKIR4KoEFb/Neh7VYRrXkgZnFQFwQJce8+4RuaOGamR7YYS5g7dWRV IycK8XmdyrUxb6gzQxKNZyiml5ITVeDYCXv6r7LmEJS0zqsj+bpIjslqP6OeChWB9T5XJDrBM3RU fONFKDdgNj3CQSwtdEH7sMH6DA3pBaJQR4OHAKXzb5cWtt5RDBCDZTaJ18XpTE7Q5tsPeO8yIL5+ KtmrwszDu2TTwi6MfsCh5DWMKJGSyZhcoD5FrjBViv95aEp1OIxrjwaFWNOX1cE+KtsV6S8bRps+ mvQeJ0FlsM05PKDkupIeJFlzeFnj4PbnyZUcYHX1HqtXTDBdCq1KoRFvE3MvOaT7qZKLxMcjGcNY 0feo61i1b/+uRUGFtSj+lLk4ve7Jkzh9zLnS96Xf6o0wZxwVEuw4/KqZRMA/ZNJeGZqZVXm9QNrJ M62iwjYuxw3GqhHYLoQ43AIW7e5Lz744Bu0s6O+gG0guRpzq5ihe1UvS9fS2rMmE/r201DGSncqH EMqHIgUuKVdJFR1MI03cm7DpTWGj15LP9TE5tXHRRSS+nzhgomc6vD9wELooPAlQHHoRAmHkzrWq PHpOWw3/ragHcDrOr/LQtsmWnMctZsgfgfQ+5CCR64N+VQywjYgNYqMegZqr5QZkt0qh9HhG+kKz omXtrMSwVreN0sKXbZsUVo9SiLg/6xQt85fsRY5yt8L2egbi6oXh8ezIXUgIGmXQ+qn3EsDpeW7s gcoN3Oh9FtisE+TldB6WiGbaPgKYJ4dZ4LgAlSk17yQHpkZaRm40I9WK6Ual/IsmpeLFZMY34xbv HIVoObqcrEWb7MPeeeH+M4pE/ymTirSkVx/ODTmxKZKWZttJTR1RP7s7NQn5hbAwxEu7CSOWfu9f akshAT8cioWW9Ul7K+ZBys7z2kGq6LH7hWAc1mhyazQx/rhzdkJlKnJibCOOjWl56L6qm5e72XW3 T2uEujbcW1gtVDT1lKbhU8hJx6R13CWnwNnHsZd40UPSQaPhF7XVD0wnnfoUvhy2ntykCXgNN88x NzC6I0W5DzLA3NpriSJv/+8Ix2A3UvdirIq1WsojYM0cj0QW+gshdVrxSHnzel6sdxz4I4untkr4 BCHekqXn+pDACQT7jo1QYVJUqq4ObArgsD3yWA8+n8RzTmEfFgwhDUW4m7jW4CHgBY7TGt6V+32s RcV6D7n8+KrnBdRpA6qtoajNert4lQiEa6vUwcN3Nwwku1RoAMyuEPG1pWTcT1u7WC2de73gLjip 1PPOxxTqdyVKmXEfGdbqVcnFi2z5Z785lfERSqprnuTYgN9UOBxJ6MUeZMewHFvXR6imd2N06n40 cBaAKtQ1zRkNGpJTNq3OoeFnJhubpDUFYvRZkVMiQ1rq9UwkzjweB0gRKIJs7leqDG2PzA1O5ayf C+QUQS8ewe2lhr/8yQrbOTfimeQwKQP3hOagmfb55BTbyDCIAa54aIt2EDbjuywVLFVuwAdKZGe4 jvgX3KQ/Z5E5MAjbQtyFvWdkvgaWLDpojJTia3CYeMmxBnoj1zO1ti57YGTfROVbAtnBZf5Ao3XX RZD/iG5mnMYyhy9IfUnIczlcxUK1+DG3ujdcueg+4Gn64WF5SloLK2VpLV9el2OYtVL9rYRRNF6Y ooEBE2D4vUP3LfSzvSvvyZ1n2p8NkQ4IaSsaKKx/5OMq03euBuuaoKUo9yoqr4EHNZFhs3/CmZWZ 03lDgUlGKW2kYOuNIDhOTiv4MesI7qjYXPxqWUyY7Dab2pxyPpXad9imbE9WNHQfqnKuzJcekZBb bii/eLJxvvQdSwWIUiiyaps5nnQfouAWZjGmQNgFxgqw6LXNEe8yBtdBCMcCAnFhpsIQz4W3GwwW vvRyW/ee4Q7HggcSNuWMpbtRhASCjm86LvNILHwH/k3nC4zeQias8w82Swr9U3j1sQWDps+mibp3 l/BKHyMX/MLwiGuDAHMp9N/XrBdkMxKfBh9vmCVkjQB2ZHjNlyJFgm0X3cEGwSaa9Nw8MQKXmYwM bTOOGzDK67pTS2FOyqYC/ro/7X/Hu/bERQG7Z8YnGv+mS6QlduTMX7jeJ6ihkhDr2+mzrzzlfcWr pG+15TUKASKQYwsPrXIhuRuXfVOsaA2qtuH+SidNKk2EunozOSNUdpbch+YBq2pyiktl7kma8B5R tkQ/bf/748kQFlF8j6009/CX6iRpUkTvlnD9OZ3IxPBtxi88du+QCbaNrXBDKXLHeQQH2pB3Yang 3KBWCyJ26NGgsKxKRpYlMRSp7av8oSoEciD84CYMoYJQwQ2/GLfWCe+XhQ+K5p/5sjZLADC69EyO 4tAXwD+SOc5YPCkwbkYJsOvae5EOx5eV6kcMglItAim17SgTITGgHm3W7U8J5um6M9+4AMHYAoa9 8m7dbVUfadogm7/0iLCSo9nQ4RQpW1bmma362e3it2F6rGCISP8JvOjFswE5Yym/tkqV9G96DvAN FyIqAXgR1rqzSPaApNMjXXAC5HjZAPzUTSRa//aJRPBG4hxTvTuRDS/nw21SG7WNNM4ds35RzmS9 oM+NNSqNHVb90vjDV7noicrgOciG5y+EdYkQTODHWjH+N8zEIXbY8Cywvt7Y7fDJOlKHdTT5FynA GJd6YRQyr3zDafky4S4qXEO+eue683a6tTRHeM8GABBt868V9vxaUZWlXXapOkyS8PVykoTdaIQy 9Hxrvv9fFbAKUToAI8M5fF1izGIX/iEEQdyDkagcqK3w22oaEsHA48ll2jilx/ETmeGDD36P/pVO 6EtYLTwYYDr3+edeI4sYRPFF18qsDEFqul8XXxPNRYUxDUtPJ/x/coR3S2qPXL28ZQVUgtoc5N+u wkv4T/Ys38DkA54aaRrP2yFLIoIy5phBaaReigTrtVP1XnBSs8S9lQl/GlRsRYwQtdJyKvkzov9e I+8Wu3Vl7kVRrhHkG79Cbf4IXhjvESAuBifejMzKnDPtqkGW5fxy8qqu4nnii25obgS9oj2cWzIr tFZI0t/bP5XH+tGygFuAPttCDrhpQh13tPCn76Nqo95h23EBilVcG02rEtGnfrlaWUuQa+wVMVl6 65cWgAPOMq0b3oHbt+CEdJvPi1qiHQRA13Hsedrf9N5kEn0+HQ1hjdT/8SRgyNA/y7Q6RqEh6Xu+ e7PgsPDMHPNWM+VCrTrKuLrfAw0V610HQoce9Y/wBnKTCjFp/RyUvhaAuS7gbsH3G09eOT6EDR2J ZDnuYMfMYJuHhy3A1xuL7sz7kVKwJZtNdydCH+oVhSnpmlkBfLPDLKZ9SDy7ZrKxi6TOP2+cnw+n tIOulk5QKChmEFtRbLSYg6w3S7dD+R5rSUkCcKeKcR2UhdTklYYtPHEXInr8octFiIvcquhGrCjG 5Hj0niddAsXIVaQONqN7iGyPeI4DoPlg7g1VkV1ztNTlXCQDmgKIoWS1WkLk4iUI+PRaHlGUAfZf lBYpZMbi6vwmemU0VjCa7g8U7/ysLdPVwvG2uMDbQs0OC68mZOYVGLJEOj/HApH+vvZF6fv9niR5 ofKin3VT3j4yMm708I0gA3gRcKdct5xJiagL/WqhPPfQ6HBfjq3u+mKUh/GAnG011OXNA4kdOrP3 X7f+6qLTUIql518o1R99mmFLX9NY3fg8KFTJgzGXWIgvfEcmNY+20gx1fhnsuqucezmjXHYllsnz YaxJT1+MmQMC2bxaVgm4NqQDa6BoXikLhoclilbrGzLrtsXSXPNwn0Cp8wK0tWdk8YuSR7cz64pp nkIctpujb1ti2Xwn3E/EPjmzBFziiN/bAOF8EQbkFak4wV3aYfH6bSreIHffb2Rwn/CMrhyohQhW WLk8prNrqeRq8VKgt7kSDwwMs8k7ClGjLYg84jAARtn5/xpyF/XK1l6bRFHb8qVRTAiX4uAQSmMn YgcZ54U7W3uOEpbGHqPG9mbEFJbZmTT1VooZ92wrTr5eK23HFcW82mKms8KLab2XBOC/N1GESt01 oV+g6VEt8nsk7P0TOxxMbobLCYZ9Yr6UNvgdkpqaXHx7OboDVVu2asnU2IhmOlGdIBkrhOJxaS4U Kwmm3oaj+zfO/D23iEBtxrmjR3ixtaeKB7CnXJibAsyVa7q0Xtq2ISQl9+QCKxueuNTqgi4uYKB4 vQzv8e8Xgu6kFhfngDBXHLP1DV3q9yNbzg9XGerLuSqWyb1r8Ru30U7Dg5TCO4o6D4L348gHCLux IzPCid41vC9plG2Op9e6YmYkrRiXMIGbrQrdj/ngx+hKavU9RYSRoPTnolu7guBmSmMMoHpqxHHm GvluYY6CzZYkvU0riULTZm2mei0ZRP2j/KdLltzIlTntPH7gFB77MHyQ1m5NeIEBDHll7Z735GB0 L+Ih6eXfNRFKQOxqEJf4NxmsofPcVKBqZ3j/6wPiFtv7wHWUXS8PPt2FrvPl2zY0hQqb7ne4Wd2M coDZnmZyG4CNrbkRXV8gsyA+9Kw1feGVfswjSMdcF2RiDSeE0duCbW8QbbvWZiWrV1xTvLSEdRAq O/uc8eqzuOoqGJ4rUAjo7ojt4FbPo9XoQcnhtMNUsUtWzEdKk18tHS3vtxBVMSkff0AcJm7NWwgB OhRIvhSuPkWWXY5di0tsFtH61DlinodoqZ6wcfAZsIF9XxfASGf1Xm2S74LwHIX8HBv5BQ/YG0jI yrcmB4ZIfnyi9HkL9TiHFKDAls8xGp+WDVXdPBBTk4DYpp29w1ItkB+LEG76mvAsWrn6KRPK6V22 C/OGHR7xliga1yP3+0aEiAdsISXzK5B7hwdpVqieOr2VmV742i4MIgFuUKkHAyS2lUeR9pO4+Ghq 7A87PGgx0xAMgrPiL9GtfSWymWmQDC/Id45bi9xYP2mX9XxsoarroUz67Ys0vURdWrgv7zirMzLG KrOETZGhad1gwvFE+HpkapzWXrZvrRtpxbu29vBCMTOXusuo14mS1+10ZHG1bHPRH8teDBS6HcE1 OTWtpkjppTnl83sBG34AdvyoquHOHeeIOXpZLJhMfaM0l2+0HAEJjFfLG1rFKgFA8c3f4g9a39gV vBmeqSLFOEduWTtUTBborjFZdSLkWd9mp+XlkDK85bpnpDzA2q93kaI48Mlf1cz/qScteyIT0/bd yro37eM6aSfNu+TUwHj9pVFcikV2YYPTk3GvtSv1wfJk603ShlPGeib1eEWc/p5BXtS7KBzzJJ1t z/g55CPqNy83RlqYN3oiAUOL/Ax8z65wkq7t4/R8oMRnXZl+sNLOZWUP829LJAD3DEdPm1BFuMwo rXrF3jAM6FLNo5dGzYeJpLCAVY8XTPGQr5rgkx6MkOitybqJ9jiVtyww2qyLY88h0MvdngtPByr2 S8jLnQNQKFqXrTYHxoLn2f7STgNpnqlVWrKD/PLli8U2AIJ/rEQYtmgmY+t53CV7smYncuRQFQJu bJdoJk8rOh54nne5ulSH9eRYTUuclQOBEWc4XbatNaTPwXSilsXR8cKOR4bD4KT6UAiY+sovY9Q7 ar5uMZtUQZFvPSwBqfyptxpTfgS2ILyEru+YIQjYAyzUITYz6/5kt4qjM3+eg2atde/ihsouve/L vfRlIRreb9SaZlv5qWQKXSkLD03dCNKadjRDZmJ+UGhUCy9rDBtYwAwUVTQh41XlE3jq/pD8I8Bp gNwdfWHdIw5l9jo/wN2zzX9lMEEsdHv260nP4E0OnUJmpgdXGc9kRFNj922DgxdJB9RzKtwIMQz7 lNax6Mr5HKcCNiBE//5kVSLTyAYyipnAmjePqd/Gk1GlNUsnSMdJNe3lgulOJGuhcHNN3LvEpjzT PFFvo7o0edBJiK3hmXgeSLP5uwILudkYvL+9Frp7I/3MYv9zJIgYaK02JJTeKCYVQxOEDQGOUy1T L3MYgZF2cLLMRlpk1HNzvNbBzA4msCdopTPYpwbpFM7agQPny4FSFjTws8FB0TVSdIU880Z4biWc P6zJa142Sa9hQmxzFbTvxqjrmzpEKHdww0uJnL1usArSoNq6pVL/DLDwSiSYocq24egFxJ5CKa6D gRcER3XLzlGx0UdeAWPRbNVrusQE70mqqWNiRu6ZX9MtQQSnivZJdiqUcxHqCn+YIP17zPfAU6Aq pu8PMK6e98fU8OMwatSpoVG5ZXiYw7Vwtf9rr1jyeqtq3vaRg+aBCUkqr/Hdkq6arq/BK4EiYmCN 3EYL6OmvSEISC9ddM1nwS9kcBbutQDV1MqDYuIjkOm/N+Q9Fc9NF2UXSgS3H9Y3hara4t/v5GAHa sArqIpm8byAip/eEmdxMHB1sEh3KebRhdw89v2ETeM4SlOYCt5/PnazNtSAQg9AaNd+3QXkgVpIi fy75vw5CPTPIvneHNq3M/xbpTRnyt8Mq3IJFezUA7v4V3GhiLdO8ZTEHZMcEQrwZIBb3EjC6BcKz 2ZT+Lk8kB2F82saEDMORiHSLNXszWQd0vZpcK7qjmHPgFcASAoMBvXeBkRwyicV0wdABIgBIFEgT q8izdTG9WHAfg+1RLwDIoYfGNwN5mUJkTcOMGb4LSfKArgJo5z15JS7fvgHcmO4xusvqPGIWK6NX ycBtkYmMRY7vRiY/UqFQIPqVBwNtkbkWaF5pLR+meT68FEAO/IIjG93Vhz01zRbjWQvQ025mABRJ Ea5Wm8vLd2cdHAhcCoxh5Fs31mwIoUHqTN9tH3Ug3Vaq/pUJKBP+n/10z/qNxK/CbZqcnzZDybHb j1pwKdY67FNBGAcLLP8+Ky1NdrBH9WsS9HCYZYs8oMKf/DnETvtFhLGGvONJ6CuGr0UTb0TK77W5 uAFG0ltWaJR/jU5sEY+TbT0JlSsMSKNpbDXXHzGQe+st5pbavYgqkkKy+rwqU7tHd53HtA8aU89Q 3AYuLcj1tsKPCZeVNYB+xUoMZEYAQ5PXOTHfvgEVCRCqaaQR5BAGWazEngx04CSX7pDSOU5G3kwG YLWCbedF7r/e5WIaFykOrn5l19kmpXzgOAZZLy3LUGDuF2fvK6gS4YvspAdnyDlqME8ObtZEGeEO NVMsTwCgwo/Jc8pwI2YGOfkVW3tL5ea56gQTn0/soJyqhbAPYtq8brZAns5/2R6EKL6E4taxrdx2 7uujUOl4Ggl3YA2nKZk5Cp8hy9AdX45NF+oHBAAIy0cUx6gWQWAN7JtQv14gjdgDub//hLKlr5hl i/86JbjLowGlBczBdfAW0EEj+EdlmPYwZZYOFtdxcqVFyfKxPw4+UBniRAURIKcfms/FZD6j64J/ 8BHnFLPItvPRPpgcXjm/XXtUEeYhydmNIvghLyI8mZuZcAlbUSfsrARawk19LmMHVZsinZYdPO7L 9TpA6alqKZ9W0VM8I7d9OaNZ68J4JU1jp2IBnZf49uc5iUZCe4x3IUsSBdj6d1nj9MPZ4xTxoq97 j3gZa7aahHdGRqoWfBw31dp8ZcjjdSggtKCF+Icjr0flBN81DoaEvpnRfmBdxdLYKYc/vaqNbziI 42iWBJU+hvxi9inZcQ1XFCoFEQ24rWhArHQHljQ4x5VfETkc/Cgrw2K27Pd/3nTDO421vR8+6wGo M2jWAi6E1Tw/PIfSd7IcVquSL6NH8sHQVM0YIgH4Zse0uhM1EIFguQph5POVptYSlkf+RPCHbr1J 7uv4hnK3yZwkyOZyjRcq8gC5mwtUvOW3IRuBDwroZPGCoSgUlqdEANWVCp8ZBjgQIkOajXb8IZay jomgA9Rffppy+//yvj+0toFAG9pWcSSwCip6zhWnzLyEKrTpNrpgKCLsfD3TgFrX6IGfGaD1zINy gD3KDJeqE5fuTeswNtROr4thSAHiQvL1xf/RvZB7kS/u5epbOudMsFFtiGsgeDpk7vCI7qqT31jF 8XwsG0ZGMstMd1qOwpnEgSoQ45kCYD+Bjr2EVOBJkACkJB6QrkRnx/00GoxS7R3Iim3h60DfUC+j tEXiuOI1rq8IZjde6TnqGcWc3LYA5nOIBOiN7ROvzY0uD2oHnayljWhS5cKJL6+SUnglKcpYZUYN 1CtlH9UdL7syJ8qx86q/8ZQo4xoxK/KcKABDeM3D31b9eewhB03SfmtWAarV0w+GpOZaWJsWyJHp ON+6aUic/8rrpk/37Ll6GbqCaAHQkY0H8JMwbD+29GKmgXYcuNOV9HaWWUtIg5UQsc59bbjo1MWw W1s5QKQk7ImEcTRLI5/OZpsxEhqH/an5ULB3i6PwWmccJi5Du7zbCyKY2DgU/hJQzNyfuUh1Si/l 6F4VAIv2cuMGnnrRE08t/iPK+lK/9AGXAB4lJBjiYC9Bxds1V0K2xHKEl7dEt1pSVlnDBXsD/a+m q23TI/zF6XokNrDJSzqh/tBm2165Ug96JyiQzAjz5Za85BVx7oEmJijT4rrm7bcdmSSZK1qvkKlF iR2uHnrKYDkOtPUfSne1PpaEuGt8nnoKosmF0K8OTBwheFuC+5hd47UmGH0jFdiSpAJfPEExb7/k 9MjUzinzCYHYbmi6cbws80XRy4ZVgY+9y/2+VpOJSBPQM4kMEG7F8kvTci8Uu9p4yXCQypdE5PUf P6CYqV8hWZ4DV/HmL1+CcUPBd04/czgPLNq5Tx6Odsxm5YRjZ4r70lBoisg7r3XKKWgvNQpSufJX sbLrY0uaDKyzghGUIesIzmQ9859ZarT5CuOl+lr22GyLzBBPPi9ZllyttKDaWmGhlV1utHb0rlpE rG9FAFPxbcyDpRobotjxZBOQ3NRJ8+P5aZKtCa1ZBLNZcboclUvVIimBOYXRpOW64wfU1OvUsAcW eW4z62PUhgQXe2+Sgz5qBSg8NURGaR06cbtSjYg+Fa/rjkg1w7pEgBsv4kRHaRiaFiBEXGAQCnwC 3VOmxbkgY/Ahj2/y8VA0z77YFm/xfH/f1Bd7VuLX4WEqBu6ZY/feeHl/wSrcU6659sPGMFKW2k1O 2Nw9raa5JGsumvRuEmJA5+ts9dMQ6vE9ehOtTMD1jtKh/Pf8XlLAEYXOCONUbTdxY9sPCUJRmxTd BcC45ZXkEV+3mhvHLW2GSz7PDTwgD7xYGN4EMhTFQAb1zXHh/xubIUWMcnVLb1O8FndI0PNAt1NL F79wa+Cg7EQVXgR83e0vXk87zX783muB2WQqBz/QlNDca2RCTuakkrllfRtoXgccpUA4h8W1w6dm NHfxdVPsvxB8UtfLzaAADSAuiWWNyS0Tgx6auqbBVwBcbA/29v95hrcvDQN7GKikXRIQTw19XmKp +AOlG2Us9Ac/jdSbRXJxHvLa1j1r+Kxnjrz4nF5oJ7gADngfsqVkQ5vGdS1JwvDGkI8dfOcPlOAW 1KG7jwkfpZ0Tz7OE9pdttGBl5dyRaW/Ou+C/Zd4nwtzZQ5/qIRQKxL5EJAsl++WkUMCT5NbFteMr 8Zp0xBpAIiV9fs2bv/EACzkoTXP9aBtXG7k3ldI5bqNCmzdOg6wbag/lJfSedkO7XzP5rWQcd601 Rsi0cojvub//DmqhA9r3mkWLiAJLSLunzzhZO5ULewTFd+XSTF0mw+kUaQSIYulTLTpNsqRw7CoW h6d6K7P0Q0/YT+Ene2Y4U4heJDdKaMQ+KmbTi6C0FshQ03XDIxIdPnec7O8LH7nQF+qW5ALpUMlu k2bN40cpBq7UeP6oNz8DNvE5SebA+cG4O+RzM/aGWAShYoksPoV79E0ji1CmfTMxAGGWOhuRKOwh H0xEqFJR44XrbQYqxa9Fc/3ulW3Ft44F9KZKzeoRZsc3Eawn0Vibe6ztfYgGYrMJtJehBZkP/EEQ sL4fVG3IouhG9fwJkgz+Xb2P0mN/L/c5MyaExseD8yovh/L4fwo+6LpyoMRBR2jtnJwkK9Ek/E2X sruWMKCxBfCB+gv+1STTztucz1Vuj1Qy8P+gRhHxOAIN1MNJp2OhPhNgskcC7Mm1XncaAxFApwab PHebi4c0mK60Cve1cmCb9H0USRBrwaIxo8Or9zJMNS9mThXjRUAqOACsZgzIMlylu7YckLk7swBj CZuIIAWpj7QEEa2eaHEW/52CWpP5vm2KL+0d1OhqcUk5K6UHsAKOaI/u0q6ZZB7+XKW8a96WvYHh 6T/T8UHZwSzS1mEmQB+LR++C0iap9eHVVpfbrLWQpCg/r9gRcYoZCvswAH/wL614sjL0dfcbZ0Q6 QECSOi5MgKNXuZqgcHMw6oKrrnxQ0IHr9uHcfP1Xzzjrqhf2kG1TxegBW7y0sR/TlaCCZOIsLPFA wwYaLln3q7zrXno2IRJz89dLkrcLDnrlQmMFQuweDy+D3XR9nbg6RpiYNg67DzhgvR/iQK/0JcMa 9TGapiAnAXI52vJ+papeUg4O6AJDO7w0viIVYQ1E3aTggsQdEQeytlzrNwATQMIbI1VpyvPIdZJI ylpVvrzRnQyS1b2Yf+5K9/gcVVrO1J6o+ZmYARzgpZS+mvQ8sWlTbEnYy88+my9tLZWbqUdlUTGV 391zUPqwxjz7XiulV2KHtTbojqpyjiyPiyU8CIpQ531qFvrRrVv7E95so6CjWe1NaIev4CWuk+AT tuAS5QxTDfVj+s+NlwX4aOlqcmPAT3p+0xYKxmi11AaYg8eM6qVzFvilr6dbU0LLhgMdWhCFWJr4 a/n3wgnHXEyDd+UlyN2mNcuLYItO54EABcF8Tbn7ItYBZdECgxAviFLsIHJaeM5fPbZSG1ZIr/fN xFzn7l5fSrrEwLjPaN0h3H70zGlxAVWHotmYlbP5uVXLiaG07EAoS7brums5eSpwLOegwgcS6nNE IzDmbMAzwgz7aERUtJ4dz4ktuE20dhULzj44GzTX9wgiB+qezbd9zo9PloRNCWwEmeW8ttEWgb9Q olL35Df9X+Y/BflANXPM4JxHblkxPU4rXSIsTe0xO0jFXPgXyRWYKSfml2MtDnuJtaZP/0LPyvjC AvGo/iCUoS0asgOjPrhGgBOe1nEDZNxvR9BWJQjg0/IPjp79w3usL5JfFZ5aX3xCsWHwsDO0j4Tf 4ndigdTUKrq6NN/xsFslXrmdgzAmqtzi9iMyoQgdJg1RhSpcXzttrAv0ziiiV3MJAjBg9Mv48pou OD4cA1IgmSufxu+uZTh20QO38lLrI4cx8aS7BsF6JJaBdDAk9xREiHo12T/dCFL8d1bByfRAO8ep W/g1RegGJuziEQYqgpHCaeh33USB52d6xM6RaaSYTFKNKQfdrF6STIkmV3fs5PXXBN5sA+5sY08a 7niRHbjll5VWo+Pa9+pqoRqh5JxA2nTRs7gW2yZsIyHNm/GaQ2rLXtGugPs2JBl90GdgPCYUp+iV FDb/TEYedLAbETKAHoZwjXcJHlrd1hqriCdcY32IqRY+/O8RNPY847u+EmebOEihPCppvw2fRKqf nQWp+oL4XRnb41jdMSuT/yO1cj7sDQ7Pra7wwwfNqmHxw6hCzsrwA3UrR9yrOTts5CEPYs0t+5NF 8jqp/8BOW2NnB7gYvmhokrSyA7DD8j9uozNhJ3Ow2pGVNGdg7fENF/NX1AvgJPGQt5EqOSXLUj0f 8KmZGpiVcYNJqU/PVU4YshAHqV6MIzDBEuXMONYw7YQFbxxuGQRmzD1QNZzAZYFsgsRenmkE5D+h Sv2ceTIo7CTSkIHejOx/jTFadCyDOo7shSyi4P8S3kMIZkBtkHf3T2iSdnVP/RS1oPrVr1Jq1JcA ds/lPn6lVnPUvg0A7sgIcCVyHEJvH6P4OAXEmuTq5Sv2QQYkS8VisoLHvA5MRw3KJhOMa7BoQij+ 6oTCIHQC/NK3lnIzJt3YjukixTPBToAqgadUz2qf0zpwU89WhRuRVRX5SXW1f4vB9u8sMBvSDf7E eM5qcq42u5IKIBiBZKWMPZUNYR7E8TEJGaBH6wqkZ6Dn5Hd2MAYjQmhTgWtmH5ODWbnJ3xsYMLqq zg4Ye5PR1+UIZxpDkxAWYLeuMquYSRR9cxsIuj00/aj2eAavdB4n7vJ0cFHHwYwLAugmt7qinAVH ccRJhFdggedRSyEAJn2QY6R+U7Lsm45XPCJNarrkfnpcsvpRI3+M4bflAk7CeipGfPLt5GK0x1FS 8lbJJ3Go1cDDIp5PvojbkhEL8NIdxw5XNWAxkp5T8wR1r1ONCsAwObmoXMG78+a+YRa8+wRZw4aB J88EjuJM6I6z5qccAUevRMen/wM6POOtIz/QWFlRgGYSDhq+kfP6Ks0kiPB/IWWGLZCM5aQQFrFT L0VNs0wa4NoMfWRYjPw8tTCwZrf7MrYpbMbPySMQXxIbA87Dnw8Bs0dn+dYT/w0rP7DdOCRvsLKF bUE2arsp+yHggw5EM/AIaB3O9g0H6+C0AxHwnifvg7vEVwJZO3gkuXcTJPQp4zmQ2OrX6kLhBTp9 JWJpUPWo4Dxndngk2J4viijv4waP+15wF7PP+A4kshCICf6IJ7mHs3xxui5b3p5f+bb4Rm6nmIBp g+lSrtq/Iz4OOOVoB0Yuba29odPq8vtPz2bamNUnveg8u01rtJP1xR1Sy/XQx9eeGD/jjfZVOXJ9 XxEfMH2QDNwXSlsJjaTecyyR2FXtH5VExauv7IAsQfdvdBww2foV2rbwutZ0SXMrW6fmC1L/TVv/ OA2IkY8a3b/GHgDlHMicmIilFrKQrVb0upI5+ruOWYy7TNAQ7m72qcFP0OWo6NeiMQ9sRiI/o/nC lEG49QY0Ay1ocN2fY8U6+Hv/FZJUmK0WbdF5C9ErO00vOeSicVXQkjDVQyYiDX8aB2n/KufR0Sg6 3E4cmtxmsAtlOzTk52zsteFPKT0rALtOBZw5W6CiJecOvFo/RYrOgF9PNT0IftRlbds1MKUcLSMM 3Mq2Gp0sgLle1UU57krWCXg1CAZC7HDIymvlU1RqRlQqA8CT2plIix0ueUQ/J3uvRuzUvF1t0UUW pEyY9nA1vCM66gVJ0sncaXD/tkMBREJGUNacL4xeUYWZ04ZeoSbuGzsQ0RTjpc9PNaQlymUT/Xgm QtEcGl1F3O3U9hD6eR3CDa3rj7A+hJNYu46bPEm7PC0woQTcep7O1sY5IMabZ7ddm/DXYVj6in/Y L+AbCEl/piDSwUJXhRUAtTkW1tXmzHQ091kQIVfWQilIilZ9ClZoobCjKcPnDbEQopD3D3j9vUSL HgsDuv3YeF+n2it6pfcr9BUusRzGMJpRsMFE/78lpx6lqc9RqVSXACGXxvz+V89FcIv9Vu/OkObW cPYhHhltAPNzTrnG0GLHgnol02bAvJdwsgF1Vb1x6xUco81X5b3oi5eCumbqCDbp5++6P8vZLy3G GcV1vucGiOliv3ap7kfIkc3xSTl/Z17l4ElQXHPlx6y0LkmooBQep6hKDMOZyEanB6vrbJNxURVk sMQfAVGzpIOUA9c+z25an5AAM0aVOQWf9r3BKyeggSJviP8m0TEGoAn/0S7dKS/Yz8pexlciBX6v QK/O7DUAIgc4cYd2TjFZW5B5yohr3gWRoLuhVtRVrLEsNZ0JGKJ9EPDCwiIKADeAaCNKgmng7tK0 XqpdJtnTqsEjtzWQNJ36CbUFmoeV2CfsXhOfXWQ/ylZNvDyRlAFGs1Bm4f1JyKyOB1wqVtp6Uogm 8dkdW9crOLwG/yU53NUYVcdBKa9jzv2zKRYQ1me2398RSOIsGZLKtKE1UWwWW5+39H7ts2InTEH6 hbWbZx8QWp8CHbfNVDmT+dZrARU2Iw1YLPjNscncweo5z7tbakg4sVG81Tp7Rx9g9HLUZ0RorqpP I6DX5DiUgGFId3yHeB+ko0kBFu3U2l48D6jwepT1k3mWjp/XFr1z8JzJba3MsE0isM7IQ2JVRKPt ocgKEpoZh93vn0VJ9e77EimfIpd0sIGLIti7kBF9K8Xln4JyMnPI+YTLFazEeCF67hoIHI8+QTve 9eGnHLltArUdhrxBSDxOo0tQpWx7CoJYCXX5Fklp3Fs0rG+C1t6KIkpNDVDaAAevoEKHTx61cYQd wyISYth688BO00PQgJSraVYXhFdLhYupCJAX+PGGFM8tyg845JFN8TFSl0rCzIr0afOZGAaDT7Pk 7aw0g3fg3QJREubxZLwDDYkH5uJHfApPDKTOyJYTHJ04XfWc3HxODqscsBA/vnziBd7l8avMlmc5 /jF5oNWvy8kpOXSxpMp7NBNCgqPPKtX84I9vS1yJQFJblzhMwIQNm/ejWCyQm1SswSPa97fSQfgY 7BWs/YSSSs12fgVn2acqq7PVnTM+ZPJBbzJN/ephG1syylUA6FQiMelKs3DeF8lP45PH7ppTcTfX MWgu7+CTqhecuqCgVq3sNFqlmry/REBZaoNmhP8aba7OhKRAHHF96gZupNj0m1SBJ33f1DjNBSxj olo3SAsJ43+V5REP7AJVt4BethWByTfEe5Rr270YmiygbT9szQO028HYwiTCGuyB0gm4fR7IlkNM qQGarvA96oQgNacFwlm9r1CHMeoj9p5b1JKgO/N2DvvTTE6SA31NcEAoRG3rmM0XGBTe0OM/6W/Y QAG5yNl2Uy+TJpQx0/VTb6E2eb+h8NRSsi90/sL6XHj4Jd+ZD7ISwH4zKMBWHjhSU5x0l2xyv8PD Jljf8I9WnfN3iNh7UcaIvBMTMt1g3HuENFudA2TfWAdbFDrpu2fnszwRBqdq5D2oB7m9ngHDVUY9 3ei72dbWX1QZC4U9ItJfuvcbBkNMHd6brO3FY4jJyk7f+oggqy+naeFCevVR0iJNA9wqEAWCYdap g7v+2EIUWlvcnWlZlkaAZU3DGXx/ffyvyIWpFGkXi1D9VgX9/LuoR/v39wxL0blMHWBUR5H62qD3 jEPAW8Il+4rNhDytxZAI2fXyHce7hUK0Wna+Qdm00uLw1su2+zMEOKGxh+936KumBYAmIbQGPV8Y GXDL7sZaAk9iESkSOquycL8KJV4+X0XhJHw7CXCPaABfw0wGOelDGaG1PwTfdaq1aaLY+1mSJQof Zg7rYQuG53ujlvm90W8OtRcCf5zTqNQv369uMmbrxDC/WbMsKonJ7lXeqeK2Z6+kDxptLluDl5aH 3na22lCJoQseJvUc/i1J0+jIOKHkHnprvDsgIXmLgaEHQtwJgWi18iogn3pC51pDiu/lTHR9TAfP JaXHaM19nxYiBqYRW2DoBaqi8rp7fgGFq4kvrAtdLy6zWbYPrH9G5Ag9EPAA/RNcHijwpLlJmi4I V6suphv3lV6kgDLM37Zt6BdNY25cPhw/348uNNQxUA1xp6S3Z8Ph9KrrNpsuFwd84Vuq/EOLN8V9 2StMzmNHvumg+PMQd+HCDDhKXQDr7X1+g/JtDVfBuZaXuM7n59LMZ8c6W3BJzB1IdWvUPgKrqFxF sEgKKHHhdG5XJ5yRHU5hMSEzCHSK3YAUcUB/2oBRMRa/v/kiacnkjjK32yQzI8g2w59SRxFq4FUD CIPizkU3PaXx6I71bweO5uHhYwV28aU7v6yAQdqpp0Di8GUYlHcEHsS8lSrcrAUO9cpeDF5PaZHQ rQtEzczqz800aqiT6MKBxCU0w16N88rZWNAIheBYLNmG6WD6USKzNaCvwQxRFDN0l6hPuKpMloT9 1nHYUFsZzZyCeOR9P6cVbhHrFw06MSJpj/jMq7pb806tzDl31zuaH3wVoz+090JuUivZSuyQVUZe JUS9XAtL+cYzV19ohrsnyI7+o5DWK/G7ObTwDboKVptxVtoFAmmPH95VOAJXZApc/6cFqXZI1e33 sWr3b15+IqXC/2JOegF7DQ21v5pI+064/3ueCpc4jX1TM4FGHZH1SttPAA6LkACQhL7D9hTFNnrP 5hru2JYtxkjR7KR305Fl4Odal0ZCrjW24NwSa4FWPNk9C8u9wqsaPrqeFlrbqqlgXnA1pWhXXE93 jsSbNYHEcpZiCXVk27IfH671OPRZD/P8F+76tujlZy7h1aB1nq1z8xs+OUN/GZpJxe9jFjCj7Ub1 +AhK0PYXZmNI0OaX581afrlPIKs9w8NKsFdD9SuNRL/7ouhZgZzI5Kuu1M4z45KqsGIHhQlGxjl3 N2v/J13qHOlCJCmassEwW9v29YZgeqA+J+p4FZHp3wnMtAyyurOnKFwqNsgaPAHz4Uct8S7txZSa FNg7UtOWezZxF77vH/jB8yDz8vzt2dUxam0edPJJwkwTKUIoxhOqVivW9n8a5xc7vooWIQpYJdeV 91aIpTFbZ2O1/kN0DF4lYMld/bc7TZAlsi1CtLVMT0c2Xmj1SJubz5L2VRHzAK6InEBGhu/msjMI 5F3aQUxW+C3DsUhLhY4DcQIgTiPt90hTgsvqRVE5dzh46C5J+jQWhnb8Q/NCo2GXEIVic0QQkCAg mkcDq5rapHfTpZMXcVm/tXX7ycZvcfGWNJNs6V+w7jVk/7urqpznk1NeuJ1Wnf4pb98kaKQNsx81 kHZgsGigP1xKcwnjPTrNbU+4IDrRnWmYN85Q6lJVTZlk1hYyeQLdmBIubHWgmtityQm7BiLcvXGI GXXVutNeKA20LJLEjiKgA0gRbAwGoMr3aGdwFY7vGrTyYmvKmUehWYH8iS3B4t+3NCa8vJQjko1Y BrozbCrO8UTmY3JhC3nIuU+HqHINZ3Igdjb/EFx1sPOq3DA8gRRLef7atZnkcnRWWv2Tju4h9T61 yciVr5S32kcfhkAXR/Ot0YdkXIMOrczvd99rvVcOlPonyjUC7UGFpvlTF2Pa9lyQ7M+16yhJMHQE ZTStB2F9jqmmTkJUzE90sXfim9ea+NRUQ8oQVfV0KXi8jhf6c0BUQbrOSDYaSQj12ahNrpPHun6g ZydJbbG2SHMn0TF1uPZR9Ja3R4/lgunlmbKmscJbmB0vIqQQ7Ayn7nkubvr9aUsOLjgfX2XGeI5V X8Tac9TkHk2m9onqhc7GPEidkatLKqQiR5JZZGg9PWD2fIHZMkNe18kD4NDP9tOgZSckmA+sf5Cr fErWgDRbLIjMfKbvam3mYD1cmPBKtb4aSeU12dAdsEp8IPYlm6zcgC/jmvpB2eJ6gK/70lryTS3a 60vwrsKvKRpO0i+p90OWjE3UNML60z31nyOpgAZaOiQ1SoF0KdI2+p9x1mORpEWBoUyJQxpsO42o PmFvQdrpoHAF2D8ZrdOkTf8BY9s+/AiyNWYHbZ/QS+RO36XITdsiuMnsPS9FwyV2quoYWv94X0L8 SX/q2GYDqNxEJKQXNJwLLG+VpoGy50Nv1xHP8lVaYk2AXgggjaIGQWqkKnrhkhPbkrYtrRsvJBIZ /tVwoRCnAbJm7Jdk5k3D13d2kbH60Z3KPJOAuvoCVJyOeduGblElvkY3YQG9vNTx3QlExo9ICBhj FhsddXUezUeazqIzkMabOdf0zTPedlmnjqCA2JBzLBqpTy5OsvMz/z1bEeppDBjKOk7kAdX1EO84 9rKr0zCglQYyAIvuDPrTMZncH2xfn2ChiN3p82+/FdLKNX9WfH6nsuOS3asTg+kk03uBB5jJPmDB EEYQsQX/dmSN/Uht5AGhSRrjKgDZBoQaONYU1p28WJQsQKKgy+y25gDt/L2aTsQnq+kqQAijNaP+ vPX6giZLrc8SOCtRvmvpkrkqGtHl+xCFvDMMXUUBHjf67L5lPvGx8wGQR1L+dbVZWYHFM+XXtI0o I3iK+R+PSDf0jy/qC+vwID5O1piFrfa0GFukjqOVhELq1rSQRjZo50FX0f5i+o3trDADHanLagBS R4yE1D9r/wLGqBRkKUlvlAARQmBN6yltzYBXx5QtuJJuEbXNK0ufHFnix6I7DieSXkS7HS3F6rDI HX3dhKjh5ymEkKVrlz9u1upgFw0rhbX2De8GvjSe8YG5vY1ogIvm+ruo4IdyDpU9MyJTrGWv+JSM O4QFkYkD25qO2WzsYNwwh5TcJb3FVkijaXYM77zM9DBtUP8T1d9zVIJWVKfNBXICJzQTd8XB7lPg luqm2FLtI/BUras3lmh3ofgiE0B/W6maZ6MaiLBiKMHeWgtAguRRGneGEO3ON+P1YH9zuGZbgHVU ObGQ+pXMhq9bbY5LLUF6H5x6xWc2kY89TdTmI3OcFvCV5ZAtoJkV2zv+JNySz+VtKGOjt8qEc/2w leGkHvHFque7e2rWY4tBLtQmz2DHtISY7iRiB5tEc+8l16+w3IitZxlFsmP0MZ4ZCgTL9bOnWkNf ltEX0jnmDG92Hn8Ai55qoGf5TjbVjlVBzupHe7Ls8yToPApkqetv9yXD74qoCcMU3ue5fg2kiaie gp4v+uQwX+vUWPcL2RvWh/kWKB9KFLZokFv8c1s3qn5JxOmsPEjDbvCFa33ThK8W/AZDPKs0eK9x FdXtyjiAAqvKhQKrxwbFbtm9WkYrDFl0OblIqvlzdVp+KA8rFqBbs0GgSkcObVacPHq9KE9Jmkf7 N22+o0uD9bPPtsK24S8Oot9Cc1PvmkOnk3c26u/68tJhpVRrjuXcW+tftN3fw3FCJuvmSZ/rr5KD bKCYYWTcvS6zDqhDB3qNkHERWk0UhV7h3cnDp+hRZXO9Z8dFl6JLyuaUR109U7Wi5Z3tg/c+mc+k SPIEWjySXWSGRyDx6dOi9Z/q7HMMBYYZVu86tOhLr9Yx/HZLPpSR8yyT+2NS21s+FEGKBrGvxWPs n7jjIThbVrspN39od0ShIgzzdJhGTbR0E6b/6EcHxPMXtGchdcQENxV/gobRoOc6bRuz+3pdoCI0 Rr0KxtsyYBNATkBjVCc2Zr8LsGj8bh1IV7zL6RoJP4TypSDUZzj2/vWTG68QiqZjuYcI00uw8iyG vQPr1nDP89iGX1aPx3rTSeVjcf3V7pEzSF/khgN4yIVL2v9/W9Hm8VBwXfVOqpTvsMrxjdKa4nnX S9c/VyRuTt+D15opagmJPKtNqD3YhUevuu/aL5gGI9rHJhChOkppDXatAcAXQSX+Rzfl8VW/f8VD 3oHGo9QzpuKZgZSYpkadd4wUXf43u+PKvinjdbvkdrB8i5vtIohBaRRO1d4Lrz1gBQRSFwqSnyB1 GdlhlAALdWmShKPPLJrLmckfKJ5Y3hU/nys+/AdQCzmiJPLa/4l/JLSxqoS5VKwWPWLY7N9zyzRe MlfeKF7x6BJWEfZyIsmHdH3FYFBfQtoIUSuHn9kpoUD2rFCID+0WS9odMR09DptxZvMBtXjb+RWg hApZb/ZtfFVfHXwokGOeal2vzux0OZ8M4eczrrmRONYSPcqM7l6bhHkBXVlGNIJBhz+R0o0fRxF/ gusxRSCpS3gXTk4fGaPJ47ww1QX+S7AV2Rfaint5FjQ= `protect end_protected
bsd-2-clause
szanni/aeshw
aes-core/cipher_cu.vhd
1
2709
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:06:05 07/16/2014 -- Design Name: -- Module Name: cipher_cu - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity cipher_cu is port( clk : in std_logic; reset : in std_logic; x_start : in std_logic; -- start encryption x_comp : in std_logic; -- '1' if last round is reached y_1_2 : out std_logic_vector(1 downto 0); -- controlling values for cipher y_3_4 : out std_logic_vector(1 downto 0); -- controlling values for counter y_end : out std_logic -- encryption finished ); end cipher_cu; architecture Behavioral of cipher_cu is type States is (S0, S1, S2, S3, S4, S5); signal S, S_next : States; begin delta : process (S, x_start, x_comp) begin case S is when S0 => y_1_2 <="--"; y_3_4 <="00"; -- initialize counter y_end <= '0'; if x_start = '1' then S_next <= S1; else S_next <= S0; end if; when S1 => y_1_2 <= "--"; -- round key 0 not yet available (due to synchonous read) y_3_4 <= "01"; -- increment counter y_end <= '0'; S_next <= S2; when S2 => y_1_2 <= "00"; -- load in plaintext (round key 0 now available) y_3_4 <= "01"; -- increment counter y_end <= '0'; S_next <= S3; when S3 => y_1_2 <= "01"; -- include mix columns stage y_3_4 <= "01"; y_end <= '0'; if x_comp = '1' then S_next <= S4; -- last round starts after the next cycle else S_next <= S3; end if; when S4 => y_1_2 <= "10"; -- leave out mix columns stage y_3_4 <= "--"; y_end <= '0'; S_next <= S5; when S5 => y_1_2 <= "--"; y_3_4 <= "--"; y_end <= '1'; -- finished (output valid for one cycle) S_next <= S0; end case; end process delta; feedback_loop : process (clk, reset, S_next) begin if reset = '1' then S <= S0; elsif rising_edge(clk) then S <= S_next; end if; end process feedback_loop; end Behavioral;
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/clk_x_pntrs.vhd
19
35009
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VHfaMJ2jDU0R2eAkOntfC5B4/6MobpZ0NSnc7trviKzQU5KHakm896MNUQ/U/XUDUOQl1Ix9hEug uFcdFGHOlA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jZ28dq+cqatvP/oWT0j+kbhevax+rcvgcOVET6FHORIxsClPAe5EiSXk6mDgtoieHOJgnr3iO4zI pViSw9QXhHwC7nkjQzCL5GNnIAYREubhi50JKwxrsTofbyKzT/U5b+jDP0girnK+nPIjwrQv3vvD PHropUlOeQU1eg5rEJo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wyTaR+5BBK3PMm+GuCvq0Bco7y5f/oiFqNMyoEJ+yA7qA21Rc24sV0Xv3v9W4doHSIdeeP0oUNh7 9I5Dbu7bsdY24p4a6rVQlpW5VOJjg7abnoTszev3jaBtBOpAM+FQDIkOj6hl9ZK+eUTOGH08ap1P 3rtu9S06fVXB15p5GUL4qJ+pbX9as7bXZJVw8JMDVFn1WsdJ/zMn5PNvL5qC5jZb/F7Sf9m7DkwY x8I3vpZz7RsD6/RmMhT4lv1FkcH4MpJegB1J0hL5KoGG72FOKCqONCLsZdmnqz5BmJzgYmphlYZC jJckdSX4yOLEg+jbosSObzMclIjrm9gORAOhKg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qekQcsRlt2+SE3/eW9XQwKmx/wWWvcG3c3jSLvuGiy4GIetXM6PaXqKAuGTMI8b+mux4A6dEdodI mIX5ojnf5ZA1jyISA9q0jKtn/LDbiV/JtKzm0pK23fPqh9/IUaTz+oirXN82WQzZFKQ5TKpwrFn6 ZmImSJcOKVgUcM/iG2U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tlEZl/v6lEdJp5aVMLYyANJmLh8DNrpNnDhyEkIUHbeTfiozIDqQ3eefGpJHd1yUjxDr+M7d69UI c7u5loKJo9CP6qAEjMhB9NE50dWkO/cRVvdlBQSlpGD8Asrd28oTNAHTTge+6t1TRCmYfvMKOt+b zBqmGPTyIDG3LI8DiLXNfUjWjl16n5IRikeD/e8FsFJjAF/a0Kjal/N8CzCmRiQPdsZhdMiruSdi vpIRkNPRNpCK4J6asTfuTemt2JkEkG10IvEYhZ/qTCco9PECc5G9y0loOf9owc6R54o3iALi9D4Q T0iTW1tROVF1jLbRTIe753z7r02QD4PyC+02yQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24176) `protect data_block 9uPgVvwI6RrrfFMtIq/SVSsHOE+nm05nS7PyyAHLch2CLIurm+XjDM/qD4/zNTuwYK+vMixdEPy9 r6/YroN3BA8QaoG9xTEyNkMBeQynuYgfxwipFH4GZw2nR1EKSom5L+ZL1RSiUI12KGEs5YirgWE3 9unGUEq6jhaSGvTxTbGU2a4XxC3p2eZhZCMDGApV13D8q7BlMfknZHAOFPUmU92u5tfQfCGsM/Ko YY4B7hw3J2My/0fZUn1wBNTzrNTlXaG+OP5V+QK6e3Hkzui3G/FzaaSxfRxo88+th3SkWFi1RQ9R +DfaT7N3GiKxZBbsLBBu+H+Aa08HKsSp1Wda5ILpT0RKHW1xFxPZDW7vLjahFKBqquyfRnPsvnU0 0rOyZVpI+4hVzpjjXgAVayFZEo1wSQyKbjN39s1nhs4l4i4rhLMshlhBQzIakbV19OWRohyQvpNT 1RJTqNO09c7QKQP0vqTKwNtA0dXeRy+2lXl5t0Rozc3t1L/RIEchUC1Bd4zaVkcfEKBFP9HV8y8V X40AsHn1y7mqsSZGioltL+LBe9XHm8dhSO8a933sSlUwELFU2HfvvmjXYLIt/ovj/g+ixn+TDUm6 XZco9O6hXO/UEJkm8vwIIiYpgioXgNsBX5pfvhE642i2A4ACWtQacGlbYZf0kO7AYGTrX4D9B0xS cebusFkSWFvpIUDEmF5fHj29Xtyqv4PF0dCcDaSrzR+iA5yaK+TbN0n48e5EUthf2kxRjWzw0BSj kx7W0pvMPMJ1E0A9Gouw2WWvElH39cEdtjWi30sjfnT3IZm5geQwbRYxZvbDWGM6lgfcdZlOdmdx KVIxmnWgFrbqSVIuRhmLmGskNTEHv8QZrdaSQHG9zcPBgnQxNjiT62+mxr13mXbYww9+/NOLnA/w ysU0VEo3gDRrLY8NDxoclm/WWLqflzmeUesKgdu2VXNg7hrm6j5Dm0HfMjI7baSZuZm2ckUVbIG3 BLCCsC1XNsea7UwxBYbI+kd1NIDhhjJiA3TeOCtuYBnwzhsETPZGGPMR/32dOMPUL9mRnxP8+ooM TNQKirBNwZN02C58lQhr5EVDFqwaB/lrwhKzH8vd+SxmjtH6HiPVoqJr8DyQo5vhFFT/g67QV2Hj vGGVEinIL2MWRZ9HBix4YflxYlAfLPFH5qi7woXp/jU2b5ixeCoLEXXwXvn4AGpn6OWYPw8xwFgp Hhqw2Uz/qqUm+b7Z7QnmlveGJp7iXiuDMpFS/hGwlp1gfEp7FqlXDyUMSXvaWljjJybJaQ5qenR5 6/3dVlFo7hguKSfMUx/vRcgNUfIytnWzLYpyeD8/n8AYjesqsu0QS+z7hoB//oT6kczH+uomWCFz m1cxn77z/UQAWdPENPVLWNJ/dg14jU3onMp2iKOmhumx+J2mzmV5ZBQy+6nvnjvlae/aU+JuFxHJ sHRItxkSFqmsYk/jbuLJv/6Gyqm4DUw9cBtRpmfRbLKN9OJ4Fm+88iwIr95DJ+pJhrzgEDLH/oKK 1Cle7mcYpiy8ma37Dg/8RuH7vjU+9V0oRSZobVaAVJCGZ/aCqSFTQafOGNXcBnvxsD9u47UeSu+w egLAYPhuUZ7M9UZGfW3V54XgquLinsN22f1C5ayQvyPPYWqJ+uVhnGXQnvWY+grSosd/Fy5em5zs K1XT1pOVwFrAtdRlkdB96fVIVaZOTAwTl07Vt59njjDj35eqQStsq5c4alD5D/mQ/dcE1//zabBo 4cqbWBm0Jf0je63V4MxzT0RGFM8JZ9d7NB9vZlbkrfPCI91DcqDtMOuC4U6WdYHayArXfpWvmVIi 77pqJeAvBrNjsjs0wyUIn90ULZtsCx0vaxv/p/yNcar5X3BpJ5yKe9QcbJR2ZfH6VHUMwa/HE5Xg EaN1y3rOIl6d3e0+Z+/6gI2a4JvIlHyN6gucCWnDsHKUn7opPS4u8f3LkNfqZTwV+k80DKLfZhzc Tx8pPZJ/fabWdTB3fAPBAr1owaH7tT7MiKZ5dXvFmGHs//uaaGMr2CthCCuPOOtAGRIMU97Sk4BE 5Z2twvXJKCvM+VztnLXtkKE0SDKexf+b1SHfCkOzK2sYQDTmYMfvNmgEVB83eeXIue/Vab7PAwCg mqmvk4wm1zlTK3p9SdzFaC6tEVu0odNrBkRjXmtNcRjnJ61gPUEwf2pGz946/J7gTey2ViofKKm/ 9BndNa0XK8uIB6bjAPl++5xvJxUqSiH6D1pwnuqivyu3zZ6tYT8PdJ/+lOfrAEem7rjI3aBNnhaf 2q6mG6HstLZdHFwxiCr49SpLwVSPxl4NhJNwmLZLYRo0EBctfpyHtTHUVGhlMgiFDRmYbXYDhZCX 81Lh+Ob+sNwkHQtaSO4N4MNPICb6P6OYHPRvfZA5qpTYy7J7zlhEfmfoyEERDyuZ/Xg5D01UH4LO 1vJ+RbOxmmkjdt+CHIGmxyS3me8hOvruourYkw5U0pr5dhWtBGA5J2pil5a8YOBuOUkD+xI0ApzN dhu0vqsykCl/W1P7j6+QppNmGjfwpiex3xbJtTmHzfFt9PzXW/ylAAos4M61R7zbUx2XB+T+3lgc 6bVr/WKukftSD5+ZX7+3hd7DmD+g5D/M9aKFDKM55idvgcHnC2Blp+g8TLV8HfxslsOwJAWcnmu+ iVxy0XoNKIaBPMMoBGJWOffs+QrPN8Jheia1jC9yellc+ZEW3VYWAvQY3wxG8gkxd356GK+Z5ViC uBjwqJp9FQGGNTAwMdwxmWKf4OVU7Lw6Ow0999wogokRp2lbR9MOBX6SY9sresZ/9KaCc9RZuCya GYEul/mZd0Od+YWDNpVRGqyOwA23hPKM1L34g85XJpcIjW7/FgndHtDWs9XlYhj/PCz8pPCH2jtK Wv2V3zSPPH3U928MocKsrI6DUZU8+ZQFfQvwabo0bLCrFyo21+w+L568VRMi6Egcv9Z/0CLKpcM8 L+5svwZD3k/mFB4H7UZJLn19TFrdlSWu/Se4hgyoa889UZrItcgKKyuNiu44T3aFD4p1SqHFats6 Qwr/KjhCRWKCuD8UZoVjIxCyi96YO2HgFJapNpAmgfNkbjZT9fVX6EnSl9ENpdW/8pI5Xq2OvrnW icYijNJul4hqFou7YynBWJSWeE6yWKaSLFz7aOZj05ELvtD5k5q13JMnETgDjDOR/DsdSkJk/KhO SmKq0/n24IEHaAid/41TAdHG1/Ya67Nz/2eocnCeVpWEb4ydQvBaG3cjcbRE4SRoPjVpKy76uOOO uIpPLpCWvAPU652qxFSygA+83kUu8yux/ofnU52WW8NVQIrcmvKduat2ubUoLgxS9VbueDKCBDGO FmlYEj3yaRhf1G1/7zgT7GcQ1oBYIkYKKiCBpzEp3X5ndmPCWY48fsn1O+RmY9SHMwxanjNkl4vm dvpnkR18RXtjtqcr9oYtLQk6hu8CflQFUGQTUGi1o2axAR2EM0j+gxzOedcXW5xss3wAqYgmRIAc VrIdn4gmQ7qtJ3h3tOCKOf3traSDXt4RdYQArdq3glXyOKzS6ugS/XI2zwWCRur7kk5g7nuevV4l lRMOZRgDiwBdbvvq98FfoASqaa9kPsOp2ACp9M2lIv2vGKVheLyKIGzF76G0RmLo3FtOL7/bOIOC MdXAwL0W6b03lCUwLtnJGNAyZZzY8xgE2obkOCxCzgltZ12QZF1yQFeyr7tjagNZGycgYgm4Lrut LHKcNpu4cMZID5KIBc3fBBflev78gMlVf2OqZcqsLq3+/7umqmtxTvcCRIQsrRmNjLuOeb0uz9eD KaiAjw6qwiQbfH2sFPsf8hGDCOVz2phKhV8bJehuCisHRZxQQviithp37jHWW/f/vVqNjQ0KWOTl 4mCIoUzVyl1nVG69ig1sgoJuiHSA12B55oVtHaz9+ZCdS1PrU7pyZQOGnU+19YMcWO5YXDHcNj66 38fzegCiYLi98RlkyycEO6mAlqtxzCGkhUnKjbVramL+p1Z6HRBvVzOBu7y2n9DGiXT+PS9vAABJ GGVJiCVaXxZm15302rY0goWkJUMufZBmL/G2AT3oXWdUn00UbbBcf9YDWM1e19mVMeLFvCGvv4s4 sgYzFPQQemH/bh25jC4QzmbYcXReSfKZ2y9eh3NlE/2jhfRmT0hi3KllVEvscZGukBQQhW5iN6qD VKqRMx6Zjz5/LEkPY92yFSXh1EiUJLIzkwCuKTeqIlIsMZRdQ0zuwE5Kfw3XrNBDcVWS+728zr9E ZwpUcQNBlqFzdlgM1sHCfxIo/M0P99Ydu1hWwFq0qjQEBHVz2zvD4//r2oLRJfRcUR7MWVlUoD6u 0wiGU6C0Bx9xjEEIX4EKqmhinz8oNToDq19MRBj8XfkGnLgxqyYbMT23b+OXuqAhHZb6CWu/6jDt Tzfh9OM0hLe0BSdxfplS1rHV9oR8lfDxiJjUw/yqNZ3rIJV2CKBtQjNc7p8ohx8SgGJPAdzyVeTU 6AysHulDvPdg3xc0Yl+aULakeVEBLthbUe+iNiFRGsqOKAiBlZMZ+zvRgD3C2RUgilWQ7idXXcRc YEVkXOessjQ82WEBaNqivHct4EbMGIeMhdg0luaUHo3rCORCT8j2EBTCBtsb3SubSPOFA4xqoDCM OC+Sbeo7tFUjHvYcjVYHhKlzD96kEMy+yTU9a4pSmPRlUDJhPHW8UREjP4KNIsG3gJJB9+6D5Gqx B+DPwPOYqUOifglGzyuRYVp9frL4ezccNTP+LKFUNA9qN9L2/imO9ddW5okFI2TofI7LR581DNpr jJwVHcytw1VAYqe+g7PfgUfDKqTERCERpZ37R3eolITjN0ciQlZcTyIv3ufzSEp3aaC+4u/XAh/d ZOl946Ip9HkOuCchH6iwZRB8NXTuxb8Au6imApcMYa4E1r/uY//GCxGPbRU4QsxVdwI+V2aLIdyx 7x5vMBqqGnxjujvgr8H1/P/X6so7+eH7Ql8bn28ofisWD0sfQhEuhW3m+sqAywSGiDfP5BOZIkfT coU6h9jNFjJ2Z6UMoTQOW8/ZGSeOLnMP95Ndy44oFIn9iXYYmHnEIX4vO8CknAVVTi22Z6pdKv/4 U+DRjY/14jOk5ryQ7fgbChlZjWCS9VletSdASi+tBbiykeuqYdKmSFM2na2+DsUr51qAfaomq+ce smR+cQn241/q+K0nqAY3uPKhPYyhA0YvRybcW7NmW8lYpiM6FbgmtwNl9CCio7CF5ecUw4ofaa0w +tUVBNHCk9xWjB8ZUoHCUYgCigyjy+5Yhn5pH7vJ2rPyXaubdtgQme8fHJ/BEnRhaZup5zeGKYtr ehivf4Z+F4PHnbywPcDmWZBZssu4wxCXTScjTdR378ucMshOHHywvqE5thAWKCA1uDxNDc2uehtT 6JNBI5k8ach8Phq1vU54CO7G4y8FfK3UpwNtnCKYS4g0ZC4DO6O2aw0dsF32dc3e01dPClSlEOgU x30Lbs7nNTEG0RSnhY+9nic3vhMfDQH2fJxr4UE3LhiczADaH+FZ8AXQbysUbA5nHutN7faQYPXD 41Jo6QFQX+o+T+e+TlJRSZMSrQ2LjL3PRuGahT6ii2xzJUr+HRHGiw9XOABvXJKXzesWCYR0CQMs P9d9XKGXRfzkhCkhi5RGxKd4Q8CnCiNunAx3x8rdDjbh48Yw8zWGKNJyiu3802yqV529nvuD/wa3 VE6Y6W4X4A/MjVblMsq/EsIHLSyNo9l1FnbkElCahqcVoHbQf5pV4u/bCfmsIR99tqUu87d5IauH /Ew1mnHO9K3jKlyAFr2XALocTBtW89PpwaTxEEzXAteT7FjmPazAWAcykS+su0YNr9t2oE9sV07W aAHPpHIz5FxDg0RVlQ6798AEv1DRwYzlrSQjbrpHmWaRTGnfvS5xOngkFlnLpSNNI61bHaa/qSKE Jsc5yOSs48T31TMvpIk2YT58h2XtTWhRsQ0M+T42HPPAwkf8gLyijiGLKWRJB9YDnZZW9JXLTfgC 3er1Fq0jrts0ppLQ3nYrTECQ7Vx6+llvuF9pDgpfp0+cC0XtKRZ0xdUusjS8GB4Kb9rAvRK3XaVv ikDMs9QrjoTE7FVpd0nfplLmKvD4vwccP2fjX4ERCrpp6iNFSkz3hFfJeW3Yedcz59y3zYsClndK +cUu9Ts739Kp2ucZJGZD9YdEDi03pjMS44hxRQw08XxJ6wHO/1qJDjLjrjp5xxIzTp4JX1dOMcgM gVpush06RjeXahWXvzSni1wZBfuPNR2qB0dzJGRV0iLGbsEHYcLzTkec3cqitCoimO3CLxfAp3f2 Fs97RyOZyGKcMNnWe1RyVePc6/5jlgb1UU9a6gFVTB2X5rLZX+rsOYxHo/OfRUj7IuWNAGto29yp xqw1IyV28EAwNETZ/D6KKpvwIezkOzJ03+5nht095uMvPOk5AGo6piXdjPG1X6HudZtAjwTgFkzt Ml/qDsfwSFa7AKhAJO3QMKteD7V+UEs6US1vZY8H1qPEnSROtoqXQiEForUbpRvAesS5/umrhE11 EkyaXOcZv0pUwSm6gslOvvYORUcjq2X+TxBxhHtFsQ1FLmZ/b5tZaMtMvtPuK0miw0ToZgMnscr5 1AA3OqPvXDxfk+4REulCHvOSPxAftObxbeRERvWqakLMlXzbcx/ePZOI+5xEbcy2+JB7UK5zMk0b eOI3cgylUoI4u9ymbgV5FNPjGQWa/21iqO+19t9/lfZAr+sbX9/Tqhuet0/6iU3xXMWyfZ4Fyhus sAyNSPS9Ce3rL9dDkuUtGJ8HW7fVuiw2O7Fjyn50b79QffSF352a4AlchGDBJIaUa2K/IqF6Yna3 xvPj2MyI4kpoDyad9wI9dGDBR8oXdq2BPUlhVXowRo3Ouew1b6HXDWF5jvQiu5BIgl4ATZvlKeKQ 9rTkgoUguCuKuwcpE5cqoZdUWP4PhCnFyOOgFH0PJCq4nRZwLIUW5VpEViKi6sMzDJP8Th7OFvc0 Ix/tSsy96a0LXmCwWOBH0JEiDIQUUxpgZdor+bOM2kIc6gne7Gfiwp8LWlQ2MQl20HuDQ9Sdtksn T2PvswDJJaHNlVGUsnMuJ9dBxwCo6EUsNdLf6nE9u7kDXXrzy6wYdq22KJylMUuhfOSlmp8ADbiL sj1+LOE0NdRe30H6zbBpDrVMBcHnDHTQT8yzru8/g9vCPEd7GfrZBAc0aWkughwkeCBtDMyRjPfu GH6V3YPRbnHD4fW85QwnH9FEQgMXl4dTx8xG1tdEmwW5WBuMd02H6Ty5h5e47B1kDSsCiLdEV+sy RFUI2dhMDX3tY5184hSYO6TPuOQDRi8AHKTwevP6qObAg4suqLbfKzpNg7tKjYeRHWpGwglxF/Qa DLgvEJXMd1oQS03IYanpw3bSP5WHLGsSGfN618e/6dkhx+Oq0euSawoeWPnznDmOwx9tEn/zmOlD SHUmhcTfKu3HNK65Dzegal1ejzczGeCqlAr1nMhU2H4yTHBRUVR9/5q/ARrRV6jEPPlY6EBeJYUA t2D3NwN52+b88SThSZMtjXdjkKxe2AhpGeCMPchtdWXxjDBCWz7O79lK85qJbMXl0bNFWNmx8+dL y508B8nP7rbVyAmw78grqckYm3KCBFbDe+F1JLI2ida8Fm9/MlPvWo88k0rTf4/hEXKPIwEb3ulF XKQ9gmOfEwH8KVpMKsmB8ruEd0EDgwh0hDaFBUwDexc828wKHgO26NVI/cKKif+1kp/7Pa3blW4w RBGZ5uCZgDssqwHpdGPESUjMIYmFM6rvi4kS99uiWUdkmc2+D9Vu5laPtYxAlIoDs434cvecqw6s u/3/+kW4VOfReHEJf1wy3lAjpXif2LuZCumwZ1y4mYrCYZVGrVwdO3sx+Gtg8GOypDLgyRQxUWuG 3/e/QXdvXNoPxrggUwrOo8BErDBZ/miHmU+xWcQP/+HeiUIf9iWZmagcuupHDXXt0orHYl3yrZHJ Y4oaFiuX+z41RA1LbH6GL70FXI0PgVvajdGpG2/xwxYaBGIOV8SltM7+dyPXJkpte6Brt3bB6x1V BShgmGdNrT2hLSuq7vHwSyD5oCDUoFViHXsHfMXIG4kKPyEmpIpMuN/kdAosL3m2NuWfU7e9GZY5 RRNRjF8K0AddO7KrmfZI9Tde7CM0eRFUTGMJkzR9aYd6+BB+i7cpwp1I51pm58YRlJfRv0U8Z9Gq kkZrMEai165JW+ru069MZqZjyWo6LSIq50jFIMbir+JrbQc9DrliZk0syRUo3aYeLqUosjaLButz KEsPc5sQoaJT7EMLe7waGq8MD7ShZQGdEmN5TQ4KlscZjpZtR4J3LoxfSie+RCRtRMvzMgp2q4VL Zfujp17J2t03WYUUw2Dr1CcVf3yZx7/BHI//6wLbkCqingZHa0zpNwdWmOhpwrXs/AyM6CRVimTm ATM688rsPxY0QAF5NIK6slnc2V6xKLB+4+5AwVIbdirPHJT+aydoM91sMnGC/3P+Ty4puoVvJ72o Vdbm+NX8CGfT7BsKCrfG63ZL45caRhKBguACLF40yCNoyVKPoBlJqfAuf37d02UPXQobev6IbNyX 7ghqtrKbPF+J6ImfbYDg/qGuhOhVP1vXgPPQ91u7PkdP3BxbFeeoqWIbeuEO4VOxJoKiPTfpAzDn Fya5Yd9hv7k0gVHZkff5Y6PT4gckjXaXE8LLQkpRLcvZ6/QpcASBWTra7XJFMGscYoByV0WAp0No 9Jwe7g1lhVni8OwU86pNQqw+GkkvTOriSszPQM2NkCbYNytGr2JCgrIYjwaNOisrzmpADuVrak0z w45VuXfoHLAbS6XVU4uZzwgBkkWnFOt4YVKlebBauZe+0QA0RkPNv09bJBDAwIoEHwEoN15pmvjX YMXyN5F08M3sbyNHamY1Ap9i/wR9nw2YkWjOb8ajfu88QDy425BNE9LispNqyDXryogCE80K82I2 ktuvZ5+q7puWxKrw5peao9G/s4KFQ/DX7t7pXxAzPGDrBCqXtGBP/KOa/VOClUGVj/Z8WqwgW2B/ FXS0FbzJh3eMCV2NWo2x3uy3NG/XcKJ1QOfhfEWIRcl+zlezt2Xc14nuPBa7CsanYKRsrdDfTjoJ 21HeMZiaMiMe+1BH5fGTRBy+GNIdjr+BcsvfqpuvWlhJ8tZORgCKPApcZosOUNY+98i2Iowq1EBF Ijhk9X+Y+Sy4w3xaBUtALl5R5tWP+HvygbwnJdgWbAsd6asVV7w43KjTlVHz3HdDnoLZC5XM9RmM 4y+EgRFmdEpmU4jEQBEm4VOwEqqdTy/qRxuFuO0KlqbHtN1mclgsknX0rGIKZnjz2sHLiF8E/sRG DaDb8CpT5orIrxzL8BG+PnWu9zi7wYRqKy8TwsNmCduO2iAVJBq7uP+pgPAAd8d5NPGpuF65t6yo RcJMoGTgczglJ8GpKLKhzB/3P6TA7QVs1w1SgT1INC12WtB6Xtm/wK48DRywxzyAYZgdzW10VHzZ Dj6EnsOe1/nja6vq0z9lWw7L9hynhAmsHkmhTXacgfDIR0g8KNkysLYvlkr2s/quBg0n92iVE6HS dZfRs1W0OCDcyf6ZGCE2JJbOwGdGVFwpI7UZRKXrnsrTS4gwC/czdQcdkQuX6v4Z4lzr27aLXFg5 yeu3nu6TriicSmhL2Ihs2jcSw6WA3hhJ9PEKikOStN6a6SaBpsA1NhNdYenNzWm/Thms37SBkBdj juJ7vFJ4e6+0I6y8NwjaDsQJHxk3d7QgMHX3nACHNVRZeT+HDkmlU8RdNwCjgs6SVqSM771PX93U 3FdTb2UApsuqUlTOBM1DZ2Xd1GS5PxMRJn9FGiLZoPPRAaO5L0OZl9LWKa71pmpnC1paQ5mqsHBs AzZzBHToxihGFICUVSYlHup8+uQnIVmckdSXLXJNEi4LCkVgXFXdpaE34C+xquq8RqWtURca/Q+Q fr3lC8PZxOuTzm6cO9HKD669Sr2yxt9A2AiNmCMNOrzRrsqwsr9u2wn4mKfL6lz4UnJGEl0vISkO cTLYLFFGxMJaNUf8IiPCQ8kfRbLPbM9ZZx4M045NX4Iqb96qId6ra/t78ags5sjTtF/bfeVWvmk9 3oU4CWO3CjMUaC7uuniY6jIVK27fziQGh6/Xh8JNwEKPNu0i2dqDLcoko1lUrAtwzbckRanzfxn8 n8j3mzDd5sd50SK8jHlgbfa8I+dEmmx81N+aJUVT9QPV26Ssb5RQuxTbG+baNhyyGBKIBDYbXy// 4ZpDT9d/bGl4wZylLr+WNnh/TYyvjj44j7F1JtZs4NM4CT0A0hvtDuht9I2o35FYX3sy9t20hN+4 D5W3w75862TGInXt8hyT85VcSCUsy3daoDmhG5tVOEVxdsHgePqKJqv79BV79WX1/gQIgRqkKTSY 1lz5GA8Hj/mJjF8GgYFzWm/Va4EYnoGwJxuZn6k0TQVr27znGZo64L/aaR/naHC/GsINs8eIXGyR e+FbiVN90nd9awnIq3pFuEpMXdUyrNf3phfbfCrColGCnLZvEpDctoL/1gOqxlP1gKdqxziQQCCI LPOiMASPkz7/ksmiSbxIHKuq9hdfAhQmt2FCBQkHc9asnbMlxWm1jvIADME4dqoENZ+AO0ElbWmh r65c1+d2fpUUsKsvmWhgkWjth3/yuQSj22A5N/GHQ8lnMEiMmyOo8YgPbv7DxhKjfKtKOsEIsaRJ rLSnSrGtwTPPRwXwadV2tt5Sd1iZPFiI3sB7J/Mu8wod0Mfa1nLBYTRs2+SXbbe2nyd9ESZFKCfC vFrg7qS2y8ZpFlToh/CuV5aqQzqAIjMhADOxv5gVaParKfuTmPca+ehyYu9oKwzbqb9cx2b7MarK M7853GtfVZZvG1WYUjJipoY8ZhdNQCwooTi49Qe1dHU9D79gMifFIcuLjNznfl5QsgVpPhCpG3Bh lMCfGSvW8Gz3MhN6RTrnjAebOD6GNOzSlPJwDbZvxvlfVVNmN0y/LCKo9uQlqeIoRuSEkTo1eT+P NbeJJCnTy7JhYO55xeBk1bnFh51WZ8bws6yWx8/3WDIfk47VV8r7uBhjedI+1pAa8lHm77c83iE7 4wXC24BPuQnHlmDEi93B/tVRlI28CZu970EsIsegjbN0DpGMbkyzoTrIZXJpwVNT7yn+XoM533Hl rEbsbjvwf90Nq0XWf/URqVUeTVZuolHxkYiucfr6vWnPdKsPFC1USEZ95XTaNJZNDpiZM7mNgq0f VQqNISjks5XX2OmXMPFWvNi1Y1wl/0DzLvjdn6ToXLq8ZegYKA0maIGxIZsKiZ8arLU80IL4R83E dT2Y+pZvXcq6AnY7nP+700rGEuvUT3FB5L3y/DUUPIIbxVEnR7ArRauwPZTXiGdR2yqp2kdcjVcy t9TLEqX4Z103yfnpUCYD59vbFdovf9QMBYD5d0q8JUe1sEnW2dK1StvTKcZAon4E7kFtgiSGBrNO JmO6vrbPoNOL3Jvy0owalx9ieAY2pUmdlAy+VX/b8LdNKV6Kb9rFOcE+CIBTJ3W1cZHP0K81jxLU GLxxo2wS5I3rHSdBI9yYzn11ml4/OiLsObri1fODyA6O5KKr55Fvx5m2B6FHrAn3/qQXu0NJqplH /wyytWnL6KXqgvLk3vst/h/uF/BtmVooGUorcIbAYKvNViQYR0WgIvStCjArVbASdwOTumLaRNQm 4tylO0Sic+nYG9LWkL1HZg4oSRm9IJQAONLh1aqkoCrj09mWNG19EaQ7eUkFSh1EGbDRroz7VVq5 LO9zOxUus4mZV3TVPdZVYHtsmTLlKlRmIoq71v6pEYwkGr6Dkaw9U8aNm0d6nQV4/0Pm8ymkJQOD VqMX4YpP2Wwqf3D84IGt/ajqGTYzs6whbQaBrKO0bGsMQHHDNFuEG/3o+vt8zOdAaiBDJwtjBDyO OvVeDDL1IEb8eW+n4PZ0Fzmbvk72nFs//bRnOl6ktcd7/TOwCLkf8RJoJEknCN0c+fO2f6JH+8I5 Ef5vbeokOH8Cgu4KWOXP+3L0sA7rQQc4n4LUnPbXrt9ngfnLsAOaTAbCnBOIF+wDzmzzKp7TIFvz yFedZ8yFMLQ0hsaBck8EnVTJ+2rw0258V8jxdBipok1szkykH6DM/NQzvBwdWMJiJs50AN9V90aP DTeqHhpYoSYZFSxvhgtoAWdmtZKHVXEfJyJO5unQnk0z9s8Zhursx5LExEl8lntj2fEUy0IRvvRe HlaCEM06mlcic47hfs47LswXkvjDQojm0E94Al37s9J5te8/KnHlROodeOEOFRosGV4Fp9jL8Ic2 IPWqKax310XK4vh9GaCBMh6/r6WYsfGiU02CbSRn1BKfuXZPhGWt1PbURJ/UcQODbeAPXLHp3UY0 KOPtNRhz4TaAqeBoNkxDWmQ5CyNjtWiK5Hh6LLJLonWczKXJA3Lu3H43+CCXYOv/3X/uVnecSiq6 ODT8RFVeere0BsVY75U5+MSs8kyw5IA3WHcWuBUDQ+PKlqB7V91JRzwa7lEst6xenvVHmNc9jvJS 1VsYUAkoRvvxKW6G5Ogn32Ao//YBePFfYGK3VboaZMhvrwz0pJ4//h76jF1bP0FRvmr+4GU9ogwb QLpchVnIxWV/yejqslgWr3t0SjmHRym+tyrYSl+49nMMaBAdDvKWROI3eejf7PmhkysC+jMY8xAc WeaCJnamwPlNLBiogqoA8Piou81T5L105Nr6PXmr5u6lnnJlIt94ISGf8olL9fzqRt3LkR4zqTIW xcCXN3Ulk4RNFYwQKPJ+8tWCpTGYwuENyCuekW5AC3Ut2VGjpvg4F+ehoFOZJS3/Oo8KPwwYMMJ+ iBf2XGv2yqvMOwlAKnBoDWLImImHRpwU3GTg3lZzw6kl70iS/ScfKk/sFhh44G+SxmHdLRtyOrCO FFFohG9lgIxcWEMma+40KXugf4lbhuy5Mgt3ZSQsunqGLk7UKc7PsA4yvYapEr3WaM7o3saJwJBc QfI06f+PpZZE2Qxt22M7Ex6cZ9XQTZUSq99I8kCfZSbhYLPInd3srhgqs92M1oYh+C4VYM0Z2Xrg j/GhKYgeJ9SPpD1X6ApsB8GzoUxTSBvmWb+1jb10YY4CaBLu80LbRNbutmQ0/nsNubStCbkzddW0 JUgmmECknyhllJLNgX7AgpssCHwz2gE5Km4FCe7cBb666DeokirW0koBJ1J5uic7CE51mS8oc5i5 U5NJNUntkK8fFrYBMSWvdVLdHdPCarXN5jlmACW+9RJ7GnOSDZtrBIp+eSrCzip3BRcC1efYP4Ue tUIqQyb+egXrHsVXxW6/YOBgPjiUVCnis362l7RzEV5iw0l+s7mu8f+IciA/528Xm4o0GQ6Bytsy qbvEU2mNvm4o9jEVBWW+KM/uo6f3w+p3+rjceJZMkSMoFU7fw1HgWboTnlX8LZoho4AqqKMpmP3t IZVjL+2Cftj/8Z8LEV3kJn2LqA2JU5Dlh2jnpocJaanSfgaU2C5DVXq0hWmK3Eoc0zWXg2mGxi/x sTo2b5L2jf2aVg51Jvedkn13TXpDHBjgyWmVukrwveAyTQrA2QrDfmLqz09aFZas1A14fEDzJ/+f zp/omzKISlTbC7ZR9c3qww5rRW26Fje7Pu8BciOKjdH3B5J4hEMUWECcMA5IZWV1Qd+L04VnS/nL l3i5x32oEwmmb+icGmTk1k/fSZ+NO2tdUj24e8FJZpAuG1NUq+4ap2RvEQVhY32c+8h0yduFZpWP YQlEu+Pu1qo2ikOlDaPIGCd+jxxxCe47qvatwe1JZiZSeQuFrK4+YmuQs8NETJQLH5gGNq/vGA4M TZKfoNVG8Nv6qdcGEoep2V9bz2xwaISMuUicNl8GBEEC3qbK3HF/wjAiZ/nVHrSUCKlwldGuCDvp MTp31AleRQvyXqDWLP4Cg38koVlnEUue7omT+/6gUGa0yr0a8zyuElxVF22zbEwS8T1MNI+Io0L1 V6s6XEoDwJmMi32NfrrgOp/UgSX55SBA0mU9xFLEszV9fc2GvD979i1PoIK/+fAiLtMZUVZQjTvU nranZK1oKGBKBF0+A+/tCP/8heIeYs83cWOSIzWOebfWucpS6+0G87DnH03UymEczbNfquaFVMGF 9TJnMOpwstOR0nJO9C8AwZneGeKyJ75MjLMhqtIuRFjcRA7KtGuPN+TeOMIWDhvSpcphubCayRq4 DXcwE6MuopBSHdw/H+p226QNy/S6Nglb1RcDxKGYDc0/Df/B3sQxtDT7y/yw6OC1tq3Es4dJC/gR ou1f4jMd8oknsmIm3D1Gs4dMo2D0p/DP1s/BhsNciC4h8Y3jTUDes+ufzTiHYLSQ75CbsznMzM1c J6+h71UAl2aUUTt3SFc8WsFAi67u+03ijwFJ8AVz7t0qVNkmYWyV4uN1MLOAUZdRSddcWJMSwlWt NofGodOZyMniR/VfufmlMf1gdaaTtUvlCk9EktsrDjEZdTto9CJovb4ZdqscWufMt2ZTHmoPEDIY 1MQcwa1zinkMdg6Ix+aad2kf6pMR1c3lsBTAQIKYTQuuELBBDyDlVjq3h2j2GVcjoqYSeFlIIwSA iRPG8jHncGt2/sTVi8XfP9wnV9PygDVNmu0BhTC5+P4nwuK5qAjESwtnAAqCAjEQkZr370r6EW2F iaABl169gZNhVfTUUmeK89FiEIrwToBo3mZ0X6TcPIa7oY8RuFBwAyQDiI3HUIeG5Dr4JzBlAmlF PWQfbrBYYbsyteKBUYjqrJsDedMxIOBpih8fymB00Kh8sbm63pT1VTowUvxs6spI27D072zgsyHm AtMrwu52zLhOsLAOBmai+noXyUyl3nYX/dzxCxIIRt86dBdpOkthpIkwU4CTuPethNgW2jpZ9R1O KgAPH5iUn6kR+VHNbZTyhPuN3ygugzlMQLJaVFnHB/AOlMk4NTq5UO6JOzv9eoljBs5w2A2O8JVx BxhbcZBvfq04nvoFzhw1ua/mAvyzGijKSixIPysoyugndWcYZFlq1NpgnUjl39e93B27K1zPvmTt L9rPdQiIuCWiObaz6w4CLq01vrScV4TiMUXFrlwPo77l1CNbpaQnbdpHJsD5OAsKxGxtVm8kV9Ac typr5+nmDts7b/rH5D9qUoxDGbsfiFZKBBYoneS7qI+7XalspVjqAA98CkyBAFHV+sm407nxthgi +tICIyuT89zgL/9bmfpgvepjjqtYG/zT5dG2R5gjOgYVSawsvbSdG7fxF5Kw4dkMSt6g5rKihgDg XAoFlM2JTH+xFXyUQathsmhh9CiNKVpRWE94OrtOX1FAci3gGBnMlylDS+ILr+zOJwFG8Yav9hkw 6Pp7OG5SQ6dy3kbnuxnrzM2Ni8d9fyDbbRRRk3nff4YEPXKMnZWm/4LYL+FnpB109N5twwtt0yVt gIMf0gdH0LSliDLfCY0PrzMu2CsEzNmKJF2/16LEV6fgeUQkrl+9skAi0o3ef0J3RAXolIjyVxG2 GdsbYRzxmVssYmxO27NDUnLcg3NfcNjmQZ5Kuzoo8kOZy+siSUsifE9rr0UOJENFmbThZEeOKUNN 811spYEKP75e65WITuhaYifnafBGxjiwcCxJzmnozvEbfiAZlWnioPEKqpz6RtplHgfzNPcg545J kWB6nz4mbfs6IQJRDyYM4g5nPr8i8iAHmiWXmqC5MAHXv+I8xiRoQ34wn2f2gn/ydKnr8ZuTc01/ ICP8tQXgSQKh+IcxXXv11Sq2ImxbssBWi5jpp/n9GQHmDoy1lAPDPys+pYqveXdfedd1FSQ8zfZi 8EP7Zg0Nct/teH3mwaPOpESs32M7sRaJsAmYSTTTyTgxJjvjVqiiE+CY+QtHZ4c7KkSKZ1Mv6jQ4 VVDWw45/IYaohuORPUpKuzP/oWu0Xy3f1rwGcbJYTwp6LyHrK9mfLdDi+LZvSipa3uZ1BH0UCN1m XNYlYsOg0jY3bUxFdIyJi9nDyUATC0LrVWp1vw6+IEdIlvV0YDwnbLDn5xq5Pn7otxZJJFw2Df2b 426RXlxLkzLGxUCAOWb5QJR6JFgAQP/HybSr/NQ/TasnCXOmSv8h50bBWAflqFX3xBLSIaKg6QtC mxACxRl4TeCSp6hiRSD4K7q43zpoMgRCSkWtHwZXooFxUo2E82WovNBdjJE/bxSA5CYlYEZLps4H oPsjIG8y+m3NkYvyo2ztkEm7lbegxB8nnKfsPjYh/yNKkWU2V20dDus6PPXbEsRvS0SDOoeYaeoE 9GhqynJux82LPNcbApH1bJbnTJHBdWxA5i2mFK81G87xjO/G6JxMptGjLygqOiUcLPLkoIi+s+dz TSfGsnsSgQ5hpT/GxoKoSHM0cjKhs7i94XHuQv1gsQtNs/fhpFZEFw1HH7bYUjZw7QbugCoL60kv GF6VMD1zEtT3prnoZg7gOIYrH9aG8GHt856q7Pop0v/XEt8GEnmkmhOUYv0FTsB+tJ2jjt7vREl5 YwGqmK0CZJUIPuSAloxcKakiJXBA5hA0Z6itKNTEjfh6FXeDrNSO2qH61o9Q8mNEtUAPtp1NQp5m A3XRb3PveNxet+ANf/hnLj40jch+rOAWY3r9AXu9WhF14+z2vkFTJFjwkmA23trKydJhONHBf+Gj FyXrM76JVZoYIo9liaDsLsF2XaMw0F5PpS4HEblOYryZd2q8onvDsiMKRdHGH7Yv6mmvisUaMGBl p3w8Xn7kXnrm0T55gKRgZ/HBOEJ+ZQKEniRbcEsRAkBc1yCUX7CBF4vt4aSTIbx5xuYLbEKIcvKZ TUWK3qwe9fSrcttRGpOCVsNY6jXX4XYM4sS6ufDlt4IlEPLXAVkF7IgHL8sIdEPKIrmNWBGpp5I+ 0soUXo3rkvbFak6g9DAoKDbw3hDG+SQ2gNh+MoYnieFI3DbeSHBhcqXOdcYERS46EOQ5PEheLd7W mRoe3z/wpEEltWOh5CB8+FQesuAK15ixHWN4z2ydGjYCEC/XLlFSCaEwiL868ZaGyuLaC4nQjsHh kq4zptGnruCurkA82mm5+2dHFMIlnou3hzgnYzwIWY64vAipygCpybLqUZxK6GNGkyU3h/ZsD2NH FtfG1e18oCpi005BdE4MZ5w9QabgJyQMnr2msvawVcpqTmSFNrqH8XUV6Po6t9+bicg2sThfTRjD MtXWt43Jgx1YQFs78hmSU5NXVjke9AcK006HUI6feGw+j3FnziqNsuMwWMTbYdAw6g4AGvKVcsem u+Dnb1RJ36S6EyhvAaEFNm90fTMXAbqoNYM6+gDDBc4bsNNXXq72mNudh4k9ck7VZLWxMel7+W0b kZri3j13C1Vx7kqw+Y3AVw6CWfzyk89QURTBA7mj5QhYA3w+seb2iXJPrOanH7lu+Kw/esNiQAF2 tSqAPK5juNQyd645+EGAfccvzGTNDLJ+QXM00PyK2VJ8juDpzL0xBPDSLE05WiFhcXdobbTkfnEC HX0Dq67vD2BLPam18sBtk+AquqDJw4zsKxUwJipmKULtxi7f6Yat1SYKpBhA/lyreaoHKTZtc7kB lAoNlNoyXQklcJCtGQGv6UqQzUB66yAT+FZBLFLEn4pEYsycDmSuOgB1p9JnehDpWCTvaZBIV/Fs HN1coNPRC7Pk+JL7hdYyKfp3w7wg3LxXusAoarZ2yNDU889RnyJRJNVEbIzO5mzB0OiLWqVIs46k xWy8TVULTtpw56bdHgeUcSlk4eqSwxmjrouCUVTqVRMiKqqkbjmtLAT23CsJNoMpnv18fq4M79E9 wQY5OKamQcOoPMaOf8J6nOOFLStFB5wGs9S/G36dKNChmRtYaLOiNAP5ta6/6HAiVJJVzy3DW/nr jJBG137Xs3EkoRRHxfLHJgF3qX4SFyqvarBtmvjCdGHHm1jDY1A7MnZJkpfJTFTW77KYEC7ffQHY RndFJiOz1vZyO6l4HmGzVNlbG9PL17o7nL9YFr3biteliEdFgetEuaaQWBxSydtL91y3GJPEaucX nP3nxJQb6Oz0e/URwWGI0DAa8hg0ztSoDQpkNmQudm1eJ3XPbFshS+kzJ8Ri1wEx9wveu/+ZkNQL OlspmulAa5AQvLsKlSDy9UwM+HJkXV2rqfxkay8EtNODqdwO0cJaQg8FlJktx95qnmWaJeOfbU5y /T49Y+1M7+0KZd23ajgasb0+re001RiUjSl1b5KouUgO4OCUmMAl5NHc+PT0jJREmEzWvxW9/ns7 XCwedAGZ5T0jx+viAZkx3+IbHdGBppr4KoeN4cGzjS6Y6sB9dYUHaKwWA1+bNpK/ptAzBSwdLtO2 XAkitzHngbJXwFjsX0p7HQElFjy+2HUTf0KB+x6wUHRQoI/tSEU0ZzCFQ78YnIFNc7US4MF5BHuO ZnZo0NQ5QQ+LL0am8j/KPSwrvHV9wvCAfSVsGyWAVu+FdTbnlAQZxzFpFHTlaoRXqE2kn38VNvAm Yl2axLm+lfYZi/+LtN/Ug4DOn2EWosy/lSg769r7yhjMBe31ah3rPHYPN/F5KY4rzcKjsN60GiaN GKB3hZ5rkn0QolKAVQ8dVnMU8M+Mj34loyK7J55wYlY83HHIH+Yj/4FMRhr0+t52qx5NIIG7eelf VWqzbEFVwLiY4d3NE0ixHZfAoeHV7q/WxoyLf/wKPq+sXlVWXTRCSmbjmtKwRIHx+ef8J787g+5f 6r1eABagNi4cs1Cx1Sc7JuRXyfzMaw+y2q5arroxI0nnWw+3LuqMbuaBbOikthsNsAbwmek9WU3m xWpc/P4SBQWp0NGAUL+ggq36uOOY9XfUUyfiMNMs4zDTTHvm5B5ERxx07u+gluXt42kKQAVwg8Qn nue1Vk/zhI9+sDIuxtEPQf7aFSss4hFB74T2o/Y+mLsNUE5WSxSwFpiI9UljY3E3Vb4Wdhm0cmZh u6xaU7K1bnvNDx86UwSrH9c8XqpoWVoWuejWx0LWkRehQ3q41016dso9okNBic3WLN0MHtFvC399 dmTydr3U2JjnVwUc1xAI5lSu0x2hao/MWPufB/RHRjbi+3lPrRzNcuRUK0t7zeTRJOrNNehOlBbF HhJ6J93PLWcLEvcx4ZgdmxxZRqSQKpd/HYlWYmOxOLCbMeDF+vpYbiuvHENtc6rYzZA/hRwhln0j WdZHNmFaKieYCXOUsFsN/tu30rv26T83oJ2PiXR95OPmlzeVjuOc75H0OQGle3hv1jj3SxZfNbAX mAbOLg5a9jiF27+EZLTiPoKs5n0biNC4v2TtunC1QIvf+qxaRZnAqNHXKdWk7UPxTmgPpsvNkJmt 1Lytfd8iiiWN2XSRy9Pd2ohqBDOm/1b/mXVQdbfrDDmxLSzlgAFqAy8lxcDkOMPomYsxhru90ZGn D0TmHKZWQT705y8f57lQufIv/1XKHfLFm3pNXXY5jYrJMwJJg9iAAHiaiP7IkOc3sdkPTfyKlr3X zRei37HCQ2+MouZzAlaVUoJRvFC4u/0tSxFo7XlINCG0/vd9X1UXYc/CCDhrtAqntwAnx4fD5goB TDI0uPLjcF05DbQdI3FXg4mBUTwLtxI8BVTW7jZokho5DGJ3qqq69m4Zxm1rjXaMnrMzIucDzPT4 OTb468xFRIjJSBboIeTTWQycLzcWlFAqFjdaCzVSPvl2csvSo3aG3OkUwGfwevAw9iO4RrtmkGRY sUFnQvxcv34yHks3ZULITFGBs+oa5yxMMBw1v9/iQyODCsDsDy8TReRggcp2WfbjjdjnDvfPHX16 5dmFV1FaKj1E7ndN5mmTgaF9OD+FVVCEp6ZfN9YqAd4MrXHl+Cbo0eGwNspG57H4f6w6Z8ukSf7x kIsMEr+ZV4kaxik/hrRJ2D+wywAcH8LY31O5HSofW4rjzY0Tpsze4B9E9d/B5cemH9tXe9x9gTWW 38rgA+unVERe2fcBdpgGSelrjWAuDcM8RBWI71Q2kAFLyOP8QGBEb42JX14/jNla5gtYdb5wyQuL rndbJVS+MMWdKDrBARO2sEVXPqBiqXrf3Ekj2jpucRr2FgwEx+R+k+PwmOg6Def/ISqbujjnbf4s JLQXBFSwjqdihoyX1xdyfponN/YPNVE1hUys3tdrHHDWr5dH8hqQVa9DV6wwu5XLg/ZOrJPL1O/y 8Yg2a9nt6yujVDRCzvuT0fKmEGLjueGSRVEcLsGaFrA5MtkpwCUcGQ2LZg/fjrTedPHktl4VrEDW unhaw+T+iLehVmzaAgK9HE2KStk91fO3yczdbVsoednlsQKLSplmi/Z1K4sBL+cK1r6ZDMm3ivHc tB9ij0Ah8pyFPk0HEBSHJSgdhRN4/2/YuCQO2VDGWnO0cv01jJVIKV1+j+h+ZIhw+KNtGqTUJ4J6 OmAx127ddG4N1w+IJvsQ5PDscNd+jUlivEBhkQ0EKVo6W+xq1zcdGl0lXnS1Ss52XSp/EBx1z+Mk WLroELj1B2maAE8DwdGZ2/PC80SYSqa08BrImJSvfkI+D5eyPIAGXH3HC2fzQePladcRUPmV1Ttn ox8CYGFkCtHVHiCaCCl40wziNgjH9pMiZ/G8TJWrtclhIIOcaes4qlakNLjm/PwcTzSPww5TWqhR Rn0VfnA3cJXCh5hOgl3OQVh20J13pDiM/LJJmsdV071WgSJm5Vr6aLF8V/ptWWss337sTcSgoD0u y57XfPw4H85ifd/dBmMBOpwQQnwG4ikkXAm3Cp5vG0Oe1zMqY0Lokoi7RySeMJpT202K9LkcvwDf Wx0N8oUNFsJV+lwD/yLTpGQ/l83WV2ox4NijaZKUOrYcSAUc1vmkxzCoxmVq2MTqZ3reKzQBXQgB WT1WIbVnBHy3m4a8V0lIPTPTUaaS/wEweIrclzqAXa956JWsuUJ7LaOi9Mg/6NHVH3DVFmmlUFB+ tmJKybrPhI0QuIYPapG6XkFyl3tFtMeIn6dwVA2UjgliyLYfXokDmcADE2BjDVfKlB/Q14e/qhJB UQr0mYHURGr9dz7oS35X0HRxY5DBpkdEz6x3LTaL8q0yDj05F7jwREOO2riYJwl9sUQUI9sTNao2 I73R9u6t3V5/2QI87RsIJqNltVUV9B+nq0RggiyA0mqMS1yTpdQQs30o5GXH50a/de1eUBkgUEEx z1v9xzxZdGbyZnr9lCO+ATdKcSS5eropl0HJxEdygVEaU3RZTOaRaeoK8T5ykwL+QzxFdCfwFDYk XGREOpG/yda2sX4fqha+a9ojtL0oIZCzJSRD4EIi+y5Mv7KKiYYkAfx2UPUxGzZYVzW+YC7Hnv/c cTfoaHqApktHD+6dkyts7AWVqxB8SQANCNg1ZeggkRl797yxCxf5dAwmqLUG7uGlkG6ETyyPqWMs YxI1GVJzDvhonkdG/hDJGg+TLr1ZcylQmRZq9mkIPdRN0DRLRTwgKQ0JbZ9ek9Gc952PLFCrJ/nh b+exizLlhBsu8RQJen+YlOB8xM87srWhVqyMjmIAoLuJOe0MJ4siNItZ43h0zUSswgeL/wTpQAAf z2TQCJ9VntPUSTgIROZH1hxdF5e82jXyn+h++AvuiXJ+W9QCvmYkYZIVfaZlLcx4L9Th4hwjT3+D nEgUZLNoXJkLVk+xKgFR+Whho1Ms0vDQi/aW47hJxPOr1usbdfd7VRHE/1OEMjhM3FK76NoninM8 8qx+Yji7GZWMlCOQVhBYzWggcuejppywqdPaS8UBx+26XOzjIXnTCllK4ZMmNL5md9FBY33mS5YO wU7O9e3xZMVfOdshS45c4kT0Gffih0J+zJl+QMJukUZLKeaX2C9Yxln8YcR1q0Ptq4vUV3QorHl3 R7QzY/RHRkbVxVbhraUw7EPkYOADQ6LzgYJVnuZgyH5YEDVqSlKlHTqq1NXqaGFNGs+DAgQd2IOY WHzUytpZkg2PL+tg4JD7mS/IQpGVTCkWAKZO5LmIL36M11hLlu6V5yq/9OQQ7mzBA70pdWp2JbUp r5qf57boZoG5OckpvPYh8LD/hp8qebRcfL2ZFCi0qur61P8RjupzuNCpDnwzpGXd+Qm5mO8EZsZz LNvlghDIvOwsBKJ4f0M2kRNQCp+VMNNnOvEitq2h0W4rIJeKiaXSAqP5gIvMTx/MDy23fq7V+Ju/ zLWeD0/9/Esz9POE9Ae/+U8yO35InDK7wpnM8VjfLQ/L8muJ7Wf4a8CA5ZjRtbYbrOcjU0JSRs+5 Tkrg9SdNjFikUGpBoRq+HytzXOPqbcEKxHGXFefps6VTzAhw/MzaPst2EyiFYgAJXzjSPSPBsB5m VzB1d6gpqafhEV1XDQxWpde2W3xFLebD1rmE3VWIHjwI7VTapOqOtJyEL16p4yxDSLs+zOzEoTQE zIBkDV2UrN5FSYKwaukJBXu/XMlk4hxCrPuYq/YyMLQElK9os200HvRARMFa8Jv+ylZShsEuw/Ox B+k1BwjWfZ5WHu5CKDPw1ExYg8VkXGb0/7B/P9agezX9k/jyAhoBoVbvvUxNF2HXmb5iECjcUlyd CmLbRe8qyr5ynZY9d7TuZN/I4BUVUPtP8C8CqPCM7wHo0gyvtvMMmgCsTr6ZuUOIMd+y8zwtCsEc J/D50lw83WdN6lEScacPjd/Sq8sTFYTVr/zTZIq7jjMcqfEJTY+71npRTOJ1YzC6660TawhF/Sz/ xJhIffoLvs4Tt6dktgahxfhYdk9iPko+DAT4H52WPADbxsFzRKFKgcQqp/zO6RdNqQGZOg7cw9ZH hs1LMAQDPHY9NZJG7SA0biREOhcRddMiU31t5Y6zzyujISXPxKClX34MYsj+PeeqZ45KJwfz1rV5 vo/NZ5rehVEP0s+1tK3wbrOt5ui2g7qRJ9LWRTxIWt2uUSPC40zS+LjMueFH6DB8DUAgCTwkyPuT EKUkkjcGINjU08mV5U8FgFseeTM2rfPtoS9NU73vZ9LpqPrx5obvbgVbxGresbC1dks4mPLRBLk2 JO6h1YTzX8qMHvNywtlX+lLqwJ6+Jb/qWWvrYS2NAVgF+TQgF4tqDpSadfBJDs0gnp2EXnZfpHmW 0giHmbZHVvWll+/STb1VOC9ics8a0qu90kKfvG6wEH42fIj9DywYJk+g8/EK1jXgiO05q1PAHAN5 2tBYxxY9YmNOSr+jnLXlZj22EquLFfazuig3x/WaoDFBwmr7DLEmErj3vOgoBXbM38zg0L4Q8Z2y Nl1V/SSVXIgMQY96BHiutEDiHwnATNH9V8vM0y2yorlv3XQrJzMT4uviN1PMsQRiZ2BBUIAMBzQ/ J5Oestg1awZVMP7tFHSesAqTdy8LlUwuuTAX5jkB64KD4Y7QoKdtoApf2orgESpKHrDs1uMYGQne Fk/q5yLY+j1wk0rh5s9VKZDJ1Yvf0vVdqNsFOQlLjVd5HQuspvwtT5I4dkAJXuiGssnI+PIrlaVX ntrURccnIn51vBPCEdmlp1TbqtIXZDtHSLwtRm/jHZLEDsOFVkL3XulclhySoPKHsWT1yrIOxJ8B ZfBE4dYqYzglo6lIrkzEyEGUYjV+N2DbnJCfIhW2mxLZoilUEftlSThknssPqwT/0t/cHLhcxT0B rhP2d+T5ZRnC60D4rKv4prUacFGYDy0J/ebPzFDEU1WCl9Wm79fzlKY2ymNnas2ubxPHHxFbvuo1 Rba04SRy5grn15At3cOW4GoMgKpUOpceBkx7lzVwj5CiiAJWjlf8ke/wKrVhv8AuTN9smpB7RrVx N7Iowku6ZHs3uif9f7yWSft8IBIsfYiWm8geAVGcE8DI6cyyTO7VftSPR5/J71hxxsL6+2EyTV9S 5Nsf47G8tqiICsCxqPf4HwFo3ZkRHtN35+ow1UgQdo7yyVr5e3RZkkgGnfeRnKv/XxXb4G6nlweY oTfKNvKibOKnhSn78mKvaolFN1NyypRyv2MBSDfU2LayUC6PkAoMyUQVqyTYj0+AgGXMxfkBwNno SPDB49J4fGDBvj/gikQz2dS5Etjuy6WQ34zDlJD8Tg36h1lc60MyvtLXYy8ZwtgA4AWYEycLKWM1 AkFeLWmuDBESD3MtPFxpev5MBD2tPmxB1cEfq5CcoPVIW8KDuPPiV1Hz/DzKx3CzsLMtw9+eHaX+ cRhw5Vl3tNVkWkqCjDdR+ch/+xWBosCR2y9/p/Ms8fSsFimvko9IGElfr7jg3Q8GbwcmxhSXPfXX OntMyXQOwm9DI7dNUgdDy9jXmAzP/KlhtdSelyZIjDXHJt9KyJUMauK4h/Jrlq99eIVbn2sVQ/is 6E55fDt6ONzUJaPtpMOnYkj9oIyVkGxghXalsmMn2anjdeXK+BDIVxs27u+dX68JXG7snCd6Q5GO sxVXb5ij8kJMWis5dwKNyxKzWl9bvn43h77d+PG8uVEtsR+Ofkhg7eQiWnWiy0uD9nTTg65UL1F2 1Lm6/+5I0g1hfIk8EVFVuMOckhZMWU31T7I04m883C8PRdwHnIOjpVpHD3fTpb7Ks5Q6szRHCEnx gx/cxplJE2mJG7deZhrAQQiARU4XCfelaJizFlKTdd42Xwux3ZjFO76N6jnyGZjmiOnWyOAEMzLb 1CHVaY+iU5HFN8thrKwQ+zibv/q6sNuJAciRG0SfAAg2zBQhFn0H/i7fI89Qi6MoK4qPQkzF4/fA O/2UR/98UnSe/mIA+kp4z3QJEeDrdihEtRmrl7bzkUEbrac0Z9/5BSecBPilyFSAjNAabuOpH3VN U8DOZov4EUJm0UcMLe1+44ncenjo1RafCDI5Io1qq+0O1k99nO6U1GN4mWvOxGljlP8PGnYV7W83 6KhYcCzA3dAwCfUCWuabRE/OLpKhEF3qjwSnruI95FwZH8ZXUBWtUUC4pbrzOTu32iIL9V3dz0YE cPP9WMh8WwnCJz1prUyeHVuwRMvZUUeY388v3i9G/00NCp206d7+rVaGweJS6B1QVgiyfffBoc5J aXtbFsK0eIzwMSz2wjEE6NpkztZCGeUlIheoXHREMx1jO+23JcsN2c73I398xWIyG3uhstNF9lEV FrQ4iGUJYpivJzqHbxag5A37iKiaXmkZ61+/6FHNUcEnYkH7BbQEl1FojE7yxA++8crj3domdQjS bxsuPTnPzXxfV79JtCzyIVYx6liq/OV+y2bgZBVk9AXwDRZF/sCTroyH+KVnWWR0AFuHHGyOCazM cYEAowrK5kt6ggZ2ze+Lu54whV3O94VWg2OknXZqWVWbp5kep3hqTdhqCddfJL3FgAjCUKigsuRC Wh2d8vnXZ3f6oMe5kBxotO0czLUxZyrcY/9HuyVWO96KqQH7kvzwIkhGQhSqTKh2f5VGHh96SMcG IG62HcAJnS1bkFgPqSi1yMMaBh4Wolz5r8ffBwVZF/Ouf16NhZU0+ZGNiK0PnB5q8rybL+0x3AtX dfQRiQ+I3vXjsqaa04iCjgiWzxrI26WLn13520SZjJ0HloEW728zfBnaBBf6MpFWECSe35PSZ/0N TRhxIvovJR40J2An1gsDgTJcwm8kcKjq4nri3o04BauRzOKD2rKve2Re75C5BHEMR0Uhq2yUJs3A mT76PHZN3R+/YB8Yr9ff2pZg6p00bnzsqmVnO2sNmp98pQuQvp0HvZlmR2PQL/HBNmqz2fUcGlFO 8+O1qcU3OVyEE5cDYZGdPlA5Fk2bPiE9PZ6oAIwgBls76eZSbKEItJbqPrqxcixCSSWSN5Qpy5Zb Xo0fMiPXDVxzV2NBhjGduIpy1dldtFzUIlsZ/HmOtqqjvKYt5l51K3fANen34D5xXBCOYYdECkzh XxsMj+hcgROoOfeb1mZeiJUHJnD+hKdpvCmGOKQhZgdTfo9np0vpo1nSsOnguyJ7nxn2TPnt7W9b Hx8hiLZ5IGe7IU/fZktZ+0IUbZ6UIWxge040hgZ/A3tSGkBifFuMk9jvU54RxffoaF0Go62FSjem HzeGAtHrXelg6aRicR6+/slQQgtm/FyrRlqe87maBR85wZQUBVB1EQnMn1yKwi7eiT69d8LV6PtJ eFqytCZKQWoHfOjH5xENRORMEJjtqWoT0rZTgW8/TZZkgM1f8bENQfGqoI97vANRGMqe3cCua+Mi Frkx8Ba7qrVthbxOLlPVZdTdY2JnfthoqKBAJAmhcpQXKS9CFMGD+FTE8TBzMcF5V3CAglUq7fRM a4WbqpOjeBHZn07rFaFQr3eaE7KoMieFslHyPl2XeNEbIbYlLmFoXoYjSP/KRMsYmSmz8oOOJCtr Mwj2JPGZIh5qqc0dcHk4RqiiLsRweKgPK6vbIfMyMM+esNH2SaI4Hr7SD7bPoT7FSt4JG8fRZFhg nWa8SmTwLHa8akyLkeHjwWbgLBmvElRSnlKMvP0/2NYm1g1OinWXLK+TbtR0XiDg5Kpnqo1dN6ys cfFgPg8Tb5kNpvSiL4cXO0GULMKl1DQaA3poSPB2kAKyNErtgifBpplzoQrPxWv4whpoogqNH2XX j++gIU1Fx+XU/2YtPXm0VJ/E+euCBlt7I7EgQBgKLXs7X7a69Q8+M5JKZAj6s0Fx4J0r/o9RFfW9 v0RNZqXoSFjlujAw6vmbGn70cjDCW2I4AspTZcNyVPAobwddJ+lywhH7qwqeBq1rVGB+WD6AEQm/ m7UUeLZuC8lpKouMPQ4HrtmJ7sWjzAU7pnPbwd1Q+IDqnMCvuhpSfohXl44DDnKyOd2ACsdRt0Ws t2ua8h77k6lU43YpxnR59DBgvWtGniHJ7Wvu/5DBpkroNIUhNCITfsHszgBPxdv6v/z/CzPcmHSP zgri5aSC5aBPIhMe0dazXJzpsRANBCTfnnedEw6eQakaT2qKgHPIjO4hiEc8aa2qCj8oCqsxefDK 1UxYSyrXLjxV7SJQRUDpeQRGczNHS/WLrRIFIpc6bIse1w6c/plLB+AU0GqmXoubiXkSfaCu6EjE C9fA1RZ5v2yi4YPtY+MJ9CZ2VAPICZgMPS0uHD2PUbSDWQm9xqnH9SZt2DPVXPFQ1ThYeCK7tmgI tva2FBZ4y23NRdszdrobcD/mE3fCkhcSPqhYpv4K30Oo3LJ7+E7ih7iXgJpcdhLeNIM+nEvdk0oe OJjYSZWGa+zYAPOcR5sPilakBuj/uWiYa9l90yPwUICS1f4khKkCZDScn7aXFW1rCnhKKJU82axN nAdJNYrrMVbCtr9WsyVEbaq7w90/TZUHganGuqCLJ8qBN1UoYSnLbtYY3XHxpNwXpCWyfaHs/YDJ By6Zir/1yEKahIPdicHEz7LS3goYM+qv13hSjb9L9zLBS4IAZR1MC1v1uYKjO/vldcjzrkzI6o6q t9lwxHq74u2ncQaspg91fnRtW7Vx15UgoDesQ88YylMFw68XayYuQ7K47gopVoYDIG3PbdgE4eLm uaICR0SNlQAULlJM02fYc4DErHm7mVTR4bDXxuRIwm42sYlg7EYQf4m8kKCMrPelqBr4igeoKTu9 mNLQw+RsIAugbQ+mzktMmP1rWZ8Fxv11URW2VmETtWGO4oS20c1gPKN+5NDWhoRGbdj1yPhn7Qa6 T/plvUV9Wl88DqNlH1nLr5jsXr1p9sTjJ9GOVLrHUhoOAEQ9ezDHxVP5rjFu9o8lQBFrKULQO9j5 KGhdAhCjBGzI2Bz/YsnOudtri+kiyacKsrRfj7YqIs84g8SD7Py42cKqmQahI4zSLqA50/Aqzrvb iZ6zgzh6t2mWbzwzdFQqlWKUGjtxdAFC9/EpLB6632WZ+rlx8HGZfGa15azLa7YlAj4k2qgCASHU S2AH1khGdnTi3UTJ0XmTix23h3lTGhlwPLxRjh7H6rqEaesj3/m5zRE2sBE22NylzzHk017GcaTI D3vn0e9PGtyCeQFlD1PJCPVppPxZN3o93K3O+79u7XqbBteWQROsEAQVKVcDLwcSic6KQ3Szv9AF RLTfClbVKGUYsB4eYGBk0zA5QbfXBaYxWUAi6yCiUJwD7v/S1MqCc3GwWaZF9wNg+LbBfFAFHTca VCpXUVuz/8DzpOSjnQbGADKj2Z6mV81It9HARMixX/Ih9/I0VBslRu9ffKnhNORBEUCTIlc2aZdY T+CIQoe4e83KcDnLb8StR+UPf24qKWRibpGzimqCvFK2I9EK1nCN6bKlvsF5cwK2c8HiosSPgz16 ws0OX4Mbh2NQsfjT3hYZU2NQ8MQluCJ0vMZtZlS9+58kaPCKJFvTbw9K4EXUyxI1Hw+qqYyjJ1SR Ex5Yf1ykZM/na9gouyoCG5Um2ucdV1YOHa/BH4wT8h42smzM0y2cmEkx9NwWIH1mXGlAatxxaYDN l3jKoEc7SWYpqQC/12CsKViTyHr18OVPxqPUO7zatcjFoYVWykPR3VglqD69jpWYVstVJbcj+Vsl vj8rT5jj7pNVxzbu3iD376h++hRq4MULdqGuawf/cp3/ThF7Yrta50UnaigPDKlnLEcXQdG0a4t8 RrwgBs54cQEyC5fWH4wwbA5Fwo19ljAFJXhQT1nV7uHhQmkuUceTmq8I6r/NYgOICHhcNZhTi8xz ldFoQrM5aimKx+N3i5O9Q2R/Mm/8dus0bRdrqvSGBwzuXN6GTIVIWzYwInwFduVsSSnEk4mmY3lD abPwqJ7vAtoiJ7lUg8h/SLTeBl6u+OzYIm4s3VpQj6176I/BCQCMuz0WpeKbYxu337Vi3yL4eogt 0Ui0/kOIfLxnDRta1dR5DYvlvlHXoJkjGrVUcAQRtVTXXP5RNmurOQWsA7baMXvjGvYk3WMo0/85 e6qAtpoW0Iz4Wfc1ylKe/KvdD1LwfcJEdwsDN8chC3sVzXkzxZ/WT5NmsG93GY3kiWEXsAakS0xK fBvGk4UztlgB2MYyc7PtXntkBsAcUVPslMYIir86MtQfi9+J03tcD1yfUHPmA+7RB3ObfOZK0VUR 6ljnQwdIEy+Ci5zHUCAuemKTfZ0IBUtsqKvNB/nuNXEZCix2hFIED1kNxZ3j1TqJIX8qcw3WeAp3 E+jnRPw6gQgVUlyDCpY7ED4tzKdsLGJacgYxDgwVHVcs3AR4NAIMGBPvpP572Ta1mgwn7Ugryq0l U7ziDlgp7x5tuNXKWIWo876NtiFaN3SU8luZuxmsoc8t5gIqDr9GtysT6AiWStwcg1BM0CtcVFJL 8jT76XvbiMqoJOFeCuIcdEsE0VfJ28z/ESJA2/KYJBTXNHLLP0EGjdgOW44rfF9buYBVDnZSNm9W 8DbQCtSPX3hqCd1/kko865drd3Y9QeCu3GhkZticZbBKw7VacdZ1BySt9WKwTgm8AsMrVegkm4fI TjzONxpvUiv07rmtrrFPOifSmGts8I68OswFDgcF/KQaVqf3fe7nLdXGevJaKt4KslXi7wN5bnrt H2806CASWvHpZW22k2jwIGMVoAlvs+/sFHlksuZai94h0EZXGX6J2pngnflePlnuh1I2U8XoE/FH jSG5fAyglEYw4TqY+RfSrnweQQKBWx1faR+4OESTCskHwIOSPPJQoSOC+1YPvtreneCshi3FFdGP vRMtJiR0ZH9qxMl3lBxe2DJ7k8lXvT3f9vc2RMR6b7L9QRG3pIfVOXXwYFApv97Wk4iHltpsps5E ZY/yvHQHvEg4MpBTm31jMN2qWo9zgV5Dip0ygCP3v4xdkuYLO1tQw/+yvC3YpiHQLUcQRmPx+90U 43oVlDwlAs5H0bkD5Z6UhHpAno99hZmZ1m52bc8+jSj+9mf74K5jqZ+Iy/nuy63kN5+iSStT4J5m lL9nEs+U8WIao78huP/QKzeCIFVxbwZ4WEyT+EfuohGOY5E3MbWKsK1KhLNaWfr6Z1oz0uIHm6Nj 5Chj/kQE11L61eD7iBR8J9TJXEzziBfFdHArlJ2awfioSUmxRdYqbgZBeLWn7dq5ao7zlUqiZAx1 JhEAHO4oXkYv2cxFv8I5oL18XrwIr0DIU3Sq+xyDsbabmVkfIZ/hHt3UE8slq/7PyTqFEzVmeCWn uWW9UpLitHQdIQp1VjQOx8UxuN7prAQGbkwFCIrB7B8sk1Fxpw9JCxZugkOcljCP5e0T+XkG3mop vaam9px/UqSB5TWSuhYHk9jUhGxvzatnc7zknbJkx10vgpmYoBF7zm44w21z5coqAsiufQ3YFB9C 5/PlOBWM5t9NtwgHCvM22HUFM53jz/N9OTPDUcjTcSBW9/Xmj7FH1IHwapOeRD0EpRvRsywbbkyM U2/UpwR35Rz87NRWGH6zmt7Zz1aaqIYM2hFnWhgnlr6191w8SLi4R+1P4WOHZhk3Ik2fzuYmhROr QAb1wLzpio76V3/5crhh353FcSDX8aX5Tlwp4v3KOQG8nRAh8FsY4b13Ok76v6Agq0mHKQVLdjKJ v8ugk2q8T6JIQE0iNgFILj5rUN6ce2nc6jI8JKSSOrjItFolgW7ZL1mtzHOE2g8Hr8tImWHOzFRo rEytDSjidLyrZ4GtWTfUou2KOH2lQTyJLxO3n0902bXDLehW4kMEsA47m/+dUa+C2TFpFULWoKYP v1ZSgz9SKKZJcuswyuEzv7UZD1tSP2aNgT5Zdm/wC5K48motXr+lQm0hCblNYNfMkIskznjqPHCH EHhpuCS9b/RGdk7BgwSOyyq3QYUyQcj3mphaOUTBXCwGXF6kxjgqMFgsnE8NpQZYR52YibpyYmvI VtUT37e2LdNhrDowVwW08d1bR+7OlevSpNllP0a5ZU2BfHkejLj8K5PtV09l11sq12/y7TWc/jK7 DLFJcgd9Z1BQVwTYAAKz3Ch3HFEtL9kYLJv8+KQ7wcS5Xq9cgiSM6nmq4BkxajvT3Nn+JA0shKAi eyq773pXIFAz97HNQt8IZYVubP63rAsFJuqfDutdwwnn8YyhSuI6wD/e4rP1p93gbDDYMUCgIVvq BivX1A0esHV3UyIo3fZWwzwHBOqD7fX5l8d2DMmm+V9kxLBuddqLIGYOKZqn38Nn7eJDNwwO4Jrc ruILMZSgFMovft9zShXQGeHlk+iUl4QOoC6HuRFoUm8e/0NlxVTLuSmlhqQQJrsctXNrHFo6iQok KrOGaCM+IJmfj035EVWM+/eXeL88kE3azsVs2DSenTyzpXMfkP7249yYMID6qiNskexzcOCIBOEo QHExEpjdf5PLTt4BKjQhGPa9SoeUuHE3s+aAuBU31vrXyBoDtFhwDkowm32q3g2yMnaQ1WkkaT2o lTgzSfiyAw2s3a+fRhF7lzLpoKPHgkulpRF7cVadLXhSi1hruSou1ntt55yplhx/jpSe5YdoxfUC cXkrGKh0yUblTIYjtc8qX3C94QFCwm9HLslHlp1H+HzSAOhKGpoql1mKuF/pakFMpJOBHxz8u779 jch5RsE6eeyja2VKxz7rnjE+g78PzMgVSk1+0uXrLSYHdAHTVJDQOOYTKI2Wnxh/TY8K4j0fsw0P 4W+H23xZIJOMZplq99lKDxOu+IHokeVwYVpvg8RAERTYUCvO3OmUG+giY4D9BXAtzjWkfGUAnvH1 hFBbF7Agl+/U/bAnrb4+Ezjp1qmxSYSgCmotIVpzWwR4QHKv8OBcEt8h7ImDvF1iUMJvQkZlBnfu dPZ8lMUIdd7vJSI5yr3NRDL4f9ZqyGGMttzwxuaceRwkubNdLOF46vyny3P/4aOjzshPKnkddVsy gv+VgGV+U3tdGsTdDxsqM5/zHSg0122RZgyREqypg8Wc31mWHnXiYZUKjQQKqtf5cqL5Fj+XWRAa V9kJbpLouHdBGI0G0yeyZaF5xys+9ICxiLgd//zmxx21fdVvRMnZFNikzYhMdx3hT4fOXjRdzlv0 /+Z5mnVjS5b8wCSMzqD7P03/YFenczhloMKHVADoWbVWa51Pw6yOagZjIeK/v3Ce+lw2GFD0IkVi Oo0tx7Mc3HsJu68Wrg4z1A4ePf7hISqby7mR6xZDyubipnzBQL/dB0oUmD2fDIJC6Gzp/MWhOsr8 S7ERUOIyfuoFNp5QyvdABW7lt44xqe7c+hi6SWvyoCROqNTC/nxLJaGEv8+y+3Dwnv6iTQFBujR8 WTiEvSlducMx5DQzkR8c7kqVTKhFJMTyB3ijwPWo8V9W/DDe2kprRYvVf9r6qcrV6ty0dSxxWiP3 Rd7hWfRR8yTH2KEHY/SYz3z97XiiGLpFrznIm38YtNtTdzgnXGFa8XE0+pksPIzF1to7y0d3GWm/ YwHBLkjH2JkhRzAkotrZgb2cDdPtuKLMOBUIh+Pt6faq9OwBWBEBH2uRv1VpCVPXGlus2NvjA1rG nPgLeh3YD2s= `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/delay.vhd
19
10088
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iaGK4Vux1Zzm9gBS3KKNmBXNdPq+lSqE3Nnx40zW9JpQDS5U0+JlSB5O0czPvIZs1e6N9M3JonU6 /VRFISTQHQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hnTIGD4PF052NtQspkoD0qYNWsnDfk/EZli95x6g3PoDiWDo2i9hfthnklZPOTwcwwB/on/PGVLy LOGgor+yT4ZX8UGtoSmScYDFDjshoGWHhtXrHczoGSF01e42zFHCzF3p+Kqif4EYEFLVI0b3qWfo JoBwVA5mSGa7z6eKZ08= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jM4x3jcOa6ByCa1VWDPoU4L7JC2eupLAavYhTE4GTMYrnvE7xP73g8zjlwq1G8Zy1ODZ+0DDopVA JY2gdvefh3SJisXvlbuH55643svFB8C9ZXe+EMovXErk8XGGsVfWZZ9248m2dlrUXREntbWGdORb Fvho+MXYXuv0DV2DKImT+u2TQDacpvX5e8ltSYsMmjYxEdkZrVMF9C544bgDvuCE9PfD8XjA3SZW m5oOMSMtDQabvtrFCxaEG4NyuxA648giN43WXdidnKPUkuB/HxDMEcw9NxHOVNuLeVs7mrwTNW8a Y8nkGhyssdB7pA+UlWrXAfs2U9Wpi6SjK7D2dg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l1zDcM4+iGcttYyoR8HHgtSyP4Fiyy45WEsaODDzemrDXcJaURYpyLa2UgO2HmqSNgBK4XdlSO3S QC2s2wdlVLq0nr6twxtavd0Mc90p3l2akMlkawzSfWC3lR7JsZexWZNEb6frZfXhesr8/8i8wphW 9oH5nUnhDJDdlXi2xk0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHbCg0c3yWoABGhh+X5xmKdWu54K0QNaj8yiI7dbYcl0s74Nnt3O7DJj12bDcjZRfdRoiT43bXo4 30QPK3Jr7E41USUv0QfI981OyCHaIYD9DzkFx/42CQBEOSHNBrRTW/rge+4hugPE8z0ogrEZGdei kB3oPw27BqROJcBQEhzDTOz6PP5L7SaiUGBsXkKo2TeQ1sLfd6VNm52eUhSewTFcPcdSylZU9gjA /KlsPUnl2PskRWTiOzVvvy7q14ROz/8yTOqbBslSCNrDfBQA/bwCsE4HN784FAGU2BIu6GH0W9gV ySlMw5kMiPDazI4NmLxMcJvTd4Vi8xnRt0T8Dg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728) `protect data_block hz+eB03GTRCr4kl9NBfZDeU5to8L7s097FSl4rNdvJNGNcvz5hUQfrQXaZ5Mp47gyQqERKP+nbHP W8ijtIwv2LwCvmfaL8fadT9FN+LaZhINEnJ2eoZvsN08l/2IOEEVZ44+bSNALFrhtpNFPjaTrSrF l6PsmcFmWgkjihsmc2qsups9jYRpTevrQy2UqaEoA1Vb+Zt6yBwJdq5r2vUM1hRghORtxAHWHCK6 /T2ulb4vziH1aVCV7gZ2qC4ln9lR7w2RSCq4NdTVDHUVD+0QT/F5zzd1K0VFb4OZ/6dnQMT9EAIv HFowDFIDNeesI8ljw/tC4rQO1bcuvnICh9/BNm6vto9IcLvrLdyulnsdx4B5cPxsGEct+NjOApA6 zjQUgkAscgqL/BhbB4iC7jGC6CkHjOn0pAr9F0CBtoi1Ns3A+lzCioQt6cDcN9jpUA3ryc2VdDbK TLm2wz55oD7tZjjVcIqef75y5UCAd6RWdxrawX0ew0pFgFD0eCxjaM8f5uuL46JsxXvZh7iAV/zI qrlAlgTQYbI1s56KP3Txp5jTlj1+2RmWPJS+FZUaveYdIVLLVz9+Q+QSBLs+/csU5fR2Pwx290vA ODz5QYJpNqQUzxOPr9Z3dpdibMmSxIY3kOvrS/IMvrv8Sx+/kEZg3GqbsXBBtBEfyKzi6gX24IUu fJoU2E6qnb9+IEfpnz5EYyfTzWXqRlfA2XqR25WApdN/N6o5V8WtgyNQMn+a8DdpS+nV4EGrgl+j PqBzj8EkHqwtZDdeA3Mwn102WMobiYuK45XJzhJydSMqLyLH8MOHDM3ixjUEaGFFpBULCkU7G/R7 AZ6wLajVmlzDeFgGWL8ssBgLrdutC0yyMXbwy9/ZEJxjzj2689nEERxG6yIFM1WbYgAK6DpO+ICA VAmNHD7jL6hJKqSk8nZ5Cjo+A3mf8SdeVb9MB4/CHnDm38mcRg64RpVlRBVWifCtrVuJD9xq55ez NJiahlA4v8+wIZ+NfbuhBEkj77GbB+ywx7s+Wb3fApO0oyxi/rHqh4M0XplZwItVqvryyOZKdA15 uq3qoS8WgMgNdcADWoxnSEH85MukOJDZ9+pwzajNzWpoJbrTxWuXWhawcYAKdxN+nmZ2JtAHZK4z 1SJ7CCNed+5885JC2NG89Ajkcq83EaGNq/gB+ASDApcsUvTU8/qyTTDjBvGSDhS42bay8Ia7rY0v LAje5lKuO7D31QXmwZ/bXT16LyO5YDUs+NDx8sxrKba57z9MJ3wlheYDaIBhn3xWa/sf6cVVtFdU 2ugmwIHKnbixIB8GKXoFms0fGoLjJdzaDNA1iXYhvkurRfPmRXayYoozDiu7PXY8X4ninoKz9ncq oGukrhJBso4gh5uSGDmdvTMRjJn5IjV4bptGVgyEIrzp3suPiIB+93nhiN2O/+QoK41kZemI7Hmi wcahiRN+DR7FLTqV3tFnRT/mAzkAru7MSWxexmYT+oulGWmnjRH2YNjaUgbeX4xzD4j/b8rfFZyi geb/6Rtr2qfLwZjcKjsN+oDCadxMcYg8dMnG7Ehz6A3frBo9GGfidHDYXeSEU7kshUNcG4eMdAgJ 1Im5kXWaIn4bZFqTvSKCDUmkON/f8ZYGKranDqUJw44kowrLObueewIOj1LxxbUzco34TOoxBHzx mB+jPl8K695Z3eFiKp2MBYE0egSdCNSmMDHgVFHs1ieOESTQjtRQAAYc9DZu+GZ26nCFC4DvpE3M a0spVv1PAwul1WLY8JbmZGHoAFwWpSRykP/9DB/Ehr6DDOylSKLKZ5pIop2ejCJ26z2W8bVRyBtA e9TQbcrc3IQ7pLedjmzJjyhdAWG2WBH46dNrEj/ZoG3SCo2pBSX+7nNX5VIGevoqpOkpeYuUxN4n PuWanBzad80J+yAug63sYu0KnpAw14XWC7eyhEgbxvI3is5LS0vzp3G5FMPI4LhSsdgwUwMYWu+F MTRcHqQcYKpOUMjukORgcPq+2lK9AV9L3lQD5srNmc1VONA2wJn8QhFIabC1fqxNunm949aPcd6K TikNvFUjMBgoz1Xe82j6dHtBSZGQHi9bQPUwhRR2WWyGzTNUYks3q5E2me0K4MqUDEjUZS6ROfW/ I1MvqSjXwi+QOQjFbOe6qyDXrx9pglgdcUPy8ptKCAj8OpGbi5VZ5hCZxdUimWf/CDtcYBUr+/lz QQMp5NIJbm/PZFOIeCMuUUpUGwGJZDhpl/FR/awZV3pLPKbEzLxIpVmmSpe2cUDnxbbdL/ZUBt/1 gHdXhMvMMwU/7c2jTifc8HiBTulbKXMoInUlWI5pGoUEqlTWdodpEpUOnaMjGqMl/yz5H5s/IYSj gML6zkKRN2anBkDBDO/v1k3+Ytm8pSKfZNCHMS7NhKG7I2NYWZKfljtT0tR1jfv/AZ2R4cX8mQXy 1rs9DP09NiWBBiRtop/RjiGhjQuZm9NUhx3vwI6+Y6DS0gS/VNVs4OzXOoRn5T0T+zyJ59gv+Bbo E4OjlNGN0cBU8dHZL58o9J3kxURrTTK0iaJJOh5sBI5YcZzt+Xld7JPmogSmb5GcXeKr/PFmgShK cVge5QHtS0QnnlIdeD9NpynhsxcL4pXnnPS0bl3DjEAn4TjCr4MKS7sOXgZ1+C/gG09mdFe7Vf6S P0n5D57biLM28JRyzdgTvPS+1u6RnFRMb/6VG8inP3f+UfDPZs+B6Kcsph+YBS24xrFhA0yVYfcJ SuXxIeBn16cT2Wlyl9LTfJqqWLHitFtSNF59plkQARlSwErut9AJIbSmL1qcWNk6LILPtgFcbNR9 /JNDrdZz5NeuKQJl3g16TJT4Ev/8OLrjhn+mI7lV9us3lzEu6bQlWfvdjjsEXfapyGQO7Gjs2dS0 boW/Cl5BMBx3L1lSAteyVY+gEWHD4dFfkQ5Q2xOucErRr18rTuadEXjAk2bdWbDIj6Fm5pz1d+89 MrpWxD5Z/4ZVs2rlcVGTsrNHYV3RfpgYQFNhHW2DfCUPAPGCu8+k28nsFG2Gd5CdhQRHum9oEqhy /oEuV370oChJGj58HgpKqJ20CNASyjMTqmGjoX+0EhO/SCJ8F0HCTne71WmobOcP+mlunJHf4Odw xbyXaCVQ/SAtfGtIfcCNAdwOmZBtkC8huJoO1n6hBNVqVJSaB3YWWwdNDBw2GSaXCNgxDXyWh4IV K+kOadKu9hffcGNoTfFbXTtCQcw/Qgx3YZ+W4AELcDX+IuAlY/UjejMYTTQyRQUwfTSJAQIMkCUo 2t3PTNPzDewJ8B/PwiczouxMeHBkJgFSHEny6Y/VNauF/NSjfdhS3tqP6UpnjUKB/wi4CVK/uB0y W5i+6S9WjjGh/b/4f98EKasVDlz26DHyJtpSIWI/pSqrf1o8qrLZ55T6pPc8GQ94QCFgEGnb45Cv zSLwSgHbLfKslJFiLGc4a85JU76bvJ/wxukVm9e/YRafE51VPCyFElDmD3EBvTZPV/Y0q1OQC982 CO1+0059szmT3FS96W3Huamu2Jl07DOf295HzBs9c2uDsuy5H06Ms9yCpG0gcm/dkTaeUFA7U9kg JF+f4YVenmNllktmxrilqwd6Q42zYYil/TO7w5nnkAArp1mVi35vWGcclOOpQSlhYz2XZlUFt/SE MqLGuXXv/jLcgfULjJ1bqrWL5LEQ+bGx44tE3Iuoi75Xloq+vR0NSHzRTDa2Lisg5lDvHeiqAuF9 7MQqt0k6iekshcAw6Q93T0WXFh4NuNxhS/m58aqa4Yc/GZY54p3N9RqW8EkPjKhJSqwE0pUwSpHA 6hAOuZw8TH63LB/ZG7GZWN3fPHLiZjI9U77aA1vfM9wWWYKJ+pbwvp4WmRYIy3K7n9QHejxqnPWF WqAOlghwQ+yimhRzRODQUXeKyE7d3wytPk+0ygm0Xyu7s3uqA9K1sMAvvr9yWN5K9j5RBQNA6hik fDD+wSAy2VgmEx1/NQNQacJjmRXaB08eJC1GJgYz/+cZIJtFQ6m+l3fRFcqiRCAR8ITDPqUMsmhx ZB2DLJHUhF4P5w0+hU/rCs0oJkSd1lG5Qp3wtRU+0l9s78z3Q20xDklEh4HcMuitqb9EjTLmeA7S msJHQf4n6knLCL3crJKm6f/IdlqSN7US0oTQqTOi+U4l2rFrguoBTD6h2IkCMTw2c0Z5/cB77eEj GJ0uyYSMEEuHIyCcubuYaMbWnNgVXPzBL2cRYg+DPz2+N0H90GFZVJcVwSnSt3OHkLDxWqB+xXoN 3FmODc+K+ZGkx0GsS81eGlOgEnCxT0zHi/U7cxb00Ntc6AGkeb0m30HevfYh7s6JQTeHY6vJRFNo wrSkW635zwPNgsq8CJ2R/Bidgwm64CYaiW6DHxwaA7q2VfW5WMEBkEuvSWS3Fqq7GkzqwXmPIe5u UOjYau0uonlhdqaVyNWdpMWqzSsQn+A505MZwnbs2Aoag06uPO0ezS+oXiOwnRBd6gPuF1W1No+N nsq13KqbCTUemqIEOfiH1VFPEJaBjCPBd4eT8L/Hd+PX0wGwshJinC21JxgpzOzTmAIAMp7cJJz2 nBqG3DLZVcZb5YkD2x4luSZ9jySXCsfc4KtO/Jqe3aOLGXMTDWRytqSnYvA6cQ7LZj3fGs82oC+Y AXJW1j7C1J6N+1xti93eIUzpgtiSFjJb9mgJfq8VG8RodJX1nVKmxckzSwtR/9CR+EUNVRlEP5r1 AJwdfsVW7Le99Ggt1n37OeNHxgBKcqsJgxQu7FH/EQROOnloapsABMm76ImONWYMH8Rwe32+DFnl NWYKrPJsNbjFuphSvocYb1nmc0unTqChO9sGJPg1bfFnciSO4EtqCt2qzv9TW2+vsCqE+UXZCGLS FGSga2NkljJcMwDqM70GRaZzNBqNsKcAIOykIT1QtX27EFmuWLa0sqJ87jWnD+6aIx+CF47Tdn+c okXBc9ehCQSfoUipq0rLarD3MCIQh3PNK7s3ywFnQUc/BjcBhSPfb9AcjgzUsqOlE7p19yNuf3J2 DMrf6OIBtx5fYXlTIu43JJyLO8aFU3j4qQa9HEyhVjjlQcTfz5uPaRSkFuEQCAPWo93u8vsUo1Zp SfN4TTElhl4soGRRmyYnmv5S5eH0a0qL5gp2J/GbLdcCwI/IuJwnDTAUlhfd67pBx3i8ME8vi5uu 0bIdGhlny6ukPhtfNyKJmPAdItPASpAVyv6OrtrC/kMjT19Uf88R03wXygobWhw/6nhTOJVo91hr X7BzTNvzbxX6BkRyIJrTs9tSmPtCutwOl5tir0rY2SGAa5MOtgc/sGVoKjU0TMEF6smTURY8G4KQ Zbr4fW4MHp14TVTCt1SdwTt3tNEfivEA/ldMLoL1w0DatdBR0uGmkvbJZaK7nCgr+WmqWw4KOCmf em2xGvTn3nSSUGKNDnmwwnE9Exk7wyEYDLszuz1gIENeDnSIVhU+vURVCLC3fF0XNWcxZf8fjQKL 0UsxMUav6PX15/E35JMqWWdTIgtf8Kyoi7eY0dcJiXuoe64BCqpDut7h+mlEGpgaGtDI4HBcabHE c34G501giJRuA83OStpYNrS9S7AiXUMbwK+ji8Kx0zYjpmj0Lv46h4X6oh1Xa1ypYSqYNhvRvW7P /yOX4beNEyFEyLUMKlBS7/pGv3IvEHmG1HrZ7LfZlCO1PbBbkh8p0PMckBtyK79OU279StI+nbjA II2HCwShl0UIrWoAQBP5p+KOXnW5c7xENbj2TOY/pFFLx85KO2C6kn5h6YPwGLg/L0ivyGdhlIDG qj/zZX+IQQO4qRe4L9Pd6sI6SFAewlxYJyjif1dEsWxBPFcG+HoHhDlMfmSMroX8VrXOED5jd0f9 DiVJUDTPLV2zoH8yprwBt17PDBF10l+B6OJdtH3F50K4iWlCPgKCIuBriaT5hVUfpGLwNXxnl5kd OZ845rJvwiIVLLFz5YJAGuqdLOdcCgJ5HmKV0GNMS+gHNFC26W5Ok8pf9MHfrL6RngS1kDD5ZPlW OSxVUweh3A9y/rMoO68od/8yc30rY3XHzPu4nKS5tD61fVAMz+LZU1qyUXk5uv4obJ0nxVfJssoM YYiWM5pxfayk6t5uejwZJl/Y4nWfAKRvOSClJ7YSflZgHHA/acowkYItCRmvyPzfjvv311XDyL2q bduaw1XCXsi60teLojp29mQADflB6AIGjMno8Q1g0I8Pu/Z61GfOn8IOX1cdxe3YqPSU/34S5N15 y0Uti3Y901ELX544ZSejwKWB2ixgBg5V8i1Wd7BUnhhFv0INVVJRW9KKX1t+1bzFfhnB8E3mbW4L 6hFcnYt8dAb03DraHifao2nQyRIkr0FXhMVzjRW4FAu1FvJioFwpgH0xKMhUE9c3EfTqxgEaMFOX v6C6waRpzEXWq4A5jf25lJN51KmYmAI6uhuE//FXgXiZuDWT94xYRmTRChGBx/Nga6WLf4tkiB+J WZfBzNJfooOpS022zn37XjBwrJj+Ecig7ni19i9eMO2W6P6Mo12rbGzCyfwO8zQBxZDbkbOC7UqY Lx7h6DApSgM9GLfb9FPZ/q/ZeDYIuhZF9oabKQYJHJkW/ItBh169M9GpIoOJJg+a/tu/JK2QtayS He2iSGqPC/LcaNVUCeb9qp8jNHnXMsTBlxQg1s2yEYbR+tvLFFuRCil90Yid1+TV3BwQm3NH3ZTQ DenRf7CLZ2VZcPnw3Q89LBIA5hbyln6MFQHFU2Zo/gARmAZ28mEuWAJhNuUNkSTUsGmeCaQyBjKu XGV/abTAFKRO/L3N2UVhe0N+VcMT8Kab4hjnv8rcRifGZaYISEYt8O+MLRdNoc3VH93SitKesTWp 1upWNacd7jVPwWKP5v4we1HNGhZp3mwlyj3QvFpiqQO3MCSMGuibDv1ssSVTb+3cTtzbd0EY223i gE+ZB/Lse2qV40ZCZkN67zkgvxQRaOySMNQ41qINawhBLdAjEvy7YtKnrNoE3r+AXXBwNLPIRx7g UTRs8xE5qV7XZW5Z8/S2qWB3mLCo+wOM11JDeKiNSbMG3VIRiUwzwfFHy542uXo8Z5KwfQue1kSB KB+r7hZEozwpkZ9ZIz1kT1D9NiFEFROW7ZQmmD4AF/82u0bcSwTdxA0fmvgmqQ1CcDeFvSbqgknx jrT89JN1sHC28SWZ2dW5QxQyeXQYN4aKNW4csc1K/fNoKOBLSLaNdarL1wV3UV4aq7HF1BnuKAD8 p3lhONe57al/KWb+CGPWSv7GDZkQemZMj3fbIHFNFdRVbd4a41iy70WRdJNmr3kWwtFkd++1n0hA UlbxPh26FyWj3D29tsbSTw3q1pjGihZcSzKLX3IEWYwhcIDmST0j2816gDFOTggxwe6gNG5lXbNj Ti0JOqgESKRmYaBnPzv6qqs7vl7lDdZJKsHRk0m5758Pr/ZBTFmETS33zzT9AEBMQ84UeyCP/tj5 QmwddWvO0SmvYXjebpwpI70XCDEAbL0+BzGQe0SiSkBX7CanDDMAlnX0MNewyQkfT+81KlZ6lB2V Hq5iWQwoGoO86SXwLNAlQRBzLe3JDT7RX1PIbVqMPqdfYRc1kM2lpVfLGq46L6pIsscsys58jr79 S9SF96hbjCgNSH9JL6n7v4fXqUlG80hwBCplRg== `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/bram_fifo_rstlogic.vhd
19
21262
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dKfOe1Fgzj6faSFeL/IK/IGbXRIzt9OQ8DZnq2KAQwbAq1xs/txiDbhMB5jT5GTGOpfv1lX7K9mJ mDVaIsrDmA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cmnaZ+nYMcuVxuKDdMnuchBB9inZOxPR3/E/irYVdWCPhl0UM4JuWPFoKMQnAcsoQ3vgnwO/qltn 0x8JvlvddPokOTwabXK7+R741NBmTaawP5Y3zobRhI33jusePpwNTanCHaHjalZxzALXRseOguzG AwGiKgpBkrzwT+frUqs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sUxQSwzYYe52m4+VJThnA3rSxL81p7y01A34NmBjYzEeDRUnhBCVE2EYcZxUZHf3SzWeAqe17qZn +OUEYPsHFdXLy5QnKWkfeT6eelEedeGrqLjWta/XE+CwvggarDRC3yCpKHD1RObvSaidPkoLOQaz Mr6i41kRIdL7xQbC4uLsdgEZKWh/fWAVQ0EsVnkKqE8EuxaCZ+UTjEptEyr1FyibFlRQuCcRV1zc KGcqqHxwzSvE0/TqNDvaxlN4HZAny51ra9dxL1achi8jzJgZlO8wt9Agqbh7GQueaCXon2S1zoWz ehgKeTmxlL7ytzeVDSpaRq2XKBPlYb/82fe70w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nlRZm6Q4mAeDfFS8oXcdcSIf6QMcM0qJWL/GpoNfKsPw7GwRrG7w5Fv9DZ3ev8dGDXi3ZhhDXcQa Irin1hT7IkRZSupkXr6uysVtJeCdG/feYDkdTZzOR87EjbK5yer40aqraNg1lVIuObcgZ8AniYE5 0hMf7gQTkG+H4+tX0yk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HiHN8/USAozrVtx8xCHzL7SU/8fs0dpiHUe+Pxq1X1HHq6PWwlbojxR2di+cVlcr3m6I0F2zjyVW WLu1kh2il765GldD+RCzgw8JhGbJOXcaDKXvV9p6bqICOBy5WCTf6gQ/vOVRu1kKDvf68tu0aJcM 5GW26Rwq/4L2jSNVHzuzVdgC87Mdq7eVgLL1qlhKwYslU6Eg0eOYTUfGfgCo2Z6Lcfi0atBesKpT DSbchvClt7fyjz3I+qeNhclJOyfOLBdaqFIyBSFk+zxyw4U3h7toqFVwQu8Fc+NwLgyBezl0ZUBN S4Kep7fupBYYGAqkU2vi+UvgcgkZQxj4+5jXGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000) `protect data_block 0iHMIpFOrX98h7sdG8ilVHYbTeEnJdFbnTUVidR1vNbZAGh2cr4HmE6keLgSfMCD5h0NPMJszn95 lefuw46FHgmdiq1KHmbCqItVy9Bb5EntBdVL98scm+pu6FWXRRXll0IwtL4DpJWcBV+kK2crlsm4 DbStY30V0UJHYPmRbtN7R+eKz1y7qrxQEJBLHntXAyEJAemLkMyhmSG2lui5SLnF5vCLy9VBDhgu 0cM3TC/3C+fmgqIUw1Lldfeth887ToXNdGBy5IWMOti/xwOnavm9yQQ1UwJbBkYiLQb9LaG56Ej/ 6b3s70/XdKd1gISGQST5d3eCI9qFMafOlAhy9mBKK66XeRgNzy+0g0r068yGEyHb9ijAPwNS3hrU Ba6w8x0UPDig8kW0gNzuw1xMnzrz6t1GxGnaENQ0mQ5m6YWeBPabdEav28e4EDezzj/HpYgvtZg0 12X04rYK337RyACk3j5D2Ho4fKfvgcuNAjXJrce58FX92+bpsHTSgl7nU0qhBeUUj7nsLotrgyvi VfqUfk3XcleA/X4bxY7Z0+ABMZk9P8DArBqbAYiaAWXNw5Tq6D8VurqAJWH7lvn/YGDqAKNvA5pa B27McVsttY3zNbmyQf5ZWCZ1il10jLS/WghDIpsOrZK5Et/7osNwi0ofldsB+I6o27Tmt5LRJZ8L nERGcNUzzjKVopFMokJGr2OBWa0z3SRS5XIKKNcf0js8B6wwEVqujww7VaqPMbQKUueP0svfZDa/ NmNlP1tDSwoRxhsiLmiiD/g6rBkqkisR0Q7VqDUyd4mk2BZefv5U4GfJV93zvjxFd8c/7Ts2wZXY u2SkYAP+2gF1dK9JfyX3lq6fEPtOPffq1e+fOgoy2ArmFsDR0SP6QjXnb0sW2l+vngzwPxJeG+dR u3ujmcTCMVjYfrQ0hBWfk7OZFh5zWuDmCUul8OgDXLh6r9uuLoxwwwyjpXgTcE7msNWqZQNU9L7K qxgGPgiDLKq5SBuhxWrigGr3a5XzIG6Ff3VPAqxjetGns/x2B2m5VmZRthtAo0phtgFNrpl/WNL4 rJ9fzG3/1OtKYs2RCXPM0xhx/SxJNK4wDtxzdAzPxwGktD2EsckwYe0fuWeZIpD0N/P3nHV0a60L ep+OL0n68X8bf/6LLoa/UWDRHHE8Sf4qGAEpXmBIesYd3B0KCXEfAsVypFaLVS59I46ay6qSWzlf YH5RbR/MtwNwEzJ2rB0kGob9cFMkDARuVWmq1ocVMM1NYekLuTqRQapB3T1xBNUldrj2DQKCzAyT 1Bi8/bK7Q5/uO2NoXvg4oZiWbS0m2iqo1NvhkPjEfkbuPJAcjC9G0m1zSHLDodmuOXbRmokvkn3k UwSOgDDSY9F0GAKzR/L1wq1KQrMnZFNWKieSBwzVxy62YXsNa+/i27+DWimG1LczVOqSlWG/gtM0 s9xDlunU8/YgDjdVTgvBLCy0lJ/9fcL4jX50AX5qNjrzikVICzi0Ob3plQQFXZpGaCjgf/vqona4 mXrQoOI2hIRv3ol7MfwNNfwhN881ZZFRVNMgaIhn/7UelXuRnzGI/tcmput7Q9DjmcfIiw6z3fxZ J0ftEWcOpRMlWhUjAL52/ymkVx6a/fs3zNV3SbKN9A+GLYrSzTewpvMs8er/nIIl8zA+YR8fVVPn PrmmXZQ9LhYp3C0u3bKRyJrLwlEVJS90LMzAMbT2oVxA86MoDeNlTebNXpvZ0FkUVb2nuQJD7Dti 6PDoR+oaZuR0hDYwsiNiaEIR/7UzU7H4yis9XQwP8d2gd1cJfepCKqeV3K0/W1knlpH82PqISmhc LMXw3dVQvFaacm5eyyjzi8FhdWu3gQYwOLZT5PLqUMHZ88EviQcthy8p+HVWr37KFfYUCGbOpQ86 ZOdDcrWhykSw3M7WrUhXYcd9sDemYfaM+qBUdCA+5jUndAtU7BkrU8CW6ZtXwXPDm4VV//R3lhAd +Vd2y19v2zQO2+KWr27Hxm2qCi93u1QGijhQmMiV/Kvg+wC01VXNxAg6cWTZAf5H1r8RcxDLsUUT soopwMpjm4ZtOB7tZUcs9M4Ndk0kfkIe820CHojxQ3kng6v2LtAUir0CxyOPLtXx8yd7VFfE3Gng bxd7CTk1xGJvfgIl/AKvH3bd9k3HsCLIeQdi2jn76zTw3LBGxGnRcdRpGRLkTNPRYWAdEwTN3nqp QOGoaptvvtlrBrrB0UYe1EhYEvkK0u/b/PgUdK1Pb3ckggRmILG7GeyCup3CwbXZJrxgKUEJoKLt WdpbW7bWxexhKVTTb5PzLfZlZxMbEpv4CVgsE7VWCIDQeAAGCqMnOinEftBcWkS89acu84W620Mt 9iBgyK3+R2AGSWDpTwCI+QjfdNI/RYq03DACTlbjZjdAt+viquJfY9BQoUYMqzNRGnUwn/sdpbVo oT+mbajETJSvdmJ+9YOIooPtyC5vEemOEzYiellrxKtF3Zdzv1G+tYRr3dtfgD6hE2OETb7UXAaV BfBl5r8iFC+TVN8mR47gIOZJayD6ghtpi6xL8WQ5StP8e2uE0eQOtG+MXB56N+yUrNWeu/wkaTit JHlQVhySOC/+UaszxaoC0RQomLG3xQTxDNm2gci8XMpnGQk6cJuqDFemHM5id1AFr20EPUMnJIgy nnh2kz50MyNfKDUUhYMX1DmrxczU8iUJSfPDbmqumS6Ih+iWPjHtLoOhUmEusnBxz1dozgi8mCWU IaUbJORnq+HIXBznjUoDupksRlIhL46I0FKSTHiTTgzV/Taq4Tlhnrpm2MCkj8Y+SDzpfAsBhO96 1Yd1o9i9vns7ZXjwuZAxwoNrLOkenIf7xrqRsfFIlNw0NHOxr27dzqLtq0K089n0WhgSj39hblbN 6stPob8LBDwUDJCwlWA5ak0BTOCCl7SAzmggVpKLz+xE/g9hE/6p4LDmlhQudtcVGxW2MKko3+2i cbyg/6h9+SNUqmCU6TZqY/dNSbVq0m8RqoVBZKuQ6qmQ8OMpiIo98utX4d6fC41eQg4AiTQzqhZF In6dc5C/IQj4xB66rkLzfTs2XoEAwsyw2AaIh+0C2Cq2fUAeWRUQMmZT45IuESFT1JwRjEDwsJPx Cojxg5uw+GxWGCfBfuT0rWmPNUxc7FRPep7LbTie9B1u5jKLY56AjDwbVLyVCzD7XqOde0GtFXrg VJpWsC5Vw3eXtYZ3v3yCDX4gOyXu05KhOcs5Gn9wvqqDzfOyCr9k77xtiDflpSPLgK6XQArZhr1s 5N0I8F+fc1Qxj9OcwNIXicGJ0OcjLaymo9pNbboHJ7V5/JW3qIXqW6Tghym5OHBA4CBnzgJVw8zI yAcAS9EiXhwc2bQ1zw4Od1ll2JM+SAN87loCfCbEMCarAlZnmI5DqmJ07XG39Pi2nX48MkoCrkKX ICIql/KssKNJbTp1qnlfHstj/Xyz40fQbuOhX0OWVhDSHUVyLt7vGGQGdow8EmUKtY4qxtPBds4C FM3vjG13NPaAqGtz2iMamEky8mKWWdOSyH7j9OdjhEKe/JFsEWFSI6AHipK8+vM0fG0gvEx9asmW mnpoBFkQNSWyhLTIM3I0ik4rBhiCxZcFz59KEfXDEXaJjLsK4n3YlxTbLpIhMsx2kfES/PcMgfcp vMuxptIqXJhf/INN+lLoK5T1vM2spdXGEbgZ8qfJWRp5B1aaAHonX4HWcyS3XsL7mSLmXycvxnjq uOa/wYSZqLivZPCrZlhO2r9pk1DFViy6e8g1oSQDsaweWt45bVnvakThKuXOb4J0d9IaDHltRPsu 8yiVrsvHn+IFwo8ws464qHTNKgPR9RIUdGe6IqrCLHsUeWxaQGqJe10J/TiQc+MNub0anz+JtnSl 5plfPH0Bxa94Js17TpIQYK22aU688QUsMcLNn+N0X/um/gs8HAaLRh3LoiNWUleaaxPONdPA0Sip fvE1j2pni7Qig030RRaq+nSAvCxObqn7t88QIKGmJ2gTXTDNMarC7skBloE64BULt+knLG3CTovm Y3mTaxrI+3bMuVRs8oujkJWrIFKhM95RObmrx7VCGw/ZW6hT7H0LiqW8pN9SJvhle4RBEJYMXL+i 5M5m7+nK886bCpLuv+Z8rqlfUaGcHV/LOB0dNYnjkSL3BsW/3MLjLcGASbcS+LP3oY0gWaNWgvpN sZhkU9wqjb1doNSUsahPSnOklCEt9IX3N6pX53N2Qd+3mouhWcA/GxE6dcu90T4Nv1TSShZH3VX9 pYr0jcT2q5V7Glu6Ke67dH0ISbqxRQO8oqgsY2jFwRhcChwYVieCUbys20jaW1lxWm30m7t2VmRt sEoe/sb7J9dm1PiIizRP+Jjwnogn+HbvUjgJj1OFlX9pY3oFQDdiQExW4/T+oRIW6vwLPIgH4lzJ 0XEKHgVXEoZ0p/ReE+MMPdV380nR5iZ0ff73LC5YP/wXtA4o90J+/clpXj+8g3FysWwRs1HPvG2a rSzOVw2k8U88gXs5wMCpuNLEa8S2UcsfS/mowqbFH0RBYFT5RKS8kpWtL18B91V43PG7MDggH313 sBsAKRR1w4oG0XR4kJ2GbC49Ujoh7Pv7EU86Yaw/p1QNx7I9WJdxvkz6eK1Hy44s5kdykGCL2yXt gTDREn4nge5vicp1/prR5s84iHGmCLSiFcJQHoAq2CxBLRx1kh1pSzYabNnlRjTtr3vYP3tOrDzs nsNpRpNzL10D1L25SQR8dvGK8k+HlZQy3sbnZ2zL5aHmHDT527XzO2lGP8oKxXztRS2ORuEWKPhD 5hFKnx2WOolWeGPlV/8XS4KRuUNhUwLEKHh4hvAGjIwhfKXQkpJXkYJaMd/6lPfQXwR6FSYUk5Gr CfzvVw+IbgTRzlcMvavDBOzW3alODNNl2p6yeES0vcGWdAdFQS9s/rlHtIxCYdjziI//G4HET/kt 4FC8PYWrq+p5vvTy7cwsZEmY8LLMJ0FST/KGJvZrCUtruI7ePgMo1sS/yjrrnoRyjEBnFelQ/HS6 cUBsVz44pgpFcb9dsFrQfL1S3vWoNdG0XDmhmsyD05EVZKtjs407bn+70Wy/pmyxwz5+/mJMZ28v 2RuZGqedXnvSfVepiU0HcahmeVwBcRx/VxF/BhptS1XNXKXz+eGX8zN0k8x1aSTAyzcEw/uGshvk X0itjtklzZZssBD5Xwnt7IZXt0kH+POKKdzYu6lGRDDFhXhkS0X/qSH5/dRbjCCRJ/YMTuzht0W+ YIYNNb9JkYeJUayLlBLLVGQpwoXeTv93ZIbIwNtv3fpGXOo/6p1IZMerpieTaBq8/fV0EVaGCD8t 99KslrXpJQyHWkPBmeDd/inaWeJjEhzVoF1Ew6ivm/36MoER3umL0bBlCSAMSXfxtT+QsbCp+2k1 X7R3yokzRm6vHktMNpp0sz3XbKb2MPQeuEIcVhbsTSyVTkmSY9jbKnbNnxEzBEzcN49WUVGJO3yh MYF3aNdGuLmaOwLlIrXH6evfo6jjfpPtrBaJC4Ma6J3PaF2kFa6Wva5J/iEqi+t16OG34T1f2T7z AK5XcUWUU9+ialtY4YedmMBYKc7049ncqKmaSLrieBUCG5B41IaU9o/QBxiPwWm8y/QbYKTEWRCL sIRtbNRezEGuCBnivmLTHYeXLSl/8Axpc2J5UDP73P9cBaHNHALxXrsrEvMQb0RpebwxHBcrikyD EpwktrMcbDt5BnCiRgDFXmlw8+HzRDWXwvn7vMQI1i1VjvU3CMMFo7kQ6GpHAH0m3ykQQ/mWy+G2 WEDor6tLaSF0W3Gdj3VH+lcVeKxIBUVLRBfzo+G8WdG+O4nUYyqO7uCPMjWLkxTipBAg9QWQHnGn Qriw3cKtuVxSqo1cT5D3MUJKxxxOBz0+9kBU7Aq0QkuLHJCLkZPH70P0XTaW8p55nv8GNTncmLah UM18uh+yFEoGvSj1mD9ZaD76jVPH30vh/M6nMYgw+94ZxKbfTPrfb555stEBawG4zV/eCPLr5Vwn 2+1HSB4zT/w5hBlhzmlcUdv1iBDEbfjXTvHriZGxmulRi/HGWQV7wruDRMhSxQLQPCYaSlN+Beu7 lrLVfqB39Q3/yUVgZUZpgETrAcn9KgGYEugAuQaxq2j69Xm47y6tvdm66IcuOS8C6AolgxgQRwIc CeQ9zS0jS0zfmZpidsmS3beF3/8O9+Q+sMYYKhmZHmcLNpF+/Un7+Smn7ruIG67cW7zlNqeuxX40 JXUG2PEeVfRAKxtSsxUJI/WTimZVYkXhouATSlxdVH5NiPBrboYOAwhZM2pGQRfodhqIJBI8VGqf WOXj8J1zSRAq4X7HU/WfHl6NnBYn1sq729RTKFk5cmCHRqa/1QePQelJxHMr6SPATOoLWFUKzyTJ zoLZNLxvSLV9p0WkMKW0GMUpCEjZgkebX7k5jwyt1fbKGp/wDI0NVfcb7argESrcHj5b+JowhWoD duOyJYe/+Yo8ju9aa/2cHrtkM0hJpHFF67CSCWVkKJXe1ymWyQLpkEM480LQ0rL//jOU2LFvGQOt r/t7pB2QoC7AeNwAm58/sVjRk1hW3SDQv2lpox5NoAIzQpykAdaz6gQzhn56hSs8T4luX8YO7FR+ ldDvsnKAKLIJ4ECLkdNp5oJoN9hfUDISx20wKSIqQdjDqfXeNJkw7zpo9mx98YJ5EpS5vPZXWf8x u4zVtMrPCCuVZlenUk5WmYsr4rzgUwRl4S7tEyCCHZ1ZnOWfuvEbXNQJrXE0EfeGBSJZF3/JlCoX ShvI4+xj9gg9RIY44Ypk8Eq6GfJO8pIdHMpMjRw2e6FUm69SoSfaJTencU8gTNnlHyunvTyAvd7e SF6ThVkxI+VdPzNSOlw1W6dCIyyEJslPEMkNRI1/11LIRtQFLkxR4epgK72MFITh/66LT34PUaLD dH8GmaWJIp+wOMDdzldTNEaGo83Dj/9LJvQ6YIidlI8vu1C6QaqGAIsecMuMD4/GrYgGJlRLUemP h8KvGA46fHHstTRfb7IvgX7QoLhO3fVr1ncLNwiXsFKvqZejPloQfASXdSy11rZTyBrpDBNiSDLL Vag4E9K8c1rQ7yjF9kn/OrZh3JGMcbOam659XYS4QBF/kndR3goUoEBs1XAzdfWZQHTGNJtuq+3d EfbattlsSysOVwjXESd8/7Jb9cRguFCowx4e8bMyKLT3MG9AnAa4Mp7E0PsGYBxwje75qvF0nGxT RNGZ3zEAxJ9pVZDI35/vaGXPumH6SJVCdF9I+pSvwyaE2uYcq/AfFSt8ZNA6a9xCQcciGlQ/G3ob t2QHTpOODY/BKvQ7VFTWiZnGXVSkLKZGhF5nNoq8IMnflaqDPYcjjRahs8qa2am7+iYanlTUAocy fwoodlUpoj2goF0LJQhnI8pKYT2KGHl6ksgJyu9mOhxQHiXk8SxsFJUmI7xoW3LGhnqdOp4ZThjB vpA6xEtN0tcW/5eUFqUzReB7pwYXS79C8TJDaHiuU2BfrbzUQRONjDa2cpH3TNKes9rNUVMk/UQi Flc/ZQYyZ9iWdU25Xr9ckvg2qCXlVfIf9oBF0N6IlXdWaV+wnPh2jsKfJVV+LmXG0G6PA2ZKvSA4 S1frjlb9zzuyWvo6l2tx1jy0MhTJlUnq2OKfS5jOskV/BfQ8soswxpumRvEKD2iZIJPlehPPtf9+ xGZG2NHaZhiWicZ7YDVd5N2TamV5fdxa6orGgqAynXD9lC6wMWLVbvfi91C+mOu02xaDJ0z+lsCn m4pHHOseFrslqEp8UE9UXc+nchI5zWFVm8ajWLAIRnDZdQ4HJrRT1Ge9RGt5xxA8zSThYduSx6wO X/A7yTASn13ookgc0rsPnh3ufZD1wIox1YXVvwkU7DWZFr8W0FBsUtyrRT8W8tw/88KdL20LGSnd 5su+ouzSPQOuNiIQVc9SKgEHlCJmQQE04+hRyi1UVjWEN9GldQJ7Zt5MpfesG6WdG/QD8oTjbUMK x76+biyTj3XC47f/0DT13359DSP6vH+H/zpntSKimrsALrNhpNkuM37V8JhQG7scPErp+Wk2GFK6 ROamqGJZCp62DzWGGKt+MTu0ahT9/HBBUFalUAyl7Y6znaDPyAVcLuz7L7yhbUoODOscmJI9igCK S5c3cyPkffwqiM6WY4vdg1LXUKW8AADEa7Ilb6YOX7snTJGRikgqnnIOL+Wm1ttVRMKHLeG/kScj mYjNi7Xmukq3BA0CdVcTWdZvaVN+Z344TWO/Hs3422o9Aiqyth+htPXsSYUdXlTUFEEwKOqWxIQ8 ah/iRmkks7anvCOl2uc/1oaJnJuP+AD868scjbo1q8xnOreVw1HMQJaYeKkjMqWt+Up4ua2MMaAm B4PyX8xeZQee5y4kSTBh6D6EayQeGyEP7o6+FZcOxl7if8VNlqmwREXYIGBNrxOVR/zJis7zNFBc RXfP5YjdYePuGA26a/RYk11qkLPup4pP/3i5GgWp6HeE7Yrp82zGraRz2H53KNfva1pLtqBJM3hP lyTnLlEy7BsHu0o63jlk4xVzo7E71+pTebzS6dmbEYmNPVoaot6ATYq6qc7GKqrO/Yz8uxyoE1Lo qVQjEHoBbyVG9QTIoSdU6c24sln16DhNqLmr9oxF+XxF6Ekv9LabQrB+lhgXpab1dLg0OoxZEetC A6+DmEms9yKIBt86XBmymqEMp8jB1ViRqMsIVX5Utb9lIllLIYfvZvLS+kllYhc2DLMuIZRxtvJx YNHr2ztJVOIz8HOB2cAJqpJilG/7eSmKlwesaM9ow7i1PSogGy3mdkWPvSLg7WL8HWQvXh+S558a vsgua0xFdLyp2reUMGQFYdiWs1pSSjS+HEB/V1Ok8PX2mmL0pUXMNjmJ8f8+MbWrzYtpf//bTEr3 h4HdOeZ54LGZ7xXroi8J8y0kp2l9PGbK7UkEiwqbjufry2WzjBfxG2QW8L9WKngcqGy5gOpvs/r7 s+7Jo5G6eX7OTphUNstZiN531lr+jr3T5yduhdj86+zu/SwZSMRZBRDLlklsJ2pJtLbJJ2iVb/iv deszkgtBqGmYX9RFYo/EwWjNMtjpp9GC/4+UMaScpAOkE9h6rlzeGymzVl/z+t4wc3z8NQMnvr/4 MZsTwC0OVoYWl65GGkJSk31whsrTVgqoGkcyv+M1zNS2srxjprbBiFRuM/BdHc6JRJTFTf8qpj4J piVKRBwj4L12G83+QV/SD40y2MY3DSX+a+6Io2ENoG7BvkmDsDHEh5Nls16rCtR5uxfanr99eZ0I JB6ewXUdnTapbqxa9Om0pASG7ErPuz8GsVAlI9sf5nuGivycMr4sGCymcI8ZkGX1UCPTkzVp2YJ1 uuf/77qQ8rGh+QDYM/Bls8hImaaPN6ljPz/+kNkTluBu9ozRzq9OKA+j7SL31iwrbFukmFLzcXrG ETB4RgzzMr/qpEoG2eh9L0Vui9zMJNxwsms3v/JOSmWgsvcpuBuaNBrkqfEobPoI3vBNsW6atkoQ Djt72IfleuYGy3RWHreyIZRe/KoZrJsh6F4Mo7t8oKfkV74Z2cM2zvoV1N6aedSBZA6e3LYE4OS0 k/nDWnplc3k9bvQC47z7MUZS0KZ+l61uo6JJhYS4/Ix0GODbFLElwz5bOQxD6a+b+4z8NvtZkeSu aVCF4wNVQQ1OyZkGV3HZBkJI2pcEgBD7/kn2vsy7DYbR0huaLI6CsQqyCaJMefSPT/unTvwMEz5/ rlgsrlQlPtCjxwg54wQKG/8u3/X4yrEUfWOAy6sgQ552uhGTHdGjrBwWYvs8CpXrel2oe/TAvHay 1K+VSlL4mOotnw636Irb6+YRpH3V3madbeClEbzGXSUi+4BSeCzxLpJwfTtvwGo3A8Qu6fm4Tsn1 rqz1vyQqOrJEndzwRQ36VqNj5Sm3Sbu1G+eAGX1Y/H1wxq91tpx99uWWVHRlRAlvMy3z/BlUSnVk WO1Ec6hbuwofvZ343w7sOvyeMcageBlNK/5UuMA5RYwVdK/APDhoHyGniHvhKaRJnUNfZ0P5hnmD 0dtgWmG13UfwwV253Ke+Fd7oYmLFw/WUrdoqqHEwiL0niI7qrFFISdzm3O2FgMctTGIn0PyD2UEk LDagdz0GFOQE8mLXfFwUEf4E2zE6ndES4ajM+4l08rTidGVWkCNOzWGhr76Zzg0/GrF3+Nt0CqK7 dpzR1jzgB72zBp9oP2eHu+gnQTNibWxyQWCv4T+AwAIGrnfpU4KkTDr1CVTCtnQCaP9GJUut3t3a a3VxT7A3hfHXkeb2njuSEKVyrhymUq9ZQz7ZqISpBops1GHUqlEXufCDvNggIrCbDJ6XWFQ3dmpe A+Ln6+3ZYzYvSjIWwIsxsPygbAroLwpCNP9nqWM9Yr3mEafkRdRf6cCdKVNZ34v7oKv82c4SGP6G JCmdEybRClXvyhTFdCaBvw/0wCKfInT9jBmlhNTiaq1H3cogp6CEzZPqvUeHhcoGOeCeBxNYM0FG UILxS2rVRl3CbaGsCKd+UOlAo/R4BddDcdAPw/mFx1Iqv1O4iLMh6WJFkhZn/1sRBm3NDqqX3bNu nVBBYQcNWNvDdOJPfs/7cj6shi+y4mH7weLarPeoDh4OFyBCO+4NLXHV+Cl9e+DzZbR0iFS3DXoF 7Elimm54D4mpQHZrg2kJ52C9oVC+fFjfOgm8w/U/DQzp+350gAzN2Ma5Ptw46CgFnRpjfkPhqqUs YCVQs8hwOSiIKUO4FoZ6Ljg+Ctsw1kgy8jNq6vz5gtYpUzuvdcMG7mRifIO25BI27woU3I6gCwbS 7EmkNUbcRTqHutYbX4egKeoh0C9xrrLvc7h1puWqnarddwJe1GKQ5AtY1z3i2CgIp3MU6M8XaBKl jf1VxYrDuiDZ5la6lldI7q2YZQijo837IBHuFkUbq+y8ZuBrMyBtW47pCUe0cnK1VPY/3TYXNWL4 f67Tgaq9flr7URz9gQFUBDXQ5VBumJ/5UCD1rv9oZYsnGqWNcxPJAmehTq+rdESaqGnYHBUtRQFb +ZapPW8I5FHOQOs8/U3OvmYk3jmQ4iqVaknwxOWOnCMH+JBjrcrVN5Mem3B2n2/g/4kHhQ0CP0Fn RotkVIuR7i5t7qMRdCVepwCP+z7HqlgE4/HDFJRhKd2NHYgv+ynB9Fx1zq+sfYKgrbV5JkSCbJfT HxFVO49ku5T9OnSyFjb/3ZooCy9XIBba/Vqei0bjoHoo65aA/FJ52bgO/Igf0Nkc5G+X3e29wUDA Nhd0e6OVOZYQDqW9feZ/VZEhO+0RKA5Offz/Va3VjqWkwj0BUKl9qfQL/jVrCZgL0vRd8RhOrPRI m+uM1kvCYeIW7+ao6Rzo2SNEc42uvK88mpB4zrnV02Dp3Iho4mdDHTDPh3tFTozURWqL01mWBkL/ Szyn2bOkH0qJBpEmk3b5FEVpKeTIMz4L1BQ8Ow+aDS/mHAawsSsoI9zArtxRuQAWZPEFxyc1T14W 4MXyMG/9m5BxSh4DFEDDGb27tckDbj/eCb9yB8+DD9l+FoVVmgNZ5EqCGduVMhiIs/jkEx6uvri+ oWx2HNpSseF6NPxWPApZsdP35hNxW+2TxPypNa7a9GQROJRxoIck5h9ifSZ4psj2YfV2bQpFmIer TpFvkVI1btflORzuUseC6pB0RxXVh+A101Pkr4D+BOEBXzk26bRkicqhP17Q+hAXt9k7oG6/G1Dg gLxU5FRDsUu6EP2Po48cm/CVpwraNvf1rgmvBzm73/7g+uwSMlP9whD+DtZLt8DhxlN6z1izpqde ouNcthOUoNbQmkf2eD5ryXmAOjoqszWzcO3TYqw89xCSu5s8UfA6cqHRJKbP3v2pz/WctSe0Q+t0 IZq09I6/3JsP/wSm1ZWBUk3DsvQvpRzkfBRd/U3M0JzpLHCo72qiCNZHxuqKoIdpVE9hBzTh/vCh fjT8/tdw9F9dtV3w5s35t0dCXS2T1s5eYVkAxedIcuxvMKW992VyGRRbcxJVLoRK7R2e0Pl7Ky2I Q4Qt6ICs5yp6jUNYxQ4ydhzS82pqf6R01Cu2FZMAoMD36BiVBJ5oLqKulJICOns9BaaWUfPqav48 AEqzPsJyDGrnLRkDkpeqwN7YrTL3uYA5uPGnMLoujKKVVy0zJJ1g9eEQ0ycmNvTJNfvaUki1hWlC SRM6zVcDIGORIrbi3S9Aypk5qtgwBV1T5MQAjhgvVzyM8OURivCEzP7l6jM3UEpZkG5/BLV+BwWA hNXFifM7ywf+7yR7KDSYXx93DKsJ5ow0w3HeG3HCSM+SW30fGDRztvYfyncLAL2WlwWASaZGtODb q6yaJ8SSMfAdT/XBbDSDZp3VgT6ATgBp0JuGsYJPgIjNNE3OdPGKVGulXVFCWXbFYg86PGuGXC/D w2EYUX12eiAInoBS7pwMd6qA3Zd/O3CSqaRCvLs2QAh19Z28mva/7DMMDEyE8k9oTjgeAGfxjFNV tl/FP+orcqf7bxfSkc4TY++Qocdv85tsVWQgEdLqtliXHNABUHU3QZMWe/U88JKwZAXxTOGhveZe r2YKz50SSvNQmwzRxSz1q7Fx8y5X49CYYcwrWLKMvg1YOzWRUtJGQW1DLmWfCmhTY7Jhhb+ZKDnj 9b+R0B8ZOD9ov9J8/OlptTqgUFtNyKhauZ5EtAk5xjbZO3BEh5d4z6u/0PJngKDU9bxUlg2c2yBs vQkJx/Bfh0fZ81WOjvWluae7TCK4evLtsod9y36C+JWgEPXMoGuonz5kjjYKhG8bAmoaEy0vcSRF sfYNFR6DAI4M8ba0GXpfnvVqR+HmiEcu5Dg89QU5WjnsMG6sc1Ht/W//KWDTrCKoG/kZoaW2x0jG 6SHXf/9+Wk2CRHRu8OHuXos/h51lvSoxy7Roi/EopCdcSY7Ar6drMh+p28QdXV1ikWAx7+yMGEZW F/r0/NeoUZoidedKCL5OKOEmm+UJH7P8XPBu42xOxk3XjYytih7pfLKk8P4F5PGbkyoV/rtSTkn8 unBEaVxNED1ZXbQRka1DPY42iZnRz3Vo+pdyVyxuVhqc011hPf4z1VePA5wdEcSpzVcPqS8aD4vy MFKAKTkIxdwpJiQVRD5MZN97DZ/GAZdfJxRxK0AhxjanXyE6cHXo3MbLvi+RzrLx9oUcmVIZt4Kx qN2fzXnNA69jV6DWP0j98du/2/NkaysaqPJ7Mo1sS/y+fQVzV/7q6vMtV1ycvtZXoIm5p6Vcl+3H jFqiS7aqsmvpu9mbWBz28jf0oL/l5wIO0+3/LOxf8BpCdZ/CcZ97GV/k0xYxSY2qExQ9EKzyDtOa JlKK9O5W8r7Oi1fQ4vshRhCBFvyxhktjA584wiIAafocDzm6V4wQviDxWEOrSXk+whYQXuH5CcOO adlxuMGM2WHqCv7ky9yRWXWPzzCaxdlw+VZqcH8LiHlSFTe+HZjW2V/61c3T88NCY3F2N7XWsy41 bag27skyfeowbX0AhZsgPkSpTgcfkbsJyYhSJfhxEtwRcKQVN+GFD44+3MEZza8/e4d2Q9l2Wlf9 TEx5Sl/cKH4NTOsV41dXePHMuLz1D0zh94Ms/YKohKIef02V0N9kY3GuwPCczhUG3Ebpte1OFo/r 44TC+d7ijtZ7YOo/2bFeg57l+SFYjqAIDJIQom3PiHw+A3P2ztOgbB62VxB4iyVY44M9VQhAQO98 mZg789XYq6SpIfYDiRnbhCqeksMUItV4F3snJQ2w0S51QiCVejYOiFxNMyPaDaTXV+h/ATxKBikO vr6DOKWm0xEq9cLBjpwnPPC3Tzy7CpL1qW4+sJyVhGm3XjUUSszGayJgjddtNRPIYdNB+RkjIPUk d59ldMK8NS9l2TIav63opmyoSPJa51IW/5ALCC5otlRvdnDZD4bzJF7i6yJycuYVigjhQsIjEBFv eqebsMfMZW6Y5+HAriWZ3uL6dMklK11TUBh1dAX34O4wwh6pPwaXv+mkfpiP7p9UMG/onn5JDKjp /+wgJl24ZupnTmb2RRNwZlZh8AXVRNrhJFh2+uLCu2fCBCA9+IJ6fSjVEuu7q58RZqlLSpvp251V JIkit5jd3cFC7i/LMDcbCIQHMRj9PS279Vs/XZFTc9Cw3sqXMzbipXJHwkhGq+0hkzmfbNlwZ+hX 2VK7xnTlk20EFZpBq3Cuys/WsShQUFQ1z9R0wgsajmk+56YrNPgqvQNTrk6d/EgnY6pYeNaWK420 Vta5mMHfFh6baibRWYLH1DmMfFLAftEqShYd99ioaf2ta+8IcfnqbhY+em6OiKGLCo1J2AQZ4Q18 vwTWJACjUK76A5IgEeD+XicgWbXgejZ19yQU+MfGyAvzNXWDj4vPP+fV/L4W4K8oMYxa2guONaC8 8BxXDSfF0xCt5UnvpsTxrqVT+X8Zr64gRDVYgqhfwOOz+aY5XEVs2eFOojPSOh2eIDFo9slnbnE+ ZLyE6dKlTfUKVOpBlcvaYPddQw9b6WQZRZcTsQfQEfoZB7alaMERzkHNIa2cV0XPdaMGK6udRQDu r160IlskZkWylUUIOncok365oKPRXdkgHtw5ADPpSx+A1z4lxKvuYIftI/pJ3I+6uGWmhytAj0hy Y3mfdAeASJetbdcmfigAukn0opy/cyycg68LaIjY2lqdoro8pHhaa0EC8pFDTF0zBVZBjA6qg35B /VZ9URMyklu5Ca7er7r4EaHKyLYmnr9q24kNYYVlL7n0JXm5R1qyw1pVfVnFf4azUQF9r9BEuBT5 nfvLfDoPV1m4glBfM5HZFsb9+qSoua1uwMs/DpArungO3qrlBEo4Afp+hjpkwUzeAGQvE7ypLLV5 dzfQxcwZTTIMXc4z1FNaoyerJi/4SGusMSLwwbVKEMyHGoVVP8lUsImmrps07NVIzn2Gi4RUm9Ee 23ibT9VhPjJKIlvuMlZN0yYTj6HNqL8UkPngG5Mvh0wiq8w1odMRvQ70OMX7wGQnNVnZF/4VPdFb Hu8QONUP9gryei4x+30+CJV4teHc942ag/NGkll+hEFUTH8iE/ZstcE4uBm/kWlJuH3Gl+wIcZc8 sN6Bbm9YsC1Y8yYWL/Jci8nw0uZHPF9L7srrYpyL6AtJG9iucMfrYL5unyNUZj8voVDhz05pHrPS LVJ3slW63OUOT3kyNfwCPwuuSnJPDoWbl+bNZ5PggUkdn18YKVxtklCU8he/YZq7T5y+kJmmcPUk SGzbrhfQtBAUZgxMDqOvAMfXVKtmhlJuvPcnLWCD0kWG4f76b8rj/pVpsXnAKT0xvOcZLJIPyfgf NFZ0nJP9Pr/CYrQGhavLVqblNk6u8TTwoLg4hNaxjUgBCQGxU5XHv1bYgBvbhqiFg1Kmf0adEM2e cVi20RXxkybCvT7QYYuGxWL/9IvaJSFOBsYv08qO5sXJ+vDZROwyPb/W5TzH0mw2cZI4Rz+Ko4M8 wqF/1JitRJil2pX5XUFADzBmM6vUdfj1WfMl0GWkve6gKFHXIQe27KB+wcx/RSDGeXtWEC1bSbcf ME4gLdELjrr9608yOCdqLynRxJATmZJb3iTYR5fdDAiW/0jsNXmAO3ZxK+rBpltTB2Y7nobHgELQ g0WHMZyM7LK2fTsj0MTZS4aoVN1oVJP8rtDDVIrdQ4w2WVu9wDBFTNdh0qwP0asDyUidUXYI2kOL FRbENNdsKttHshmarYbqfZuoQ4+b0+VtqGbbCwiKU10moirBeZ7Aowh5CYB7TBIVgM/4RvZptZS5 z0BeHMmhUbLw10QQ/KHtj7wQUzZsY6YsJ/2wrFUaTEPCAw0XALbSc60PpnBOoZnQX2ZTpOdydB4m TMBoSQlRvfv0g646QvOdnJVC1RXd4daRyH3kr0jzJ2wnw94I0z1Tjc+x4bKNzRmmfBc4AV8fNC8g Jlj4inq4wVH+Y5O3MdCpb1h5r2gq+CI3BnOxixiltkN+QD2xTET42M59myj2+zbzhm4i6P+47ymW Kr1Akq1k2hueUhSe5Lo1RZKcDhle9F+MJ83iX2MFZUVmKvTj6Ig84Qq55TOy1QfFzNfyfxtjuyO0 wG3wN/aMZ+w3e1ixG1BpSILkvYh9CTg+4jOFhr01s4V69GVNN4N7eRPeTBtkg3lNo8xNRx/x+Wko AYdxMiiAjGjcywp//1NC53Kf0jqSpti7dWI72IP3PFp3TEGPf8toX5WTuL0Ft+zApg7E5ZgM00b4 LAqwbDaWC5YVRxfLD/VOk0Pd+00LedBxPha/YkgvuFTQ+FjMqeMFWR5RzuZFd207NiP6glwBO4LA +dMs1Om4MUdyue2uuotss+8t2ur38pIPdH2mwBZLail6QrDOWUGLHGqGaOXbMJggawcxtK/Q31Bz +OQKs9O/dnk6J38kzJB7V1tLfPUsAqgwzSd+YLAWt9CBW3CKG/gZn5t/YYEgpsR6BzDaFQdNZOg/ GwZxP0AWwX4rFedaOeBpxMMioQSk3tJNY2Yo4jQl+gGXXUW+315nJbSsR45Z2oNA5V47Oz3gqFEj gaVZefr6jsWU1fCK166nZCH5z7BY8yQZ0Y7SU+qF08i543I40BlrKwOOa0LdZxtaQelhOglba1o3 xBqwssR5jPuWOYHGxFKCQIeQ4PzaJutHKxgQFFGyfxvMAVB/wNX6t9mB3aujKB1u4HTBz5nBvdE8 77T+dDynMXtEiEVbQgM0oaulF2qkiB9GSLvh7gIMm0KYFXN8trSRD8j2xn/tG5j8X+u9OGGVaNUN 3tb8ghEJflj9nnu6aiSS1k3BaWfKb1/1dF9rKzZUdvKsSjOsL0UhHbLJ+Kgumv/o31BzUlG5gjQf dHIuJ3RTJFTns6a28CYnZsj3M51ZL7BfXcg7aH7YvDKTfKdhtzVHL9t3KppebQA0zip9l9x9nDBZ JDQ0dWg9MsOZa2WXT9yagrSrHr0jjmdjUXcXJdCx6jV7tduKcEPwTpOB69yWprGctxVDPfwzARVh YWCu1FI1mqCAJYx5mnN+xYegVYtaHbf1NkQik/arg4XBvL2yU+AVXMrnro06cqMrEgNiZEmtZH10 MmyGNcb9qho7T5d+fId+9FDmShfRK/ZY8XvTMxH8+WnDOmOsoqBhWn0YJYbbD8DO5SghL7sZssXy 1f5b8waWOSzJhAgx3wC51LiD98n2kZuKeKeNrqzS8D17A4tzcJXoqMViLAH3Ap0y2zHwWQO3xUXu FTTIiCgDUkA16zJDfp2+2ZAtxjtsf9c/CjrjYJip9xlPRY/NfcxFuyDCBQ29QyXKtri5MGo/B8F9 hqdWyjp+3VvR8koemAiGN2ea7uEbpJi0dKMEFUvQ3YWDxOR6bv0qHJhgPySaC1wcuIkcn37j7ANx OuwUHn5OJds1e2oo6sOBvEEaL1dGFLVhQLnaFvA16TOxfUZwPANJBYFTYEPLFIKaFlEyiCpiyKB0 0wTItyq6sMbE6YpoYqRrOxYMZ9b4PBtKryRekQXtpdfxZyQKsegyVeuJ1f+TsR2l37Q66YPELh8X moYPpxPMY6Jmal5Uz7FyvEvBq22U9kYl10Pu5sBfV3mdq1mtDxHWyFT8cF+plYFl/9sAuTQhvIQP p/CwQW+UF3jC8doUd283uWdp7PEaC8nH1WS7LCRz07CRCDoaXMmQP524sO9bxPLqP+qjsoGdeAt8 5hptViX3gxb9zDBcv+s5ng4b3K0/xAeyTgSaES9d1Y4KXwg6i0ZgjpPEWom8gNvzxw+7Uz/ERzo6 RsODZtMtPbI8TWgtcOlD6usFkOLmAbvKhby4my8NZrJOSkNrClJLqM1KptY6Hq2q4y2kGL8MWuN6 27fujbyLPb7lb1tHe+Lm7zBWSq5taxwVAi2JAaFlxWOP/d+eT8qxVT1YXVsxYNrXM9xWtMm2gbGV UsGmTSzeMsTi+LV3MwxU4pL/W3YhHbf1B8/VW8QtZrmD58QsxQjs+6Ard3e0Cf9pAvmyhGcP5pXL Yia/2YkNB6CsrA5YKbY3mHun+PF90fY+6CFMEuAv2CqHnGDkJdDE50Ap/XwUneOKCExbqRP6tblQ LV7dZIGVvO3srIY+LYsoM3tBpIXNN+dA5lKb16rdbbFjVyRgKCFdaHFSBdA6mhzFk/KwV8o6Qf2D /HzC0Qj5n0p12dojRm1118Ai9huRQTi4UfY98gq0EVQ8nhQUUNwLR2F6vFvbYiCPBX/jCKQ2ezrn Ur7mTbK0LIkPZpR42JztPpiNcw8upt0M6ULn+L+GrP3qfa94YI2UXYBiqJXiIsubicjp9B9rJ1// eSnB6KT3a2qrahnVgIeNpAG0KelctaQfa8EEJcbsih86TG2LaYLLefOqPyFQSq1oOwtSWFJQmyU+ C8z7ji0olCn0gImaStLDZJhFP/BuT3WbODFQ8/896zbtfNvjuMh3H80TwhtLCrPSsuB12Ryii5Fz f7yh+QQu8BFseuGUruVBpG/Lm3zdcPCD6c1pW/iwk1kOC3NH3nDMkdCUoA/QlkPwRQf79nnrkygK g+P+5QuoNdcIhoFN36MTyfPA2ttHWL0S56nOb0s7hFvTpxoGnWSBn7m/zEdz2BTPjB0dvhMQ/kTa 698W8c4SSmu/ki3RrCbxR4snjTKq6vr/7zqUydrW+7uFJfFPHUrDmrMWhQqDQ4Bdci00QijqFK4m +lxJ3RqEtDmo9LmIcoif7+2N+HuZkSkfOD7Gnq55EftqXsI= `protect end_protected
bsd-2-clause
szanni/aeshw
zybo-base/lib/ADI/axi_i2s_adi_1.0/hdl/i2s_controller.vhd
7
8371
-- *************************************************************************** -- *************************************************************************** -- Copyright 2013(c) Analog Devices, Inc. -- Author: Lars-Peter Clausen <[email protected]> -- -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- - Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- - Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in -- the documentation and/or other materials provided with the -- distribution. -- - Neither the name of Analog Devices, Inc. nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- - The use of this software may or may not infringe the patent rights -- of one or more patent holders. This license does not release you -- from the requirement that you obtain separate licenses from these -- patent holders to use this software. -- - Use of the software either in source or binary form, must be run -- on or directly connected to an Analog Devices Inc. component. -- -- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, -- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. -- -- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY -- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF -- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** library ieee; use ieee.std_logic_1164.all; library axi_i2s_adi_v1_00_a; use axi_i2s_adi_v1_00_a.fifo_synchronizer; use axi_i2s_adi_v1_00_a.i2s_clkgen; use axi_i2s_adi_v1_00_a.i2s_tx; use axi_i2s_adi_v1_00_a.i2s_rx; entity i2s_controller is generic( C_SLOT_WIDTH : integer := 24; -- Width of one Slot C_BCLK_POL : integer := 0; -- BCLK Polarity (0 - Falling edge, 1 - Rising edge) C_LRCLK_POL : integer := 0; -- LRCLK Polarity (0 - Falling edge, 1 - Rising edge) C_NUM_CH : integer := 1; C_HAS_TX : integer := 1; C_HAS_RX : integer := 1 ); port( clk : in std_logic; -- System clock resetn : in std_logic; -- System reset data_clk : in std_logic; -- Data clock should be less than clk / 4 BCLK_O : out std_logic_vector(C_NUM_CH - 1 downto 0); -- Bit Clock LRCLK_O : out std_logic_vector(C_NUM_CH - 1 downto 0); -- Frame Clock SDATA_O : out std_logic_vector(C_NUM_CH - 1 downto 0); -- Serial Data Output SDATA_I : in std_logic_vector(C_NUM_CH - 1 downto 0); -- Serial Data Input tx_enable : in Boolean; -- Enable TX tx_ack : out std_logic; -- Request new Slot Data tx_stb : in std_logic; -- Request new Slot Data tx_data : in std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data in rx_enable : in Boolean; -- Enable RX rx_ack : in std_logic; rx_stb : out std_logic; -- Valid Slot Data rx_data : out std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data out -- Runtime parameter bclk_div_rate : in natural range 0 to 255; lrclk_div_rate : in natural range 0 to 255 ); end i2s_controller; architecture Behavioral of i2s_controller is constant NUM_TX : integer := C_HAS_TX * C_NUM_CH; constant NUM_RX : integer := C_HAS_RX * C_NUM_CH; signal enable : Boolean; signal tick : std_logic; signal tick_d1 : std_logic; signal tick_d2 : std_logic; signal BCLK_O_int : std_logic; signal LRCLK_O_int : std_logic; signal tx_bclk : std_logic; signal tx_lrclk : std_logic; signal tx_sdata : std_logic_vector(C_NUM_CH - 1 downto 0); signal tx_tick : std_logic; signal tx_channel_sync : std_logic; signal tx_frame_sync : std_logic; signal bclk_tick : std_logic; signal rx_bclk : std_logic; signal rx_lrclk : std_logic; signal rx_sdata : std_logic_vector(NUM_RX - 1 downto 0); signal rx_channel_sync : std_logic; signal rx_frame_sync : std_logic; signal tx_sync_fifo_out : std_logic_vector(3 + NUM_TX downto 0); signal tx_sync_fifo_in : std_logic_vector(3 + NUM_TX downto 0); signal rx_sync_fifo_out : std_logic_vector(3 + NUM_RX downto 0); signal rx_sync_fifo_in : std_logic_vector(3 + NUM_RX downto 0); begin enable <= rx_enable or tx_enable; -- Generate tick signal in the DATA_CLK_I domain process (data_clk) begin if rising_edge(data_clk) then if resetn = '0' then tick <= '0'; else tick <= not tick; end if; end if; end process; process (clk) begin if rising_edge(clk) then if resetn = '0' then tick_d1 <= '0'; tick_d2 <= '0'; else tick_d1 <= tick; tick_d2 <= tick_d1; end if; end if; end process; tx_tick <= tick_d2 xor tick_d1; tx_sync_fifo_in(0) <= tx_channel_sync; tx_sync_fifo_in(1) <= tx_frame_sync; tx_sync_fifo_in(2) <= tx_bclk; tx_sync_fifo_in(3) <= tx_lrclk; tx_sync_fifo_in(3 + NUM_TX downto 4) <= tx_sdata; process (data_clk) begin if rising_edge(data_clk) then if resetn = '0' then BCLK_O <= (others => '1'); LRCLK_O <= (others => '1'); SDATA_O <= (others => '0'); else if C_BCLK_POL = 0 then BCLK_O <= (others => tx_sync_fifo_out(2)); else BCLK_O <= (others => not tx_sync_fifo_out(2)); end if; if C_LRCLK_POL = 0 then LRCLK_O <= (others => tx_sync_fifo_out(3)); else LRCLK_O <= (others => not tx_sync_fifo_out(3)); end if; if C_HAS_TX = 1 then SDATA_O <= tx_sync_fifo_out(3 + NUM_TX downto 4); end if; if C_HAS_RX = 1 then rx_sync_fifo_in(3 downto 0) <= tx_sync_fifo_out(3 downto 0); rx_sync_fifo_in(3 + NUM_RX downto 4) <= SDATA_I; end if; end if; end if; end process; tx_sync: entity fifo_synchronizer generic map ( DEPTH => 4, WIDTH => NUM_TX + 4 ) port map ( resetn => resetn, in_clk => clk, in_data => tx_sync_fifo_in, in_tick => tx_tick, out_clk => data_clk, out_data => tx_sync_fifo_out ); clkgen: entity i2s_clkgen port map( clk => clk, resetn => resetn, enable => enable, tick => tx_tick, bclk_div_rate => bclk_div_rate, lrclk_div_rate => lrclk_div_rate, channel_sync => tx_channel_sync, frame_sync => tx_frame_sync, bclk => tx_bclk, lrclk => tx_lrclk ); tx_gen: if C_HAS_TX = 1 generate tx: entity i2s_tx generic map ( C_SLOT_WIDTH => C_SLOT_WIDTH, C_NUM => NUM_TX ) port map ( clk => clk, resetn => resetn, enable => tx_enable, channel_sync => tx_channel_sync, frame_sync => tx_frame_sync, bclk => tx_bclk, sdata => tx_sdata, ack => tx_ack, stb => tx_stb, data => tx_data ); end generate; rx_gen: if C_HAS_RX = 1 generate rx: entity i2s_rx generic map ( C_SLOT_WIDTH => C_SLOT_WIDTH, C_NUM => NUM_RX ) port map ( clk => clk, resetn => resetn, enable => rx_enable, channel_sync => rx_channel_sync, frame_sync => rx_frame_sync, bclk => rx_bclk, sdata => rx_sdata, ack => rx_ack, stb => rx_stb, data => rx_data ); rx_channel_sync <= rx_sync_fifo_out(0); rx_frame_sync <= rx_sync_fifo_out(1); rx_bclk <= rx_sync_fifo_out(2); rx_lrclk <= rx_sync_fifo_out(3); rx_sdata <= rx_sync_fifo_out(3 + NUM_RX downto 4); rx_sync: entity fifo_synchronizer generic map ( DEPTH => 4, WIDTH => NUM_RX + 4 ) port map ( resetn => resetn, in_clk => data_clk, in_data => rx_sync_fifo_in, in_tick => '1', out_clk => clk, out_data => rx_sync_fifo_out ); end generate; end Behavioral;
bsd-2-clause
szanni/aeshw
aes-core/sbox_inv_tb.vhd
1
624
library ieee; use ieee.std_logic_1164.all; entity sbox_inv_tb is end sbox_inv_tb; architecture behavior of sbox_inv_tb is component sbox_inv port ( d_in : in std_logic_vector(7 downto 0); d_out : out std_logic_vector(7 downto 0) ); end component; --Inputs signal d_in : std_logic_vector(7 downto 0) := (others => '0'); --Outputs signal d_out : std_logic_vector(7 downto 0); begin uut: sbox_inv port map ( d_in => d_in, d_out => d_out ); stim_proc: process begin d_in <= x"b8"; wait for 10 ns; assert d_out = x"9a" report "sbox_inv: lookup failure" severity failure; wait; end process; end;
bsd-2-clause
szanni/aeshw
aes-core/encryption_module_tb.vhd
1
8094
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:53:00 07/17/2014 -- Design Name: -- Module Name: /home/qfi/Documents/aeshw/aes-core/aes-core/encryption_module_tb.vhd -- Project Name: aes-core -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: encryption_module -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY encryption_module_tb IS END encryption_module_tb; ARCHITECTURE behavior OF encryption_module_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT encryption_module PORT( clk : IN std_logic; reset : IN std_logic; enc_start : IN std_logic; enc_end : OUT std_logic; din : IN std_logic_vector(127 downto 0); dout : OUT std_logic_vector(127 downto 0); addr_rkey : OUT std_logic_vector(3 downto 0); rkey_in : IN std_logic_vector(127 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal enc_start : std_logic := '0'; signal din : std_logic_vector(127 downto 0) := (others => '0'); signal rkey_in : std_logic_vector(127 downto 0) := (others => '0'); --Outputs signal enc_end : std_logic; signal dout : std_logic_vector(127 downto 0); signal addr_rkey : std_logic_vector(3 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: encryption_module PORT MAP ( clk => clk, reset => reset, enc_start => enc_start, enc_end => enc_end, din => din, dout => dout, addr_rkey => addr_rkey, rkey_in => rkey_in ); -- Clock process definitions clk_process :process begin for i in 0 to 15 loop clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end loop; end process; -- Stimulus process stim_proc: process begin wait for clk_period; enc_start <= '1'; din <= x"00112233445566778899aabbccddeeff"; -- plaintext wait for clk_period; assert addr_rkey = x"0" report "encryption : wrong round key address" severity failure; -- counter initialized wait for clk_period; assert addr_rkey = x"1" report "encryption : wrong round key address" severity failure; rkey_in <= x"000102030405060708090a0b0c0d0e0f"; -- round 0 now available (one cycle after round key address "0" due to synchronous read) assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- pre round finished rkey_in <= x"d6aa74fdd2af72fadaa678f1d6ab76fe"; -- round key 1 now available assert dout = x"00102030405060708090a0b0c0d0e0f0" report "encryption : wrong result in round 0" severity failure; -- pre round finished assert addr_rkey = x"2" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 1 finished rkey_in <= x"b692cf0b643dbdf1be9bc5006830b3fe"; -- round key 2 now available assert dout = x"89d810e8855ace682d1843d8cb128fe4" report "encryption : wrong result in round 1" severity failure; assert addr_rkey = x"3" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 2 finished rkey_in <= x"b6ff744ed2c2c9bf6c590cbf0469bf41"; -- round key 3 now available assert dout = x"4915598f55e5d7a0daca94fa1f0a63f7" report "encryption : wrong result in round 2" severity failure; assert addr_rkey = x"4" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 3 finished rkey_in <= x"47f7f7bc95353e03f96c32bcfd058dfd"; -- round key 4 now available assert dout = x"fa636a2825b339c940668a3157244d17" report "encryption : wrong result in round 3" severity failure; assert addr_rkey = x"5" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 4 finished rkey_in <= x"3caaa3e8a99f9deb50f3af57adf622aa"; -- round key 5 now available assert dout = x"247240236966b3fa6ed2753288425b6c" report "encryption : wrong result in round 4" severity failure; assert addr_rkey = x"6" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 5 finished rkey_in <= x"5e390f7df7a69296a7553dc10aa31f6b"; -- round key 6 now available assert dout = x"c81677bc9b7ac93b25027992b0261996" report "encryption : wrong result in round 5" severity failure; assert addr_rkey = x"7" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 6 finished rkey_in <= x"14f9701ae35fe28c440adf4d4ea9c026"; -- round key 7 now available assert dout = x"c62fe109f75eedc3cc79395d84f9cf5d" report "encryption : wrong result in round 6" severity failure; assert addr_rkey = x"8" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 7 finished rkey_in <= x"47438735a41c65b9e016baf4aebf7ad2"; -- round key 8 now available assert dout = x"d1876c0f79c4300ab45594add66ff41f" report "encryption : wrong result in round 7" severity failure; assert addr_rkey = x"9" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 8 finished rkey_in <= x"549932d1f08557681093ed9cbe2c974e"; -- round key 9 now available assert dout = x"fde3bad205e5d0d73547964ef1fe37f1" report "encryption : wrong result in round 8" severity failure; assert addr_rkey = x"A" report "encryption : wrong round key address" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 9 finished rkey_in <= x"13111d7fe3944a17f307a78b4d2b30c5"; -- round key 10 now available assert dout = x"bd6e7c3df2b5779e0b61216e8b10b689" report "encryption : wrong result in round 9" severity failure; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- not yet finished wait for clk_period; -- round 10 finished assert dout = x"69c4e0d86a7b0430d8cdb78070b4c55a" report "encryption : wrong result in round 10" severity failure; assert enc_end = '1' report "encryption : wrong end signal" severity failure; -- finished wait for clk_period; assert enc_end = '0' report "encryption : wrong end signal" severity failure; -- ready wait; end process; END;
bsd-2-clause
armandas/Arcade
player.vhd
1
5268
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity player is port( clk, not_reset: in std_logic; bump_sound, miss_sound: in std_logic; shooting_sound, explosion_sound: in std_logic; buzzer: out std_logic ); end player; architecture behaviour of player is signal pitch: std_logic_vector(18 downto 0); signal duration: std_logic_vector(25 downto 0); signal volume: std_logic_vector(2 downto 0); signal enable: std_logic; signal d_counter, d_counter_next: std_logic_vector(25 downto 0); signal note, note_next: std_logic_vector(8 downto 0); signal note_addr, note_addr_next: std_logic_vector(4 downto 0); signal change_note: std_logic; -- data source for tunes signal source, source_next: std_logic_vector(1 downto 0); -- container for current data selected by multiplexer signal data: std_logic_vector(8 downto 0); -- data containers for use with ROMs. Add more as needed. signal data_1, data_2, data_3, data_4: std_logic_vector(8 downto 0); type state_type is (off, playing); signal state, state_next: state_type; signal start: std_logic; begin process(clk, not_reset) begin if not_reset = '0' then state <= off; source <= (others => '0'); note_addr <= (others => '0'); note <= (others => '0'); d_counter <= (others => '0'); elsif clk'event and clk = '1' then state <= state_next; source <= source_next; note_addr <= note_addr_next; note <= note_next; d_counter <= d_counter_next; end if; end process; process(state, start, enable, duration, d_counter, note_addr, change_note) begin state_next <= state; note_addr_next <= note_addr; case state is when off => note_addr_next <= (others => '0'); if start = '1' then state_next <= playing; end if; when playing => if duration = 0 then state_next <= off; elsif change_note = '1' then note_addr_next <= note_addr + 1; end if; end case; end process; enable <= '1' when state = playing else '0'; change_note <= '1' when d_counter = duration else '0'; d_counter_next <= d_counter + 1 when (enable = '1' and d_counter < duration) else (others => '0'); with note(8 downto 6) select pitch <= "1101110111110010001" when "001", -- 110 Hz "0110111011111001000" when "010", -- 220 Hz "0011011101111100100" when "011", -- 440 Hz "0001101110111110010" when "100", -- 880 Hz "0000110111011111001" when "101", -- 1760 Hz "0000011011101111100" when "110", -- 3520 Hz "0000001101110111110" when "111", -- 7040 Hz "0000000000000000000" when others; with note(5 downto 3) select duration <= "00000010111110101111000010" when "001", -- 1/64 "00000101111101011110000100" when "010", -- 1/32 "00001011111010111100001000" when "011", -- 1/16 "00010111110101111000010000" when "100", -- 1/8 "00101111101011110000100000" when "101", -- 1/4 "01011111010111100001000000" when "110", -- 1/2 "10111110101111000010000000" when "111", -- 1/1 "00000000000000000000000000" when others; volume <= note(2 downto 0); start <= '1' when (bump_sound = '1' or miss_sound = '1' or shooting_sound = '1' or explosion_sound = '1') else '0'; -- data source source_next <= "00" when bump_sound = '1' else "01" when miss_sound = '1' else "10" when shooting_sound = '1' else "11" when explosion_sound = '1' else source; data <= data_1 when source = 0 else data_2 when source = 1 else data_3 when source = 2 else data_4; note_next <= data; bump: entity work.bump_sound(content) port map( addr => note_addr(1 downto 0), data => data_1 ); miss: entity work.lost_ball_sound(content) port map( addr => note_addr(1 downto 0), data => data_2 ); shooting: entity work.shooting_sound(content) port map( addr => note_addr, data => data_3 ); explosion: entity work.explosion_sound(content) port map( addr => note_addr, data => data_4 ); sounds: entity work.sounds(generator) port map( clk => clk, not_reset => not_reset, enable => enable, period => pitch, volume => volume, buzzer => buzzer ); end behaviour;
bsd-2-clause
rohit91/HDMI2USB
ipcore_dir/ddr2ram/user_design/sim/afifo.vhd
20
9200
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: afifo.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:34 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: A generic synchronous fifo. -- Reference: -- Revision History: 2009/01/09 corrected signal "buf_avail" and "almost_full" equation. --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; use ieee.numeric_std.all; ENTITY afifo IS GENERIC ( TCQ : TIME := 100 ps; DSIZE : INTEGER := 32; FIFO_DEPTH : INTEGER := 16; ASIZE : INTEGER := 4; SYNC : INTEGER := 1 ); PORT ( wr_clk : IN STD_LOGIC; rst : IN STD_LOGIC; wr_en : IN STD_LOGIC; wr_data : IN STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0); rd_en : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_data : OUT STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_full : OUT STD_LOGIC ); END afifo; ARCHITECTURE trans OF afifo IS TYPE mem_array IS ARRAY (0 TO FIFO_DEPTH ) OF STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0); SIGNAL mem : mem_array; SIGNAL rd_gray_nxt : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL rd_gray : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL rd_capture_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL pre_rd_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL rd_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wr_gray : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wr_gray_nxt : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wr_capture_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL pre_wr_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wr_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL buf_avail : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL buf_filled : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wr_addr : STD_LOGIC_VECTOR(ASIZE - 1 DOWNTO 0); SIGNAL rd_addr : STD_LOGIC_VECTOR(ASIZE - 1 DOWNTO 0); SIGNAL wr_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL rd_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL i : INTEGER; SIGNAL j : INTEGER; SIGNAL k : INTEGER; SIGNAL rd_strobe : STD_LOGIC; SIGNAL n : INTEGER; SIGNAL rd_ptr_tmp : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wbin : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wgraynext : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL wbinnext : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL ZERO : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); SIGNAL ONE : STD_LOGIC_VECTOR(ASIZE DOWNTO 0); -- Declare intermediate signals for referenced outputs SIGNAL full_xhdl1 : STD_LOGIC; SIGNAL almost_full_int : STD_LOGIC; SIGNAL empty_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs ZERO <= std_logic_vector(to_unsigned(0,(ASIZE+1))); ONE <= std_logic_vector(to_unsigned(1,(ASIZE+1))); full <= full_xhdl1; empty <= empty_xhdl0; xhdl3 : IF (SYNC = 1) GENERATE PROCESS (rd_ptr) BEGIN rd_capture_ptr <= rd_ptr; END PROCESS; END GENERATE; xhdl4 : IF (SYNC = 1) GENERATE PROCESS (wr_ptr) BEGIN wr_capture_ptr <= wr_ptr; END PROCESS; END GENERATE; wr_addr <= wr_ptr(ASIZE-1 DOWNTO 0); rd_data <= mem(conv_integer(rd_addr)); PROCESS (wr_clk) BEGIN IF (wr_clk'EVENT AND wr_clk = '1') THEN IF ((wr_en AND NOT(full_xhdl1)) = '1') THEN mem(to_integer(unsigned(wr_addr))) <= wr_data; END IF; END IF; END PROCESS; rd_addr <= rd_ptr(ASIZE - 1 DOWNTO 0); rd_strobe <= rd_en AND NOT(empty_xhdl0); PROCESS (rd_ptr) BEGIN rd_gray_nxt(ASIZE) <= rd_ptr(ASIZE); FOR n IN 0 TO ASIZE - 1 LOOP rd_gray_nxt(n) <= rd_ptr(n) XOR rd_ptr(n + 1); END LOOP; END PROCESS; PROCESS (rd_clk) BEGIN IF (rd_clk'EVENT AND rd_clk = '1') THEN IF (rst = '1') THEN rd_ptr <= (others=> '0'); rd_gray <= (others=> '0'); ELSE IF (rd_strobe = '1') THEN rd_ptr <= rd_ptr + 1; END IF; rd_ptr_tmp <= rd_ptr; rd_gray <= rd_gray_nxt; END IF; END IF; END PROCESS; buf_filled <= wr_capture_ptr - rd_ptr; PROCESS (rd_clk) BEGIN IF (rd_clk'EVENT AND rd_clk = '1') THEN IF (rst = '1') THEN empty_xhdl0 <= '1'; ELSIF ((buf_filled = ZERO) OR (buf_filled = ONE AND rd_strobe = '1')) THEN empty_xhdl0 <= '1'; ELSE empty_xhdl0 <= '0'; END IF; END IF; END PROCESS; PROCESS (rd_clk) BEGIN IF (rd_clk'EVENT AND rd_clk = '1') THEN IF (rst = '1') THEN wr_ptr <= (others => '0'); wr_gray <= (others => '0'); ELSE IF (wr_en = '1') THEN wr_ptr <= wr_ptr + 1; END IF; wr_gray <= wr_gray_nxt; END IF; END IF; END PROCESS; PROCESS (wr_ptr) BEGIN wr_gray_nxt(ASIZE) <= wr_ptr(ASIZE); FOR n IN 0 TO ASIZE - 1 LOOP wr_gray_nxt(n) <= wr_ptr(n) XOR wr_ptr(n + 1); END LOOP; END PROCESS; buf_avail <= rd_capture_ptr + FIFO_DEPTH - wr_ptr; PROCESS (wr_clk) BEGIN IF (wr_clk'EVENT AND wr_clk = '1') THEN IF (rst = '1') THEN full_xhdl1 <= '0'; ELSIF ((buf_avail = ZERO) OR (buf_avail = ONE AND wr_en = '1')) THEN full_xhdl1 <= '1'; ELSE full_xhdl1 <= '0'; END IF; END IF; END PROCESS; almost_full <= almost_full_int; PROCESS (wr_clk) BEGIN IF (wr_clk'EVENT AND wr_clk = '1') THEN IF (rst = '1') THEN almost_full_int <= '0'; ELSIF (buf_avail <= 3 AND wr_en = '1') THEN --FIFO_DEPTH almost_full_int <= '1'; ELSE almost_full_int <= '0'; END IF; END IF; END PROCESS; END trans;
bsd-2-clause
rohit91/HDMI2USB
ipcore_dir/ddr2ram/user_design/rtl/mcb_raw_wrapper.vhd
9
299135
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_raw_wrapper.v -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:04 $ -- \ \ / \ Date Created: Thu June 24 2008 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: --Reference: -- This module is the intialization control logic of the memory interface. -- All commands are issued from here acoording to the burst, CAS Latency and -- the user commands. -- -- Revised History: -- Rev 1.1 - added port_enable assignment for all configurations and rearrange -- assignment siganls according to port number -- - added timescale directive -SN 7-28-08 -- - added C_ARB_NUM_TIME_SLOTS and removed the slot 12 through -- 15 -SN 7-28-08 -- - changed C_MEM_DDR2_WRT_RECOVERY = (C_MEM_TWR /C_MEMCLK_PERIOD) -SN 7-28-08 -- - removed ghighb, gpwrdnb, gsr, gwe in port declaration. -- For now tb need to force the signals inside the MCB and Wrapper -- until a glbl.v is ready. Not sure how to do this in NCVerilog -- flow. -SN 7-28-08 -- -- Rev 1.2 -- removed p*_cmd_error signals -SN 8-05-08 -- Rev 1.3 -- Added gate logic for data port rd_en and wr_en in Config 3,4,5 - SN 8-8-08 -- Rev 1.4 -- update changes that required by MCB core. - SN 9-11-09 -- Rev 1.5 -- update. CMD delays has been removed in Sept 26 database. -- SN 9-28-08 -- delay_cas_90,delay_ras_90,delay_cke_90,delay_odt_90,delay_rst_90 -- delay_we_90 ,delay_address,delay_ba_90 = -- --removed :assign #50 delay_dqnum = dqnum; -- --removed :assign #50 delay_dqpum = dqpum; -- --removed :assign #50 delay_dqnlm = dqnlm; -- --removed :assign #50 delay_dqplm = dqplm; -- --removed : delay_dqsIO_w_en_90_n -- --removed : delay_dqsIO_w_en_90_p -- --removed : delay_dqsIO_w_en_0 -- -- corrected spelling error: C_MEM_RTRAS -- Rev 1.6 -- update IODRP2 and OSERDES connection and was updated by Chip. 1-12-09 -- -- rename the memc_wrapper.v to mcb_raw_wrapper.v -- Rev 1.7 -- -- .READEN is removed in IODRP2_MCB 1-28-09 -- -- connection has been updated -- Rev 1.8 -- update memory parameter equations. 1-30_2009 -- -- added portion of Soft IP -- -- CAL_CLK_DIV is not used but MCB still has it -- Rev 1.9 -- added Error checking for Invalid command to unidirectional port -- Rev 1.10 -- changed the backend connection so that Simulation will work while -- sw tools try to fix the model issues. 2-3-2009 -- sysclk_2x_90 name is changed to sysclk_2x_180 . It created confusions. -- It is acutally 180 degree difference. -- Rev 1.11 -- Added MCB_Soft_Calibration_top. -- Rev 1.12 -- fixed ui_clk connection to MCB when soft_calib_ip is on. 5-14-2009 -- Rev 1.13 -- Added PULLUP/PULLDN for DQS/DQSN, UDQS/UDQSN lines. -- Rev 1.14 -- Added minium condition for tRTP valud/ -- REv 1.15 -- Bring the SKIP_IN_TERM_CAL and SKIP_DYNAMIC_CAL from calib_ip to top. 6-16-2009 -- Rev 1.16 -- Fixed the WTR for DDR. 6-23-2009 -- Rev 1.17 -- Fixed width mismatch for px_cmd_ra,px_cmd_ca,px_cmd_ba 7-02-2009 -- Rev 1.18 -- Added lumpdelay parameters for 1.0 silicon support to bypass Calibration 7-10-2010 -- Rev 1.19 -- Added soft fix to support refresh command. 7-15-2009. -- Rev 1.20 -- Turned on the CALIB_SOFT_IP and C_MC_CALIBRATION_MODE is used to enable/disable -- Dynamic DQS calibration in Soft Calibration module. -- Rev 1.21 -- Added extra generate mcbx_dram_odt pin condition. It will not be generated if -- RTT value is set to "disabled" -- -- Corrected the UIUDQSDEC connection between soft_calib and MCB. -- -- PLL_LOCK pin to MCB tie high. Soft Calib module asserts MCB_RST when pll_lock is deasserted. 1-19-2010 -- Rev 1.22 -- Added DDR2 Initialization fix to meet 400 ns wait as outlined in step d) of JEDEC DDR2 spec . -- Rev 1.23 -- Fixed CR 558661. In Config "B64B64" mode, mig_p5_wr_data <= p1_wr_data(63 downto 32). -- Rev 1.24 -- Added DDR2 Initialization fix when C_CALIB_SOFT_IP set to "FALSE" -- Rev 1.25 -- Fixed reset problem when MCB exits from SUSPEND SELFREFRESH mode. 10-20-2010 -- Rev 1.26 -- Synchronize sys_rst before connecting to mcb_soft_calibration module to fix -- CDC static timing issue. 2-14-2011 --************************************************************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity mcb_raw_wrapper is generic( C_MEMCLK_PERIOD : integer := 2500; C_PORT_ENABLE : std_logic_vector(5 downto 0) := (others => '1'); C_MEM_ADDR_ORDER : string := "BANK_ROW_COLUMN"; C_ARB_NUM_TIME_SLOTS : integer := 12; C_ARB_TIME_SLOT_0 : bit_vector(17 downto 0):= "000" & "001" & "010" & "011" & "100" & "101"; C_ARB_TIME_SLOT_1 : bit_vector(17 downto 0):= "001" & "010" & "011" & "100" & "101" & "000"; C_ARB_TIME_SLOT_2 : bit_vector(17 downto 0):= "010" & "011" & "100" & "101" & "000" & "011"; C_ARB_TIME_SLOT_3 : bit_vector(17 downto 0):= "011" & "100" & "101" & "000" & "001" & "010"; C_ARB_TIME_SLOT_4 : bit_vector(17 downto 0):= "100" & "101" & "000" & "001" & "010" & "011"; C_ARB_TIME_SLOT_5 : bit_vector(17 downto 0):= "101" & "000" & "001" & "010" & "011" & "100"; C_ARB_TIME_SLOT_6 : bit_vector(17 downto 0):= "000" & "001" & "010" & "011" & "100" & "101"; C_ARB_TIME_SLOT_7 : bit_vector(17 downto 0):= "001" & "010" & "011" & "100" & "101" & "000"; C_ARB_TIME_SLOT_8 : bit_vector(17 downto 0):= "010" & "011" & "100" & "101" & "000" & "011"; C_ARB_TIME_SLOT_9 : bit_vector(17 downto 0):= "011" & "100" & "101" & "000" & "001" & "010"; C_ARB_TIME_SLOT_10 : bit_vector(17 downto 0):= "100" & "101" & "000" & "001" & "010" & "011"; C_ARB_TIME_SLOT_11 : bit_vector(17 downto 0):= "101" & "000" & "001" & "010" & "011" & "100"; C_PORT_CONFIG : string := "B32_B32_W32_W32_W32_W32"; C_MEM_TRAS : integer := 45000; C_MEM_TRCD : integer := 12500; C_MEM_TREFI : integer := 7800; C_MEM_TRFC : integer := 127500; C_MEM_TRP : integer := 12500; C_MEM_TWR : integer := 15000; C_MEM_TRTP : integer := 7500; C_MEM_TWTR : integer := 7500; C_NUM_DQ_PINS : integer := 8; C_MEM_TYPE : string := "DDR3"; C_MEM_DENSITY : string := "512M"; C_MEM_BURST_LEN : integer := 8; C_MEM_CAS_LATENCY : integer := 4; C_MEM_ADDR_WIDTH : integer := 13; C_MEM_BANKADDR_WIDTH : integer := 3; C_MEM_NUM_COL_BITS : integer := 11; C_MEM_DDR3_CAS_LATENCY : integer := 7; C_MEM_MOBILE_PA_SR : string := "FULL"; C_MEM_DDR1_2_ODS : string := "FULL"; C_MEM_DDR3_ODS : string := "DIV6"; C_MEM_DDR2_RTT : string := "50OHMS"; C_MEM_DDR3_RTT : string := "DIV2"; C_MEM_MDDR_ODS : string := "FULL"; C_MEM_DDR2_DIFF_DQS_EN : string := "YES"; C_MEM_DDR2_3_PA_SR : string := "OFF"; C_MEM_DDR3_CAS_WR_LATENCY : integer := 5; C_MEM_DDR3_AUTO_SR : string := "ENABLED"; C_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL"; C_MEM_DDR3_DYN_WRT_ODT : string := "OFF"; C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000"; -- DDR3 Minimum delay between resets C_MC_CALIB_BYPASS : string := "NO"; C_MC_CALIBRATION_RA : bit_vector(15 downto 0) := X"0000"; C_MC_CALIBRATION_BA : bit_vector(2 downto 0) := "000"; C_CALIB_SOFT_IP : string := "TRUE"; C_SKIP_IN_TERM_CAL : integer := 0; --provides option to skip the input termination calibration C_SKIP_DYNAMIC_CAL : integer := 0; --provides option to skip the dynamic delay calibration C_SKIP_DYN_IN_TERM : integer := 1; -- provides option to skip the input termination calibration C_SIMULATION : string := "FALSE"; -- Tells us whether the design is being simulated or implemented --- ADDED for 1.0 silicon support to bypass Calibration ////// -- 07-10-09 chipl --//////////////////////////////////////////////////////////// LDQSP_TAP_DELAY_VAL : integer := 0; UDQSP_TAP_DELAY_VAL : integer := 0; LDQSN_TAP_DELAY_VAL : integer := 0; UDQSN_TAP_DELAY_VAL : integer := 0; DQ0_TAP_DELAY_VAL : integer := 0; DQ1_TAP_DELAY_VAL : integer := 0; DQ2_TAP_DELAY_VAL : integer := 0; DQ3_TAP_DELAY_VAL : integer := 0; DQ4_TAP_DELAY_VAL : integer := 0; DQ5_TAP_DELAY_VAL : integer := 0; DQ6_TAP_DELAY_VAL : integer := 0; DQ7_TAP_DELAY_VAL : integer := 0; DQ8_TAP_DELAY_VAL : integer := 0; DQ9_TAP_DELAY_VAL : integer := 0; DQ10_TAP_DELAY_VAL : integer := 0; DQ11_TAP_DELAY_VAL : integer := 0; DQ12_TAP_DELAY_VAL : integer := 0; DQ13_TAP_DELAY_VAL : integer := 0; DQ14_TAP_DELAY_VAL : integer := 0; DQ15_TAP_DELAY_VAL : integer := 0; C_MC_CALIBRATION_CA : bit_vector(11 downto 0) := X"000"; C_MC_CALIBRATION_CLK_DIV : integer := 1; C_MC_CALIBRATION_MODE : string := "CALIBRATION"; C_MC_CALIBRATION_DELAY : string := "HALF"; C_P0_MASK_SIZE : integer := 4; C_P0_DATA_PORT_SIZE : integer := 32; C_P1_MASK_SIZE : integer := 4; C_P1_DATA_PORT_SIZE : integer := 32 ); PORT ( sysclk_2x : in std_logic; sysclk_2x_180 : in std_logic; pll_ce_0 : in std_logic; pll_ce_90 : in std_logic; pll_lock : in std_logic; sys_rst : in std_logic; p0_arb_en : in std_logic; p0_cmd_clk : in std_logic; p0_cmd_en : in std_logic; p0_cmd_instr : in std_logic_vector(2 downto 0); p0_cmd_bl : in std_logic_vector(5 downto 0); p0_cmd_byte_addr : in std_logic_vector(29 downto 0); p0_cmd_empty : out std_logic; p0_cmd_full : out std_logic; p0_wr_clk : in std_logic; p0_wr_en : in std_logic; p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_wr_full : out std_logic; p0_wr_empty : out std_logic; p0_wr_count : out std_logic_vector(6 downto 0); p0_wr_underrun : out std_logic; p0_wr_error : out std_logic; p0_rd_clk : in std_logic; p0_rd_en : in std_logic; p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_rd_full : out std_logic; p0_rd_empty : out std_logic; p0_rd_count : out std_logic_vector(6 downto 0); p0_rd_overflow : out std_logic; p0_rd_error : out std_logic; p1_arb_en : in std_logic; p1_cmd_clk : in std_logic; p1_cmd_en : in std_logic; p1_cmd_instr : in std_logic_vector(2 downto 0); p1_cmd_bl : in std_logic_vector(5 downto 0); p1_cmd_byte_addr : in std_logic_vector(29 downto 0); p1_cmd_empty : out std_logic; p1_cmd_full : out std_logic; p1_wr_clk : in std_logic; p1_wr_en : in std_logic; p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_wr_full : out std_logic; p1_wr_empty : out std_logic; p1_wr_count : out std_logic_vector(6 downto 0); p1_wr_underrun : out std_logic; p1_wr_error : out std_logic; p1_rd_clk : in std_logic; p1_rd_en : in std_logic; p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_rd_full : out std_logic; p1_rd_empty : out std_logic; p1_rd_count : out std_logic_vector(6 downto 0); p1_rd_overflow : out std_logic; p1_rd_error : out std_logic; p2_arb_en : in std_logic; p2_cmd_clk : in std_logic; p2_cmd_en : in std_logic; p2_cmd_instr : in std_logic_vector(2 downto 0); p2_cmd_bl : in std_logic_vector(5 downto 0); p2_cmd_byte_addr : in std_logic_vector(29 downto 0); p2_cmd_empty : out std_logic; p2_cmd_full : out std_logic; p2_wr_clk : in std_logic; p2_wr_en : in std_logic; p2_wr_mask : in std_logic_vector(3 downto 0); p2_wr_data : in std_logic_vector(31 downto 0); p2_wr_full : out std_logic; p2_wr_empty : out std_logic; p2_wr_count : out std_logic_vector(6 downto 0); p2_wr_underrun : out std_logic; p2_wr_error : out std_logic; p2_rd_clk : in std_logic; p2_rd_en : in std_logic; p2_rd_data : out std_logic_vector(31 downto 0); p2_rd_full : out std_logic; p2_rd_empty : out std_logic; p2_rd_count : out std_logic_vector(6 downto 0); p2_rd_overflow : out std_logic; p2_rd_error : out std_logic; p3_arb_en : in std_logic; p3_cmd_clk : in std_logic; p3_cmd_en : in std_logic; p3_cmd_instr : in std_logic_vector(2 downto 0); p3_cmd_bl : in std_logic_vector(5 downto 0); p3_cmd_byte_addr : in std_logic_vector(29 downto 0); p3_cmd_empty : out std_logic; p3_cmd_full : out std_logic; p3_wr_clk : in std_logic; p3_wr_en : in std_logic; p3_wr_mask : in std_logic_vector(3 downto 0); p3_wr_data : in std_logic_vector(31 downto 0); p3_wr_full : out std_logic; p3_wr_empty : out std_logic; p3_wr_count : out std_logic_vector(6 downto 0); p3_wr_underrun : out std_logic; p3_wr_error : out std_logic; p3_rd_clk : in std_logic; p3_rd_en : in std_logic; p3_rd_data : out std_logic_vector(31 downto 0); p3_rd_full : out std_logic; p3_rd_empty : out std_logic; p3_rd_count : out std_logic_vector(6 downto 0); p3_rd_overflow : out std_logic; p3_rd_error : out std_logic; p4_arb_en : in std_logic; p4_cmd_clk : in std_logic; p4_cmd_en : in std_logic; p4_cmd_instr : in std_logic_vector(2 downto 0); p4_cmd_bl : in std_logic_vector(5 downto 0); p4_cmd_byte_addr : in std_logic_vector(29 downto 0); p4_cmd_empty : out std_logic; p4_cmd_full : out std_logic; p4_wr_clk : in std_logic; p4_wr_en : in std_logic; p4_wr_mask : in std_logic_vector(3 downto 0); p4_wr_data : in std_logic_vector(31 downto 0); p4_wr_full : out std_logic; p4_wr_empty : out std_logic; p4_wr_count : out std_logic_vector(6 downto 0); p4_wr_underrun : out std_logic; p4_wr_error : out std_logic; p4_rd_clk : in std_logic; p4_rd_en : in std_logic; p4_rd_data : out std_logic_vector(31 downto 0); p4_rd_full : out std_logic; p4_rd_empty : out std_logic; p4_rd_count : out std_logic_vector(6 downto 0); p4_rd_overflow : out std_logic; p4_rd_error : out std_logic; p5_arb_en : in std_logic; p5_cmd_clk : in std_logic; p5_cmd_en : in std_logic; p5_cmd_instr : in std_logic_vector(2 downto 0); p5_cmd_bl : in std_logic_vector(5 downto 0); p5_cmd_byte_addr : in std_logic_vector(29 downto 0); p5_cmd_empty : out std_logic; p5_cmd_full : out std_logic; p5_wr_clk : in std_logic; p5_wr_en : in std_logic; p5_wr_mask : in std_logic_vector(3 downto 0); p5_wr_data : in std_logic_vector(31 downto 0); p5_wr_full : out std_logic; p5_wr_empty : out std_logic; p5_wr_count : out std_logic_vector(6 downto 0); p5_wr_underrun : out std_logic; p5_wr_error : out std_logic; p5_rd_clk : in std_logic; p5_rd_en : in std_logic; p5_rd_data : out std_logic_vector(31 downto 0); p5_rd_full : out std_logic; p5_rd_empty : out std_logic; p5_rd_count : out std_logic_vector(6 downto 0); p5_rd_overflow : out std_logic; p5_rd_error : out std_logic; mcbx_dram_addr : out std_logic_vector(C_MEM_ADDR_WIDTH - 1 downto 0); mcbx_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH - 1 downto 0); mcbx_dram_ras_n : out std_logic; mcbx_dram_cas_n : out std_logic; mcbx_dram_we_n : out std_logic; mcbx_dram_cke : out std_logic; mcbx_dram_clk : out std_logic; mcbx_dram_clk_n : out std_logic; mcbx_dram_dq : INOUT std_logic_vector(C_NUM_DQ_PINS-1 downto 0); mcbx_dram_dqs : INOUT std_logic; mcbx_dram_dqs_n : INOUT std_logic; mcbx_dram_udqs : INOUT std_logic; mcbx_dram_udqs_n : INOUT std_logic; mcbx_dram_udm : out std_logic; mcbx_dram_ldm : out std_logic; mcbx_dram_odt : out std_logic; mcbx_dram_ddr3_rst : out std_logic; calib_recal : in std_logic; rzq : INOUT std_logic; zio : INOUT std_logic; ui_read : in std_logic; ui_add : in std_logic; ui_cs : in std_logic; ui_clk : in std_logic; ui_sdi : in std_logic; ui_addr : in std_logic_vector(4 downto 0); ui_broadcast : in std_logic; ui_drp_update : in std_logic; ui_done_cal : in std_logic; ui_cmd : in std_logic; ui_cmd_in : in std_logic; ui_cmd_en : in std_logic; ui_dqcount : in std_logic_vector(3 downto 0); ui_dq_lower_dec : in std_logic; ui_dq_lower_inc : in std_logic; ui_dq_upper_dec : in std_logic; ui_dq_upper_inc : in std_logic; ui_udqs_inc : in std_logic; ui_udqs_dec : in std_logic; ui_ldqs_inc : in std_logic; ui_ldqs_dec : in std_logic; uo_data : out std_logic_vector(7 downto 0); uo_data_valid : out std_logic; uo_done_cal : out std_logic; uo_cmd_ready_in : out std_logic; uo_refrsh_flag : out std_logic; uo_cal_start : out std_logic; uo_sdo : out std_logic; status : out std_logic_vector(31 downto 0); selfrefresh_enter : in std_logic; selfrefresh_mode : out std_logic ); end mcb_raw_wrapper; architecture aarch of mcb_raw_wrapper is component mcb_soft_calibration_top is generic ( C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000"; -- DDR3 Minimum delay between resets C_MC_CALIBRATION_MODE : string := "CALIBRATION"; -- if set to CALIBRATION will reset DQS IDELAY to DQS_NUMERATOR/DQS_DENOMINATOR local_param values, -- and does dynamic recal, -- if set to NOCALIBRATION then defaults to hard cal blocks setting of C_MC_CALBRATION_DELAY *and* -- no dynamic recal will be done SKIP_IN_TERM_CAL : integer := 0; -- provides option to skip the input termination calibration SKIP_DYNAMIC_CAL : integer := 0; -- provides option to skip the dynamic delay calibration SKIP_DYN_IN_TERM : integer := 0; -- provides option to skip the dynamic delay calibration C_SIMULATION : string := "FALSE"; -- Tells us whether the design is being simulated or implemented C_MEM_TYPE : string := "DDR3" -- provides the memory device used for the design ); port ( UI_CLK : in std_logic; -- Input - global clock to be used for input_term_tuner and IODRP clock RST : in std_logic; -- Input - reset for input_term_tuner - synchronous for input_term_tuner state machine, asynch for -- IODRP (sub)controller IOCLK : in std_logic; -- Input - IOCLK input to the IODRP's DONE_SOFTANDHARD_CAL : out std_logic; -- active high flag signals soft calibration of input delays is complete and MCB_UODONECAL is high -- (MCB hard calib complete) PLL_LOCK : in std_logic; -- Lock signal from PLL SELFREFRESH_REQ : in std_logic; SELFREFRESH_MCB_MODE : in std_logic; SELFREFRESH_MCB_REQ : out std_logic; SELFREFRESH_MODE : out std_logic; MCB_UIADD : out std_logic; -- to MCB's UIADD port MCB_UISDI : out std_logic; -- to MCB's UISDI port MCB_UOSDO : in std_logic; MCB_UODONECAL : in std_logic; MCB_UOREFRSHFLAG : in std_logic; MCB_UICS : out std_logic; MCB_UIDRPUPDATE : out std_logic; MCB_UIBROADCAST : out std_logic; MCB_UIADDR : out std_logic_vector(4 downto 0); MCB_UICMDEN : out std_logic; MCB_UIDONECAL : out std_logic; MCB_UIDQLOWERDEC : out std_logic; MCB_UIDQLOWERINC : out std_logic; MCB_UIDQUPPERDEC : out std_logic; MCB_UIDQUPPERINC : out std_logic; MCB_UILDQSDEC : out std_logic; MCB_UILDQSINC : out std_logic; MCB_UIREAD : out std_logic; MCB_UIUDQSDEC : out std_logic; MCB_UIUDQSINC : out std_logic; MCB_RECAL : out std_logic; MCB_SYSRST : out std_logic; MCB_UICMD : out std_logic; MCB_UICMDIN : out std_logic; MCB_UIDQCOUNT : out std_logic_vector(3 downto 0); MCB_UODATA : in std_logic_vector(7 downto 0); MCB_UODATAVALID : in std_logic; MCB_UOCMDREADY : in std_logic; MCB_UO_CAL_START : in std_logic; RZQ_PIN : inout std_logic; ZIO_PIN : inout std_logic; CKE_Train : out std_logic ); end component; constant C_OSERDES2_DATA_RATE_OQ : STRING := "SDR"; constant C_OSERDES2_DATA_RATE_OT : STRING := "SDR"; constant C_OSERDES2_SERDES_MODE_MASTER : STRING := "MASTER"; constant C_OSERDES2_SERDES_MODE_SLAVE : STRING := "SLAVE"; constant C_OSERDES2_OUTPUT_MODE_SE : STRING := "SINGLE_ENDED"; constant C_OSERDES2_OUTPUT_MODE_DIFF : STRING := "DIFFERENTIAL"; constant C_BUFPLL_0_LOCK_SRC : STRING := "LOCK_TO_0"; constant C_DQ_IODRP2_DATA_RATE : STRING := "SDR"; constant C_DQ_IODRP2_SERDES_MODE_MASTER : STRING := "MASTER"; constant C_DQ_IODRP2_SERDES_MODE_SLAVE : STRING := "SLAVE"; constant C_DQS_IODRP2_DATA_RATE : STRING := "SDR"; constant C_DQS_IODRP2_SERDES_MODE_MASTER : STRING := "MASTER"; constant C_DQS_IODRP2_SERDES_MODE_SLAVE : STRING := "SLAVE"; -- MIG always set the below ADD_LATENCY to zero constant C_MEM_DDR3_ADD_LATENCY : STRING := "OFF"; constant C_MEM_DDR2_ADD_LATENCY : INTEGER := 0; constant C_MEM_MOBILE_TC_SR : INTEGER := 0; -- convert the memory timing to memory clock units. I constant MEM_RAS_VAL : INTEGER := ((C_MEM_TRAS + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD); constant MEM_RCD_VAL : INTEGER := ((C_MEM_TRCD + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD); constant MEM_REFI_VAL : INTEGER := ((C_MEM_TREFI + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD) - 25; constant MEM_RFC_VAL : INTEGER := ((C_MEM_TRFC + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD); constant MEM_RP_VAL : INTEGER := ((C_MEM_TRP + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD); constant MEM_WR_VAL : INTEGER := ((C_MEM_TWR + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD); function cdiv return integer is begin if ( (C_MEM_TRTP mod C_MEMCLK_PERIOD)>0) then return (C_MEM_TRTP/C_MEMCLK_PERIOD)+1; else return (C_MEM_TRTP/C_MEMCLK_PERIOD); end if; end function cdiv; constant MEM_RTP_VAL1 : INTEGER := cdiv; function MEM_RTP_CYC1 return integer is begin if (MEM_RTP_VAL1 < 4 and C_MEM_TYPE = "DDR3") then return 4; else if(MEM_RTP_VAL1 < 2) then return 2; else return MEM_RTP_VAL1; end if; end if; end function MEM_RTP_CYC1; constant MEM_RTP_VAL : INTEGER := MEM_RTP_CYC1; function MEM_WTR_CYC return integer is begin if (C_MEM_TYPE = "DDR") then return 2; elsif (C_MEM_TYPE = "DDR3") then return 4; elsif (C_MEM_TYPE = "MDDR" OR C_MEM_TYPE = "LPDDR") then return C_MEM_TWTR; elsif (C_MEM_TYPE = "DDR2" AND (((C_MEM_TWTR + C_MEMCLK_PERIOD -1) /C_MEMCLK_PERIOD) > 2)) then return ((C_MEM_TWTR + C_MEMCLK_PERIOD -1) /C_MEMCLK_PERIOD); elsif (C_MEM_TYPE = "DDR2")then return 2; else return 3; end if; end function MEM_WTR_CYC; constant MEM_WTR_VAL : INTEGER := MEM_WTR_CYC; function DDR2_WRT_RECOVERY_CYC return integer is begin if (not(C_MEM_TYPE = "DDR2")) then return 5; else return ((C_MEM_TWR + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD); end if; end function DDR2_WRT_RECOVERY_CYC; constant C_MEM_DDR2_WRT_RECOVERY : INTEGER := DDR2_WRT_RECOVERY_CYC; function DDR3_WRT_RECOVERY_CYC return integer is begin if (not(C_MEM_TYPE = "DDR3")) then return 5; else return ((C_MEM_TWR + C_MEMCLK_PERIOD - 1) / C_MEMCLK_PERIOD); end if; end function DDR3_WRT_RECOVERY_CYC; constant C_MEM_DDR3_WRT_RECOVERY : INTEGER := DDR3_WRT_RECOVERY_CYC; --CR 596422 constant allzero : std_logic_vector(127 downto 0) := (others => '0'); --signal allzero : std_logic_vector(127 downto 0) := (others => '0'); ---------------------------------------------------------------------------- -- signal Declarations ---------------------------------------------------------------------------- signal addr_in0 : std_logic_vector(31 downto 0); signal dqs_out_p : std_logic; signal dqs_out_n : std_logic; signal dqs_sys_p : std_logic; --from dqs_gen to IOclk network signal dqs_sys_n : std_logic; --from dqs_gen to IOclk network signal udqs_sys_p: std_logic; signal udqs_sys_n: std_logic; signal dqs_p : std_logic; -- open net now ? signal dqs_n : std_logic; -- open net now ? -- IOI and IOB enable/tristate interface signal dqIO_w_en_0 : std_logic; --enable DQ pads signal dqsIO_w_en_90_p : std_logic; --enable p side of DQS signal dqsIO_w_en_90_n : std_logic; --enable n side of DQS --memory chip control interface signal address_90 : std_logic_vector(14 downto 0); signal ba_90 : std_logic_vector(2 downto 0); signal ras_90 : std_logic; signal cas_90 : std_logic; signal we_90 : std_logic; signal cke_90 : std_logic; signal odt_90 : std_logic; signal rst_90 : std_logic; -- calibration IDELAY control signals signal ioi_drp_clk : std_logic; --DRP interface - synchronous clock output signal ioi_drp_addr : std_logic_vector(4 downto 0); --DRP interface - IOI selection signal ioi_drp_sdo : std_logic; --DRP interface - serial output for commmands signal ioi_drp_sdi : std_logic; --DRP interface - serial input for commands signal ioi_drp_cs : std_logic; --DRP interface - chip select doubles as DONE signal signal ioi_drp_add : std_logic; --DRP interface - serial address signal signal ioi_drp_broadcast : std_logic; signal ioi_drp_train : std_logic; -- Calibration datacapture siganls signal dqdonecount : std_logic_vector(3 downto 0); --select signal for the datacapture 16 to 1 mux signal dq_in_p : std_logic; --positive signal sent to calibration logic signal dq_in_n : std_logic; --negative signal sent to calibration logic signal cal_done: std_logic; --DQS calibration interface signal udqs_n : std_logic; signal udqs_p : std_logic; signal udqs_dqocal_p : std_logic; signal udqs_dqocal_n : std_logic; -- MUI enable interface signal df_en_n90 : std_logic; --INTERNAL signal FOR DRP chain -- IOI <-> MUI signal ioi_int_tmp : std_logic; signal dqo_n : std_logic_vector(15 downto 0); signal dqo_p : std_logic_vector(15 downto 0); signal dqnlm : std_logic; signal dqplm : std_logic; signal dqnum : std_logic; signal dqpum : std_logic; -- IOI <-> IOB routes signal ioi_addr : std_logic_vector(C_MEM_ADDR_WIDTH-1 downto 0); signal ioi_ba : std_logic_vector(C_MEM_BANKADDR_WIDTH-1 downto 0); signal ioi_cas : std_logic; signal ioi_ck : std_logic; signal ioi_ckn : std_logic; signal ioi_cke : std_logic; signal ioi_dq : std_logic_vector(C_NUM_DQ_PINS-1 downto 0); signal ioi_dqs : std_logic; signal ioi_dqsn : std_logic; signal ioi_udqs : std_logic; signal ioi_udqsn : std_logic; signal ioi_odt : std_logic; signal ioi_ras : std_logic; signal ioi_rst : std_logic; signal ioi_we : std_logic; signal ioi_udm : std_logic; signal ioi_ldm : std_logic; signal in_dq : std_logic_vector(15 downto 0); signal in_pre_dq : std_logic_vector(C_NUM_DQ_PINS-1 downto 0); signal in_dqs : std_logic; signal in_pre_dqsp : std_logic; signal in_pre_dqsn : std_logic; signal in_pre_udqsp : std_logic; signal in_pre_udqsn : std_logic; signal in_udqs : std_logic; -- Memory tri-state control signals signal t_addr : std_logic_vector(C_MEM_ADDR_WIDTH-1 downto 0); signal t_ba : std_logic_vector(C_MEM_BANKADDR_WIDTH-1 downto 0); signal t_cas : std_logic; signal t_ck : std_logic; signal t_ckn : std_logic; signal t_cke : std_logic; signal t_dq : std_logic_vector(C_NUM_DQ_PINS-1 downto 0); signal t_dqs : std_logic; signal t_dqsn : std_logic; signal t_udqs : std_logic; signal t_udqsn : std_logic; signal t_odt : std_logic; signal t_ras : std_logic; signal t_rst : std_logic; signal t_we : std_logic; signal t_udm : std_logic; signal t_ldm : std_logic; signal idelay_dqs_ioi_s : std_logic; signal idelay_dqs_ioi_m : std_logic; signal idelay_udqs_ioi_s : std_logic; signal idelay_udqs_ioi_m : std_logic; signal dqs_pin : std_logic; signal udqs_pin : std_logic; -- USER Interface signals -- translated memory addresses signal p0_cmd_ra : std_logic_vector(14 downto 0); signal p0_cmd_ba : std_logic_vector(2 downto 0); signal p0_cmd_ca : std_logic_vector(11 downto 0); signal p1_cmd_ra : std_logic_vector(14 downto 0); signal p1_cmd_ba : std_logic_vector(2 downto 0); signal p1_cmd_ca : std_logic_vector(11 downto 0); signal p2_cmd_ra : std_logic_vector(14 downto 0); signal p2_cmd_ba : std_logic_vector(2 downto 0); signal p2_cmd_ca : std_logic_vector(11 downto 0); signal p3_cmd_ra : std_logic_vector(14 downto 0); signal p3_cmd_ba : std_logic_vector(2 downto 0); signal p3_cmd_ca : std_logic_vector(11 downto 0); signal p4_cmd_ra : std_logic_vector(14 downto 0); signal p4_cmd_ba : std_logic_vector(2 downto 0); signal p4_cmd_ca : std_logic_vector(11 downto 0); signal p5_cmd_ra : std_logic_vector(14 downto 0); signal p5_cmd_ba : std_logic_vector(2 downto 0); signal p5_cmd_ca : std_logic_vector(11 downto 0); -- user command wires mapped from logical ports to physical ports signal mig_p0_arb_en : std_logic; signal mig_p0_cmd_clk : std_logic; signal mig_p0_cmd_en : std_logic; signal mig_p0_cmd_ra : std_logic_vector(14 downto 0); signal mig_p0_cmd_ba : std_logic_vector(2 downto 0); signal mig_p0_cmd_ca : std_logic_vector(11 downto 0); signal mig_p0_cmd_instr : std_logic_vector(2 downto 0); signal mig_p0_cmd_bl : std_logic_vector(5 downto 0); signal mig_p0_cmd_empty : std_logic; signal mig_p0_cmd_full : std_logic; signal mig_p1_arb_en : std_logic; signal mig_p1_cmd_clk : std_logic; signal mig_p1_cmd_en : std_logic; signal mig_p1_cmd_ra : std_logic_vector(14 downto 0); signal mig_p1_cmd_ba : std_logic_vector(2 downto 0); signal mig_p1_cmd_ca : std_logic_vector(11 downto 0); signal mig_p1_cmd_instr : std_logic_vector(2 downto 0); signal mig_p1_cmd_bl : std_logic_vector(5 downto 0); signal mig_p1_cmd_empty : std_logic; signal mig_p1_cmd_full : std_logic; signal mig_p2_arb_en : std_logic; signal mig_p2_cmd_clk : std_logic; signal mig_p2_cmd_en : std_logic; signal mig_p2_cmd_ra : std_logic_vector(14 downto 0); signal mig_p2_cmd_ba : std_logic_vector(2 downto 0); signal mig_p2_cmd_ca : std_logic_vector(11 downto 0); signal mig_p2_cmd_instr : std_logic_vector(2 downto 0); signal mig_p2_cmd_bl : std_logic_vector(5 downto 0); signal mig_p2_cmd_empty : std_logic; signal mig_p2_cmd_full : std_logic; signal mig_p3_arb_en : std_logic; signal mig_p3_cmd_clk : std_logic; signal mig_p3_cmd_en : std_logic; signal mig_p3_cmd_ra : std_logic_vector(14 downto 0); signal mig_p3_cmd_ba : std_logic_vector(2 downto 0); signal mig_p3_cmd_ca : std_logic_vector(11 downto 0); signal mig_p3_cmd_instr : std_logic_vector(2 downto 0); signal mig_p3_cmd_bl : std_logic_vector(5 downto 0); signal mig_p3_cmd_empty : std_logic; signal mig_p3_cmd_full : std_logic; signal mig_p4_arb_en : std_logic; signal mig_p4_cmd_clk : std_logic; signal mig_p4_cmd_en : std_logic; signal mig_p4_cmd_ra : std_logic_vector(14 downto 0); signal mig_p4_cmd_ba : std_logic_vector(2 downto 0); signal mig_p4_cmd_ca : std_logic_vector(11 downto 0); signal mig_p4_cmd_instr : std_logic_vector(2 downto 0); signal mig_p4_cmd_bl : std_logic_vector(5 downto 0); signal mig_p4_cmd_empty : std_logic; signal mig_p4_cmd_full : std_logic; signal mig_p5_arb_en : std_logic; signal mig_p5_cmd_clk : std_logic; signal mig_p5_cmd_en : std_logic; signal mig_p5_cmd_ra : std_logic_vector(14 downto 0); signal mig_p5_cmd_ba : std_logic_vector(2 downto 0); signal mig_p5_cmd_ca : std_logic_vector(11 downto 0); signal mig_p5_cmd_instr : std_logic_vector(2 downto 0); signal mig_p5_cmd_bl : std_logic_vector(5 downto 0); signal mig_p5_cmd_empty : std_logic; signal mig_p5_cmd_full : std_logic; signal mig_p0_wr_clk : std_logic; signal mig_p0_rd_clk : std_logic; signal mig_p1_wr_clk : std_logic; signal mig_p1_rd_clk : std_logic; signal mig_p2_clk : std_logic; signal mig_p3_clk : std_logic; signal mig_p4_clk : std_logic; signal mig_p5_clk : std_logic; signal mig_p0_wr_en : std_logic; signal mig_p0_rd_en : std_logic; signal mig_p1_wr_en : std_logic; signal mig_p1_rd_en : std_logic; signal mig_p2_en : std_logic; signal mig_p3_en : std_logic; signal mig_p4_en : std_logic; signal mig_p5_en : std_logic; signal mig_p0_wr_data : std_logic_vector(31 downto 0); signal mig_p1_wr_data : std_logic_vector(31 downto 0); signal mig_p2_wr_data : std_logic_vector(31 downto 0); signal mig_p3_wr_data : std_logic_vector(31 downto 0); signal mig_p4_wr_data : std_logic_vector(31 downto 0); signal mig_p5_wr_data : std_logic_vector(31 downto 0); signal mig_p0_wr_mask : std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); signal mig_p1_wr_mask : std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); signal mig_p2_wr_mask : std_logic_vector(3 downto 0); signal mig_p3_wr_mask : std_logic_vector(3 downto 0); signal mig_p4_wr_mask : std_logic_vector(3 downto 0); signal mig_p5_wr_mask : std_logic_vector(3 downto 0); signal mig_p0_rd_data : std_logic_vector(31 downto 0); signal mig_p1_rd_data : std_logic_vector(31 downto 0); signal mig_p2_rd_data : std_logic_vector(31 downto 0); signal mig_p3_rd_data : std_logic_vector(31 downto 0); signal mig_p4_rd_data : std_logic_vector(31 downto 0); signal mig_p5_rd_data : std_logic_vector(31 downto 0); signal mig_p0_rd_overflow : std_logic; signal mig_p1_rd_overflow : std_logic; signal mig_p2_overflow : std_logic; signal mig_p3_overflow : std_logic; signal mig_p4_overflow : std_logic; signal mig_p5_overflow : std_logic; signal mig_p0_wr_underrun : std_logic; signal mig_p1_wr_underrun : std_logic; signal mig_p2_underrun : std_logic; signal mig_p3_underrun : std_logic; signal mig_p4_underrun : std_logic; signal mig_p5_underrun : std_logic; signal mig_p0_rd_error : std_logic; signal mig_p0_wr_error : std_logic; signal mig_p1_rd_error : std_logic; signal mig_p1_wr_error : std_logic; signal mig_p2_error : std_logic; signal mig_p3_error : std_logic; signal mig_p4_error : std_logic; signal mig_p5_error : std_logic; signal mig_p0_wr_count : std_logic_vector(6 downto 0); signal mig_p1_wr_count : std_logic_vector(6 downto 0); signal mig_p0_rd_count : std_logic_vector(6 downto 0); signal mig_p1_rd_count : std_logic_vector(6 downto 0); signal mig_p2_count : std_logic_vector(6 downto 0); signal mig_p3_count : std_logic_vector(6 downto 0); signal mig_p4_count : std_logic_vector(6 downto 0); signal mig_p5_count : std_logic_vector(6 downto 0); signal mig_p0_wr_full : std_logic; signal mig_p1_wr_full : std_logic; signal mig_p0_rd_empty : std_logic; signal mig_p1_rd_empty : std_logic; signal mig_p0_wr_empty : std_logic; signal mig_p1_wr_empty : std_logic; signal mig_p0_rd_full : std_logic; signal mig_p1_rd_full : std_logic; signal mig_p2_full : std_logic; signal mig_p3_full : std_logic; signal mig_p4_full : std_logic; signal mig_p5_full : std_logic; signal mig_p2_empty : std_logic; signal mig_p3_empty : std_logic; signal mig_p4_empty : std_logic; signal mig_p5_empty : std_logic; -- SELFREESH control signal for suspend feature signal selfrefresh_mcb_enter : std_logic; signal selfrefresh_mcb_mode : std_logic; signal selfrefresh_mode_sig : std_logic; signal MCB_SYSRST : std_logic; signal ioclk0 : std_logic; signal ioclk90 : std_logic; signal hard_done_cal : std_logic; signal uo_data_int : std_logic_vector(7 downto 0); signal uo_data_valid_int : std_logic; signal uo_cmd_ready_in_int : std_logic; signal syn_uiclk_pll_lock : std_logic; signal int_sys_rst : std_logic; --testing signal ioi_drp_update : std_logic; signal aux_sdi_sdo : std_logic_vector(7 downto 0); signal mcb_recal : std_logic; signal mcb_ui_read : std_logic; signal mcb_ui_add : std_logic; signal mcb_ui_cs : std_logic; signal mcb_ui_clk : std_logic; signal mcb_ui_sdi : std_logic; signal mcb_ui_addr : STD_LOGIC_vector(4 downto 0); signal mcb_ui_broadcast : std_logic; signal mcb_ui_drp_update : std_logic; signal mcb_ui_done_cal : std_logic; signal mcb_ui_cmd : std_logic; signal mcb_ui_cmd_in : std_logic; signal mcb_ui_cmd_en : std_logic; signal mcb_ui_dqcount : std_logic_vector(3 downto 0); signal mcb_ui_dq_lower_dec : std_logic; signal mcb_ui_dq_lower_inc : std_logic; signal mcb_ui_dq_upper_dec : std_logic; signal mcb_ui_dq_upper_inc : std_logic; signal mcb_ui_udqs_inc : std_logic; signal mcb_ui_udqs_dec : std_logic; signal mcb_ui_ldqs_inc : std_logic; signal mcb_ui_ldqs_dec : std_logic; signal DONE_SOFTANDHARD_CAL : std_logic; signal ck_shiftout0_1 : std_logic; signal ck_shiftout0_2 : std_logic; signal ck_shiftout1_3 : std_logic; signal ck_shiftout1_4 : std_logic; signal udm_oq : std_logic; signal udm_t : std_logic; signal ldm_oq : std_logic; signal ldm_t : std_logic; signal dqsp_oq : std_logic; signal dqsp_tq : std_logic; signal dqs_shiftout0_1 : std_logic; signal dqs_shiftout0_2 : std_logic; signal dqs_shiftout1_3 : std_logic; signal dqs_shiftout1_4 : std_logic; signal dqsn_oq : std_logic; signal dqsn_tq : std_logic; signal udqsp_oq : std_logic; signal udqsp_tq : std_logic; signal udqs_shiftout0_1 : std_logic; signal udqs_shiftout0_2 : std_logic; signal udqs_shiftout1_3 : std_logic; signal udqs_shiftout1_4 : std_logic; signal udqsn_oq : std_logic; signal udqsn_tq : std_logic; signal aux_sdi_out_dqsp : std_logic; signal aux_sdi_out_udqsp : std_logic; signal aux_sdi_out_udqsn : std_logic; signal aux_sdi_out_0 : std_logic; signal aux_sdi_out_1 : std_logic; signal aux_sdi_out_2 : std_logic; signal aux_sdi_out_3 : std_logic; signal aux_sdi_out_5 : std_logic; signal aux_sdi_out_6 : std_logic; signal aux_sdi_out_7 : std_logic; signal aux_sdi_out_9 : std_logic; signal aux_sdi_out_10 : std_logic; signal aux_sdi_out_11 : std_logic; signal aux_sdi_out_12 : std_logic; signal aux_sdi_out_13 : std_logic; signal aux_sdi_out_14 : std_logic; signal aux_sdi_out_15 : std_logic; signal aux_sdi_out_8 : std_logic; signal aux_sdi_out_dqsn : std_logic; signal aux_sdi_out_4 : std_logic; signal aux_sdi_out_udm : std_logic; signal aux_sdi_out_ldm : std_logic; signal uo_cal_start_int : std_logic; signal cke_train : std_logic; signal dq_oq : std_logic_vector(C_NUM_DQ_PINS-1 downto 0); signal dq_tq : std_logic_vector(C_NUM_DQ_PINS-1 downto 0); signal p0_wr_full_i : std_logic; signal p0_rd_empty_i : std_logic; signal p1_wr_full_i : std_logic; signal p1_rd_empty_i : std_logic; signal pllclk1 : std_logic_vector(1 downto 0); signal pllce1 : std_logic_vector(1 downto 0); signal uo_refrsh_flag_xhdl23 : std_logic; signal uo_sdo_xhdl24 : STD_LOGIC; signal Max_Value_Cal_Error : std_logic; signal uo_done_cal_sig : std_logic; signal wait_200us_counter : std_logic_vector(15 downto 0); signal cke_train_reg : std_logic; signal wait_200us_done_r1 : std_logic; signal wait_200us_done_r2 : std_logic; signal syn1_sys_rst : std_logic; signal syn2_sys_rst : std_logic; signal selfrefresh_enter_r1 : std_logic; signal selfrefresh_enter_r2 : std_logic; signal selfrefresh_enter_r3 : std_logic; signal gated_pll_lock : std_logic; signal soft_cal_selfrefresh_req : std_logic; signal normal_operation_window : std_logic; attribute max_fanout : string; attribute syn_maxfan : integer; attribute max_fanout of int_sys_rst : signal is "1"; attribute syn_maxfan of int_sys_rst : signal is 1; begin uo_cmd_ready_in <= uo_cmd_ready_in_int; uo_data_valid <= uo_data_valid_int; uo_data <= uo_data_int; uo_refrsh_flag <= uo_refrsh_flag_xhdl23; uo_sdo <= uo_sdo_xhdl24; p0_wr_full <= p0_wr_full_i; p0_rd_empty <= p0_rd_empty_i; p1_wr_full <= p1_wr_full_i; p1_rd_empty <= p1_rd_empty_i; ioclk0 <= sysclk_2x; ioclk90 <= sysclk_2x_180; pllclk1 <= (ioclk90 & ioclk0); pllce1 <= (pll_ce_90 & pll_ce_0); -- Assign the output signals with corresponding intermediate signals uo_done_cal <= uo_done_cal_sig; -- Added 2/22 - Add flop to pll_lock status signal to improve timing process (ui_clk) begin if (ui_clk'event and ui_clk = '1') then if ((selfrefresh_enter = '0') and (gated_pll_lock = '0')) then syn_uiclk_pll_lock <= pll_lock; end if; end if; end process; -- logic to determine if Memory is SELFREFRESH mode operation or NORMAL mode. process (ui_clk) begin if (ui_clk'event and ui_clk = '1') then if (sys_rst = '1') then normal_operation_window <= '1'; elsif (selfrefresh_enter_r2 = '1' or selfrefresh_mode_sig = '1') then normal_operation_window <= '0'; elsif ((selfrefresh_enter_r2 = '0') and (selfrefresh_mode_sig = '0')) then normal_operation_window <= '1'; else normal_operation_window <= normal_operation_window; end if; end if; end process; process(normal_operation_window,pll_lock,syn_uiclk_pll_lock) begin if (normal_operation_window = '1') then gated_pll_lock <= pll_lock; else gated_pll_lock <= syn_uiclk_pll_lock; end if; end process; -- int_sys_rst will be asserted if pll lose lock during normal operation. -- It uses the syn_uiclk_pll_lock version when it is entering suspend window , hence -- reset will not be generated. int_sys_rst <= sys_rst or not(gated_pll_lock); -- synchronize the selfrefresh_enter process (ui_clk) begin if (ui_clk'event and ui_clk = '1') then if (sys_rst = '1') then selfrefresh_enter_r1 <= '0'; selfrefresh_enter_r2 <= '0'; selfrefresh_enter_r3 <= '0'; else selfrefresh_enter_r1 <= selfrefresh_enter; selfrefresh_enter_r2 <= selfrefresh_enter_r1; selfrefresh_enter_r3 <= selfrefresh_enter_r2; end if; end if; end process; -- The soft_cal_selfrefresh siganl is conditioned before connect to mcb_soft_calibration module. -- It will not deassert selfrefresh_mcb_enter to MCB until input pll_lock reestablished in system. -- This is to ensure the IOI stables before issued a selfrefresh exit command to dram. process (ui_clk) begin if (ui_clk'event and ui_clk = '1') then if (sys_rst = '1') then soft_cal_selfrefresh_req <= '0'; elsif (selfrefresh_enter_r3 = '1') then soft_cal_selfrefresh_req <= '1'; elsif (selfrefresh_enter_r3 = '0' and pll_lock = '1') then soft_cal_selfrefresh_req <= '0'; else soft_cal_selfrefresh_req <= soft_cal_selfrefresh_req; end if; end if; end process; --Address Remapping -- Byte Address remapping -- -- Bank Address[x:0] & Row Address[x:0] & Column Address[x:0] -- column address remap for port 0 x16_addr : if(C_NUM_DQ_PINS = 16) generate -- port bus remapping sections for CONFIG 2 15,3,12 x16_addr_rbc : if (C_MEM_ADDR_ORDER = "ROW_BANK_COLUMN") generate -- C_MEM_ADDR_ORDER = 0 : Bank Row Column -- port 0 address remapping x16_p0_a15 : if (C_MEM_ADDR_WIDTH = 15) generate p0_cmd_ra <= p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_p0_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate p0_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p0_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p0_cmd_ba <= p0_cmd_byte_addr( C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_p0_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p0_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p0_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p0_cmd_ca <= p0_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_p0_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p0_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS + 1) & p0_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 1 address remapping x16_p1_a15 : if (C_MEM_ADDR_WIDTH = 15) generate --Row p1_cmd_ra <= p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_p1_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p1_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p1_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p1_cmd_ba <= p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_p1_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p1_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p1_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p1_cmd_ca <= p1_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_p1_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p1_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS + 1) & p1_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 2 address remapping x16_p2_a15 : if (C_MEM_ADDR_WIDTH = 15) generate --Row p2_cmd_ra <= p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_p2_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p2_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p2_cmd_byte_addr (C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p2_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p2_cmd_ba <= p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_p2_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p2_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_p2_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p2_cmd_ca <= p2_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_p2_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p2_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS + 1) & p2_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 3 address remapping x16_p3_a15 : if (C_MEM_ADDR_WIDTH = 15) generate --Row p3_cmd_ra <= p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_p3_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p3_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p3_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p3_cmd_ba <= p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_p3_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p3_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p3_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p3_cmd_ca <= p3_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_p3_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p3_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS +1 ) & p3_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 4 address remapping x16_p4_a15 : if (C_MEM_ADDR_WIDTH = 15) generate --Row p4_cmd_ra <= p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_p4_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p4_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p4_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p4_cmd_ba <= p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_p4_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p4_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_p4_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p4_cmd_ca <= p4_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_p4_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p4_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS +1)& p4_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 5 address remapping x16_p5_a15 : if (C_MEM_ADDR_WIDTH = 15) generate --Row p5_cmd_ra <= p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_p5_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p5_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p5_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p5_cmd_ba <= p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_p5_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p5_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS downto + C_MEM_NUM_COL_BITS + 1)); end generate; x16_p5_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p5_cmd_ca <= p5_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_p5_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p5_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS+1) & p5_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; end generate; --x16_addr_rbc x16_addr_rbc_n : if (not(C_MEM_ADDR_ORDER = "ROW_BANK_COLUMN")) generate -- port 0 address remapping x16_rbc_n_p0_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p0_cmd_ba <= p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p0_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p0_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p0_a15 : if (C_MEM_ADDR_WIDTH = 15 ) generate --row p0_cmd_ra <= p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p0_a15_n : if (not(C_MEM_ADDR_WIDTH = 15 )) generate --row p0_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p0_c12 : if (C_MEM_NUM_COL_BITS = 12 ) generate --column p0_cmd_ca <= p0_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_rbc_n_p0_c12_n : if (not(C_MEM_NUM_COL_BITS = 12 )) generate --column p0_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS+1)& p0_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 1 address remapping x16_rbc_n_p1_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p1_cmd_ba <= p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p1_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p1_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p1_a15 : if (C_MEM_ADDR_WIDTH = 15 ) generate --row p1_cmd_ra <= p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p1_a15_n : if (not(C_MEM_ADDR_WIDTH = 15 )) generate --row p1_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p1_c12 : if (C_MEM_NUM_COL_BITS = 12 ) generate --column p1_cmd_ca <= p1_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_rbc_n_p1_c12_n : if (not(C_MEM_NUM_COL_BITS = 12 )) generate --column p1_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS+1) & p1_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 2 address remapping x16_rbc_n_p2_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p2_cmd_ba <= p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p2_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p2_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p2_a15 : if (C_MEM_ADDR_WIDTH = 15 ) generate --row p2_cmd_ra <= p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p2_a15_n : if (not(C_MEM_ADDR_WIDTH = 15 )) generate --row p2_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p2_c12 : if (C_MEM_NUM_COL_BITS = 12 ) generate --column p2_cmd_ca <= p2_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_rbc_n_p2_c12_n : if (not(C_MEM_NUM_COL_BITS = 12 )) generate --column p2_cmd_ca <= (allzero( 12 downto C_MEM_NUM_COL_BITS +1)& p2_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 3 address remapping x16_rbc_n_p3_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p3_cmd_ba <= p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p3_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p3_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p3_a15 : if (C_MEM_ADDR_WIDTH = 15 ) generate --row p3_cmd_ra <= p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p3_a15_n : if (not(C_MEM_ADDR_WIDTH = 15 )) generate --row p3_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p3_c12 : if (C_MEM_NUM_COL_BITS = 12 ) generate --column p3_cmd_ca <= p3_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_rbc_n_p3_c12_n : if (not(C_MEM_NUM_COL_BITS = 12 )) generate --column p3_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS +1)& p3_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 4 address remapping x16_rbc_n_p4_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p4_cmd_ba <= p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p4_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p4_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p4_a15 : if (C_MEM_ADDR_WIDTH = 15 ) generate --row p4_cmd_ra <= p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p4_a15_n : if (not(C_MEM_ADDR_WIDTH = 15 )) generate --row p4_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p4_c12 : if (C_MEM_NUM_COL_BITS = 12 ) generate --column p4_cmd_ca <= p4_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_rbc_n_p4_c12_n : if (not(C_MEM_NUM_COL_BITS = 12 )) generate --column p4_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS +1) & p4_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; -- port 5 address remapping x16_rbc_n_p5_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p5_cmd_ba <= p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p5_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p5_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p5_a15 : if (C_MEM_ADDR_WIDTH = 15 ) generate --row p5_cmd_ra <= p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1); end generate; x16_rbc_n_p5_a15_n : if (not(C_MEM_ADDR_WIDTH = 15 )) generate --row p5_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS downto C_MEM_NUM_COL_BITS + 1)); end generate; x16_rbc_n_p5_c12 : if (C_MEM_NUM_COL_BITS = 12 ) generate --column p5_cmd_ca <= p5_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1); end generate; x16_rbc_n_p5_c12_n : if (not(C_MEM_NUM_COL_BITS = 12 )) generate --column p5_cmd_ca <= (allzero(12 downto C_MEM_NUM_COL_BITS +1) & p5_cmd_byte_addr(C_MEM_NUM_COL_BITS downto 1)); end generate; end generate;--x16_addr_rbc_n end generate; --x16_addr x8_addr : if(C_NUM_DQ_PINS = 8) generate x8_addr_rbc : if (C_MEM_ADDR_ORDER = "ROW_BANK_COLUMN") generate -- port 0 address remapping x8_p0_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p0_cmd_ra <= p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_p0_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p0_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_p0_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p0_cmd_ba <= p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); --14,3,10 end generate; x8_p0_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p0_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); --14,3,10 end generate; x8_p0_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p0_cmd_ca(11 downto 0) <= p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_p0_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p0_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 1 address remapping x8_p1_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p1_cmd_ra <= p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_p1_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p1_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_p1_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p1_cmd_ba <= p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); --14,3,10 end generate; x8_p1_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p1_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); --14,3,10 end generate; x8_p1_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p1_cmd_ca(11 downto 0) <= p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_p1_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p1_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 2 address remapping x8_p2_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p2_cmd_ra <= p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_p2_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p2_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_p2_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p2_cmd_ba <= p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); --14,3,10 end generate; x8_p2_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p2_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); --14,2,10 *** end generate; x8_p2_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p2_cmd_ca(11 downto 0) <= p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_p2_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p2_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 3 address remapping x8_p3_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p3_cmd_ra <= p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_p3_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p3_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_p3_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p3_cmd_ba <= p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); --14,3,10 end generate; x8_p3_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p3_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); --14,3,10 end generate; x8_p3_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p3_cmd_ca(11 downto 0) <= p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_p3_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p3_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 4 address remapping x8_p4_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p4_cmd_ra <= p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_p4_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p4_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_p4_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p4_cmd_ba <= p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); --14,3,10 end generate; x8_p4_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p4_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); --14,3,10 end generate; x8_p4_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p4_cmd_ca(11 downto 0) <= p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_p4_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p4_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 5 address remapping x8_p5_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p5_cmd_ra <= p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_p5_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p5_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_p5_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p5_cmd_ba <= p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); --14,3,10 end generate; x8_p5_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p5_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); --14,3,10 end generate; x8_p5_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p5_cmd_ca(11 downto 0) <= p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_p5_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p5_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; end generate; --x8_addr_rbc x8_addr_rbc_n : if (not(C_MEM_ADDR_ORDER = "ROW_BANK_COLUMN")) generate -- port 0 address remapping x8_rbc_n_p0_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p0_cmd_ba <= p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p0_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p0_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p0_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p0_cmd_ra <= p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p0_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p0_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p0_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p0_cmd_ca(11 downto 0) <= p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_rbc_n_p0_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p0_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 1 address remapping x8_rbc_n_p1_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p1_cmd_ba <= p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p1_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p1_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p1_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p1_cmd_ra <= p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p1_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p1_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p1_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p1_cmd_ca(11 downto 0) <= p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_rbc_n_p1_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p1_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; --port 2 address remapping x8_rbc_n_p2_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p2_cmd_ba <= p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p2_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p2_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p2_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p2_cmd_ra <= p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p2_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p2_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p2_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p2_cmd_ca(11 downto 0) <= p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_rbc_n_p2_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p2_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 3 address remapping x8_rbc_n_p3_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p3_cmd_ba <= p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p3_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p3_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p3_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p3_cmd_ra <= p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p3_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p3_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p3_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p3_cmd_ca(11 downto 0) <= p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_rbc_n_p3_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p3_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 4 address remapping x8_rbc_n_p4_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p4_cmd_ba <= p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p4_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p4_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH) & p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p4_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p4_cmd_ra <= p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p4_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p4_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p4_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p4_cmd_ca(11 downto 0) <= p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_rbc_n_p4_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p4_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; -- port 5 address remapping x8_rbc_n_p5_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p5_cmd_ba <= p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p5_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p5_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH)& p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p5_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p5_cmd_ra <= p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS ); end generate; x8_rbc_n_p5_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p5_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH) & p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1 downto C_MEM_NUM_COL_BITS )); end generate; x8_rbc_n_p5_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p5_cmd_ca(11 downto 0) <= p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0); end generate; x8_rbc_n_p5_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p5_cmd_ca(11 downto 0) <= (allzero(11 downto C_MEM_NUM_COL_BITS) & p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 1 downto 0)); end generate; end generate; --x8_addr_rbc_n end generate; --x8_addr x4_addr : if(C_NUM_DQ_PINS = 4) generate x4_addr_rbc : if (C_MEM_ADDR_ORDER = "ROW_BANK_COLUMN") generate -- port 0 address remapping x4_p0_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p0_cmd_ra <= p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_p0_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p0_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_p0_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p0_cmd_ba <= p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_p0_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p0_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_p0_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p0_cmd_ca <= (p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); --14,3,11 end generate; x4_p0_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p0_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 1 address remapping x4_p1_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p1_cmd_ra <= p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_p1_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p1_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_p1_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p1_cmd_ba <= p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_p1_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p1_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_p1_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p1_cmd_ca <= (p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); --14,3,11 end generate; x4_p1_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p1_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 2 address remapping x4_p2_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p2_cmd_ra <= p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_p2_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p2_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_p2_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p2_cmd_ba <= p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_p2_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p2_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_p2_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p2_cmd_ca <= (p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); --14,3,11 end generate; x4_p2_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p2_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 3 address remapping x4_p3_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p3_cmd_ra <= p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_p3_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p3_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_p3_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p3_cmd_ba <= p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_p3_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p3_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_p3_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p3_cmd_ca <= (p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); --14,3,11 end generate; x4_p3_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p3_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_p4_p5:if(C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32" ) generate -- port 4 address remapping x4_p4_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p4_cmd_ra <= p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_p4_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p4_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_p4_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p4_cmd_ba <= p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_p4_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p4_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_p4_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p4_cmd_ca <= (p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); --14,3,11 end generate; x4_p4_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p4_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 5 address remapping x4_p5_a15 : if (C_MEM_ADDR_WIDTH = 15) generate -- Row p5_cmd_ra <= p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_p5_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p5_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_p5_ba3 : if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p5_cmd_ba <= p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_p5_ba3_n : if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p5_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_p5_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p5_cmd_ca <= (p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); --14,3,11 end generate; x4_p5_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p5_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; end generate; --x4_p4_p5 end generate; --x4_addr_rbc x4_addr_rbc_n : if (not(C_MEM_ADDR_ORDER = "ROW_BANK_COLUMN")) generate -- port 0 address remapping x4_rbc_n_p0_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p0_cmd_ba <= p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p0_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p0_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p0_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p0_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p0_cmd_ra <= p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p0_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p0_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p0_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p0_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p0_cmd_ca <= (p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_rbc_n_p0_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p0_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p0_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 1 address remapping x4_rbc_n_p1_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p1_cmd_ba <= p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p1_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p1_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p1_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p1_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p1_cmd_ra <= p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p1_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p1_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p1_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p1_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p1_cmd_ca <= (p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_rbc_n_p1_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p1_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p1_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 2 address remapping x4_rbc_n_p2_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p2_cmd_ba <= p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p2_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p2_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p2_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p2_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p2_cmd_ra <= p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p2_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p2_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p2_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p2_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p2_cmd_ca <= (p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_rbc_n_p2_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p2_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p2_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 3 address remapping x4_rbc_n_p3_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p3_cmd_ba <= p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p3_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p3_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p3_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p3_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p3_cmd_ra <= p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p3_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p3_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p3_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p3_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p3_cmd_ca <= (p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_rbc_n_p3_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p3_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p3_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_p4_p5_n: if(C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32" ) generate -- port 4 address remapping x4_rbc_n_p4_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p4_cmd_ba <= p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p4_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p4_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p4_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p4_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p4_cmd_ra <= p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p4_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p4_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p4_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p4_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p4_cmd_ca <= (p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_rbc_n_p4_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p4_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p4_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; -- port 5 address remapping x4_rbc_n_p5_ba3 :if (C_MEM_BANKADDR_WIDTH = 3 ) generate --Bank p5_cmd_ba <= p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p5_ba3_n :if (not(C_MEM_BANKADDR_WIDTH = 3 )) generate --Bank p5_cmd_ba <= (allzero(2 downto C_MEM_BANKADDR_WIDTH ) & p5_cmd_byte_addr(C_MEM_BANKADDR_WIDTH + C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p5_a15: if (C_MEM_ADDR_WIDTH = 15) generate -- Row p5_cmd_ra <= p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1); end generate; x4_rbc_n_p5_a15_n : if (not(C_MEM_ADDR_WIDTH = 15)) generate --Row p5_cmd_ra <= (allzero(14 downto C_MEM_ADDR_WIDTH ) & p5_cmd_byte_addr(C_MEM_ADDR_WIDTH + C_MEM_NUM_COL_BITS - 2 downto C_MEM_NUM_COL_BITS - 1)); end generate; x4_rbc_n_p5_ca12 : if (C_MEM_NUM_COL_BITS = 12) generate --Column p5_cmd_ca <= (p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; x4_rbc_n_p5_ca12_n : if (not(C_MEM_NUM_COL_BITS = 12)) generate --Column p5_cmd_ca <= (allzero(11 downto C_MEM_NUM_COL_BITS ) & p5_cmd_byte_addr(C_MEM_NUM_COL_BITS - 2 downto 0) & '0'); end generate; end generate; --x4_p4_p5_n end generate; --x4_addr_rbc_n end generate; --x4_addr -- if(C_PORT_CONFIG[183:160] == "B32") begin : u_config1_0 u_config1_0: if(C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32" ) generate --synthesis translate_off -- PORT2 process (p2_cmd_en,p2_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32") and p2_cmd_en = '1' and p2_cmd_instr(2) = '0' and p2_cmd_instr(0) = '1') then report "ERROR - Invalid Command for write only port 2"; end if; end process; process (p2_cmd_en,p2_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32") and p2_cmd_en = '1' and p2_cmd_instr(2) = '0' and p2_cmd_instr(0) = '0') then report "ERROR - Invalid Command for read only port 2"; end if; end process; -- PORT3 process (p3_cmd_en,p3_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32") and p3_cmd_en = '1' and p3_cmd_instr(2) = '0' and p3_cmd_instr(0) = '1') then report "ERROR - Invalid Command for write only port 3"; end if; end process; process (p3_cmd_en,p3_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32") and p3_cmd_en = '1' and p3_cmd_instr(2) = '0' and p3_cmd_instr(0) = '0') then report "ERROR - Invalid Command for read only port 3"; end if; end process; -- PORT4 process (p4_cmd_en,p4_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32") and p4_cmd_en = '1' and p4_cmd_instr(2) = '0' and p4_cmd_instr(0) = '1') then report "ERROR - Invalid Command for write only port 4"; end if; end process; process (p4_cmd_en,p4_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32") and p4_cmd_en = '1' and p4_cmd_instr(2) = '0' and p4_cmd_instr(0) = '0') then report "ERROR - Invalid Command for read only port 4"; end if; end process; -- PORT5 process (p5_cmd_en,p5_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32") and p5_cmd_en = '1' and p5_cmd_instr(2) = '0' and p5_cmd_instr(0) = '1') then report "ERROR - Invalid Command for write only port 5"; end if; end process; process (p5_cmd_en,p5_cmd_instr) begin if((C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32") and p5_cmd_en = '1' and p5_cmd_instr(2) = '0' and p5_cmd_instr(0) = '0') then report "ERROR - Invalid Command for read only port 5"; end if; end process; --synthesis translate_on -- the local declaration of input port signals doesn't work. The mig_p1_xxx through mig_p5_xxx always ends up -- high Z even though there are signals on p1_cmd_xxx through p5_cmd_xxxx. -- The only solutions that I have is to have MIG tool remove the entire internal codes that doesn't belongs to the Configuration.. -- -- Inputs from Application CMD Port p0_cmd_ena: if (C_PORT_ENABLE(0) = '1') generate mig_p0_arb_en <= p0_arb_en ; mig_p0_cmd_clk <= p0_cmd_clk ; mig_p0_cmd_en <= p0_cmd_en ; mig_p0_cmd_ra <= p0_cmd_ra ; mig_p0_cmd_ba <= p0_cmd_ba ; mig_p0_cmd_ca <= p0_cmd_ca ; mig_p0_cmd_instr <= p0_cmd_instr; mig_p0_cmd_bl <= ((p0_cmd_instr(2) or p0_cmd_bl(5)) & p0_cmd_bl(4 downto 0)) ; p0_cmd_empty <= mig_p0_cmd_empty; p0_cmd_full <= mig_p0_cmd_full ; end generate; p0_cmd_dis: if (C_PORT_ENABLE(0) = '0') generate mig_p0_arb_en <= '0'; mig_p0_cmd_clk <= '0'; mig_p0_cmd_en <= '0'; mig_p0_cmd_ra <= (others => '0'); mig_p0_cmd_ba <= (others => '0'); mig_p0_cmd_ca <= (others => '0'); mig_p0_cmd_instr <= (others => '0'); mig_p0_cmd_bl <= (others => '0'); p0_cmd_empty <= '0'; p0_cmd_full <= '0'; end generate; p1_cmd_ena: if (C_PORT_ENABLE(1) = '1') generate mig_p1_arb_en <= p1_arb_en ; mig_p1_cmd_clk <= p1_cmd_clk ; mig_p1_cmd_en <= p1_cmd_en ; mig_p1_cmd_ra <= p1_cmd_ra ; mig_p1_cmd_ba <= p1_cmd_ba ; mig_p1_cmd_ca <= p1_cmd_ca ; mig_p1_cmd_instr <= p1_cmd_instr; mig_p1_cmd_bl <= ((p1_cmd_instr(2) or p1_cmd_bl(5)) & p1_cmd_bl(4 downto 0)) ; p1_cmd_empty <= mig_p1_cmd_empty; p1_cmd_full <= mig_p1_cmd_full ; end generate; p1_cmd_dis: if (C_PORT_ENABLE(1) = '0') generate mig_p1_arb_en <= '0'; mig_p1_cmd_clk <= '0'; mig_p1_cmd_en <= '0'; mig_p1_cmd_ra <= (others => '0'); mig_p1_cmd_ba <= (others => '0'); mig_p1_cmd_ca <= (others => '0'); mig_p1_cmd_instr <= (others => '0'); mig_p1_cmd_bl <= (others => '0'); p1_cmd_empty <= '0'; p1_cmd_full <= '0'; end generate; p2_cmd_ena: if (C_PORT_ENABLE(2) = '1') generate mig_p2_arb_en <= p2_arb_en ; mig_p2_cmd_clk <= p2_cmd_clk ; mig_p2_cmd_en <= p2_cmd_en ; mig_p2_cmd_ra <= p2_cmd_ra ; mig_p2_cmd_ba <= p2_cmd_ba ; mig_p2_cmd_ca <= p2_cmd_ca ; mig_p2_cmd_instr <= p2_cmd_instr; mig_p2_cmd_bl <= ((p2_cmd_instr(2) or p2_cmd_bl(5)) & p2_cmd_bl(4 downto 0)) ; p2_cmd_empty <= mig_p2_cmd_empty; p2_cmd_full <= mig_p2_cmd_full ; end generate; p2_cmd_dis: if (C_PORT_ENABLE(2) = '0') generate mig_p2_arb_en <= '0'; mig_p2_cmd_clk <= '0'; mig_p2_cmd_en <= '0'; mig_p2_cmd_ra <= (others => '0'); mig_p2_cmd_ba <= (others => '0'); mig_p2_cmd_ca <= (others => '0'); mig_p2_cmd_instr <= (others => '0'); mig_p2_cmd_bl <= (others => '0'); p2_cmd_empty <= '0'; p2_cmd_full <= '0'; end generate; p3_cmd_ena: if (C_PORT_ENABLE(3) = '1') generate mig_p3_arb_en <= p3_arb_en ; mig_p3_cmd_clk <= p3_cmd_clk ; mig_p3_cmd_en <= p3_cmd_en ; mig_p3_cmd_ra <= p3_cmd_ra ; mig_p3_cmd_ba <= p3_cmd_ba ; mig_p3_cmd_ca <= p3_cmd_ca ; mig_p3_cmd_instr <= p3_cmd_instr; mig_p3_cmd_bl <= ((p3_cmd_instr(2) or p3_cmd_bl(5)) & p3_cmd_bl(4 downto 0)) ; p3_cmd_empty <= mig_p3_cmd_empty; p3_cmd_full <= mig_p3_cmd_full ; end generate; p3_cmd_dis: if (C_PORT_ENABLE(3) = '0') generate mig_p3_arb_en <= '0'; mig_p3_cmd_clk <= '0'; mig_p3_cmd_en <= '0'; mig_p3_cmd_ra <= (others => '0'); mig_p3_cmd_ba <= (others => '0'); mig_p3_cmd_ca <= (others => '0'); mig_p3_cmd_instr <= (others => '0'); mig_p3_cmd_bl <= (others => '0'); p3_cmd_empty <= '0'; p3_cmd_full <= '0'; end generate; p4_cmd_ena: if (C_PORT_ENABLE(4) = '1') generate mig_p4_arb_en <= p4_arb_en ; mig_p4_cmd_clk <= p4_cmd_clk ; mig_p4_cmd_en <= p4_cmd_en ; mig_p4_cmd_ra <= p4_cmd_ra ; mig_p4_cmd_ba <= p4_cmd_ba ; mig_p4_cmd_ca <= p4_cmd_ca ; mig_p4_cmd_instr <= p4_cmd_instr; mig_p4_cmd_bl <= ((p4_cmd_instr(2) or p4_cmd_bl(5)) & p4_cmd_bl(4 downto 0)) ; p4_cmd_empty <= mig_p4_cmd_empty; p4_cmd_full <= mig_p4_cmd_full ; end generate; p4_cmd_dis: if (C_PORT_ENABLE(4) = '0') generate mig_p4_arb_en <= '0'; mig_p4_cmd_clk <= '0'; mig_p4_cmd_en <= '0'; mig_p4_cmd_ra <= (others => '0'); mig_p4_cmd_ba <= (others => '0'); mig_p4_cmd_ca <= (others => '0'); mig_p4_cmd_instr <= (others => '0'); mig_p4_cmd_bl <= (others => '0'); p4_cmd_empty <= '0'; p4_cmd_full <= '0'; end generate; p5_cmd_ena: if (C_PORT_ENABLE(5) = '1') generate mig_p5_arb_en <= p5_arb_en ; mig_p5_cmd_clk <= p5_cmd_clk ; mig_p5_cmd_en <= p5_cmd_en ; mig_p5_cmd_ra <= p5_cmd_ra ; mig_p5_cmd_ba <= p5_cmd_ba ; mig_p5_cmd_ca <= p5_cmd_ca ; mig_p5_cmd_instr <= p5_cmd_instr; mig_p5_cmd_bl <= ((p5_cmd_instr(2) or p5_cmd_bl(5)) & p5_cmd_bl(4 downto 0)) ; p5_cmd_empty <= mig_p5_cmd_empty; p5_cmd_full <= mig_p5_cmd_full ; end generate; p5_cmd_dis: if (C_PORT_ENABLE(5) = '0') generate mig_p5_arb_en <= '0'; mig_p5_cmd_clk <= '0'; mig_p5_cmd_en <= '0'; mig_p5_cmd_ra <= (others => '0'); mig_p5_cmd_ba <= (others => '0'); mig_p5_cmd_ca <= (others => '0'); mig_p5_cmd_instr <= (others => '0'); mig_p5_cmd_bl <= (others => '0'); p5_cmd_empty <= '0'; p5_cmd_full <= '0'; end generate; p0_wr_rd_ena: if (C_PORT_ENABLE(0) = '1') generate mig_p0_wr_clk <= p0_wr_clk; mig_p0_rd_clk <= p0_rd_clk; mig_p0_wr_en <= p0_wr_en; mig_p0_rd_en <= p0_rd_en; mig_p0_wr_mask <= p0_wr_mask(3 downto 0); mig_p0_wr_data <= p0_wr_data(31 downto 0); p0_rd_data <= mig_p0_rd_data; p0_rd_full <= mig_p0_rd_full; p0_rd_empty_i <= mig_p0_rd_empty; p0_rd_error <= mig_p0_rd_error; p0_wr_error <= mig_p0_wr_error; p0_rd_overflow <= mig_p0_rd_overflow; p0_wr_underrun <= mig_p0_wr_underrun; p0_wr_empty <= mig_p0_wr_empty; p0_wr_full_i <= mig_p0_wr_full; p0_wr_count <= mig_p0_wr_count; p0_rd_count <= mig_p0_rd_count ; end generate; p0_wr_rd_dis: if (C_PORT_ENABLE(0) = '0') generate mig_p0_wr_clk <= '0'; mig_p0_rd_clk <= '0'; mig_p0_wr_en <= '0'; mig_p0_rd_en <= '0'; mig_p0_wr_mask <= (others => '0'); mig_p0_wr_data <= (others => '0'); p0_rd_data <= (others => '0'); p0_rd_full <= '0'; p0_rd_empty_i <= '0'; p0_rd_error <= '0'; p0_wr_error <= '0'; p0_rd_overflow <= '0'; p0_wr_underrun <= '0'; p0_wr_empty <= '0'; p0_wr_full_i <= '0'; p0_wr_count <= (others => '0'); p0_rd_count <= (others => '0'); end generate; p1_wr_rd_ena: if (C_PORT_ENABLE(1) = '1') generate mig_p1_wr_clk <= p1_wr_clk; mig_p1_rd_clk <= p1_rd_clk; mig_p1_wr_en <= p1_wr_en; mig_p1_wr_mask <= p1_wr_mask(3 downto 0); mig_p1_wr_data <= p1_wr_data(31 downto 0); mig_p1_rd_en <= p1_rd_en; p1_rd_data <= mig_p1_rd_data; p1_rd_empty_i <= mig_p1_rd_empty; p1_rd_full <= mig_p1_rd_full; p1_rd_error <= mig_p1_rd_error; p1_wr_error <= mig_p1_wr_error; p1_rd_overflow <= mig_p1_rd_overflow; p1_wr_underrun <= mig_p1_wr_underrun; p1_wr_empty <= mig_p1_wr_empty; p1_wr_full_i <= mig_p1_wr_full; p1_wr_count <= mig_p1_wr_count; p1_rd_count <= mig_p1_rd_count ; end generate; p1_wr_rd_dis: if (C_PORT_ENABLE(1) = '0') generate mig_p1_wr_clk <= '0'; mig_p1_rd_clk <= '0'; mig_p1_wr_en <= '0'; mig_p1_wr_mask <= (others => '0'); mig_p1_wr_data <= (others => '0'); mig_p1_rd_en <= '0'; p1_rd_data <= (others => '0'); p1_rd_empty_i <= '0'; p1_rd_full <= '0'; p1_rd_error <= '0'; p1_wr_error <= '0'; p1_rd_overflow <= '0'; p1_wr_underrun <= '0'; p1_wr_empty <= '0'; p1_wr_full_i <= '0'; p1_wr_count <= (others => '0'); p1_rd_count <= (others => '0'); end generate; end generate; --whenever PORT 2 is in Write mode -- xhdl272 : IF (C_PORT_CONFIG(23 downto 21) = "B32" AND C_PORT_CONFIG(15 downto 13) = "W32") GENERATE --u_config1_2W: if(C_PORT_CONFIG(183 downto 160) = "B32" and C_PORT_CONFIG(119 downto 96) = "W32") generate u_config1_2W: if( C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32" ) generate p2_wr_ena: if (C_PORT_ENABLE(2) = '1') generate mig_p2_clk <= p2_wr_clk; mig_p2_wr_data <= p2_wr_data(31 downto 0); mig_p2_wr_mask <= p2_wr_mask(3 downto 0); mig_p2_en <= p2_wr_en;-- this signal will not shown up if the port 5 is for read dir p2_wr_error <= mig_p2_error; p2_wr_full <= mig_p2_full; p2_wr_empty <= mig_p2_empty; p2_wr_underrun <= mig_p2_underrun; p2_wr_count <= mig_p2_count ;-- wr port end generate; p2_wr_dis: if (C_PORT_ENABLE(2) = '0') generate mig_p2_clk <= '0'; mig_p2_wr_data <= (others => '0'); mig_p2_wr_mask <= (others => '0'); mig_p2_en <= '0'; p2_wr_error <= '0'; p2_wr_full <= '0'; p2_wr_empty <= '0'; p2_wr_underrun <= '0'; p2_wr_count <= (others => '0'); end generate; p2_rd_data <= (others => '0'); p2_rd_overflow <= '0'; p2_rd_error <= '0'; p2_rd_full <= '0'; p2_rd_empty <= '0'; p2_rd_count <= (others => '0'); -- p2_rd_error <= '0'; end generate; --u_config1_2R: if(C_PORT_CONFIG(183 downto 160) = "B32" and C_PORT_CONFIG(119 downto 96) = "R32") generate u_config1_2R: if(C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" ) generate p2_rd_ena : if (C_PORT_ENABLE(2) = '1') generate mig_p2_clk <= p2_rd_clk; p2_rd_data <= mig_p2_rd_data; mig_p2_en <= p2_rd_en; p2_rd_overflow <= mig_p2_overflow; p2_rd_error <= mig_p2_error; p2_rd_full <= mig_p2_full; p2_rd_empty <= mig_p2_empty; p2_rd_count <= mig_p2_count ;-- wr port end generate; p2_rd_dis : if (C_PORT_ENABLE(2) = '0') generate mig_p2_clk <= '0'; p2_rd_data <= (others => '0'); mig_p2_en <= '0'; p2_rd_overflow <= '0'; p2_rd_error <= '0'; p2_rd_full <= '0'; p2_rd_empty <= '0'; p2_rd_count <= (others => '0'); end generate; mig_p2_wr_data <= (others => '0'); mig_p2_wr_mask <= (others => '0'); p2_wr_error <= '0'; p2_wr_full <= '0'; p2_wr_empty <= '0'; p2_wr_underrun <= '0'; p2_wr_count <= (others => '0'); end generate; --u_config1_3W: if(C_PORT_CONFIG(183 downto 160) = "B32" and C_PORT_CONFIG(87 downto 64) = "W32") generate --whenever PORT 3 is in Write mode u_config1_3W: if( C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32") generate --whenever PORT 3 is in Write mode p3_wr_ena: if (C_PORT_ENABLE(3) = '1')generate mig_p3_clk <= p3_wr_clk; mig_p3_wr_data <= p3_wr_data(31 downto 0); mig_p3_wr_mask <= p3_wr_mask(3 downto 0); mig_p3_en <= p3_wr_en; p3_wr_full <= mig_p3_full; p3_wr_empty <= mig_p3_empty; p3_wr_underrun <= mig_p3_underrun; p3_wr_count <= mig_p3_count ;-- wr port p3_wr_error <= mig_p3_error; end generate; p3_wr_dis: if (C_PORT_ENABLE(3) = '0')generate mig_p3_clk <= '0'; mig_p3_wr_data <= (others => '0'); mig_p3_wr_mask <= (others => '0'); mig_p3_en <= '0'; p3_wr_full <= '0'; p3_wr_empty <= '0'; p3_wr_underrun <= '0'; p3_wr_count <= (others => '0'); p3_wr_error <= '0'; end generate; p3_rd_overflow <= '0'; p3_rd_error <= '0'; p3_rd_full <= '0'; p3_rd_empty <= '0'; p3_rd_count <= (others => '0'); p3_rd_data <= (others => '0'); end generate; u_config1_3R : if( C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32") generate p3_rd_ena: if (C_PORT_ENABLE(3) = '1') generate mig_p3_clk <= p3_rd_clk; p3_rd_data <= mig_p3_rd_data; mig_p3_en <= p3_rd_en; -- this signal will not shown up if the port 5 is for write dir p3_rd_overflow <= mig_p3_overflow; p3_rd_error <= mig_p3_error; p3_rd_full <= mig_p3_full; p3_rd_empty <= mig_p3_empty; p3_rd_count <= mig_p3_count ;-- wr port end generate; p3_rd_dis: if (C_PORT_ENABLE(3) = '0') generate mig_p3_clk <= '0'; mig_p3_en <= '0'; p3_rd_overflow <= '0'; p3_rd_full <= '0'; p3_rd_empty <= '0'; p3_rd_count <= (others => '0'); p3_rd_error <= '0'; p3_rd_data <= (others => '0'); end generate; p3_wr_full <= '0'; p3_wr_empty <= '0'; p3_wr_underrun <= '0'; p3_wr_count <= (others => '0'); p3_wr_error <= '0'; mig_p3_wr_data <= (others => '0'); mig_p3_wr_mask <= (others => '0'); end generate; u_config1_4W: if( C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32") generate -- whenever PORT 4 is in Write mode p4_wr_ena : if (C_PORT_ENABLE(4) = '1') generate mig_p4_clk <= p4_wr_clk; mig_p4_wr_data <= p4_wr_data(31 downto 0); mig_p4_wr_mask <= p4_wr_mask(3 downto 0); mig_p4_en <= p4_wr_en;-- this signal will not shown up if the port 5 is for read dir p4_wr_full <= mig_p4_full; p4_wr_empty <= mig_p4_empty; p4_wr_underrun <= mig_p4_underrun; p4_wr_count <= mig_p4_count ;-- wr port p4_wr_error <= mig_p4_error; end generate; p4_wr_dis : if (C_PORT_ENABLE(4) = '0') generate mig_p4_clk <= '0'; mig_p4_wr_data <= (others => '0'); mig_p4_wr_mask <= (others => '0'); mig_p4_en <= '0'; p4_wr_full <= '0'; p4_wr_empty <= '0'; p4_wr_underrun <= '0'; p4_wr_count <= (others => '0'); p4_wr_error <= '0'; end generate; p4_rd_overflow <= '0'; p4_rd_error <= '0'; p4_rd_full <= '0'; p4_rd_empty <= '0'; p4_rd_count <= (others => '0'); p4_rd_data <= (others => '0'); end generate; u_config1_4R : if( C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32") generate p4_rd_ena: if (C_PORT_ENABLE(4) = '1') generate mig_p4_clk <= p4_rd_clk; p4_rd_data <= mig_p4_rd_data; mig_p4_en <= p4_rd_en; -- this signal will not shown up if the port 5 is for write dir p4_rd_overflow <= mig_p4_overflow; p4_rd_error <= mig_p4_error; p4_rd_full <= mig_p4_full; p4_rd_empty <= mig_p4_empty; p4_rd_count <= mig_p4_count ;-- wr port end generate; p4_rd_dis: if (C_PORT_ENABLE(4) = '0') generate mig_p4_clk <= '0'; p4_rd_data <= (others => '0'); mig_p4_en <= '0'; p4_rd_overflow <= '0'; p4_rd_error <= '0'; p4_rd_full <= '0'; p4_rd_empty <= '0'; p4_rd_count <= (others => '0'); end generate; p4_wr_full <= '0'; p4_wr_empty <= '0'; p4_wr_underrun <= '0'; p4_wr_count <= (others => '0'); p4_wr_error <= '0'; mig_p4_wr_data <= (others => '0'); mig_p4_wr_mask <= (others => '0'); end generate; u_config1_5W: if( C_PORT_CONFIG = "B32_B32_R32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_W32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_W32") generate -- whenever PORT 5 is in Write mode p5_wr_ena: if (C_PORT_ENABLE(5) = '1') generate mig_p5_clk <= p5_wr_clk; mig_p5_wr_data <= p5_wr_data(31 downto 0); mig_p5_wr_mask <= p5_wr_mask(3 downto 0); mig_p5_en <= p5_wr_en; p5_wr_full <= mig_p5_full; p5_wr_empty <= mig_p5_empty; p5_wr_underrun <= mig_p5_underrun; p5_wr_count <= mig_p5_count ; p5_wr_error <= mig_p5_error; end generate; p5_wr_dis: if (C_PORT_ENABLE(5) = '0') generate mig_p5_clk <= '0'; mig_p5_wr_data <= (others => '0'); mig_p5_wr_mask <= (others => '0'); mig_p5_en <= '0'; p5_wr_full <= '0'; p5_wr_empty <= '0'; p5_wr_underrun <= '0'; p5_wr_count <= (others => '0'); p5_wr_error <= '0'; end generate; p5_rd_data <= (others => '0'); p5_rd_overflow <= '0'; p5_rd_error <= '0'; p5_rd_full <= '0'; p5_rd_empty <= '0'; p5_rd_count <= (others => '0'); end generate; u_config1_5R :if( C_PORT_CONFIG = "B32_B32_R32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_R32_W32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_R32_W32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_R32_R32" or C_PORT_CONFIG = "B32_B32_W32_W32_W32_R32") generate p5_rd_ena:if (C_PORT_ENABLE(5) = '1')generate mig_p5_clk <= p5_rd_clk; p5_rd_data <= mig_p5_rd_data; mig_p5_en <= p5_rd_en; p5_rd_overflow <= mig_p5_overflow; p5_rd_error <= mig_p5_error; p5_rd_full <= mig_p5_full; p5_rd_empty <= mig_p5_empty; p5_rd_count <= mig_p5_count ; end generate; p5_rd_dis:if (C_PORT_ENABLE(5) = '0')generate mig_p5_clk <= '0'; p5_rd_data <= (others => '0'); mig_p5_en <= '0'; p5_rd_overflow <= '0'; p5_rd_error <= '0'; p5_rd_full <= '0'; p5_rd_empty <= '0'; p5_rd_count <= (others => '0'); end generate; p5_wr_full <= '0'; p5_wr_empty <= '0'; p5_wr_underrun <= '0'; p5_wr_count <= (others => '0'); p5_wr_error <= '0'; mig_p5_wr_data <= (others => '0'); mig_p5_wr_mask <= (others => '0'); end generate; --////////////////////////////////////////////////////////////////////////// --/////////////////////////////////////////////////////////////////////////// ---- ---- B32_B32_B32_B32 ---- --/////////////////////////////////////////////////////////////////////////// --////////////////////////////////////////////////////////////////////////// u_config_2 : if(C_PORT_CONFIG = "B32_B32_B32_B32" ) generate -- Inputs from Application CMD Port -- ************* need to hook up rd /wr error outputs p0_c2_ena: if (C_PORT_ENABLE(0) = '1') generate -- command port signals mig_p0_arb_en <= p0_arb_en ; mig_p0_cmd_clk <= p0_cmd_clk ; mig_p0_cmd_en <= p0_cmd_en ; mig_p0_cmd_ra <= p0_cmd_ra ; mig_p0_cmd_ba <= p0_cmd_ba ; mig_p0_cmd_ca <= p0_cmd_ca ; mig_p0_cmd_instr <= p0_cmd_instr; mig_p0_cmd_bl <= ((p0_cmd_instr(2) or p0_cmd_bl(5)) & p0_cmd_bl(4 downto 0)) ; -- Data port signals mig_p0_rd_en <= p0_rd_en; mig_p0_wr_clk <= p0_wr_clk; mig_p0_rd_clk <= p0_rd_clk; mig_p0_wr_en <= p0_wr_en; mig_p0_wr_data <= p0_wr_data(31 downto 0); mig_p0_wr_mask <= p0_wr_mask(3 downto 0); p0_wr_count <= mig_p0_wr_count; p0_rd_count <= mig_p0_rd_count ; end generate; p0_c2_dis: if (C_PORT_ENABLE(0) = '0') generate mig_p0_arb_en <= '0'; mig_p0_cmd_clk <= '0'; mig_p0_cmd_en <= '0'; mig_p0_cmd_ra <= (others => '0'); mig_p0_cmd_ba <= (others => '0'); mig_p0_cmd_ca <= (others => '0'); mig_p0_cmd_instr <= (others => '0'); mig_p0_cmd_bl <= (others => '0'); mig_p0_rd_en <= '0'; mig_p0_wr_clk <= '0'; mig_p0_rd_clk <= '0'; mig_p0_wr_en <= '0'; mig_p0_wr_data <= (others => '0'); mig_p0_wr_mask <= (others => '0'); p0_wr_count <= (others => '0'); p0_rd_count <= (others => '0'); end generate; p1_c2_ena: if (C_PORT_ENABLE(1) = '1') generate -- command port signals mig_p1_arb_en <= p1_arb_en ; mig_p1_cmd_clk <= p1_cmd_clk ; mig_p1_cmd_en <= p1_cmd_en ; mig_p1_cmd_ra <= p1_cmd_ra ; mig_p1_cmd_ba <= p1_cmd_ba ; mig_p1_cmd_ca <= p1_cmd_ca ; mig_p1_cmd_instr <= p1_cmd_instr; mig_p1_cmd_bl <= ((p1_cmd_instr(2) or p1_cmd_bl(5)) & p1_cmd_bl(4 downto 0)) ; -- Data port signals mig_p1_wr_en <= p1_wr_en; mig_p1_wr_clk <= p1_wr_clk; mig_p1_rd_en <= p1_rd_en; mig_p1_wr_data <= p1_wr_data(31 downto 0); mig_p1_wr_mask <= p1_wr_mask(3 downto 0); mig_p1_rd_clk <= p1_rd_clk; p1_wr_count <= mig_p1_wr_count; p1_rd_count <= mig_p1_rd_count; end generate; p1_c2_dis: if (C_PORT_ENABLE(1) = '0') generate mig_p1_arb_en <= '0'; mig_p1_cmd_clk <= '0'; mig_p1_cmd_en <= '0'; mig_p1_cmd_ra <= (others => '0'); mig_p1_cmd_ba <= (others => '0'); mig_p1_cmd_ca <= (others => '0'); mig_p1_cmd_instr <= (others => '0'); mig_p1_cmd_bl <= (others => '0'); -- Data port signals mig_p1_wr_en <= '0'; mig_p1_wr_clk <= '0'; mig_p1_rd_en <= '0'; mig_p1_wr_data <= (others => '0'); mig_p1_wr_mask <= (others => '0'); mig_p1_rd_clk <= '0'; p1_wr_count <= (others => '0'); p1_rd_count <= (others => '0'); end generate; p2_c2_ena :if (C_PORT_ENABLE(2) = '1') generate --MCB Physical port Logical Port mig_p2_arb_en <= p2_arb_en ; mig_p2_cmd_clk <= p2_cmd_clk ; mig_p2_cmd_en <= p2_cmd_en ; mig_p2_cmd_ra <= p2_cmd_ra ; mig_p2_cmd_ba <= p2_cmd_ba ; mig_p2_cmd_ca <= p2_cmd_ca ; mig_p2_cmd_instr <= p2_cmd_instr; mig_p2_cmd_bl <= ((p2_cmd_instr(2) or p2_cmd_bl(5)) & p2_cmd_bl(4 downto 0)) ; mig_p2_en <= p2_rd_en; mig_p2_clk <= p2_rd_clk; mig_p3_en <= p2_wr_en; mig_p3_clk <= p2_wr_clk; mig_p3_wr_data <= p2_wr_data(31 downto 0); mig_p3_wr_mask <= p2_wr_mask(3 downto 0); p2_wr_count <= mig_p3_count; p2_rd_count <= mig_p2_count; end generate; p2_c2_dis :if (C_PORT_ENABLE(2) = '0') generate mig_p2_arb_en <= '0'; mig_p2_cmd_clk <= '0'; mig_p2_cmd_en <= '0'; mig_p2_cmd_ra <= (others => '0'); mig_p2_cmd_ba <= (others => '0'); mig_p2_cmd_ca <= (others => '0'); mig_p2_cmd_instr <= (others => '0'); mig_p2_cmd_bl <= (others => '0'); mig_p2_en <= '0'; mig_p2_clk <= '0'; mig_p3_en <= '0'; mig_p3_clk <= '0'; mig_p3_wr_data <= (others => '0'); mig_p3_wr_mask <= (others => '0'); p2_rd_count <= (others => '0'); p2_wr_count <= (others => '0'); end generate; p3_c2_ena: if (C_PORT_ENABLE(3) = '1') generate --MCB Physical port Logical Port mig_p4_arb_en <= p3_arb_en ; mig_p4_cmd_clk <= p3_cmd_clk ; mig_p4_cmd_en <= p3_cmd_en ; mig_p4_cmd_ra <= p3_cmd_ra ; mig_p4_cmd_ba <= p3_cmd_ba ; mig_p4_cmd_ca <= p3_cmd_ca ; mig_p4_cmd_instr <= p3_cmd_instr; mig_p4_cmd_bl <= ((p3_cmd_instr(2) or p3_cmd_bl(5)) & p3_cmd_bl(4 downto 0)) ; mig_p4_clk <= p3_rd_clk; mig_p4_en <= p3_rd_en; mig_p5_clk <= p3_wr_clk; mig_p5_en <= p3_wr_en; mig_p5_wr_data <= p3_wr_data(31 downto 0); mig_p5_wr_mask <= p3_wr_mask(3 downto 0); p3_rd_count <= mig_p4_count; p3_wr_count <= mig_p5_count; end generate; p3_c2_dis: if (C_PORT_ENABLE(3) = '0') generate mig_p4_arb_en <= '0'; mig_p4_cmd_clk <= '0'; mig_p4_cmd_en <= '0'; mig_p4_cmd_ra <= (others => '0'); mig_p4_cmd_ba <= (others => '0'); mig_p4_cmd_ca <= (others => '0'); mig_p4_cmd_instr <= (others => '0'); mig_p4_cmd_bl <= (others => '0'); mig_p4_clk <= '0'; mig_p4_en <= '0'; mig_p5_clk <= '0'; mig_p5_en <= '0'; mig_p5_wr_data <= (others => '0'); mig_p5_wr_mask <= (others => '0'); p3_rd_count <= (others => '0'); p3_wr_count <= (others => '0'); end generate; p0_cmd_empty <= mig_p0_cmd_empty ; p0_cmd_full <= mig_p0_cmd_full ; p1_cmd_empty <= mig_p1_cmd_empty ; p1_cmd_full <= mig_p1_cmd_full ; p2_cmd_empty <= mig_p2_cmd_empty ; p2_cmd_full <= mig_p2_cmd_full ; p3_cmd_empty <= mig_p4_cmd_empty ; p3_cmd_full <= mig_p4_cmd_full ; -- outputs to Applications User Port p0_rd_data <= mig_p0_rd_data; p1_rd_data <= mig_p1_rd_data; p2_rd_data <= mig_p2_rd_data; p3_rd_data <= mig_p4_rd_data; p0_rd_empty_i <= mig_p0_rd_empty; p1_rd_empty_i <= mig_p1_rd_empty; p2_rd_empty <= mig_p2_empty; p3_rd_empty <= mig_p4_empty; p0_rd_full <= mig_p0_rd_full; p1_rd_full <= mig_p1_rd_full; p2_rd_full <= mig_p2_full; p3_rd_full <= mig_p4_full; p0_rd_error <= mig_p0_rd_error; p1_rd_error <= mig_p1_rd_error; p2_rd_error <= mig_p2_error; p3_rd_error <= mig_p4_error; p0_rd_overflow <= mig_p0_rd_overflow; p1_rd_overflow <= mig_p1_rd_overflow; p2_rd_overflow <= mig_p2_overflow; p3_rd_overflow <= mig_p4_overflow; p0_wr_underrun <= mig_p0_wr_underrun; p1_wr_underrun <= mig_p1_wr_underrun; p2_wr_underrun <= mig_p3_underrun; p3_wr_underrun <= mig_p5_underrun; p0_wr_empty <= mig_p0_wr_empty; p1_wr_empty <= mig_p1_wr_empty; p2_wr_empty <= mig_p3_empty; p3_wr_empty <= mig_p5_empty; p0_wr_full_i <= mig_p0_wr_full; p1_wr_full_i <= mig_p1_wr_full; p2_wr_full <= mig_p3_full; p3_wr_full <= mig_p5_full; p0_wr_error <= mig_p0_wr_error; p1_wr_error <= mig_p1_wr_error; p2_wr_error <= mig_p3_error; p3_wr_error <= mig_p5_error; -- unused ports signals p4_cmd_empty <= '0'; p4_cmd_full <= '0'; mig_p2_wr_mask <= (others => '0'); mig_p4_wr_mask <= (others => '0'); mig_p2_wr_data <= (others => '0'); mig_p4_wr_data <= (others => '0'); p5_cmd_empty <= '0'; p5_cmd_full <= '0'; mig_p3_cmd_clk <= '0'; mig_p3_cmd_en <= '0'; mig_p3_cmd_ra <= (others => '0'); mig_p3_cmd_ba <= (others => '0'); mig_p3_cmd_ca <= (others => '0'); mig_p3_cmd_instr <= (others => '0'); mig_p3_cmd_bl <= (others => '0'); mig_p3_arb_en <= '0'; -- physical cmd port 3 is not used in this config mig_p5_arb_en <= '0'; -- physical cmd port 3 is not used in this config mig_p5_cmd_clk <= '0'; mig_p5_cmd_en <= '0'; mig_p5_cmd_ra <= (others => '0'); mig_p5_cmd_ba <= (others => '0'); mig_p5_cmd_ca <= (others => '0'); mig_p5_cmd_instr <= (others => '0'); mig_p5_cmd_bl <= (others => '0'); end generate; -- -- -- --////////////////////////////////////////////////////////////////////////// -- --/////////////////////////////////////////////////////////////////////////// -- ---- -- ---- B64_B32_B32 -- ---- -- --/////////////////////////////////////////////////////////////////////////// -- --////////////////////////////////////////////////////////////////////////// -- -- -- u_config_3:if(C_PORT_CONFIG = "B64_B32_B32" ) generate -- Inputs from Application CMD Port p0_c3_ena : if (C_PORT_ENABLE(0) = '1') generate mig_p0_arb_en <= p0_arb_en ; mig_p0_cmd_clk <= p0_cmd_clk ; mig_p0_cmd_en <= p0_cmd_en ; mig_p0_cmd_ra <= p0_cmd_ra ; mig_p0_cmd_ba <= p0_cmd_ba ; mig_p0_cmd_ca <= p0_cmd_ca ; mig_p0_cmd_instr <= p0_cmd_instr; mig_p0_cmd_bl <= ((p0_cmd_instr(2) or p0_cmd_bl(5)) & p0_cmd_bl(4 downto 0)) ; p0_cmd_empty <= mig_p0_cmd_empty ; p0_cmd_full <= mig_p0_cmd_full ; mig_p0_wr_clk <= p0_wr_clk; mig_p0_rd_clk <= p0_rd_clk; mig_p1_wr_clk <= p0_wr_clk; mig_p1_rd_clk <= p0_rd_clk; mig_p0_wr_en <= p0_wr_en and not p0_wr_full_i; mig_p1_wr_en <= p0_wr_en and not p0_wr_full_i; mig_p0_wr_data <= p0_wr_data(31 downto 0); mig_p0_wr_mask(3 downto 0) <= p0_wr_mask(3 downto 0); mig_p1_wr_data <= p0_wr_data(63 downto 32); mig_p1_wr_mask(3 downto 0) <= p0_wr_mask(7 downto 4); p0_rd_empty_i <= mig_p1_rd_empty; p0_rd_data <= (mig_p1_rd_data & mig_p0_rd_data); mig_p0_rd_en <= p0_rd_en and not p0_rd_empty_i; mig_p1_rd_en <= p0_rd_en and not p0_rd_empty_i; p0_wr_count <= mig_p1_wr_count; -- B64 for port 0, map most significant port to output p0_rd_count <= mig_p1_rd_count; p0_wr_empty <= mig_p1_wr_empty; p0_wr_error <= mig_p1_wr_error or mig_p0_wr_error; p0_wr_full_i <= mig_p1_wr_full; p0_wr_underrun <= mig_p1_wr_underrun or mig_p0_wr_underrun; p0_rd_overflow <= mig_p1_rd_overflow or mig_p0_rd_overflow; p0_rd_error <= mig_p1_rd_error or mig_p0_rd_error; p0_rd_full <= mig_p1_rd_full; end generate; p0_c3_dis: if (C_PORT_ENABLE(0) = '0') generate mig_p0_arb_en <= '0'; mig_p0_cmd_clk <= '0'; mig_p0_cmd_en <= '0'; mig_p0_cmd_ra <= (others => '0'); mig_p0_cmd_ba <= (others => '0'); mig_p0_cmd_ca <= (others => '0'); mig_p0_cmd_instr <= (others => '0'); mig_p0_cmd_bl <= (others => '0'); p0_cmd_empty <= '0'; p0_cmd_full <= '0'; mig_p0_wr_clk <= '0'; mig_p0_rd_clk <= '0'; mig_p1_wr_clk <= '0'; mig_p1_rd_clk <= '0'; mig_p0_wr_en <= '0'; mig_p1_wr_en <= '0'; mig_p0_wr_data <= (others => '0'); mig_p0_wr_mask <= (others => '0'); mig_p1_wr_data <= (others => '0'); mig_p1_wr_mask <= (others => '0'); p0_rd_empty_i <= '0'; p0_rd_data <= (others => '0'); mig_p0_rd_en <= '0'; mig_p1_rd_en <= '0'; p0_wr_count <= (others => '0'); p0_rd_count <= (others => '0'); p0_wr_empty <= '0'; p0_wr_error <= '0'; p0_wr_full_i <= '0'; p0_wr_underrun <= '0'; p0_rd_overflow <= '0'; p0_rd_error <= '0'; p0_rd_full <= '0'; end generate; p1_c3_ena: if (C_PORT_ENABLE(1) = '1')generate mig_p2_arb_en <= p1_arb_en ; mig_p2_cmd_clk <= p1_cmd_clk ; mig_p2_cmd_en <= p1_cmd_en ; mig_p2_cmd_ra <= p1_cmd_ra ; mig_p2_cmd_ba <= p1_cmd_ba ; mig_p2_cmd_ca <= p1_cmd_ca ; mig_p2_cmd_instr <= p1_cmd_instr; mig_p2_cmd_bl <= ((p1_cmd_instr(2) or p1_cmd_bl(5)) & p1_cmd_bl(4 downto 0)) ; p1_cmd_empty <= mig_p2_cmd_empty; p1_cmd_full <= mig_p2_cmd_full; mig_p2_clk <= p1_rd_clk; mig_p3_clk <= p1_wr_clk; mig_p3_en <= p1_wr_en; mig_p3_wr_data <= p1_wr_data(31 downto 0); mig_p3_wr_mask <= p1_wr_mask(3 downto 0); mig_p2_en <= p1_rd_en; p1_rd_data <= mig_p2_rd_data; p1_wr_count <= mig_p3_count; p1_rd_count <= mig_p2_count; p1_wr_empty <= mig_p3_empty; p1_wr_error <= mig_p3_error; p1_wr_full_i <= mig_p3_full; p1_wr_underrun <= mig_p3_underrun; p1_rd_overflow <= mig_p2_overflow; p1_rd_error <= mig_p2_error; p1_rd_full <= mig_p2_full; p1_rd_empty_i <= mig_p2_empty; end generate; p1_c3_dis: if (C_PORT_ENABLE(1) = '0')generate mig_p2_arb_en <= '0'; mig_p2_cmd_clk <= '0'; mig_p2_cmd_en <= '0'; mig_p2_cmd_ra <= (others => '0'); mig_p2_cmd_ba <= (others => '0'); mig_p2_cmd_ca <= (others => '0'); mig_p2_cmd_instr <= (others => '0'); mig_p2_cmd_bl <= (others => '0'); p1_cmd_empty <= '0'; p1_cmd_full <= '0'; mig_p3_en <= '0'; mig_p3_wr_data <= (others => '0'); mig_p3_wr_mask <= (others => '0'); mig_p2_en <= '0'; mig_p2_clk <= '0'; mig_p3_clk <= '0'; p1_rd_data <= (others => '0'); p1_wr_count <= (others => '0'); p1_rd_count <= (others => '0'); p1_wr_empty <= '0'; p1_wr_error <= '0'; p1_wr_full_i <= '0'; p1_wr_underrun <= '0'; p1_rd_overflow <= '0'; p1_rd_error <= '0'; p1_rd_full <= '0'; p1_rd_empty_i <= '0'; end generate; p2_c3_ena: if (C_PORT_ENABLE(2) = '1')generate mig_p4_arb_en <= p2_arb_en ; mig_p4_cmd_clk <= p2_cmd_clk ; mig_p4_cmd_en <= p2_cmd_en ; mig_p4_cmd_ra <= p2_cmd_ra ; mig_p4_cmd_ba <= p2_cmd_ba ; mig_p4_cmd_ca <= p2_cmd_ca ; mig_p4_cmd_instr <= p2_cmd_instr; mig_p4_cmd_bl <= ((p2_cmd_instr(2) or p2_cmd_bl(5)) & p2_cmd_bl(4 downto 0)) ; p2_cmd_empty <= mig_p4_cmd_empty ; p2_cmd_full <= mig_p4_cmd_full ; mig_p5_en <= p2_wr_en; mig_p5_wr_data <= p2_wr_data(31 downto 0); mig_p5_wr_mask <= p2_wr_mask(3 downto 0); mig_p4_en <= p2_rd_en; mig_p4_clk <= p2_rd_clk; mig_p5_clk <= p2_wr_clk; p2_rd_data <= mig_p4_rd_data; p2_wr_count <= mig_p5_count; p2_rd_count <= mig_p4_count; p2_wr_empty <= mig_p5_empty; p2_wr_full <= mig_p5_full; p2_wr_error <= mig_p5_error; p2_wr_underrun <= mig_p5_underrun; p2_rd_overflow <= mig_p4_overflow; p2_rd_error <= mig_p4_error; p2_rd_full <= mig_p4_full; p2_rd_empty <= mig_p4_empty; end generate; p2_c3_dis: if (C_PORT_ENABLE(2) = '0')generate mig_p4_arb_en <= '0'; mig_p4_cmd_clk <= '0'; mig_p4_cmd_en <= '0'; mig_p4_cmd_ra <= (others => '0'); mig_p4_cmd_ba <= (others => '0'); mig_p4_cmd_ca <= (others => '0'); mig_p4_cmd_instr <= (others => '0'); mig_p4_cmd_bl <= (others => '0'); p2_cmd_empty <= '0'; p2_cmd_full <= '0'; mig_p5_en <= '0'; mig_p5_wr_data <= (others => '0'); mig_p5_wr_mask <= (others => '0'); mig_p4_en <= '0'; mig_p4_clk <= '0'; mig_p5_clk <= '0'; p2_rd_data <= (others => '0'); p2_wr_count <= (others => '0'); p2_rd_count <= (others => '0'); p2_wr_empty <= '0'; p2_wr_full <= '0'; p2_wr_error <= '0'; p2_wr_underrun <= '0'; p2_rd_overflow <= '0'; p2_rd_error <= '0'; p2_rd_full <= '0'; p2_rd_empty <= '0'; end generate; -- MCB's port 1,3,5 is not used in this Config mode mig_p1_arb_en <= '0'; mig_p1_cmd_clk <= '0'; mig_p1_cmd_en <= '0'; mig_p1_cmd_ra <= (others => '0'); mig_p1_cmd_ba <= (others => '0'); mig_p1_cmd_ca <= (others => '0'); mig_p1_cmd_instr <= (others => '0'); mig_p1_cmd_bl <= (others => '0'); mig_p3_arb_en <= '0'; mig_p3_cmd_clk <= '0'; mig_p3_cmd_en <= '0'; mig_p3_cmd_ra <= (others => '0'); mig_p3_cmd_ba <= (others => '0'); mig_p3_cmd_ca <= (others => '0'); mig_p3_cmd_instr <= (others => '0'); mig_p3_cmd_bl <= (others => '0'); mig_p5_arb_en <= '0'; mig_p5_cmd_clk <= '0'; mig_p5_cmd_en <= '0'; mig_p5_cmd_ra <= (others => '0'); mig_p5_cmd_ba <= (others => '0'); mig_p5_cmd_ca <= (others => '0'); mig_p5_cmd_instr <= (others => '0'); mig_p5_cmd_bl <= (others => '0'); end generate; u_config_4 : if(C_PORT_CONFIG = "B64_B64" ) generate -- Inputs from Application CMD Port p0_c4_ena: if (C_PORT_ENABLE(0) = '1') generate mig_p0_arb_en <= p0_arb_en ; mig_p1_arb_en <= p0_arb_en ; mig_p0_cmd_clk <= p0_cmd_clk ; mig_p0_cmd_en <= p0_cmd_en ; mig_p0_cmd_ra <= p0_cmd_ra ; mig_p0_cmd_ba <= p0_cmd_ba ; mig_p0_cmd_ca <= p0_cmd_ca ; mig_p0_cmd_instr <= p0_cmd_instr; mig_p0_cmd_bl <= ((p0_cmd_instr(2) or p0_cmd_bl(5)) & p0_cmd_bl(4 downto 0)) ; mig_p0_wr_clk <= p0_wr_clk; mig_p0_rd_clk <= p0_rd_clk; mig_p1_wr_clk <= p0_wr_clk; mig_p1_rd_clk <= p0_rd_clk; mig_p0_wr_en <= p0_wr_en and not p0_wr_full_i; mig_p0_wr_data <= p0_wr_data(31 downto 0); mig_p0_wr_mask(3 downto 0) <= p0_wr_mask(3 downto 0); mig_p1_wr_data <= p0_wr_data(63 downto 32); mig_p1_wr_mask(3 downto 0) <= p0_wr_mask(7 downto 4); mig_p1_wr_en <= p0_wr_en and not p0_wr_full_i; mig_p0_rd_en <= p0_rd_en and not p0_rd_empty_i; mig_p1_rd_en <= p0_rd_en and not p0_rd_empty_i; p0_rd_data <= (mig_p1_rd_data & mig_p0_rd_data); p0_cmd_empty <= mig_p0_cmd_empty ; p0_cmd_full <= mig_p0_cmd_full ; p0_wr_empty <= mig_p1_wr_empty; p0_wr_full_i <= mig_p1_wr_full; p0_wr_error <= mig_p1_wr_error or mig_p0_wr_error; p0_wr_count <= mig_p1_wr_count; p0_rd_count <= mig_p1_rd_count; p0_wr_underrun <= mig_p1_wr_underrun or mig_p0_wr_underrun; p0_rd_overflow <= mig_p1_rd_overflow or mig_p0_rd_overflow; p0_rd_error <= mig_p1_rd_error or mig_p0_rd_error; p0_rd_full <= mig_p1_rd_full; p0_rd_empty_i <= mig_p1_rd_empty; end generate; p0_c4_dis: if (C_PORT_ENABLE(0) = '0') generate mig_p0_arb_en <= '0'; mig_p0_cmd_clk <= '0'; mig_p0_cmd_en <= '0'; mig_p0_cmd_ra <= (others => '0'); mig_p0_cmd_ba <= (others => '0'); mig_p0_cmd_ca <= (others => '0'); mig_p0_cmd_instr <= (others => '0'); mig_p0_cmd_bl <= (others => '0'); mig_p0_wr_clk <= '0'; mig_p0_rd_clk <= '0'; mig_p1_wr_clk <= '0'; mig_p1_rd_clk <= '0'; mig_p0_wr_en <= '0'; mig_p1_wr_en <= '0'; mig_p0_wr_data <= (others => '0'); mig_p0_wr_mask <= (others => '0'); mig_p1_wr_data <= (others => '0'); mig_p1_wr_mask <= (others => '0'); -- mig_p1_wr_en <= (others => '0'); mig_p0_rd_en <= '0'; mig_p1_rd_en <= '0'; p0_rd_data <= (others => '0'); p0_cmd_empty <= '0'; p0_cmd_full <= '0'; p0_wr_empty <= '0'; p0_wr_full_i <= '0'; p0_wr_error <= '0'; p0_wr_count <= (others => '0'); p0_rd_count <= (others => '0'); p0_wr_underrun <= '0'; p0_rd_overflow <= '0'; p0_rd_error <= '0'; p0_rd_full <= '0'; p0_rd_empty_i <= '0'; end generate; p1_c4_ena: if (C_PORT_ENABLE(1) = '1') generate mig_p2_arb_en <= p1_arb_en ; mig_p2_cmd_clk <= p1_cmd_clk ; mig_p2_cmd_en <= p1_cmd_en ; mig_p2_cmd_ra <= p1_cmd_ra ; mig_p2_cmd_ba <= p1_cmd_ba ; mig_p2_cmd_ca <= p1_cmd_ca ; mig_p2_cmd_instr <= p1_cmd_instr; mig_p2_cmd_bl <= ((p1_cmd_instr(2) or p1_cmd_bl(5)) & p1_cmd_bl(4 downto 0)) ; mig_p2_clk <= p1_rd_clk; mig_p3_clk <= p1_wr_clk; mig_p4_clk <= p1_rd_clk; mig_p5_clk <= p1_wr_clk; mig_p3_en <= p1_wr_en and not p1_wr_full_i; mig_p5_en <= p1_wr_en and not p1_wr_full_i; mig_p3_wr_data <= p1_wr_data(31 downto 0); mig_p3_wr_mask <= p1_wr_mask(3 downto 0); mig_p5_wr_data <= p1_wr_data(63 downto 32); mig_p5_wr_mask <= p1_wr_mask(7 downto 4); mig_p2_en <= p1_rd_en and not p1_rd_empty_i; mig_p4_en <= p1_rd_en and not p1_rd_empty_i; p1_cmd_empty <= mig_p2_cmd_empty ; p1_cmd_full <= mig_p2_cmd_full ; p1_wr_count <= mig_p5_count; p1_rd_count <= mig_p4_count; p1_wr_full_i <= mig_p5_full; p1_wr_error <= mig_p5_error or mig_p5_error; p1_wr_empty <= mig_p5_empty; p1_wr_underrun <= mig_p3_underrun or mig_p5_underrun; p1_rd_overflow <= mig_p4_overflow; p1_rd_error <= mig_p4_error; p1_rd_full <= mig_p4_full; p1_rd_empty_i <= mig_p4_empty; p1_rd_data <= (mig_p4_rd_data & mig_p2_rd_data); end generate; p1_c4_dis: if (C_PORT_ENABLE(1) = '0') generate mig_p2_arb_en <= '0'; -- mig_p3_arb_en <= (others => '0'); -- mig_p4_arb_en <= (others => '0'); -- mig_p5_arb_en <= (others => '0'); mig_p2_cmd_clk <= '0'; mig_p2_cmd_en <= '0'; mig_p2_cmd_ra <= (others => '0'); mig_p2_cmd_ba <= (others => '0'); mig_p2_cmd_ca <= (others => '0'); mig_p2_cmd_instr <= (others => '0'); mig_p2_cmd_bl <= (others => '0'); mig_p2_clk <= '0'; mig_p3_clk <= '0'; mig_p4_clk <= '0'; mig_p5_clk <= '0'; mig_p3_en <= '0'; mig_p5_en <= '0'; mig_p3_wr_data <= (others => '0'); mig_p3_wr_mask <= (others => '0'); mig_p5_wr_data <= (others => '0'); mig_p5_wr_mask <= (others => '0'); mig_p2_en <= '0'; mig_p4_en <= '0'; p1_cmd_empty <= '0'; p1_cmd_full <= '0'; p1_wr_count <= (others => '0'); p1_rd_count <= (others => '0'); p1_wr_full_i <= '0'; p1_wr_error <= '0'; p1_wr_empty <= '0'; p1_wr_underrun <= '0'; p1_rd_overflow <= '0'; p1_rd_error <= '0'; p1_rd_full <= '0'; p1_rd_empty_i <= '0'; p1_rd_data <= (others => '0'); end generate; -- unused MCB's signals in this configuration mig_p3_arb_en <= '0'; mig_p4_arb_en <= '0'; mig_p5_arb_en <= '0'; mig_p3_cmd_clk <= '0'; mig_p3_cmd_en <= '0'; mig_p3_cmd_ra <= (others => '0'); mig_p3_cmd_ba <= (others => '0'); mig_p3_cmd_ca <= (others => '0'); mig_p3_cmd_instr <= (others => '0'); mig_p4_cmd_clk <= '0'; mig_p4_cmd_en <= '0'; mig_p4_cmd_ra <= (others => '0'); mig_p4_cmd_ba <= (others => '0'); mig_p4_cmd_ca <= (others => '0'); mig_p4_cmd_instr <= (others => '0'); mig_p4_cmd_bl <= (others => '0'); mig_p5_cmd_clk <= '0'; mig_p5_cmd_en <= '0'; mig_p5_cmd_ra <= (others => '0'); mig_p5_cmd_ba <= (others => '0'); mig_p5_cmd_ca <= (others => '0'); mig_p5_cmd_instr <= (others => '0'); mig_p5_cmd_bl <= (others => '0'); end generate; --*******************************BEGIN OF CONFIG 5 SIGNALS ******************************** u_config_5: if(C_PORT_CONFIG = "B128" ) generate -- Inputs from Application CMD Port mig_p0_arb_en <= p0_arb_en ; mig_p0_cmd_clk <= p0_cmd_clk ; mig_p0_cmd_en <= p0_cmd_en ; mig_p0_cmd_ra <= p0_cmd_ra ; mig_p0_cmd_ba <= p0_cmd_ba ; mig_p0_cmd_ca <= p0_cmd_ca ; mig_p0_cmd_instr <= p0_cmd_instr; mig_p0_cmd_bl <= ((p0_cmd_instr(2) or p0_cmd_bl(5)) & p0_cmd_bl(4 downto 0)) ; p0_cmd_empty <= mig_p0_cmd_empty ; p0_cmd_full <= mig_p0_cmd_full ; -- Inputs from Application User Port mig_p0_wr_clk <= p0_wr_clk; mig_p0_rd_clk <= p0_rd_clk; mig_p1_wr_clk <= p0_wr_clk; mig_p1_rd_clk <= p0_rd_clk; mig_p2_clk <= p0_rd_clk; mig_p3_clk <= p0_wr_clk; mig_p4_clk <= p0_rd_clk; mig_p5_clk <= p0_wr_clk; mig_p0_wr_en <= p0_wr_en and not p0_wr_full_i; mig_p1_wr_en <= p0_wr_en and not p0_wr_full_i; mig_p3_en <= p0_wr_en and not p0_wr_full_i; mig_p5_en <= p0_wr_en and not p0_wr_full_i; mig_p0_wr_data <= p0_wr_data(31 downto 0); mig_p0_wr_mask(3 downto 0) <= p0_wr_mask(3 downto 0); mig_p1_wr_data <= p0_wr_data(63 downto 32); mig_p1_wr_mask(3 downto 0) <= p0_wr_mask(7 downto 4); mig_p3_wr_data <= p0_wr_data(95 downto 64); mig_p3_wr_mask(3 downto 0) <= p0_wr_mask(11 downto 8); mig_p5_wr_data <= p0_wr_data(127 downto 96); mig_p5_wr_mask(3 downto 0) <= p0_wr_mask(15 downto 12); mig_p0_rd_en <= p0_rd_en and not p0_rd_empty_i; mig_p1_rd_en <= p0_rd_en and not p0_rd_empty_i; mig_p2_en <= p0_rd_en and not p0_rd_empty_i; mig_p4_en <= p0_rd_en and not p0_rd_empty_i; -- outputs to Applications User Port p0_rd_data <= (mig_p4_rd_data & mig_p2_rd_data & mig_p1_rd_data & mig_p0_rd_data); p0_rd_empty_i <= mig_p4_empty; p0_rd_full <= mig_p4_full; p0_rd_error <= mig_p0_rd_error or mig_p1_rd_error or mig_p2_error or mig_p4_error; p0_rd_overflow <= mig_p0_rd_overflow or mig_p1_rd_overflow or mig_p2_overflow or mig_p4_overflow; p0_wr_underrun <= mig_p0_wr_underrun or mig_p1_wr_underrun or mig_p3_underrun or mig_p5_underrun; p0_wr_empty <= mig_p5_empty; p0_wr_full_i <= mig_p5_full; p0_wr_error <= mig_p0_wr_error or mig_p1_wr_error or mig_p3_error or mig_p5_error; p0_wr_count <= mig_p5_count; p0_rd_count <= mig_p4_count; -- unused MCB's siganls in this configuration mig_p1_arb_en <= '0'; mig_p1_cmd_clk <= '0'; mig_p1_cmd_en <= '0'; mig_p1_cmd_ra <= (others => '0'); mig_p1_cmd_ba <= (others => '0'); mig_p1_cmd_ca <= (others => '0'); mig_p1_cmd_instr <= (others => '0'); mig_p1_cmd_bl <= (others => '0'); mig_p2_arb_en <= '0'; mig_p2_cmd_clk <= '0'; mig_p2_cmd_en <= '0'; mig_p2_cmd_ra <= (others => '0'); mig_p2_cmd_ba <= (others => '0'); mig_p2_cmd_ca <= (others => '0'); mig_p2_cmd_instr <= (others => '0'); mig_p2_cmd_bl <= (others => '0'); mig_p3_arb_en <= '0'; mig_p3_cmd_clk <= '0'; mig_p3_cmd_en <= '0'; mig_p3_cmd_ra <= (others => '0'); mig_p3_cmd_ba <= (others => '0'); mig_p3_cmd_ca <= (others => '0'); mig_p3_cmd_instr <= (others => '0'); mig_p3_cmd_bl <= (others => '0'); mig_p4_arb_en <= '0'; mig_p4_cmd_clk <= '0'; mig_p4_cmd_en <= '0'; mig_p4_cmd_ra <= (others => '0'); mig_p4_cmd_ba <= (others => '0'); mig_p4_cmd_ca <= (others => '0'); mig_p4_cmd_instr <= (others => '0'); mig_p4_cmd_bl <= (others => '0'); mig_p5_arb_en <= '0'; mig_p5_cmd_clk <= '0'; mig_p5_cmd_en <= '0'; mig_p5_cmd_ra <= (others => '0'); mig_p5_cmd_ba <= (others => '0'); mig_p5_cmd_ca <= (others => '0'); mig_p5_cmd_instr <= (others => '0'); mig_p5_cmd_bl <= (others => '0'); --*******************************END OF CONFIG 5 SIGNALS ******************************** end generate; uo_cal_start <= uo_cal_start_int; samc_0: MCB GENERIC MAP ( PORT_CONFIG => C_PORT_CONFIG, MEM_WIDTH => C_NUM_DQ_PINS , MEM_TYPE => C_MEM_TYPE , MEM_BURST_LEN => C_MEM_BURST_LEN , MEM_ADDR_ORDER => C_MEM_ADDR_ORDER, MEM_CAS_LATENCY => C_MEM_CAS_LATENCY, MEM_DDR3_CAS_LATENCY => C_MEM_DDR3_CAS_LATENCY , MEM_DDR2_WRT_RECOVERY => C_MEM_DDR2_WRT_RECOVERY , MEM_DDR3_WRT_RECOVERY => C_MEM_DDR3_WRT_RECOVERY , MEM_MOBILE_PA_SR => C_MEM_MOBILE_PA_SR , MEM_DDR1_2_ODS => C_MEM_DDR1_2_ODS , MEM_DDR3_ODS => C_MEM_DDR3_ODS , MEM_DDR2_RTT => C_MEM_DDR2_RTT , MEM_DDR3_RTT => C_MEM_DDR3_RTT , MEM_DDR3_ADD_LATENCY => C_MEM_DDR3_ADD_LATENCY , MEM_DDR2_ADD_LATENCY => C_MEM_DDR2_ADD_LATENCY , MEM_MOBILE_TC_SR => C_MEM_MOBILE_TC_SR , MEM_MDDR_ODS => C_MEM_MDDR_ODS , MEM_DDR2_DIFF_DQS_EN => C_MEM_DDR2_DIFF_DQS_EN , MEM_DDR2_3_PA_SR => C_MEM_DDR2_3_PA_SR , MEM_DDR3_CAS_WR_LATENCY => C_MEM_DDR3_CAS_WR_LATENCY, MEM_DDR3_AUTO_SR => C_MEM_DDR3_AUTO_SR , MEM_DDR2_3_HIGH_TEMP_SR => C_MEM_DDR2_3_HIGH_TEMP_SR, MEM_DDR3_DYN_WRT_ODT => C_MEM_DDR3_DYN_WRT_ODT , MEM_RA_SIZE => C_MEM_ADDR_WIDTH , MEM_BA_SIZE => C_MEM_BANKADDR_WIDTH , MEM_CA_SIZE => C_MEM_NUM_COL_BITS , MEM_RAS_VAL => MEM_RAS_VAL , MEM_RCD_VAL => MEM_RCD_VAL , MEM_REFI_VAL => MEM_REFI_VAL , MEM_RFC_VAL => MEM_RFC_VAL , MEM_RP_VAL => MEM_RP_VAL , MEM_WR_VAL => MEM_WR_VAL , MEM_RTP_VAL => MEM_RTP_VAL , MEM_WTR_VAL => MEM_WTR_VAL , CAL_BYPASS => C_MC_CALIB_BYPASS, CAL_RA => C_MC_CALIBRATION_RA, CAL_BA => C_MC_CALIBRATION_BA , CAL_CA => C_MC_CALIBRATION_CA, CAL_CLK_DIV => C_MC_CALIBRATION_CLK_DIV, CAL_DELAY => C_MC_CALIBRATION_DELAY, -- CAL_CALIBRATION_MODE=> C_MC_CALIBRATION_MODE, ARB_NUM_TIME_SLOTS => C_ARB_NUM_TIME_SLOTS, ARB_TIME_SLOT_0 => C_ARB_TIME_SLOT_0, ARB_TIME_SLOT_1 => C_ARB_TIME_SLOT_1, ARB_TIME_SLOT_2 => C_ARB_TIME_SLOT_2, ARB_TIME_SLOT_3 => C_ARB_TIME_SLOT_3, ARB_TIME_SLOT_4 => C_ARB_TIME_SLOT_4, ARB_TIME_SLOT_5 => C_ARB_TIME_SLOT_5, ARB_TIME_SLOT_6 => C_ARB_TIME_SLOT_6, ARB_TIME_SLOT_7 => C_ARB_TIME_SLOT_7, ARB_TIME_SLOT_8 => C_ARB_TIME_SLOT_8, ARB_TIME_SLOT_9 => C_ARB_TIME_SLOT_9, ARB_TIME_SLOT_10 => C_ARB_TIME_SLOT_10, ARB_TIME_SLOT_11 => C_ARB_TIME_SLOT_11 ) PORT MAP ( -- HIGH-SPEED PLL clock interface PLLCLK => pllclk1, PLLCE => pllce1, PLLLOCK => '1', -- DQS CLOCK NETWork interface DQSIOIN => idelay_dqs_ioi_s, DQSIOIP => idelay_dqs_ioi_m, UDQSIOIN => idelay_udqs_ioi_s, UDQSIOIP => idelay_udqs_ioi_m, --DQSPIN => in_pre_dqsp, DQI => in_dq, -- RESETS - GLOBAl and local SYSRST => MCB_SYSRST , -- command port 0 P0ARBEN => mig_p0_arb_en, P0CMDCLK => mig_p0_cmd_clk, P0CMDEN => mig_p0_cmd_en, P0CMDRA => mig_p0_cmd_ra, P0CMDBA => mig_p0_cmd_ba, P0CMDCA => mig_p0_cmd_ca, P0CMDINSTR => mig_p0_cmd_instr, P0CMDBL => mig_p0_cmd_bl, P0CMDEMPTY => mig_p0_cmd_empty, P0CMDFULL => mig_p0_cmd_full, -- command port 1 P1ARBEN => mig_p1_arb_en, P1CMDCLK => mig_p1_cmd_clk, P1CMDEN => mig_p1_cmd_en, P1CMDRA => mig_p1_cmd_ra, P1CMDBA => mig_p1_cmd_ba, P1CMDCA => mig_p1_cmd_ca, P1CMDINSTR => mig_p1_cmd_instr, P1CMDBL => mig_p1_cmd_bl, P1CMDEMPTY => mig_p1_cmd_empty, P1CMDFULL => mig_p1_cmd_full, -- command port 2 P2ARBEN => mig_p2_arb_en, P2CMDCLK => mig_p2_cmd_clk, P2CMDEN => mig_p2_cmd_en, P2CMDRA => mig_p2_cmd_ra, P2CMDBA => mig_p2_cmd_ba, P2CMDCA => mig_p2_cmd_ca, P2CMDINSTR => mig_p2_cmd_instr, P2CMDBL => mig_p2_cmd_bl, P2CMDEMPTY => mig_p2_cmd_empty, P2CMDFULL => mig_p2_cmd_full, -- command port 3 P3ARBEN => mig_p3_arb_en, P3CMDCLK => mig_p3_cmd_clk, P3CMDEN => mig_p3_cmd_en, P3CMDRA => mig_p3_cmd_ra, P3CMDBA => mig_p3_cmd_ba, P3CMDCA => mig_p3_cmd_ca, P3CMDINSTR => mig_p3_cmd_instr, P3CMDBL => mig_p3_cmd_bl, P3CMDEMPTY => mig_p3_cmd_empty, P3CMDFULL => mig_p3_cmd_full, -- command port 4 -- don't care in config 2 P4ARBEN => mig_p4_arb_en, P4CMDCLK => mig_p4_cmd_clk, P4CMDEN => mig_p4_cmd_en, P4CMDRA => mig_p4_cmd_ra, P4CMDBA => mig_p4_cmd_ba, P4CMDCA => mig_p4_cmd_ca, P4CMDINSTR => mig_p4_cmd_instr, P4CMDBL => mig_p4_cmd_bl, P4CMDEMPTY => mig_p4_cmd_empty, P4CMDFULL => mig_p4_cmd_full, -- command port 5-- don't care in config 2 P5ARBEN => mig_p5_arb_en, P5CMDCLK => mig_p5_cmd_clk, P5CMDEN => mig_p5_cmd_en, P5CMDRA => mig_p5_cmd_ra, P5CMDBA => mig_p5_cmd_ba, P5CMDCA => mig_p5_cmd_ca, P5CMDINSTR => mig_p5_cmd_instr, P5CMDBL => mig_p5_cmd_bl, P5CMDEMPTY => mig_p5_cmd_empty, P5CMDFULL => mig_p5_cmd_full, -- IOI & IOB SIGNals/tristate interface DQIOWEN0 => dqIO_w_en_0, DQSIOWEN90P => dqsIO_w_en_90_p, DQSIOWEN90N => dqsIO_w_en_90_n, -- IOB MEMORY INTerface signals ADDR => address_90, BA => ba_90 , RAS => ras_90 , CAS => cas_90 , WE => we_90 , CKE => cke_90 , ODT => odt_90 , RST => rst_90 , -- CALIBRATION DRP interface IOIDRPCLK => ioi_drp_clk , IOIDRPADDR => ioi_drp_addr , IOIDRPSDO => ioi_drp_sdo , IOIDRPSDI => ioi_drp_sdi , IOIDRPCS => ioi_drp_cs , IOIDRPADD => ioi_drp_add , IOIDRPBROADCAST => ioi_drp_broadcast , IOIDRPTRAIN => ioi_drp_train , IOIDRPUPDATE => ioi_drp_update , -- CALIBRATION DAtacapture interface --SPECIAL COMMANDs RECAL => mcb_recal , UIREAD => mcb_ui_read, UIADD => mcb_ui_add , UICS => mcb_ui_cs , UICLK => mcb_ui_clk , UISDI => mcb_ui_sdi , UIADDR => mcb_ui_addr , UIBROADCAST => mcb_ui_broadcast, UIDRPUPDATE => mcb_ui_drp_update, UIDONECAL => mcb_ui_done_cal, UICMD => mcb_ui_cmd, UICMDIN => mcb_ui_cmd_in, UICMDEN => mcb_ui_cmd_en, UIDQCOUNT => mcb_ui_dqcount, UIDQLOWERDEC => mcb_ui_dq_lower_dec, UIDQLOWERINC => mcb_ui_dq_lower_inc, UIDQUPPERDEC => mcb_ui_dq_upper_dec, UIDQUPPERINC => mcb_ui_dq_upper_inc, UIUDQSDEC => mcb_ui_udqs_dec, UIUDQSINC => mcb_ui_udqs_inc, UILDQSDEC => mcb_ui_ldqs_dec, UILDQSINC => mcb_ui_ldqs_inc, UODATA => uo_data_int, UODATAVALID => uo_data_valid_int, UODONECAL => hard_done_cal , UOCMDREADYIN => uo_cmd_ready_in_int, UOREFRSHFLAG => uo_refrsh_flag_xhdl23, UOCALSTART => uo_cal_start_int, UOSDO => uo_sdo_xhdl24, --CONTROL SIGNALS STATUS => status, SELFREFRESHENTER => selfrefresh_mcb_enter, SELFREFRESHMODE => selfrefresh_mcb_mode, ------------------------------------------------ --MUIs ------------------------------------------------ P0RDDATA => mig_p0_rd_data ( 31 downto 0), P1RDDATA => mig_p1_rd_data ( 31 downto 0), P2RDDATA => mig_p2_rd_data ( 31 downto 0), P3RDDATA => mig_p3_rd_data ( 31 downto 0), P4RDDATA => mig_p4_rd_data ( 31 downto 0), P5RDDATA => mig_p5_rd_data ( 31 downto 0), LDMN => dqnlm , UDMN => dqnum , DQON => dqo_n , DQOP => dqo_p , LDMP => dqplm , UDMP => dqpum , P0RDCOUNT => mig_p0_rd_count , P0WRCOUNT => mig_p0_wr_count , P1RDCOUNT => mig_p1_rd_count , P1WRCOUNT => mig_p1_wr_count , P2COUNT => mig_p2_count , P3COUNT => mig_p3_count , P4COUNT => mig_p4_count , P5COUNT => mig_p5_count , -- NEW ADDED FIFo status siganls -- MIG USER PORT 0 P0RDEMPTY => mig_p0_rd_empty, P0RDFULL => mig_p0_rd_full, P0RDOVERFLOW => mig_p0_rd_overflow, P0WREMPTY => mig_p0_wr_empty, P0WRFULL => mig_p0_wr_full, P0WRUNDERRUN => mig_p0_wr_underrun, -- MIG USER PORT 1 P1RDEMPTY => mig_p1_rd_empty, P1RDFULL => mig_p1_rd_full, P1RDOVERFLOW => mig_p1_rd_overflow, P1WREMPTY => mig_p1_wr_empty, P1WRFULL => mig_p1_wr_full, P1WRUNDERRUN => mig_p1_wr_underrun, -- MIG USER PORT 2 P2EMPTY => mig_p2_empty, P2FULL => mig_p2_full, P2RDOVERFLOW => mig_p2_overflow, P2WRUNDERRUN => mig_p2_underrun, P3EMPTY => mig_p3_empty , P3FULL => mig_p3_full , P3RDOVERFLOW => mig_p3_overflow, P3WRUNDERRUN => mig_p3_underrun , -- MIG USER PORT 3 P4EMPTY => mig_p4_empty, P4FULL => mig_p4_full, P4RDOVERFLOW => mig_p4_overflow, P4WRUNDERRUN => mig_p4_underrun, P5EMPTY => mig_p5_empty , P5FULL => mig_p5_full , P5RDOVERFLOW => mig_p5_overflow, P5WRUNDERRUN => mig_p5_underrun, --------------------------------------------------------- P0WREN => mig_p0_wr_en, P0RDEN => mig_p0_rd_en, P1WREN => mig_p1_wr_en, P1RDEN => mig_p1_rd_en, P2EN => mig_p2_en, P3EN => mig_p3_en, P4EN => mig_p4_en, P5EN => mig_p5_en, -- WRITE MASK BIts connection P0RWRMASK => mig_p0_wr_mask(3 downto 0), P1RWRMASK => mig_p1_wr_mask(3 downto 0), P2WRMASK => mig_p2_wr_mask(3 downto 0), P3WRMASK => mig_p3_wr_mask(3 downto 0), P4WRMASK => mig_p4_wr_mask(3 downto 0), P5WRMASK => mig_p5_wr_mask(3 downto 0), -- DATA WRITE COnnection P0WRDATA => mig_p0_wr_data(31 downto 0), P1WRDATA => mig_p1_wr_data(31 downto 0), P2WRDATA => mig_p2_wr_data(31 downto 0), P3WRDATA => mig_p3_wr_data(31 downto 0), P4WRDATA => mig_p4_wr_data(31 downto 0), P5WRDATA => mig_p5_wr_data(31 downto 0), P0WRERROR => mig_p0_wr_error, P1WRERROR => mig_p1_wr_error, P0RDERROR => mig_p0_rd_error, P1RDERROR => mig_p1_rd_error, P2ERROR => mig_p2_error, P3ERROR => mig_p3_error, P4ERROR => mig_p4_error, P5ERROR => mig_p5_error, -- USER SIDE DAta ports clock -- 128 BITS CONnections P0WRCLK => mig_p0_wr_clk , P1WRCLK => mig_p1_wr_clk , P0RDCLK => mig_p0_rd_clk , P1RDCLK => mig_p1_rd_clk , P2CLK => mig_p2_clk , P3CLK => mig_p3_clk , P4CLK => mig_p4_clk , P5CLK => mig_p5_clk ); --////////////////////////////////////////////////////// --// Input Termination Calibration --////////////////////////////////////////////////////// --process(ui_clk) --begin --if (ui_clk'event and ui_clk = '1') then -- syn1_sys_rst <= sys_rst; -- syn2_sys_rst <= syn1_sys_rst; --end if; --end process; uo_done_cal_sig <= DONE_SOFTANDHARD_CAL WHEN (C_CALIB_SOFT_IP = "TRUE") ELSE hard_done_cal; gen_term_calib : IF (C_CALIB_SOFT_IP = "TRUE") GENERATE mcb_soft_calibration_top_inst : mcb_soft_calibration_top generic map ( C_MEM_TZQINIT_MAXCNT => C_MEM_TZQINIT_MAXCNT, C_MC_CALIBRATION_MODE => C_MC_CALIBRATION_MODE, SKIP_IN_TERM_CAL => C_SKIP_IN_TERM_CAL, SKIP_DYNAMIC_CAL => C_SKIP_DYNAMIC_CAL, SKIP_DYN_IN_TERM => C_SKIP_DYN_IN_TERM, C_SIMULATION => C_SIMULATION, C_MEM_TYPE => C_MEM_TYPE ) PORT MAP ( UI_CLK => ui_clk, --RST => syn2_sys_rst, RST => int_sys_rst, IOCLK => ioclk0, DONE_SOFTANDHARD_CAL => DONE_SOFTANDHARD_CAL, --PLL_LOCK => pll_lock, PLL_LOCK => gated_pll_lock, --SELFREFRESH_REQ => selfrefresh_enter, -- from user app SELFREFRESH_REQ => soft_cal_selfrefresh_req, -- from user app SELFREFRESH_MCB_MODE => selfrefresh_mcb_mode, -- from MCB SELFREFRESH_MCB_REQ => selfrefresh_mcb_enter, -- to mcb SELFREFRESH_MODE => selfrefresh_mode_sig, -- to user app MCB_UIADD => mcb_ui_add, MCB_UISDI => mcb_ui_sdi, MCB_UOSDO => uo_sdo_xhdl24, MCB_UODONECAL => hard_done_cal, MCB_UOREFRSHFLAG => uo_refrsh_flag_xhdl23, MCB_UICS => mcb_ui_cs, MCB_UIDRPUPDATE => mcb_ui_drp_update, MCB_UIBROADCAST => mcb_ui_broadcast, MCB_UIADDR => mcb_ui_addr, MCB_UICMDEN => mcb_ui_cmd_en, MCB_UIDONECAL => mcb_ui_done_cal, MCB_UIDQLOWERDEC => mcb_ui_dq_lower_dec, MCB_UIDQLOWERINC => mcb_ui_dq_lower_inc, MCB_UIDQUPPERDEC => mcb_ui_dq_upper_dec, MCB_UIDQUPPERINC => mcb_ui_dq_upper_inc, MCB_UILDQSDEC => mcb_ui_ldqs_dec, MCB_UILDQSINC => mcb_ui_ldqs_inc, MCB_UIREAD => mcb_ui_read, MCB_UIUDQSDEC => mcb_ui_udqs_dec, MCB_UIUDQSINC => mcb_ui_udqs_inc, MCB_RECAL => mcb_recal, MCB_SYSRST => MCB_SYSRST, MCB_UICMD => mcb_ui_cmd, MCB_UICMDIN => mcb_ui_cmd_in, MCB_UIDQCOUNT => mcb_ui_dqcount, MCB_UODATA => uo_data_int, MCB_UODATAVALID => uo_data_valid_int, MCB_UOCMDREADY => uo_cmd_ready_in_int, MCB_UO_CAL_START => uo_cal_start_int, RZQ_PIN => rzq, ZIO_PIN => zio, CKE_Train => cke_train ); mcb_ui_clk <= ui_clk; END GENERATE; gen_no_term_calib : if (NOT(C_CALIB_SOFT_IP = "TRUE")) generate DONE_SOFTANDHARD_CAL <= '0'; MCB_SYSRST <= int_sys_rst or not(wait_200us_counter(15)); mcb_recal <= calib_recal; mcb_ui_read <= ui_read; mcb_ui_add <= ui_add; mcb_ui_cs <= ui_cs; mcb_ui_clk <= ui_clk; mcb_ui_sdi <= ui_sdi; mcb_ui_addr <= ui_addr; mcb_ui_broadcast <= ui_broadcast; mcb_ui_drp_update <= ui_drp_update; mcb_ui_done_cal <= ui_done_cal; mcb_ui_cmd <= ui_cmd; mcb_ui_cmd_in <= ui_cmd_in; mcb_ui_cmd_en <= ui_cmd_en; mcb_ui_dqcount <= ui_dqcount; mcb_ui_dq_lower_dec <= ui_dq_lower_dec; mcb_ui_dq_lower_inc <= ui_dq_lower_inc; mcb_ui_dq_upper_dec <= ui_dq_upper_dec; mcb_ui_dq_upper_inc <= ui_dq_upper_inc; mcb_ui_udqs_inc <= ui_udqs_inc; mcb_ui_udqs_dec <= ui_udqs_dec; mcb_ui_ldqs_inc <= ui_ldqs_inc; mcb_ui_ldqs_dec <= ui_ldqs_dec; selfrefresh_mode_sig <= '0'; -- synthesis translate_off init_sequence: if (C_SIMULATION = "FALSE") generate -- synthesis translate_on process (ui_clk, int_sys_rst) begin if (int_sys_rst = '1') then wait_200us_counter <= (others => '0'); elsif (ui_clk'event and ui_clk = '1') then -- UI_CLK maximum is up to 100 MHz if (wait_200us_counter(15) = '1') then wait_200us_counter <= wait_200us_counter; else wait_200us_counter <= wait_200us_counter + '1'; end if; end if; end process; -- synthesis translate_off end generate; init_sequence_skip: if (C_SIMULATION = "TRUE") generate wait_200us_counter <= X"FFFF"; process begin report "The 200 us wait period required before CKE goes active has been skipped in Simulation"; wait; end process; end generate; -- synthesis translate_on gen_cketrain_a: if (C_MEM_TYPE = "DDR2") generate process (ui_clk) begin -- When wait_200us_[13] and wait_200us_[14] are both asserted, -- 200 us wait should have been passed. if (ui_clk'event and ui_clk = '1') then if ((wait_200us_counter(14) and wait_200us_counter(13)) = '1') then wait_200us_done_r1 <= '1'; else wait_200us_done_r1 <= '0'; end if; wait_200us_done_r2 <= wait_200us_done_r1; end if; end process; process (ui_clk, int_sys_rst) begin if (int_sys_rst = '1') then cke_train_reg <= '0'; elsif (ui_clk'event and ui_clk = '1') then if ((wait_200us_done_r1 and not(wait_200us_done_r2)) = '1') then cke_train_reg <= '1'; elsif (uo_done_cal_sig = '1') then cke_train_reg <= '0'; end if; end if; end process; cke_train <= cke_train_reg; end generate; gen_cketrain_b: if (NOT(C_MEM_TYPE = "DDR2")) generate cke_train <= '0'; end generate; end generate; --////////////////////////////////////////////////////// --//ODDRDES2 instantiations --////////////////////////////////////////////////////// -------- --ADDR -------- gen_addr_oserdes2 : FOR addr_ioi IN 0 TO C_MEM_ADDR_WIDTH - 1 GENERATE ioi_addr_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ioi_addr(addr_ioi), SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_addr(addr_ioi), CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => address_90(addr_ioi), D2 => address_90(addr_ioi), D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); END GENERATE; -------- --BA -------- gen_ba_oserdes2 : FOR ba_ioi IN 0 TO C_MEM_BANKADDR_WIDTH - 1 GENERATE ioi_ba_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ioi_ba(ba_ioi), SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_ba(ba_ioi), CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => ba_90(ba_ioi), D2 => ba_90(ba_ioi), D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); END GENERATE; -------- --CAS -------- ioi_cas_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ioi_cas, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_cas, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => cas_90, D2 => cas_90, D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); -------- --CKE -------- ioi_cke_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2, TRAIN_PATTERN => 15 ) PORT MAP ( OQ => ioi_cke, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_cke, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => cke_90, D2 => cke_90, D3 => '0', D4 => '0', IOCE => pll_ce_0, --OCE => '1', OCE => pll_lock, RST => '0', --int_sys_rst SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => cke_train ); -------- --ODT -------- xhdl330 : IF (C_MEM_TYPE = "DDR3" OR C_MEM_TYPE = "DDR2") GENERATE ioi_odt_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 -- TRAIN_PATTERN => 0 ) PORT MAP ( OQ => ioi_odt, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_odt, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => odt_90, D2 => odt_90, D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); END GENERATE; -------- --RAS -------- ioi_ras_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ioi_ras, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_ras, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => ras_90, D2 => ras_90, D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); -------- --RST -------- xhdl331 : IF (C_MEM_TYPE = "DDR3") GENERATE ioi_rst_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ioi_rst, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_rst, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => rst_90, D2 => rst_90, D3 => '0', D4 => '0', IOCE => pll_ce_0, --OCE => '1', OCE => pll_lock, RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); END GENERATE; -------- --WE -------- ioi_we_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ioi_we, TQ => t_we, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => we_90, D2 => we_90, D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); -------- --CK -------- ioi_ck_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ioi_ck, SHIFTOUT1 => open,--ck_shiftout0_1, SHIFTOUT2 => open,--ck_shiftout0_2, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => t_ck, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => '0', D2 => '1', D3 => '0', D4 => '0', IOCE => pll_ce_0, --OCE => '1', OCE => pll_lock, RST => '0', --int_sys_rst SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => '0', T2 => '0', T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); ---------- ----CKN ---------- -- ioi_ckn_0 : OSERDES2 -- GENERIC MAP ( -- BYPASS_GCLK_FF => TRUE, -- DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, -- DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, -- OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, -- SERDES_MODE => C_OSERDES2_SERDES_MODE_SLAVE, -- DATA_WIDTH => 2 -- ) -- PORT MAP ( -- OQ => ioi_ckn, -- SHIFTOUT1 => open, -- SHIFTOUT2 => open, -- SHIFTOUT3 => open,--ck_shiftout1_3, -- SHIFTOUT4 => open,--ck_shiftout1_4, -- TQ => t_ckn, -- CLK0 => ioclk0, -- CLK1 => '0', -- CLKDIV => '0', -- D1 => '1', -- D2 => '0', -- D3 => '0', -- D4 => '0', -- IOCE => pll_ce_0, -- OCE => '1', -- RST => '0', -- SHIFTIN1 => '0', -- SHIFTIN2 => '0', -- SHIFTIN3 => '0', -- SHIFTIN4 => '0', -- T1 => '0', -- T2 => '0', -- T3 => '0', -- T4 => '0', -- TCE => '1', -- TRAIN => '0' -- ); -- -------- --UDM -------- ioi_udm_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => udm_oq, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => udm_t, CLK0 => ioclk90, CLK1 => '0', CLKDIV => '0', D1 => dqpum, D2 => dqnum, D3 => '0', D4 => '0', IOCE => pll_ce_90, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => dqIO_w_en_0, T2 => dqIO_w_en_0, T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); -------- --LDM -------- ioi_ldm_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 ) PORT MAP ( OQ => ldm_oq, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => ldm_t, CLK0 => ioclk90, CLK1 => '0', CLKDIV => '0', D1 => dqplm, D2 => dqnlm, D3 => '0', D4 => '0', IOCE => pll_ce_90, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => dqIO_w_en_0, T2 => dqIO_w_en_0, T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); -------- --DQ -------- gen_dq : FOR dq IN 0 TO C_NUM_DQ_PINS-1 GENERATE oserdes2_dq_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2, TRAIN_PATTERN => 5 ) PORT MAP ( OQ => dq_oq(dq), SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => dq_tq(dq), CLK0 => ioclk90, CLK1 => '0', CLKDIV => '0', D1 => dqo_p(dq), D2 => dqo_n(dq), D3 => '0', D4 => '0', IOCE => pll_ce_90, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => dqIO_w_en_0, T2 => dqIO_w_en_0, T3 => '0', T4 => '0', TCE => '1', TRAIN => ioi_drp_train ); END GENERATE; -------- --DQSP -------- oserdes2_dqsp_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 -- TRAIN_PATTERN => 0 ) PORT MAP ( OQ => dqsp_oq, SHIFTOUT1 => open,--dqs_shiftout0_1, SHIFTOUT2 => open,--dqs_shiftout0_2, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => dqsp_tq, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => '0', D2 => '1', D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0',--dqs_shiftout1_3, SHIFTIN4 => '0',--dqs_shiftout1_4, T1 => dqsIO_w_en_90_n, T2 => dqsIO_w_en_90_p, T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); -------- --DQSN -------- oserdes2_dqsn_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_SLAVE, DATA_WIDTH => 2 -- TRAIN_PATTERN => 0 ) PORT MAP ( OQ => dqsn_oq, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open,--dqs_shiftout1_3, SHIFTOUT4 => open,--dqs_shiftout1_4, TQ => dqsn_tq, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => '1', D2 => '0', D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0',--dqs_shiftout0_1, SHIFTIN2 => '0',--dqs_shiftout0_2, SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => dqsIO_w_en_90_n, T2 => dqsIO_w_en_90_p, T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); -------- --UDQSP -------- oserdeS2_UDQSP_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_MASTER, DATA_WIDTH => 2 -- TRAIN_PATTERN => 0 ) PORT MAP ( OQ => udqsp_oq, SHIFTOUT1 => open,--udqs_shiftout0_1, SHIFTOUT2 => open,--udqs_shiftout0_2, SHIFTOUT3 => open, SHIFTOUT4 => open, TQ => udqsp_tq, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => '0', D2 => '1', D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0', SHIFTIN2 => '0', SHIFTIN3 => '0',--udqs_shiftout1_3, SHIFTIN4 => '0',--udqs_shiftout1_4, T1 => dqsIO_w_en_90_n, t2 => dqsIO_w_en_90_p, T3 => '0', T4 => '0', tce => '1', train => '0' ); -------- --UDQSN -------- oserdes2_udqsn_0 : OSERDES2 GENERIC MAP ( BYPASS_GCLK_FF => TRUE, DATA_RATE_OQ => C_OSERDES2_DATA_RATE_OQ, DATA_RATE_OT => C_OSERDES2_DATA_RATE_OT, OUTPUT_MODE => C_OSERDES2_OUTPUT_MODE_SE, SERDES_MODE => C_OSERDES2_SERDES_MODE_SLAVE, DATA_WIDTH => 2 -- TRAIN_PATTERN => 0 ) PORT MAP ( OQ => udqsn_oq, SHIFTOUT1 => open, SHIFTOUT2 => open, SHIFTOUT3 => open,--udqs_shiftout1_3, SHIFTOUT4 => open,--udqs_shiftout1_4, TQ => udqsn_tq, CLK0 => ioclk0, CLK1 => '0', CLKDIV => '0', D1 => '1', D2 => '0', D3 => '0', D4 => '0', IOCE => pll_ce_0, OCE => '1', RST => int_sys_rst, SHIFTIN1 => '0',--udqs_shiftout0_1, SHIFTIN2 => '0',--udqs_shiftout0_2, SHIFTIN3 => '0', SHIFTIN4 => '0', T1 => dqsIO_w_en_90_n, T2 => dqsIO_w_en_90_p, T3 => '0', T4 => '0', TCE => '1', TRAIN => '0' ); ------------------------------------------------------ --*********************************** OSERDES2 instantiations end ******************************************* ------------------------------------------------------ ------------------------------------------------ --&&&&&&&&&&&&&&&&&&&&&&&&&&& IODRP2 instantiations &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& ------------------------------------------------ ---#####################################--X16 MEMORY WIDTH-############################################# dq_15_0_data : if (C_NUM_DQ_PINS = 16) GENERATE --//////////////////////////////////////////////// --DQ14 --//////////////////////////////////////////////// iodrp2_DQ_14 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ14_TAP_DELAY_VAL, MCB_ADDRESS => 7, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_14, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(14), DQSOUTN => open, DQSOUTP => in_dq(14), SDO => open, TOUT => t_dq(14), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_15, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(14), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(14), SDI => ioi_drp_sdo, T => dq_tq(14) ); --//////////////////////////////////////////////// --DQ15 --//////////////////////////////////////////////// iodrp2_dq_15 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ15_TAP_DELAY_VAL, MCB_ADDRESS => 7, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_15, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(15), DQSOUTN => open, DQSOUTP => in_dq(15), SDO => open, TOUT => t_dq(15), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => '0', BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(15), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(15), SDI => ioi_drp_sdo, T => dq_tq(15) ); --//////////////////////////////////////////////// --DQ12 --//////////////////////////////////////////////// iodrp2_DQ_12 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ12_TAP_DELAY_VAL, MCB_ADDRESS => 6, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_12, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(12), DQSOUTN => open, DQSOUTP => in_dq(12), SDO => open, TOUT => t_dq(12), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_13, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(12), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(12), SDI => ioi_drp_sdo, T => dq_tq(12) ); --//////////////////////////////////////////////// --DQ13 --//////////////////////////////////////////////// iodrp2_dq_13 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ13_TAP_DELAY_VAL, MCB_ADDRESS => 6, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_13, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(13), DQSOUTN => open, DQSOUTP => in_dq(13), SDO => open, TOUT => t_dq(13), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_14, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(13), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(13), SDI => ioi_drp_sdo, T => dq_tq(13) ); --///////// --UDQSP --///////// iodrp2_UDQSP_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => UDQSP_TAP_DELAY_VAL, MCB_ADDRESS => 14, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_udqsp, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_udqs, DQSOUTN => open, DQSOUTP => idelay_udqs_ioi_m, SDO => open, TOUT => t_udqs, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_udqsn, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_udqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => udqsp_oq, SDI => ioi_drp_sdo, T => udqsp_tq ); --///////// --UDQSN --///////// iodrp2_udqsn_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => UDQSN_TAP_DELAY_VAL, MCB_ADDRESS => 14, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_udqsn, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_udqsn, DQSOUTN => open, DQSOUTP => idelay_udqs_ioi_s, SDO => open, TOUT => t_udqsn, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_12, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_udqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => udqsn_oq, SDI => ioi_drp_sdo, T => udqsn_tq ); --///////////////////////////////////////////////// --//DQ10 --//////////////////////////////////////////////// iodrp2_DQ_10 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ10_TAP_DELAY_VAL, MCB_ADDRESS => 5, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_10, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(10), DQSOUTN => open, DQSOUTP => in_dq(10), SDO => open, TOUT => t_dq(10), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_11, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(10), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(10), SDI => ioi_drp_sdo, T => dq_tq(10) ); --///////////////////////////////////////////////// --//DQ11 --//////////////////////////////////////////////// iodrp2_dq_11 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ11_TAP_DELAY_VAL, MCB_ADDRESS => 5, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_11, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(11), DQSOUTN => open, DQSOUTP => in_dq(11), SDO => open, TOUT => t_dq(11), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_udqsp, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(11), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(11), SDI => ioi_drp_sdo, T => dq_tq(11) ); --///////////////////////////////////////////////// --//DQ8 --//////////////////////////////////////////////// iodrp2_DQ_8 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ8_TAP_DELAY_VAL, MCB_ADDRESS => 4, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_8, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(8), DQSOUTN => open, DQSOUTP => in_dq(8), SDO => open, TOUT => t_dq(8), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_9, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(8), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(8), SDI => ioi_drp_sdo, T => dq_tq(8) ); --///////////////////////////////////////////////// --//DQ9 --//////////////////////////////////////////////// iodrp2_dq_9 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ9_TAP_DELAY_VAL, MCB_ADDRESS => 4, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_9, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(9), DQSOUTN => open, DQSOUTP => in_dq(9), SDO => open, TOUT => t_dq(9), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_10, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(9), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(9), SDI => ioi_drp_sdo, T => dq_tq(9) ); --///////////////////////////////////////////////// --//DQ0 --//////////////////////////////////////////////// iodrp2_DQ_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ0_TAP_DELAY_VAL, MCB_ADDRESS => 0, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_0, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(0), DQSOUTN => open, DQSOUTP => in_dq(0), SDO => open, TOUT => t_dq(0), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_1, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(0), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(0), SDI => ioi_drp_sdo, T => dq_tq(0) ); --///////////////////////////////////////////////// --//DQ1 --//////////////////////////////////////////////// iodrp2_dq_1 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ1_TAP_DELAY_VAL, MCB_ADDRESS => 0, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_1, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(1), DQSOUTN => open, DQSOUTP => in_dq(1), SDO => open, TOUT => t_dq(1), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_8, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(1), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(1), SDI => ioi_drp_sdo, T => dq_tq(1) ); --///////////////////////////////////////////////// --//DQ2 --//////////////////////////////////////////////// iodrp2_DQ_2 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ2_TAP_DELAY_VAL, MCB_ADDRESS => 1, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_2, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(2), DQSOUTN => open, DQSOUTP => in_dq(2), SDO => open, TOUT => t_dq(2), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_3, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(2), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(2), SDI => ioi_drp_sdo, T => dq_tq(2) ); --///////////////////////////////////////////////// --//DQ3 --//////////////////////////////////////////////// iodrp2_dq_3 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ3_TAP_DELAY_VAL, MCB_ADDRESS => 1, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_3, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(3), DQSOUTN => open, DQSOUTP => in_dq(3), SDO => open, TOUT => t_dq(3), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_0, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(3), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(3), SDI => ioi_drp_sdo, T => dq_tq(3) ); --///////// --//DQSP --///////// iodrp2_DQSP_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => LDQSP_TAP_DELAY_VAL, MCB_ADDRESS => 15, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_dqsp, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dqs, DQSOUTN => open, DQSOUTP => idelay_dqs_ioi_m, SDO => open, TOUT => t_dqs, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_dqsn, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dqsp_oq, SDI => ioi_drp_sdo, T => dqsp_tq ); --///////// --//DQSN --///////// iodrp2_dqsn_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => LDQSN_TAP_DELAY_VAL, MCB_ADDRESS => 15, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_dqsn, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dqsn, DQSOUTN => open, DQSOUTP => idelay_dqs_ioi_s, SDO => open, TOUT => t_dqsn, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_2, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dqsn_oq, SDI => ioi_drp_sdo, T => dqsn_tq ); --///////////////////////////////////////////////// --//DQ6 --//////////////////////////////////////////////// iodrp2_DQ_6 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ6_TAP_DELAY_VAL, MCB_ADDRESS => 3, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_6, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(6), DQSOUTN => open, DQSOUTP => in_dq(6), SDO => open, TOUT => t_dq(6), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_7, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(6), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(6), SDI => ioi_drp_sdo, T => dq_tq(6) ); --///////////////////////////////////////////////// --//DQ7 --//////////////////////////////////////////////// iodrp2_dq_7 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ7_TAP_DELAY_VAL, MCB_ADDRESS => 3, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_7, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(7), DQSOUTN => open, DQSOUTP => in_dq(7), SDO => open, TOUT => t_dq(7), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_dqsp, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(7), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(7), SDI => ioi_drp_sdo, T => dq_tq(7) ); --///////////////////////////////////////////////// --//DQ4 --//////////////////////////////////////////////// iodrp2_DQ_4 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ4_TAP_DELAY_VAL, MCB_ADDRESS => 2, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_4, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(4), DQSOUTN => open, DQSOUTP => in_dq(4), SDO => open, TOUT => t_dq(4), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_5, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(4), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(4), SDI => ioi_drp_sdo, T => dq_tq(4) ); --///////////////////////////////////////////////// --//DQ5 --//////////////////////////////////////////////// iodrp2_dq_5 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ5_TAP_DELAY_VAL, MCB_ADDRESS => 2, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_5, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(5), DQSOUTN => open, DQSOUTP => in_dq(5), SDO => open, TOUT => t_dq(5), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_6, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(5), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(5), SDI => ioi_drp_sdo, T => dq_tq(5) ); --///////////////////////////////////////////////// --//UDM --//////////////////////////////////////////////// iodrp2_dq_udm : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => 0, MCB_ADDRESS => 8, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => ioi_drp_sdi, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_udm, DQSOUTN => open, DQSOUTP => open, SDO => open, TOUT => t_udm, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_ldm, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => '0', IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => udm_oq, SDI => ioi_drp_sdo, T => udm_t ); --///////////////////////////////////////////////// --//LDM --//////////////////////////////////////////////// iodrp2_dq_ldm : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => 0, MCB_ADDRESS => 8, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_ldm, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_ldm, DQSOUTN => open, DQSOUTP => open, SDO => open, TOUT => t_ldm, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_4, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => '0', IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => ldm_oq, SDI => ioi_drp_sdo, T => ldm_t ); end generate; ---#####################################--X8 MEMORY WIDTH-############################################# dq_7_0_data : if (C_NUM_DQ_PINS = 8) GENERATE --///////////////////////////////////////////////// --//DQ0 --//////////////////////////////////////////////// iodrp2_DQ_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ0_TAP_DELAY_VAL, MCB_ADDRESS => 0, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_0, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(0), DQSOUTN => open, DQSOUTP => in_dq(0), SDO => open, TOUT => t_dq(0), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_1, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(0), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(0), SDI => ioi_drp_sdo, T => dq_tq(0) ); --///////////////////////////////////////////////// --//DQ1 --//////////////////////////////////////////////// iodrp2_dq_1 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ1_TAP_DELAY_VAL, MCB_ADDRESS => 0, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_1, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(1), DQSOUTN => open, DQSOUTP => in_dq(1), SDO => open, TOUT => t_dq(1), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => '0', BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(1), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(1), SDI => ioi_drp_sdo, T => dq_tq(1) ); --///////////////////////////////////////////////// --//DQ2 --//////////////////////////////////////////////// iodrp2_DQ_2 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ2_TAP_DELAY_VAL, MCB_ADDRESS => 1, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_2, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(2), DQSOUTN => open, DQSOUTP => in_dq(2), SDO => open, TOUT => t_dq(2), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_3, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(2), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(2), SDI => ioi_drp_sdo, T => dq_tq(2) ); --///////////////////////////////////////////////// --//DQ3 --//////////////////////////////////////////////// iodrp2_dq_3 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ3_TAP_DELAY_VAL, MCB_ADDRESS => 1, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_3, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(3), DQSOUTN => open, DQSOUTP => in_dq(3), SDO => open, TOUT => t_dq(3), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_0, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(3), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(3), SDI => ioi_drp_sdo, T => dq_tq(3) ); --///////// --//DQSP --///////// iodrp2_DQSP_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => LDQSP_TAP_DELAY_VAL, MCB_ADDRESS => 15, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_dqsp, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dqs, DQSOUTN => open, DQSOUTP => idelay_dqs_ioi_m, SDO => open, TOUT => t_dqs, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_dqsn, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dqsp_oq, SDI => ioi_drp_sdo, T => dqsp_tq ); --///////// --//DQSN --///////// iodrp2_dqsn_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => LDQSN_TAP_DELAY_VAL, MCB_ADDRESS => 15, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_dqsn, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dqsn, DQSOUTN => open, DQSOUTP => idelay_dqs_ioi_s, SDO => open, TOUT => t_dqsn, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_2, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dqsn_oq, SDI => ioi_drp_sdo, T => dqsn_tq ); --///////////////////////////////////////////////// --//DQ6 --//////////////////////////////////////////////// iodrp2_DQ_6 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ6_TAP_DELAY_VAL, MCB_ADDRESS => 3, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_6, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(6), DQSOUTN => open, DQSOUTP => in_dq(6), SDO => open, TOUT => t_dq(6), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_7, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(6), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(6), SDI => ioi_drp_sdo, T => dq_tq(6) ); --///////////////////////////////////////////////// --//DQ7 --//////////////////////////////////////////////// iodrp2_dq_7 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ7_TAP_DELAY_VAL, MCB_ADDRESS => 3, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_7, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(7), DQSOUTN => open, DQSOUTP => in_dq(7), SDO => open, TOUT => t_dq(7), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_dqsp, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(7), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(7), SDI => ioi_drp_sdo, T => dq_tq(7) ); --///////////////////////////////////////////////// --//DQ4 --//////////////////////////////////////////////// iodrp2_DQ_4 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ4_TAP_DELAY_VAL, MCB_ADDRESS => 2, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_4, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(4), DQSOUTN => open, DQSOUTP => in_dq(4), SDO => open, TOUT => t_dq(4), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_5, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(4), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(4), SDI => ioi_drp_sdo, T => dq_tq(4) ); --///////////////////////////////////////////////// --//DQ5 --//////////////////////////////////////////////// iodrp2_dq_5 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ5_TAP_DELAY_VAL, MCB_ADDRESS => 2, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_5, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(5), DQSOUTN => open, DQSOUTP => in_dq(5), SDO => open, TOUT => t_dq(5), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_6, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(5), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(5), SDI => ioi_drp_sdo, T => dq_tq(5) ); --NEED TO GENERATE UDM so that user won't instantiate in this location --///////////////////////////////////////////////// --//UDM --//////////////////////////////////////////////// iodrp2_dq_udm : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => 0, MCB_ADDRESS => 8, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => ioi_drp_sdi, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_udm, DQSOUTN => open, DQSOUTP => open, SDO => open, TOUT => t_udm, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_ldm, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => '0', IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => udm_oq, SDI => ioi_drp_sdo, T => udm_t ); --///////////////////////////////////////////////// --//LDM --//////////////////////////////////////////////// iodrp2_dq_ldm : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => 0, MCB_ADDRESS => 8, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_ldm, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_ldm, DQSOUTN => open, DQSOUTP => open, SDO => open, TOUT => t_ldm, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_4, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => '0', IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => ldm_oq, SDI => ioi_drp_sdo, T => ldm_t ); end generate; ---#####################################--X4 MEMORY WIDTH-############################################# dq_3_0_data : if (C_NUM_DQ_PINS = 4) GENERATE --///////////////////////////////////////////////// --//DQ0 --//////////////////////////////////////////////// iodrp2_DQ_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ0_TAP_DELAY_VAL, MCB_ADDRESS => 0, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_0, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(0), DQSOUTN => open, DQSOUTP => in_dq(0), SDO => open, TOUT => t_dq(0), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_1, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(0), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(0), SDI => ioi_drp_sdo, T => dq_tq(0) ); --///////////////////////////////////////////////// --//DQ1 --//////////////////////////////////////////////// iodrp2_dq_1 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ1_TAP_DELAY_VAL, MCB_ADDRESS => 0, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_1, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(1), DQSOUTN => open, DQSOUTP => in_dq(1), SDO => open, TOUT => t_dq(1), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => '0', BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(1), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(1), SDI => ioi_drp_sdo, T => dq_tq(1) ); --///////////////////////////////////////////////// --//DQ2 --//////////////////////////////////////////////// iodrp2_DQ_2 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ2_TAP_DELAY_VAL, MCB_ADDRESS => 1, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_2, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(2), DQSOUTN => open, DQSOUTP => in_dq(2), SDO => open, TOUT => t_dq(2), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_3, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(2), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(2), SDI => ioi_drp_sdo, T => dq_tq(2) ); --///////////////////////////////////////////////// --//DQ3 --//////////////////////////////////////////////// iodrp2_dq_3 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => DQ3_TAP_DELAY_VAL, MCB_ADDRESS => 1, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_3, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dq(3), DQSOUTN => open, DQSOUTP => in_dq(3), SDO => open, TOUT => t_dq(3), ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_0, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dq(3), IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dq_oq(3), SDI => ioi_drp_sdo, T => dq_tq(3) ); --/////////////////////////////////////////////// --DQSP --/////////////////////////////////////////////// iodrp2_DQSP_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => LDQSP_TAP_DELAY_VAL, MCB_ADDRESS => 15, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_dqsp, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dqs, DQSOUTN => open, DQSOUTP => idelay_dqs_ioi_m, SDO => open, TOUT => t_dqs, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_dqsn, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dqsp_oq, SDI => ioi_drp_sdo, T => dqsp_tq ); --/////////////////////////////////////////////// --DQSN --/////////////////////////////////////////////// iodrp2_dqsn_0 : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQS_IODRP2_DATA_RATE, IDELAY_VALUE => LDQSN_TAP_DELAY_VAL, MCB_ADDRESS => 15, ODELAY_VALUE => 0, SERDES_MODE => C_DQS_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_dqsn, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_dqsn, DQSOUTN => open, DQSOUTP => idelay_dqs_ioi_s, SDO => open, TOUT => t_dqsn, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_2, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => in_pre_dqsp, IOCLK0 => ioclk0, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => dqsn_oq, SDI => ioi_drp_sdo, T => dqsn_tq ); --/////////////////////////////////////////////// --UDM --////////////////////////////////////////////// --NEED TO GENERATE UDM so that user won't instantiate in this location iodrp2_dq_udm : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => 0, MCB_ADDRESS => 8, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_MASTER, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => ioi_drp_sdi, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_udm, DQSOUTN => open, DQSOUTP => open, SDO => open, TOUT => t_udm, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_ldm, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => '0', IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => udm_oq, SDI => ioi_drp_sdo, T => udm_t ); --/////////////////////////////////////////////// --LDM --////////////////////////////////////////////// iodrp2_dq_ldm : IODRP2_MCB GENERIC MAP ( DATA_RATE => C_DQ_IODRP2_DATA_RATE, IDELAY_VALUE => 0, MCB_ADDRESS => 8, ODELAY_VALUE => 0, SERDES_MODE => C_DQ_IODRP2_SERDES_MODE_SLAVE, SIM_TAPDELAY_VALUE => 10 ) PORT MAP ( AUXSDO => aux_sdi_out_ldm, DATAOUT => open, DATAOUT2 => open, DOUT => ioi_ldm, DQSOUTN => open, DQSOUTP => open, SDO => open, TOUT => t_ldm, ADD => ioi_drp_add, AUXADDR => ioi_drp_addr, AUXSDOIN => aux_sdi_out_4, BKST => ioi_drp_broadcast, CLK => ioi_drp_clk, CS => ioi_drp_cs, IDATAIN => '0', IOCLK0 => ioclk90, IOCLK1 => '0', MEMUPDATE => ioi_drp_update, ODATAIN => ldm_oq, SDI => ioi_drp_sdo, T => ldm_t ); end generate; ------------------------------------------------ --&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& IODRP2 instantiations end &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& ------------------------------------------------ -------^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ --IOBs instantiations -- this part need more inputs from design team -- for now just use as listed in fpga.v -----^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ -- DRAM Address gen_addr_obuft : FOR addr_i IN 0 TO C_MEM_ADDR_WIDTH - 1 GENERATE iob_addr_inst : OBUFT PORT MAP ( I => ioi_addr(addr_i), T => t_addr(addr_i), O => mcbx_dram_addr(addr_i) ); END GENERATE; gen_ba_obuft : FOR ba_i IN 0 TO C_MEM_BANKADDR_WIDTH - 1 GENERATE iob_ba_inst : OBUFT PORT MAP ( I => ioi_ba(ba_i), T => t_ba(ba_i), O => mcbx_dram_ba(ba_i) ); END GENERATE; -- DRAM control --RAS iob_ras : OBUFT PORT MAP ( O => mcbx_dram_ras_n, I => ioi_ras, T => t_ras ); --CAS iob_cas : OBUFT PORT MAP ( O => mcbx_dram_cas_n, I => ioi_cas, T => t_cas ); --WE iob_we : OBUFT PORT MAP ( O => mcbx_dram_we_n, I => ioi_we, T => t_we ); --CKE iob_cke : OBUFT PORT MAP ( O => mcbx_dram_cke, I => ioi_cke, T => t_cke ); --DDR3 RST gen_ddr3_rst : IF (C_MEM_TYPE = "DDR3") GENERATE iob_rst : OBUFT PORT MAP ( O => mcbx_dram_ddr3_rst, I => ioi_rst, T => t_rst ); END GENERATE; --ODT gen_dram_odt : IF ((C_MEM_TYPE = "DDR3" AND (not(C_MEM_DDR3_RTT = "OFF") OR not(C_MEM_DDR3_DYN_WRT_ODT = "OFF"))) OR (C_MEM_TYPE = "DDR2" AND not(C_MEM_DDR2_RTT = "OFF")) ) GENERATE iob_odt : OBUFT PORT MAP ( O => mcbx_dram_odt, I => ioi_odt, t => t_odt ); END GENERATE; --MEMORY CLOCK iob_clk : OBUFTDS PORT MAP ( I => ioi_ck, T => t_ck, O => mcbx_dram_clk, OB => mcbx_dram_clk_n ); --DQ gen_dq_iobuft : FOR dq_i IN 0 TO C_NUM_DQ_PINS-1 GENERATE gen_iob_dq_inst : IOBUF PORT MAP ( IO => mcbx_dram_dq(dq_i), I => ioi_dq(dq_i), T => t_dq(dq_i), O => in_pre_dq(dq_i) ); END GENERATE; -- x4 and x8 --DQS gen_dqs_iobuf : if((C_MEM_TYPE = "DDR" or C_MEM_TYPE = "MDDR" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "NO")))) generate iob_dqs : IOBUF PORT MAP ( IO => mcbx_dram_dqs, I => ioi_dqs, T => t_dqs, O => in_pre_dqsp ); end generate; --DQSP/DQSN gen_dqs_iobufds : if((C_MEM_TYPE = "DDR3" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "YES")))) generate iob_dqs : IOBUFDS PORT MAP ( IO => mcbx_dram_dqs, IOB => mcbx_dram_dqs_n, I => ioi_dqs, T => t_dqs, O => in_pre_dqsp ); end generate; -- x16 --UDQS gen_udqs_iobuf : if((C_MEM_TYPE = "DDR" or C_MEM_TYPE = "MDDR" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "NO"))) and C_NUM_DQ_PINS = 16) generate iob_udqs : IOBUF PORT MAP ( IO => mcbx_dram_udqs, I => ioi_udqs, T => t_udqs, O => in_pre_udqsp ); end generate; ----UDQSP/UDQSN gen_udqs_iobufds : if((C_MEM_TYPE = "DDR3" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "YES"))) and C_NUM_DQ_PINS = 16) generate iob_udqs : IOBUFDS PORT MAP ( IO => mcbx_dram_udqs, IOB => mcbx_dram_udqs_n, I => ioi_udqs, T => t_udqs, O => in_pre_udqsp ); end generate; -- DQS PULLDWON gen_dqs_pullupdn: if(C_MEM_TYPE = "DDR" or C_MEM_TYPE ="MDDR" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "NO"))) generate dqs_pulldown : PULLDOWN port map (O => mcbx_dram_dqs); end generate; gen_dqs_pullupdn_ds : if((C_MEM_TYPE = "DDR3" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "YES")))) generate dqs_pulldown :PULLDOWN port map (O => mcbx_dram_dqs); dqs_n_pullup : PULLUP port map (O => mcbx_dram_dqs_n); end generate; -- DQSN PULLUP gen_udqs_pullupdn : if((C_MEM_TYPE = "DDR" or C_MEM_TYPE = "MDDR" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "NO"))) and C_NUM_DQ_PINS = 16) generate udqs_pulldown : PULLDOWN port map (O => mcbx_dram_udqs); end generate; gen_udqs_pullupdn_ds : if ((C_NUM_DQ_PINS = 16) and not(C_MEM_TYPE = "DDR" or C_MEM_TYPE = "MDDR" or (C_MEM_TYPE = "DDR2" and (C_MEM_DDR2_DIFF_DQS_EN = "NO"))) ) generate udqs_pulldown :PULLDOWN port map (O => mcbx_dram_udqs); udqs_n_pullup : PULLUP port map (O => mcbx_dram_udqs_n); end generate; --UDM gen_udm : if(C_NUM_DQ_PINS = 16) generate iob_udm : OBUFT PORT MAP ( I => ioi_udm, T => t_udm, O => mcbx_dram_udm ); end generate; --LDM iob_ldm : OBUFT PORT MAP ( I => ioi_ldm, T => t_ldm, O => mcbx_dram_ldm ); selfrefresh_mode <= selfrefresh_mode_sig; end aarch;
bsd-2-clause
rohit91/HDMI2USB
ipcore_dir/rawUVCfifo/simulation/rawUVCfifo_synth.vhd
3
11513
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rawUVCfifo_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.rawUVCfifo_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY rawUVCfifo_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF rawUVCfifo_synth IS -- FIFO interface signal declarations SIGNAL wr_clk_i : STD_LOGIC; SIGNAL rd_clk_i : STD_LOGIC; SIGNAL valid : STD_LOGIC; SIGNAL almost_full : STD_LOGIC; SIGNAL almost_empty : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL prog_full : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(24-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(24-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(24-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(24-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_s_wr1 : STD_LOGIC := '0'; SIGNAL rst_s_wr2 : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_wr1 : STD_LOGIC := '0'; SIGNAL rst_async_wr2 : STD_LOGIC := '0'; SIGNAL rst_async_wr3 : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_wr3 OR rst_s_wr3; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(rd_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; PROCESS(wr_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_wr1 <= '1'; rst_async_wr2 <= '1'; rst_async_wr3 <= '1'; ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN rst_async_wr1 <= RESET; rst_async_wr2 <= rst_async_wr1; rst_async_wr3 <= rst_async_wr2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(rd_clk_i) BEGIN IF(rd_clk_i'event AND rd_clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; END IF; END IF; END IF; END PROCESS; PROCESS(wr_clk_i) BEGIN IF(wr_clk_i'event AND wr_clk_i='1') THEN rst_s_wr1 <= rst_s_rd; rst_s_wr2 <= rst_s_wr1; rst_s_wr3 <= rst_s_wr2; IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- wr_clk_i <= WR_CLK; rd_clk_i <= RD_CLK; ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; almost_empty_i <= almost_empty; almost_full_i <= almost_full; fg_dg_nv: rawUVCfifo_dgen GENERIC MAP ( C_DIN_WIDTH => 24, C_DOUT_WIDTH => 24, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => wr_clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: rawUVCfifo_dverif GENERIC MAP ( C_DOUT_WIDTH => 24, C_DIN_WIDTH => 24, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => rd_clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: rawUVCfifo_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 24, C_DIN_WIDTH => 24, C_WR_PNTR_WIDTH => 6, C_RD_PNTR_WIDTH => 6, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); rawUVCfifo_inst : rawUVCfifo_exdes PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, VALID => valid, ALMOST_FULL => almost_full, ALMOST_EMPTY => almost_empty, RST => rst, PROG_FULL => prog_full, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
bsd-2-clause
rohit91/HDMI2USB
hdl/DEBUG/counter_top.vhd
3
8252
-- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2014, Ajit Mathew <[email protected]> -- /// All rights reserved. -- /// -- // Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// --The debug module collects counters from the system for debugging. --The following counters will be supported: -- - Device State -- - Resolution -- - in frame rate -- - frame rate -- - frame rate write time -- - processing time -- - frame drop count -- - frame size -- Use the dubugging program to access the counters library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity debug_top is port( clk : in std_logic; clk_50Mhz : out std_logic; rst : in std_logic; vsync : in std_logic; no_frame_read : in std_logic; pktend : in std_logic; jpg_busy : in std_logic; write_img : in std_logic; sw : in std_logic_vector(7 downto 0); uart_en : out std_logic; frame_size : in std_logic_vector(23 downto 0); de_H0 : in std_logic; --Data enable for HDMI0 vsync_H0 : in std_logic; hsync_H0 : in std_logic; de_H1 : in std_logic; --Data enable for HDMI1 vsync_H1 : in std_logic; hsync_H1 : in std_logic; jpgORraw : in std_logic; input_source : in std_logic_vector(1 downto 0); encoding_Q : in std_logic_vector(1 downto 0); resX : in std_logic_vector(15 downto 0); resY : in std_logic_vector(15 downto 0); eof_jpg : in std_logic; debug_byte : out std_logic_vector(7 downto 0); debug_index : in integer range 0 to 15; uart_byte : out std_logic_vector(7 downto 0) ); end debug_top; architecture Behavioral of debug_top is component counters port( clk : in std_logic; clk_ms : in std_logic; clk_1hz : in std_logic; rst : in std_logic; vsync : in std_logic; no_frame_read : in std_logic; write_img : in std_logic; pktend : in std_logic; jpg_busy : in std_logic; proc_time : out std_logic_vector(7 downto 0); frame_write_time : out std_logic_vector(7 downto 0); frame_rate : out std_logic_vector(7 downto 0); in_frame_rate : out std_logic_vector(7 downto 0); frame_drop_cnt : out std_logic_vector(7 downto 0) ); end component; signal prescaler : integer range 0 to 50000000; signal prescaler1 : integer range 0 to 50000; signal clk_ms : std_logic; signal clk_1hz : std_logic; signal proc_time : std_logic_vector(7 downto 0); signal frame_write_time : std_logic_vector(7 downto 0); signal frame_rate : std_logic_vector(7 downto 0); signal in_frame_rate : std_logic_vector(7 downto 0); signal frame_drop_cnt : std_logic_vector(7 downto 0); signal switch_case : std_logic_vector(2 downto 0); signal send, send_q : std_logic; signal clk_50Mhz_s : std_logic; signal jpg_busy_s : std_logic; signal cnt : integer range 0 to 32; signal f_data : std_logic_vector(7 downto 0); type f_send_states is (wait_send_edge, send_byte1, send_byte2); signal f_send_state : f_send_states; signal uart_en_f : std_logic; signal uart_en1 : std_logic; signal device_state : std_logic_vector(7 downto 0); type send_array is array(0 to 15) of std_logic_vector(7 downto 0); signal uart_send_array : send_array; signal byte_cnt : integer range 0 to 15; signal debug_byte_q : std_logic_vector(7 downto 0); constant N_BYTES : integer := 14; begin clk_50Mhz <= clk_50Mhz_s; process(clk, rst) begin if rst = '1' then clk_50Mhz_s <= '0'; elsif rising_edge(clk) then clk_50Mhz_s <= not clk_50Mhz_s; end if; end process; clk_gen : process(clk, rst) begin if rst = '1' then clk_1hz <= '0'; prescaler <= 0; prescaler1 <= 0; elsif rising_edge(clk) then if prescaler = 50000000-1 then prescaler <= 0; clk_1hz <= not clk_1hz; else prescaler <= prescaler + 1; end if; if prescaler1 = 50000 then prescaler1 <= 0; clk_ms <= not clk_ms; else prescaler1 <= prescaler1 + 1; end if; end if; end process clk_gen; send <= clk_1hz; device_state <= "0" & (de_H0 or vsync_H0 or hsync_H0) & (de_H1 or vsync_H1 or hsync_H1) & jpgORraw & input_source & encoding_Q; uart_send_array(0) <= X"AA"; uart_send_array(1) <= device_state; uart_send_array(2) <= resX(15 downto 8); uart_send_array(3) <= resX(7 downto 0); uart_send_array(4) <= resY(15 downto 8); uart_send_array(5) <= resY(7 downto 0); uart_send_array(6) <= in_frame_rate; uart_send_array(7) <= frame_rate; uart_send_array(8) <= frame_write_time; uart_send_array(9) <= proc_time; uart_send_array(10) <= frame_drop_cnt; uart_send_array(11) <= frame_size(23 downto 16); uart_send_array(12) <= frame_size(15 downto 8); uart_send_array(13) <= frame_size(7 downto 0); debug_byte <= uart_send_array(debug_index); array_send : process(clk_50Mhz_s, rst) begin if rst = '1' then uart_en <= '0'; uart_byte <= uart_send_array(0); byte_cnt <= 0; elsif rising_edge(clk_50Mhz_s) then send_q <= send; case byte_cnt is when 0 => uart_en <= '0'; if send_q = '0' and send = '1' then uart_en <= '1'; uart_byte <= uart_send_array(0); byte_cnt <= byte_cnt+1; end if; when N_BYTES-1 => uart_en <= '1'; uart_byte <= uart_send_array(13); byte_cnt <= 0; when others => uart_en <= '1'; uart_byte <= uart_send_array(byte_cnt); byte_cnt <= byte_cnt+1; end case; end if; end process; Inst_counters : counters port map( clk => clk, clk_ms => clk_ms, clk_1hz => clk_1hz, rst => rst, vsync => vsync, no_frame_read => no_frame_read, write_img => write_img, pktend => eof_jpg, jpg_busy => jpg_busy, proc_time => proc_time, frame_write_time => frame_write_time, frame_rate => frame_rate, in_frame_rate => in_frame_rate, frame_drop_cnt => frame_drop_cnt ); end Behavioral;
bsd-2-clause
rohit91/HDMI2USB
ipcore_dir/ddr2ram/example_design/rtl/traffic_gen/data_prbs_gen.vhd
20
4942
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: data_prbs_gen.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:39 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is used LFSR to generate random data for memory -- data write or memory data read comparison.The first data is -- seeded by the input prbs_seed_i which is connected to memory address. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY data_prbs_gen IS GENERIC ( EYE_TEST : STRING := "FALSE"; PRBS_WIDTH : INTEGER := 32; SEED_WIDTH : INTEGER := 32 -- TAPS : STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0) := "10000000001000000000000001100010" ); PORT ( clk_i : IN STD_LOGIC; clk_en : IN STD_LOGIC; rst_i : IN STD_LOGIC; prbs_fseed_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); prbs_seed_init : IN STD_LOGIC; prbs_seed_i : IN STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0); prbs_o : OUT STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0) ); END data_prbs_gen; ARCHITECTURE trans OF data_prbs_gen IS SIGNAL prbs : STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0); SIGNAL lfsr_q : STD_LOGIC_VECTOR(PRBS_WIDTH DOWNTO 1); SIGNAL i : INTEGER; BEGIN PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (((prbs_seed_init = '1') AND (EYE_TEST = "FALSE")) OR (rst_i = '1')) THEN lfsr_q <= prbs_seed_i + prbs_fseed_i(31 DOWNTO 0) + "01010101010101010101010101010101"; ELSIF (clk_en = '1') THEN lfsr_q(32 DOWNTO 9) <= lfsr_q(31 DOWNTO 8); lfsr_q(8) <= lfsr_q(32) XOR lfsr_q(7); lfsr_q(7) <= lfsr_q(32) XOR lfsr_q(6); lfsr_q(6 DOWNTO 4) <= lfsr_q(5 DOWNTO 3); lfsr_q(3) <= lfsr_q(32) XOR lfsr_q(2); lfsr_q(2) <= lfsr_q(1); lfsr_q(1) <= lfsr_q(32); END IF; END IF; END PROCESS; PROCESS (lfsr_q(PRBS_WIDTH DOWNTO 1)) BEGIN prbs <= lfsr_q(PRBS_WIDTH DOWNTO 1); END PROCESS; prbs_o <= prbs; END trans;
bsd-2-clause
rohit91/HDMI2USB
ipcore_dir/rawUVCfifo/simulation/rawUVCfifo_dverif.vhd
3
5511
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rawUVCfifo_dverif.vhd -- -- Description: -- Used for FIFO read interface stimulus generation and data checking -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.rawUVCfifo_pkg.ALL; ENTITY rawUVCfifo_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE fg_dv_arch OF rawUVCfifo_dverif IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL data_chk : STD_LOGIC := '1'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL pr_r_en : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '1'; BEGIN DOUT_CHK <= data_chk; RD_EN <= rd_en_i; rd_en_i <= PRC_RD_EN; rd_en_d1 <= '1'; data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE ------------------------------------------------------- -- Expected data generation and checking for data_fifo ------------------------------------------------------- pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst2:rawUVCfifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => RD_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_r_en ); END GENERATE; PROCESS (RD_CLK,RESET) BEGIN IF(RESET = '1') THEN data_chk <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF(EMPTY = '0') THEN IF(DATA_OUT = expected_dout) THEN data_chk <= '0'; ELSE data_chk <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE data_fifo_chk; END ARCHITECTURE;
bsd-2-clause
rohit91/HDMI2USB
ipcore_dir/rawUVCfifo/example_design/rawUVCfifo_exdes.vhd
3
5723
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rawUVCfifo_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity rawUVCfifo_exdes is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; VALID : OUT std_logic; ALMOST_FULL : OUT std_logic; ALMOST_EMPTY : OUT std_logic; RST : IN std_logic; PROG_FULL : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(24-1 DOWNTO 0); DOUT : OUT std_logic_vector(24-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end rawUVCfifo_exdes; architecture xilinx of rawUVCfifo_exdes is signal wr_clk_i : std_logic; signal rd_clk_i : std_logic; component rawUVCfifo is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; VALID : OUT std_logic; ALMOST_FULL : OUT std_logic; ALMOST_EMPTY : OUT std_logic; RST : IN std_logic; PROG_FULL : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(24-1 DOWNTO 0); DOUT : OUT std_logic_vector(24-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin wr_clk_buf: bufg PORT map( i => WR_CLK, o => wr_clk_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => rd_clk_i ); exdes_inst : rawUVCfifo PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, VALID => valid, ALMOST_FULL => almost_full, ALMOST_EMPTY => almost_empty, RST => rst, PROG_FULL => prog_full, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
bsd-2-clause
rohit91/HDMI2USB
ipcore_dir/rawUVCfifo/simulation/rawUVCfifo_tb.vhd
3
6361
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rawUVCfifo_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.rawUVCfifo_pkg.ALL; ENTITY rawUVCfifo_tb IS END ENTITY; ARCHITECTURE rawUVCfifo_arch OF rawUVCfifo_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; CONSTANT rd_clk_period_by_2 : TIME := 200 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 200 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 400 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 4200 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from rawUVCfifo_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(4) = '1') THEN assert false report "Almost Full flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of rawUVCfifo_synth rawUVCfifo_synth_inst:rawUVCfifo_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 92 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
bsd-2-clause
rohit91/HDMI2USB
hdl/usb/cdc_in.vhd
3
8928
-- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- // Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// LIBRARY IEEE; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_misc.all; entity cdc_in is port ( -- USB signals ifclk : in std_logic; faddr : in std_logic_vector(1 downto 0); cdcin : in std_logic_vector(1 downto 0); slwr : out std_logic; pktend : out std_logic; fdata : out std_logic_vector(7 downto 0); cdc_in_free : out std_logic; -- EDID structure edid0_byte : in std_logic_vector(7 downto 0); edid0_byte_en : in std_logic; edid1_byte : in std_logic_vector(7 downto 0); edid1_byte_en : in std_logic; -- status inputs resX0 : in std_logic_vector(15 downto 0); resY0 : in std_logic_vector(15 downto 0); resX1 : in std_logic_vector(15 downto 0); resY1 : in std_logic_vector(15 downto 0); jpeg_error : in std_logic; rgb_de0 : in std_logic; -- to check activity on hdmi rgb_de1 : in std_logic; -- to check activity on hdmi -- command signals status : in std_logic_vector(4 downto 0); usb_cmd : in std_logic_vector(2 downto 0); -- UVCpayloadheader(0), raw/jpeg(1), uvc on/off(2) jpeg_encoder_cmd : in std_logic_vector(1 downto 0); -- encodingQuality(1 downto 0) selector_cmd : in std_logic_vector(12 downto 0); -- (1:0 source ) (2 gray/color) (3 inverted/not-inverted) (4:5 blue depth) (6:7 green depth) (8:9 red depth) (10 blue on/off) (11 green on/off) (12 red on/off) hdmi_cmd : in std_logic_vector(1 downto 0); -- if 1 then dvi else hdmi --debug debug_byte : in std_logic_vector(7 downto 0); debug_index : out integer range 0 to 15; -- clk,rst rst : in std_logic; clk : in std_logic ); end entity cdc_in; architecture rtl of cdc_in is --------- components COMPONENT edidram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; COMPONENT cdcfifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC ); END COMPONENT; --------- Signals signal edid_we : std_logic_vector(0 downto 0); signal edid_write_data : std_logic_vector(7 downto 0); signal edid_read_data : std_logic_vector(7 downto 0); signal edid_write_add_edid0 : std_logic_vector(6 downto 0); signal edid_write_add_edid1 : std_logic_vector(6 downto 0); signal edid_write_add : std_logic_vector(7 downto 0); signal edid_read_add : std_logic_vector(7 downto 0); signal status_q : std_logic_vector(4 downto 0); signal counter : std_logic_vector(8 downto 0); signal working : std_logic; signal din : std_logic_vector(7 DOWNTO 0); signal wr_en : std_logic; signal rd_en : std_logic; signal dout : std_logic_vector(7 DOWNTO 0); signal full : std_logic; signal almost_full : std_logic; signal empty : std_logic; signal almost_empty : std_logic; signal pktend_i : std_logic; begin -- of architecture debug_index <= conv_integer(unsigned(counter)); ----------- Sync Logic usbFifoProcess:process(rst,clk) begin if rst = '1' then working <= '0'; counter <= (others => '0'); edid_read_add <= (others => '0'); elsif rising_edge(clk) then wr_en <= '0'; if working = '0' then working <= or_reduce(status); status_q <= status; counter <= (others=>'0'); else -- write data to fifo counter <= counter + 1; if status_q(0) = '1' then -- USB wr_en <= '1'; din <= ("00000" & usb_cmd); working <= '0'; elsif status_q(1) = '1' then -- jpeg_encoder_cmd wr_en <= '1'; din <= ("000000" & jpeg_encoder_cmd); working <= '0'; elsif status_q(2) = '1' then -- selector_cmd if counter = 0 then wr_en <= '1'; din <= selector_cmd(7 downto 0); elsif counter = 1 then wr_en <= '1'; din <= ("000" & selector_cmd(12 downto 8)); elsif counter = 2 then working <= '0'; end if; elsif status_q(3) = '1' then -- hdmi_cmd_i if counter = 256 then wr_en <= '1'; din <= ("000000" & hdmi_cmd); elsif counter = 257 then wr_en <= '1'; din <= resX0(15 downto 8); elsif counter = 258 then wr_en <= '1'; din <= resX0(7 downto 0); elsif counter = 259 then wr_en <= '1'; din <= resY0(15 downto 8); elsif counter = 260 then wr_en <= '1'; din <= resY0(7 downto 0); elsif counter = 261 then wr_en <= '1'; din <= resX1(15 downto 8); elsif counter = 262 then wr_en <= '1'; din <= resX1(7 downto 0); elsif counter = 263 then wr_en <= '1'; din <= resY1(15 downto 8); elsif counter = 264 then wr_en <= '1'; din <= resY1(7 downto 0); elsif counter = 265 then working <= '0'; else edid_read_add <= counter(7 downto 0); din <= edid_read_data; wr_en <= '1'; end if; elsif status_q(4) = '1' then if counter = 14 then working <= '0'; else wr_en <= '1'; din <= debug_byte; end if; end if; end if; -- if working = '0' then end if;-- clk end process usbFifoProcess; usbProcess:process(rst,ifclk) begin if rst = '1' then cdc_in_free <= '1'; rd_en <= '0'; slwr <= '1'; pktend <= '1'; pktend_i <= '0'; elsif falling_edge(ifclk) then cdc_in_free <= '1'; rd_en <= '0'; slwr <= '1'; pktend <= '1'; pktend_i <= '0'; fdata <= dout; if faddr = cdcin then if empty = '0' then cdc_in_free <= '0'; rd_en <= '1'; slwr <= '0'; pktend_i <= '1'; elsif pktend_i = '1' then pktend <= '0'; cdc_in_free <= '0'; end if; end if; end if; end process usbProcess; edidprocess:process(rst,clk) begin if rst = '1' then edid_write_data <= (others => '0'); edid_write_add <= (others => '0'); edid_write_add_edid0 <= (others => '0'); edid_write_add_edid1 <= (others => '0'); edid_we <= "0"; elsif rising_edge(clk) then edid_we <= "0"; if edid0_byte_en = '1' then edid_we <= "1"; edid_write_data <= edid0_byte; edid_write_add <= ('0' & edid_write_add_edid0); edid_write_add_edid0 <= edid_write_add_edid0 + 1; elsif edid1_byte_en = '1' then edid_we <= "1"; edid_write_data <= edid1_byte; edid_write_add <= ('1' & edid_write_add_edid1); edid_write_add_edid1 <= edid_write_add_edid1 + 1; end if; end if; end process edidprocess; --------- components edidram_comp : edidram PORT MAP ( clka => clk, wea => edid_we, addra => edid_write_add, dina => edid_write_data, clkb => clk, addrb => edid_read_add, doutb => edid_read_data ); cdcfifo_comp : cdcfifo PORT MAP ( rst => rst, wr_clk => clk, rd_clk => ifclk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, almost_full => almost_full, empty => empty, almost_empty => almost_empty ); end architecture rtl;
bsd-2-clause
saidwivedi/Face-Recognition-Hardware
ANN_FPGA/ipcore_dir/weight_hid/simulation/weight_hid_synth.vhd
1
7904
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: weight_hid_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY weight_hid_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE weight_hid_synth_ARCH OF weight_hid_synth IS COMPONENT weight_hid_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(319 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(319 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(319 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(319 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(319 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 320, READ_WIDTH => 320 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: weight_hid_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
bsd-2-clause
saidwivedi/Face-Recognition-Hardware
ANN_FPGA/ipcore_dir/test_image/simulation/test_image_tb.vhd
1
4334
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: test_image_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY test_image_tb IS END ENTITY; ARCHITECTURE test_image_tb_ARCH OF test_image_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; test_image_synth_inst:ENTITY work.test_image_synth PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
bsd-2-clause
saidwivedi/Face-Recognition-Hardware
ANN_FPGA/ipcore_dir/weight_out/simulation/bmg_tb_pkg.vhd
101
6006
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Testbench Package -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_tb_pkg.vhd -- -- Description: -- BMG Testbench Package files -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE :STRING) RETURN STRING; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE :STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER; ------------------------ FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER; END BMG_TB_PKG; PACKAGE BODY BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER IS VARIABLE DIV : INTEGER; BEGIN DIV := DATA_VALUE/DIVISOR; IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN DIV := DIV+1; END IF; RETURN DIV; END DIVROUNDUP; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE : STD_LOGIC) RETURN STD_LOGIC IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER IS VARIABLE RETVAL : INTEGER := 0; BEGIN IF CONDITION=FALSE THEN RETVAL:=FALSE_CASE; ELSE RETVAL:=TRUE_CASE; END IF; RETURN RETVAL; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE : STRING) RETURN STRING IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; ------------------------------- FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER IS VARIABLE WIDTH : INTEGER := 0; VARIABLE CNT : INTEGER := 1; BEGIN IF (DATA_VALUE <= 1) THEN WIDTH := 1; ELSE WHILE (CNT < DATA_VALUE) LOOP WIDTH := WIDTH + 1; CNT := CNT *2; END LOOP; END IF; RETURN WIDTH; END LOG2ROUNDUP; END BMG_TB_PKG;
bsd-2-clause
tommylommykins/logipi-midi-player
hdl/buttons/button_pkg.vhd
1
2259
-- the logipi has only two discrete buttons. That is not very many. -- The code in this folder emulates the presence of more buttons by translating -- every character rxed by the UART into a strobe or a level change in a signal. -- -- The this package exports a type called button_arr which holds whether a -- button has been pressed (indicated by a single clock strobe) or whether a -- button has been toggled (indicated by a level change). By examining a signal -- of button_arr, it is thus possible to test for user input with expressions -- like this: 'if buttons(a).pressed then....' library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package button_pkg is -- Enumerate the characters that may be pressed type lowercase_enum is (a, b, c, d, e, f, g, h, i, j, k, l, m, n, o, p, q, r, s, t, u, v, w, x, y, z); type lowercase_to_ascii_arr is array (lowercase_enum'left to lowercase_enum'right) of character; -- There is no convenient direct way to get the ascii value of a lowercase, -- thus we must map it individually constant lowercase_to_ascii_mapping : lowercase_to_ascii_arr := ( a => 'a', b => 'b', c => 'c', d => 'd', e => 'e', f => 'f', g => 'g', h => 'h', i => 'i', j => 'j', k => 'k', l => 'l', m => 'm', n => 'n', o => 'o', p => 'p', q => 'q', r => 'r', s => 's', t => 't', u => 'u', v => 'v', w => 'w', x => 'x', y => 'y', z => 'z'); -- Convenience type for representing the data received from UART. subtype ascii_vector is std_logic_vector(7 downto 0); -- Elaboration-only function. -- Maps lowercase_enum into its corresponding ascii value. function to_ascii_vector(char : in lowercase_enum) return ascii_vector; type button_output is record pressed : std_logic; toggle : std_logic; end record; type button_arr is array (lowercase_enum'left to lowercase_enum'right) of button_output; end; package body button_pkg is function to_ascii_vector(char : in lowercase_enum) return ascii_vector is begin return std_logic_vector( to_unsigned(character'pos(lowercase_to_ascii_mapping(char)), 8)); end; end;
bsd-2-clause
tommylommykins/logipi-midi-player
hdl/midi/midi_top.vhd
1
6362
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library virtual_button_lib; use virtual_button_lib.constants.all; use virtual_button_lib.utils.all; use virtual_button_lib.button_pkg.all; use virtual_button_lib.midi_pkg.all; entity midi_top is port( ctrl : in ctrl_t; buttons : in button_arr; enqueue : in std_logic; write_in_data : in std_logic_vector(7 downto 0); midi_nos : out midi_note_arr_t; empty : out std_logic; full : out std_logic; enable_decoder : out std_logic; errors : out errors_t; contents_count : out natural range 0 to midi_file_rx_bram_depth ); end; architecture rtl of midi_top is signal contents_count_int : natural range 0 to midi_file_rx_bram_depth; signal midi_nos_int : midi_note_arr_t; signal read_addr : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0); signal read_addr_track_dec : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0); signal read_addr_midi_dec : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0); signal midi_ram_data : std_logic_vector(7 downto 0); signal chunk_data : chunk_data_t_arr; signal num_chunks : integer range 0 to max_num_tracks - 1; signal playing_en : std_logic; --constant midi_pulse_time : time := 1 us; constant midi_pulse_time : time := 5 ms; constant midi_pulse_clocks : integer := midi_pulse_time / clk_period; constant midi_pulse_time_faster : time := 3 ms; constant midi_pulse_faster_clocks : integer := midi_pulse_time / clk_period; constant midi_pulse_time_fastest : time := 1 ms; constant midi_pulse_fastest_clocks : integer := midi_pulse_time / clk_period; signal midi_pulse_counter : integer range 0 to midi_pulse_clocks - 1; signal midi_pulse_limit : integer range 0 to midi_pulse_clocks - 1; signal midi_pulses : midi_pulse_arr; signal midi_pulse_acks : midi_pulse_arr; -- midi ram signals constant max_read_bytes : integer := 10; signal read_start_addr : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0); signal read_num_bytes : integer range 0 to max_read_bytes; signal read_en : std_logic; signal read_busy : std_logic; signal midi_ram_out : std_logic_vector((max_read_bytes * 8) - 1 downto 0); -- midi ram signals from midi decoder signal read_start_addr_midi_dec : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0); signal read_num_bytes_midi_dec : integer range 0 to max_read_bytes; signal read_en_midi_dec : std_logic; -- midi ram signals from track decoder signal read_start_addr_track_dec : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0); signal read_num_bytes_track_dec : integer range 0 to max_read_bytes; signal read_en_track_dec : std_logic; begin contents_count <= contents_count_int; midi_nos <= midi_nos_int; midi_ram_top_1 : entity work.midi_ram_top generic map ( max_read_bytes => max_read_bytes, queue_width => 8) port map ( ctrl => ctrl, enqueue => enqueue, write_in_data => write_in_data, empty => empty, full => full, contents_count => contents_count_int, read_start_addr => read_start_addr, read_num_bytes => read_num_bytes, read_en => read_en, read_busy => read_busy, midi_ram_out => midi_ram_out); midi_decoder_1 : entity work.midi_decoder generic map( max_read_bytes => max_read_bytes ) port map ( ctrl => ctrl, buttons => buttons, -- ram read interface read_start_addr => read_start_addr_midi_dec, read_num_bytes => read_num_bytes_midi_dec, read_en => read_en_midi_dec, read_busy => read_busy, midi_ram_out => midi_ram_out, contents_count => contents_count_int, chunk_data => chunk_data, num_chunks => num_chunks, enable_decoder => enable_decoder, errors => errors, playing_en => playing_en ); track_decoder_1 : entity work.track_decoder generic map ( max_read_bytes => 10 ) port map ( ctrl => ctrl, midi_pulses => midi_pulses, midi_pulse_acks => midi_pulse_acks, playing_en => playing_en, chunk_data => chunk_data, num_chunks => num_chunks, -- ram read interface read_start_addr => read_start_addr_track_dec, read_num_bytes => read_num_bytes_track_dec, read_en => read_en_track_dec, read_busy => read_busy, midi_ram_out => midi_ram_out, midi_nos => midi_nos_int ); read_start_addr <= read_start_addr_midi_dec when playing_en = '0' else read_start_addr_track_dec; read_num_bytes <= read_num_bytes_midi_dec when playing_en = '0' else read_num_bytes_track_dec; read_en <= read_en_midi_dec when playing_en = '0' else read_en_track_dec; gen_midi_pulse_strobe : process(ctrl.clk) begin if rising_edge(ctrl.clk) then if ctrl.reset_n = '0' then midi_pulse_limit <= midi_pulse_clocks - 1; for i in 1 to max_num_tracks - 1 loop midi_pulses(i) <= '0'; end loop; else if buttons(z).pressed = '1' then midi_pulse_limit <= midi_pulse_clocks - 1; elsif buttons(x).pressed = '1' then midi_pulse_limit <= midi_pulse_faster_clocks - 1; elsif buttons(c).pressed = '1' then midi_pulse_limit <= midi_pulse_faster_clocks - 1; end if; for i in 1 to max_num_tracks - 1 loop if midi_pulse_acks(i) = '1' then midi_pulses(i) <= '0'; end if; end loop; if midi_pulse_counter = midi_pulse_clocks - 1 then midi_pulse_counter <= 0; for i in 1 to max_num_tracks - 1 loop midi_pulses(i) <= '1'; end loop; else midi_pulse_counter <= midi_pulse_counter + 1; end if; end if; end if; end process; end;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/altmult_add/_primary.vhd
1
33686
library verilog; use verilog.vl_types.all; entity altmult_add is generic( width_a : integer := 16; width_b : integer := 16; width_c : integer := 22; width_result : integer := 34; number_of_multipliers: integer := 1; lpm_type : string := "altmult_add"; lpm_hint : string := "UNUSED"; multiplier1_direction: string := "UNUSED"; multiplier3_direction: string := "UNUSED"; input_register_a0: string := "CLOCK0"; input_aclr_a0 : string := "ACLR3"; input_source_a0 : string := "DATAA"; input_register_a1: string := "CLOCK0"; input_aclr_a1 : string := "ACLR3"; input_source_a1 : string := "DATAA"; input_register_a2: string := "CLOCK0"; input_aclr_a2 : string := "ACLR3"; input_source_a2 : string := "DATAA"; input_register_a3: string := "CLOCK0"; input_aclr_a3 : string := "ACLR3"; input_source_a3 : string := "DATAA"; port_signa : string := "PORT_CONNECTIVITY"; representation_a: string := "UNSIGNED"; signed_register_a: string := "CLOCK0"; signed_aclr_a : string := "ACLR3"; signed_pipeline_register_a: string := "CLOCK0"; signed_pipeline_aclr_a: string := "ACLR3"; scanouta_register: string := "UNREGISTERED"; scanouta_aclr : string := "NONE"; input_register_b0: string := "CLOCK0"; input_aclr_b0 : string := "ACLR3"; input_source_b0 : string := "DATAB"; input_register_b1: string := "CLOCK0"; input_aclr_b1 : string := "ACLR3"; input_source_b1 : string := "DATAB"; input_register_b2: string := "CLOCK0"; input_aclr_b2 : string := "ACLR3"; input_source_b2 : string := "DATAB"; input_register_b3: string := "CLOCK0"; input_aclr_b3 : string := "ACLR3"; input_source_b3 : string := "DATAB"; port_signb : string := "PORT_CONNECTIVITY"; representation_b: string := "UNSIGNED"; signed_register_b: string := "CLOCK0"; signed_aclr_b : string := "ACLR3"; signed_pipeline_register_b: string := "CLOCK0"; signed_pipeline_aclr_b: string := "ACLR3"; input_register_c0: string := "CLOCK0"; input_aclr_c0 : string := "ACLR0"; input_register_c1: string := "CLOCK0"; input_aclr_c1 : string := "ACLR0"; input_register_c2: string := "CLOCK0"; input_aclr_c2 : string := "ACLR0"; input_register_c3: string := "CLOCK0"; input_aclr_c3 : string := "ACLR0"; multiplier_register0: string := "CLOCK0"; multiplier_aclr0: string := "ACLR3"; multiplier_register1: string := "CLOCK0"; multiplier_aclr1: string := "ACLR3"; multiplier_register2: string := "CLOCK0"; multiplier_aclr2: string := "ACLR3"; multiplier_register3: string := "CLOCK0"; multiplier_aclr3: string := "ACLR3"; port_addnsub1 : string := "PORT_CONNECTIVITY"; addnsub_multiplier_register1: string := "CLOCK0"; addnsub_multiplier_aclr1: string := "ACLR3"; addnsub_multiplier_pipeline_register1: string := "CLOCK0"; addnsub_multiplier_pipeline_aclr1: string := "ACLR3"; port_addnsub3 : string := "PORT_CONNECTIVITY"; addnsub_multiplier_register3: string := "CLOCK0"; addnsub_multiplier_aclr3: string := "ACLR3"; addnsub_multiplier_pipeline_register3: string := "CLOCK0"; addnsub_multiplier_pipeline_aclr3: string := "ACLR3"; addnsub1_round_aclr: string := "ACLR3"; addnsub1_round_pipeline_aclr: string := "ACLR3"; addnsub1_round_register: string := "CLOCK0"; addnsub1_round_pipeline_register: string := "CLOCK0"; addnsub3_round_aclr: string := "ACLR3"; addnsub3_round_pipeline_aclr: string := "ACLR3"; addnsub3_round_register: string := "CLOCK0"; addnsub3_round_pipeline_register: string := "CLOCK0"; mult01_round_aclr: string := "ACLR3"; mult01_round_register: string := "CLOCK0"; mult01_saturation_register: string := "CLOCK0"; mult01_saturation_aclr: string := "ACLR3"; mult23_round_register: string := "CLOCK0"; mult23_round_aclr: string := "ACLR3"; mult23_saturation_register: string := "CLOCK0"; mult23_saturation_aclr: string := "ACLR3"; multiplier01_rounding: string := "NO"; multiplier01_saturation: string := "NO"; multiplier23_rounding: string := "NO"; multiplier23_saturation: string := "NO"; adder1_rounding : string := "NO"; adder3_rounding : string := "NO"; port_mult0_is_saturated: string := "UNUSED"; port_mult1_is_saturated: string := "UNUSED"; port_mult2_is_saturated: string := "UNUSED"; port_mult3_is_saturated: string := "UNUSED"; output_rounding : string := "NO"; output_round_type: string := "NEAREST_INTEGER"; width_msb : integer := 17; output_round_register: string := "UNREGISTERED"; output_round_aclr: string := "NONE"; output_round_pipeline_register: string := "UNREGISTERED"; output_round_pipeline_aclr: string := "NONE"; chainout_rounding: string := "NO"; chainout_round_register: string := "UNREGISTERED"; chainout_round_aclr: string := "NONE"; chainout_round_pipeline_register: string := "UNREGISTERED"; chainout_round_pipeline_aclr: string := "NONE"; chainout_round_output_register: string := "UNREGISTERED"; chainout_round_output_aclr: string := "NONE"; port_output_is_overflow: string := "PORT_UNUSED"; port_chainout_sat_is_overflow: string := "PORT_UNUSED"; output_saturation: string := "NO"; output_saturate_type: string := "ASYMMETRIC"; width_saturate_sign: integer := 1; output_saturate_register: string := "UNREGISTERED"; output_saturate_aclr: string := "NONE"; output_saturate_pipeline_register: string := "UNREGISTERED"; output_saturate_pipeline_aclr: string := "NONE"; chainout_saturation: string := "NO"; chainout_saturate_register: string := "UNREGISTERED"; chainout_saturate_aclr: string := "NONE"; chainout_saturate_pipeline_register: string := "UNREGISTERED"; chainout_saturate_pipeline_aclr: string := "NONE"; chainout_saturate_output_register: string := "UNREGISTERED"; chainout_saturate_output_aclr: string := "NONE"; chainout_adder : string := "NO"; chainout_register: string := "UNREGISTERED"; chainout_aclr : string := "ACLR3"; width_chainin : integer := 1; zero_chainout_output_register: string := "UNREGISTERED"; zero_chainout_output_aclr: string := "NONE"; shift_mode : string := "NO"; rotate_aclr : string := "NONE"; rotate_register : string := "UNREGISTERED"; rotate_pipeline_register: string := "UNREGISTERED"; rotate_pipeline_aclr: string := "NONE"; rotate_output_register: string := "UNREGISTERED"; rotate_output_aclr: string := "NONE"; shift_right_register: string := "UNREGISTERED"; shift_right_aclr: string := "NONE"; shift_right_pipeline_register: string := "UNREGISTERED"; shift_right_pipeline_aclr: string := "NONE"; shift_right_output_register: string := "UNREGISTERED"; shift_right_output_aclr: string := "NONE"; zero_loopback_register: string := "UNREGISTERED"; zero_loopback_aclr: string := "NONE"; zero_loopback_pipeline_register: string := "UNREGISTERED"; zero_loopback_pipeline_aclr: string := "NONE"; zero_loopback_output_register: string := "UNREGISTERED"; zero_loopback_output_aclr: string := "NONE"; accum_sload_register: string := "UNREGISTERED"; accum_sload_aclr: string := "NONE"; accum_sload_pipeline_register: string := "UNREGISTERED"; accum_sload_pipeline_aclr: string := "NONE"; accum_direction : string := "ADD"; accumulator : string := "NO"; preadder_mode : string := "SIMPLE"; loadconst_value : integer := 0; width_coef : integer := 0; loadconst_control_register: string := "CLOCK0"; loadconst_control_aclr: string := "ACLR0"; coefsel0_register: string := "CLOCK0"; coefsel1_register: string := "CLOCK0"; coefsel2_register: string := "CLOCK0"; coefsel3_register: string := "CLOCK0"; coefsel0_aclr : string := "ACLR0"; coefsel1_aclr : string := "ACLR0"; coefsel2_aclr : string := "ACLR0"; coefsel3_aclr : string := "ACLR0"; preadder_direction_0: string := "ADD"; preadder_direction_1: string := "ADD"; preadder_direction_2: string := "ADD"; preadder_direction_3: string := "ADD"; systolic_delay1 : string := "UNREGISTERED"; systolic_delay3 : string := "UNREGISTERED"; systolic_aclr1 : string := "NONE"; systolic_aclr3 : string := "NONE"; coef0_0 : integer := 0; coef0_1 : integer := 0; coef0_2 : integer := 0; coef0_3 : integer := 0; coef0_4 : integer := 0; coef0_5 : integer := 0; coef0_6 : integer := 0; coef0_7 : integer := 0; coef1_0 : integer := 0; coef1_1 : integer := 0; coef1_2 : integer := 0; coef1_3 : integer := 0; coef1_4 : integer := 0; coef1_5 : integer := 0; coef1_6 : integer := 0; coef1_7 : integer := 0; coef2_0 : integer := 0; coef2_1 : integer := 0; coef2_2 : integer := 0; coef2_3 : integer := 0; coef2_4 : integer := 0; coef2_5 : integer := 0; coef2_6 : integer := 0; coef2_7 : integer := 0; coef3_0 : integer := 0; coef3_1 : integer := 0; coef3_2 : integer := 0; coef3_3 : integer := 0; coef3_4 : integer := 0; coef3_5 : integer := 0; coef3_6 : integer := 0; coef3_7 : integer := 0; output_register : string := "CLOCK0"; output_aclr : string := "ACLR3"; extra_latency : integer := 0; dedicated_multiplier_circuitry: string := "AUTO"; dsp_block_balancing: string := "AUTO"; intended_device_family: string := "Stratix"; int_width_c : vl_notype; int_width_preadder: vl_notype; int_width_a : vl_notype; int_width_b : vl_notype; int_width_multiply_b: vl_notype; int_width_result: vl_notype; mult_b_pre_width: vl_notype; int_mult_diff_bit: vl_notype; int_mult_diff_bit_loopbk: vl_notype; sat_ini_value : vl_notype; round_position : vl_notype; chainout_round_position: vl_notype; saturation_position: vl_notype; chainout_saturation_position: vl_notype; result_msb_stxiii: vl_notype; result_msb : vl_notype; shift_partition : vl_notype; shift_msb : vl_notype; sat_msb : vl_notype; chainout_sat_msb: vl_notype; chainout_input_a: vl_notype; chainout_input_b: vl_notype; mult_res_pad : vl_notype; result_pad : vl_notype; result_stxiii_pad: vl_notype; loopback_input_pad: vl_notype; loopback_lower_bound: vl_notype; accum_width : vl_notype; feedback_width : vl_notype; lower_range : vl_notype; addsub1_clr : vl_notype; addsub3_clr : vl_notype; lsb_position : vl_notype; extra_sign_bit_width: vl_notype; bit_position : vl_notype ); port( dataa : in vl_logic_vector; datab : in vl_logic_vector; datac : in vl_logic_vector; scanina : in vl_logic_vector; scaninb : in vl_logic_vector; sourcea : in vl_logic_vector; sourceb : in vl_logic_vector; clock3 : in vl_logic; clock2 : in vl_logic; clock1 : in vl_logic; clock0 : in vl_logic; aclr3 : in vl_logic; aclr2 : in vl_logic; aclr1 : in vl_logic; aclr0 : in vl_logic; ena3 : in vl_logic; ena2 : in vl_logic; ena1 : in vl_logic; ena0 : in vl_logic; signa : in vl_logic; signb : in vl_logic; addnsub1 : in vl_logic; addnsub3 : in vl_logic; result : out vl_logic_vector; scanouta : out vl_logic_vector; scanoutb : out vl_logic_vector; mult01_round : in vl_logic; mult23_round : in vl_logic; mult01_saturation: in vl_logic; mult23_saturation: in vl_logic; addnsub1_round : in vl_logic; addnsub3_round : in vl_logic; mult0_is_saturated: out vl_logic; mult1_is_saturated: out vl_logic; mult2_is_saturated: out vl_logic; mult3_is_saturated: out vl_logic; output_round : in vl_logic; chainout_round : in vl_logic; output_saturate : in vl_logic; chainout_saturate: in vl_logic; overflow : out vl_logic; chainout_sat_overflow: out vl_logic; chainin : in vl_logic_vector; zero_chainout : in vl_logic; rotate : in vl_logic; shift_right : in vl_logic; zero_loopback : in vl_logic; accum_sload : in vl_logic; coefsel0 : in vl_logic_vector(2 downto 0); coefsel1 : in vl_logic_vector(2 downto 0); coefsel2 : in vl_logic_vector(2 downto 0); coefsel3 : in vl_logic_vector(2 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_a : constant is 1; attribute mti_svvh_generic_type of width_b : constant is 1; attribute mti_svvh_generic_type of width_c : constant is 1; attribute mti_svvh_generic_type of width_result : constant is 1; attribute mti_svvh_generic_type of number_of_multipliers : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of multiplier1_direction : constant is 1; attribute mti_svvh_generic_type of multiplier3_direction : constant is 1; attribute mti_svvh_generic_type of input_register_a0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1; attribute mti_svvh_generic_type of input_source_a0 : constant is 1; attribute mti_svvh_generic_type of input_register_a1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1; attribute mti_svvh_generic_type of input_source_a1 : constant is 1; attribute mti_svvh_generic_type of input_register_a2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1; attribute mti_svvh_generic_type of input_source_a2 : constant is 1; attribute mti_svvh_generic_type of input_register_a3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1; attribute mti_svvh_generic_type of input_source_a3 : constant is 1; attribute mti_svvh_generic_type of port_signa : constant is 1; attribute mti_svvh_generic_type of representation_a : constant is 1; attribute mti_svvh_generic_type of signed_register_a : constant is 1; attribute mti_svvh_generic_type of signed_aclr_a : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1; attribute mti_svvh_generic_type of scanouta_register : constant is 1; attribute mti_svvh_generic_type of scanouta_aclr : constant is 1; attribute mti_svvh_generic_type of input_register_b0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1; attribute mti_svvh_generic_type of input_source_b0 : constant is 1; attribute mti_svvh_generic_type of input_register_b1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1; attribute mti_svvh_generic_type of input_source_b1 : constant is 1; attribute mti_svvh_generic_type of input_register_b2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1; attribute mti_svvh_generic_type of input_source_b2 : constant is 1; attribute mti_svvh_generic_type of input_register_b3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1; attribute mti_svvh_generic_type of input_source_b3 : constant is 1; attribute mti_svvh_generic_type of port_signb : constant is 1; attribute mti_svvh_generic_type of representation_b : constant is 1; attribute mti_svvh_generic_type of signed_register_b : constant is 1; attribute mti_svvh_generic_type of signed_aclr_b : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1; attribute mti_svvh_generic_type of input_register_c0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1; attribute mti_svvh_generic_type of input_register_c1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1; attribute mti_svvh_generic_type of input_register_c2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1; attribute mti_svvh_generic_type of input_register_c3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1; attribute mti_svvh_generic_type of multiplier_register0 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1; attribute mti_svvh_generic_type of multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of multiplier_register2 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1; attribute mti_svvh_generic_type of multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of port_addnsub1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1; attribute mti_svvh_generic_type of port_addnsub3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1; attribute mti_svvh_generic_type of mult01_round_register : constant is 1; attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1; attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1; attribute mti_svvh_generic_type of mult23_round_register : constant is 1; attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1; attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1; attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1; attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1; attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1; attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1; attribute mti_svvh_generic_type of adder1_rounding : constant is 1; attribute mti_svvh_generic_type of adder3_rounding : constant is 1; attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1; attribute mti_svvh_generic_type of output_rounding : constant is 1; attribute mti_svvh_generic_type of output_round_type : constant is 1; attribute mti_svvh_generic_type of width_msb : constant is 1; attribute mti_svvh_generic_type of output_round_register : constant is 1; attribute mti_svvh_generic_type of output_round_aclr : constant is 1; attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_rounding : constant is 1; attribute mti_svvh_generic_type of chainout_round_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1; attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1; attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1; attribute mti_svvh_generic_type of output_saturation : constant is 1; attribute mti_svvh_generic_type of output_saturate_type : constant is 1; attribute mti_svvh_generic_type of width_saturate_sign : constant is 1; attribute mti_svvh_generic_type of output_saturate_register : constant is 1; attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1; attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturation : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_adder : constant is 1; attribute mti_svvh_generic_type of chainout_register : constant is 1; attribute mti_svvh_generic_type of chainout_aclr : constant is 1; attribute mti_svvh_generic_type of width_chainin : constant is 1; attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1; attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1; attribute mti_svvh_generic_type of shift_mode : constant is 1; attribute mti_svvh_generic_type of rotate_aclr : constant is 1; attribute mti_svvh_generic_type of rotate_register : constant is 1; attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of rotate_output_register : constant is 1; attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_register : constant is 1; attribute mti_svvh_generic_type of shift_right_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1; attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_output_register : constant is 1; attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1; attribute mti_svvh_generic_type of accum_sload_register : constant is 1; attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1; attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1; attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of accum_direction : constant is 1; attribute mti_svvh_generic_type of accumulator : constant is 1; attribute mti_svvh_generic_type of preadder_mode : constant is 1; attribute mti_svvh_generic_type of loadconst_value : constant is 1; attribute mti_svvh_generic_type of width_coef : constant is 1; attribute mti_svvh_generic_type of loadconst_control_register : constant is 1; attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel0_register : constant is 1; attribute mti_svvh_generic_type of coefsel1_register : constant is 1; attribute mti_svvh_generic_type of coefsel2_register : constant is 1; attribute mti_svvh_generic_type of coefsel3_register : constant is 1; attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1; attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1; attribute mti_svvh_generic_type of systolic_delay1 : constant is 1; attribute mti_svvh_generic_type of systolic_delay3 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1; attribute mti_svvh_generic_type of coef0_0 : constant is 1; attribute mti_svvh_generic_type of coef0_1 : constant is 1; attribute mti_svvh_generic_type of coef0_2 : constant is 1; attribute mti_svvh_generic_type of coef0_3 : constant is 1; attribute mti_svvh_generic_type of coef0_4 : constant is 1; attribute mti_svvh_generic_type of coef0_5 : constant is 1; attribute mti_svvh_generic_type of coef0_6 : constant is 1; attribute mti_svvh_generic_type of coef0_7 : constant is 1; attribute mti_svvh_generic_type of coef1_0 : constant is 1; attribute mti_svvh_generic_type of coef1_1 : constant is 1; attribute mti_svvh_generic_type of coef1_2 : constant is 1; attribute mti_svvh_generic_type of coef1_3 : constant is 1; attribute mti_svvh_generic_type of coef1_4 : constant is 1; attribute mti_svvh_generic_type of coef1_5 : constant is 1; attribute mti_svvh_generic_type of coef1_6 : constant is 1; attribute mti_svvh_generic_type of coef1_7 : constant is 1; attribute mti_svvh_generic_type of coef2_0 : constant is 1; attribute mti_svvh_generic_type of coef2_1 : constant is 1; attribute mti_svvh_generic_type of coef2_2 : constant is 1; attribute mti_svvh_generic_type of coef2_3 : constant is 1; attribute mti_svvh_generic_type of coef2_4 : constant is 1; attribute mti_svvh_generic_type of coef2_5 : constant is 1; attribute mti_svvh_generic_type of coef2_6 : constant is 1; attribute mti_svvh_generic_type of coef2_7 : constant is 1; attribute mti_svvh_generic_type of coef3_0 : constant is 1; attribute mti_svvh_generic_type of coef3_1 : constant is 1; attribute mti_svvh_generic_type of coef3_2 : constant is 1; attribute mti_svvh_generic_type of coef3_3 : constant is 1; attribute mti_svvh_generic_type of coef3_4 : constant is 1; attribute mti_svvh_generic_type of coef3_5 : constant is 1; attribute mti_svvh_generic_type of coef3_6 : constant is 1; attribute mti_svvh_generic_type of coef3_7 : constant is 1; attribute mti_svvh_generic_type of output_register : constant is 1; attribute mti_svvh_generic_type of output_aclr : constant is 1; attribute mti_svvh_generic_type of extra_latency : constant is 1; attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1; attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1; attribute mti_svvh_generic_type of intended_device_family : constant is 1; attribute mti_svvh_generic_type of int_width_c : constant is 3; attribute mti_svvh_generic_type of int_width_preadder : constant is 3; attribute mti_svvh_generic_type of int_width_a : constant is 3; attribute mti_svvh_generic_type of int_width_b : constant is 3; attribute mti_svvh_generic_type of int_width_multiply_b : constant is 3; attribute mti_svvh_generic_type of int_width_result : constant is 3; attribute mti_svvh_generic_type of mult_b_pre_width : constant is 3; attribute mti_svvh_generic_type of int_mult_diff_bit : constant is 3; attribute mti_svvh_generic_type of int_mult_diff_bit_loopbk : constant is 3; attribute mti_svvh_generic_type of sat_ini_value : constant is 3; attribute mti_svvh_generic_type of round_position : constant is 3; attribute mti_svvh_generic_type of chainout_round_position : constant is 3; attribute mti_svvh_generic_type of saturation_position : constant is 3; attribute mti_svvh_generic_type of chainout_saturation_position : constant is 3; attribute mti_svvh_generic_type of result_msb_stxiii : constant is 3; attribute mti_svvh_generic_type of result_msb : constant is 3; attribute mti_svvh_generic_type of shift_partition : constant is 3; attribute mti_svvh_generic_type of shift_msb : constant is 3; attribute mti_svvh_generic_type of sat_msb : constant is 3; attribute mti_svvh_generic_type of chainout_sat_msb : constant is 3; attribute mti_svvh_generic_type of chainout_input_a : constant is 3; attribute mti_svvh_generic_type of chainout_input_b : constant is 3; attribute mti_svvh_generic_type of mult_res_pad : constant is 3; attribute mti_svvh_generic_type of result_pad : constant is 3; attribute mti_svvh_generic_type of result_stxiii_pad : constant is 3; attribute mti_svvh_generic_type of loopback_input_pad : constant is 3; attribute mti_svvh_generic_type of loopback_lower_bound : constant is 3; attribute mti_svvh_generic_type of accum_width : constant is 3; attribute mti_svvh_generic_type of feedback_width : constant is 3; attribute mti_svvh_generic_type of lower_range : constant is 3; attribute mti_svvh_generic_type of addsub1_clr : constant is 3; attribute mti_svvh_generic_type of addsub3_clr : constant is 3; attribute mti_svvh_generic_type of lsb_position : constant is 3; attribute mti_svvh_generic_type of extra_sign_bit_width : constant is 3; attribute mti_svvh_generic_type of bit_position : constant is 3; end altmult_add;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/altparallel_flash_loader/_primary.vhd
1
6889
library verilog; use verilog.vl_types.all; entity altparallel_flash_loader is generic( EXTRA_ADDR_BYTE : integer := 0; FEATURES_CFG : integer := 1; PAGE_CLK_DIVISOR: integer := 1; BURST_MODE_SPANSION: integer := 0; ENHANCED_FLASH_PROGRAMMING: integer := 0; FLASH_ECC_CHECKBOX: integer := 0; FLASH_NRESET_COUNTER: integer := 1; PAGE_MODE : integer := 0; NRB_ADDR : integer := 65667072; BURST_MODE : integer := 0; SAFE_MODE_REVERT_ADDR: integer := 0; US_UNIT_COUNTER : integer := 1; FIFO_SIZE : integer := 16; CONF_DATA_WIDTH : integer := 1; CONF_WAIT_TIMER_WIDTH: integer := 14; NFLASH_MFC : string := "NUMONYX"; OPTION_BITS_START_ADDRESS: integer := 0; SAFE_MODE_RETRY : integer := 1; DCLK_DIVISOR : integer := 1; FLASH_TYPE : string := "CFI_FLASH"; N_FLASH : integer := 1; FLASH_BURST_EXTRA_CYCLE: integer := 0; TRISTATE_CHECKBOX: integer := 0; QFLASH_MFC : string := "ALTERA"; FEATURES_PGM : integer := 1; DISABLE_CRC_CHECKBOX: integer := 0; FLASH_DATA_WIDTH: integer := 16; RSU_WATCHDOG_COUNTER: integer := 100000000; PFL_RSU_WATCHDOG_ENABLED: integer := 0; SAFE_MODE_HALT : integer := 0; ADDR_WIDTH : integer := 20; NAND_SIZE : integer := 67108864; NORMAL_MODE : integer := 1; FLASH_NRESET_CHECKBOX: integer := 0; SAFE_MODE_REVERT: integer := 0; LPM_TYPE : string := "ALTPARALLEL_FLASH_LOADER"; AUTO_RESTART : string := "OFF"; CLK_DIVISOR : integer := 1; BURST_MODE_INTEL: integer := 0; BURST_MODE_NUMONYX: integer := 0; DECOMPRESSOR_MODE: string := "NONE"; PFL_QUAD_IO_FLASH_IR_BITS: integer := 8; PFL_CFI_FLASH_IR_BITS: integer := 5; PFL_NAND_FLASH_IR_BITS: integer := 4; N_FLASH_BITS : integer := 4 ); port( flash_nce : out vl_logic_vector; fpga_data : out vl_logic_vector; fpga_dclk : out vl_logic; fpga_nstatus : in vl_logic; flash_ale : out vl_logic; pfl_clk : in vl_logic; fpga_nconfig : out vl_logic; flash_io2 : inout vl_logic_vector; flash_sck : out vl_logic_vector; flash_noe : out vl_logic; flash_nwe : out vl_logic; pfl_watchdog_error: out vl_logic; pfl_reset_watchdog: in vl_logic; fpga_conf_done : in vl_logic; flash_rdy : in vl_logic; pfl_flash_access_granted: in vl_logic; pfl_nreconfigure: in vl_logic; flash_cle : out vl_logic; flash_nreset : out vl_logic; flash_io0 : inout vl_logic_vector; pfl_nreset : in vl_logic; flash_data : inout vl_logic_vector; flash_io1 : inout vl_logic_vector; flash_nadv : out vl_logic; flash_clk : out vl_logic; flash_io3 : inout vl_logic_vector; flash_io : inout vl_logic_vector(7 downto 0); flash_addr : out vl_logic_vector; pfl_flash_access_request: out vl_logic; flash_ncs : out vl_logic_vector; fpga_pgm : in vl_logic_vector(2 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of EXTRA_ADDR_BYTE : constant is 1; attribute mti_svvh_generic_type of FEATURES_CFG : constant is 1; attribute mti_svvh_generic_type of PAGE_CLK_DIVISOR : constant is 1; attribute mti_svvh_generic_type of BURST_MODE_SPANSION : constant is 1; attribute mti_svvh_generic_type of ENHANCED_FLASH_PROGRAMMING : constant is 1; attribute mti_svvh_generic_type of FLASH_ECC_CHECKBOX : constant is 1; attribute mti_svvh_generic_type of FLASH_NRESET_COUNTER : constant is 1; attribute mti_svvh_generic_type of PAGE_MODE : constant is 1; attribute mti_svvh_generic_type of NRB_ADDR : constant is 1; attribute mti_svvh_generic_type of BURST_MODE : constant is 1; attribute mti_svvh_generic_type of SAFE_MODE_REVERT_ADDR : constant is 1; attribute mti_svvh_generic_type of US_UNIT_COUNTER : constant is 1; attribute mti_svvh_generic_type of FIFO_SIZE : constant is 1; attribute mti_svvh_generic_type of CONF_DATA_WIDTH : constant is 1; attribute mti_svvh_generic_type of CONF_WAIT_TIMER_WIDTH : constant is 1; attribute mti_svvh_generic_type of NFLASH_MFC : constant is 1; attribute mti_svvh_generic_type of OPTION_BITS_START_ADDRESS : constant is 1; attribute mti_svvh_generic_type of SAFE_MODE_RETRY : constant is 1; attribute mti_svvh_generic_type of DCLK_DIVISOR : constant is 1; attribute mti_svvh_generic_type of FLASH_TYPE : constant is 1; attribute mti_svvh_generic_type of N_FLASH : constant is 1; attribute mti_svvh_generic_type of FLASH_BURST_EXTRA_CYCLE : constant is 1; attribute mti_svvh_generic_type of TRISTATE_CHECKBOX : constant is 1; attribute mti_svvh_generic_type of QFLASH_MFC : constant is 1; attribute mti_svvh_generic_type of FEATURES_PGM : constant is 1; attribute mti_svvh_generic_type of DISABLE_CRC_CHECKBOX : constant is 1; attribute mti_svvh_generic_type of FLASH_DATA_WIDTH : constant is 1; attribute mti_svvh_generic_type of RSU_WATCHDOG_COUNTER : constant is 1; attribute mti_svvh_generic_type of PFL_RSU_WATCHDOG_ENABLED : constant is 1; attribute mti_svvh_generic_type of SAFE_MODE_HALT : constant is 1; attribute mti_svvh_generic_type of ADDR_WIDTH : constant is 1; attribute mti_svvh_generic_type of NAND_SIZE : constant is 1; attribute mti_svvh_generic_type of NORMAL_MODE : constant is 1; attribute mti_svvh_generic_type of FLASH_NRESET_CHECKBOX : constant is 1; attribute mti_svvh_generic_type of SAFE_MODE_REVERT : constant is 1; attribute mti_svvh_generic_type of LPM_TYPE : constant is 1; attribute mti_svvh_generic_type of AUTO_RESTART : constant is 1; attribute mti_svvh_generic_type of CLK_DIVISOR : constant is 1; attribute mti_svvh_generic_type of BURST_MODE_INTEL : constant is 1; attribute mti_svvh_generic_type of BURST_MODE_NUMONYX : constant is 1; attribute mti_svvh_generic_type of DECOMPRESSOR_MODE : constant is 1; attribute mti_svvh_generic_type of PFL_QUAD_IO_FLASH_IR_BITS : constant is 1; attribute mti_svvh_generic_type of PFL_CFI_FLASH_IR_BITS : constant is 1; attribute mti_svvh_generic_type of PFL_NAND_FLASH_IR_BITS : constant is 1; attribute mti_svvh_generic_type of N_FLASH_BITS : constant is 1; end altparallel_flash_loader;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/@m@f_cycloneiiigl_scale_cntr/_primary.vhd
1
572
library verilog; use verilog.vl_types.all; entity MF_cycloneiiigl_scale_cntr is port( clk : in vl_logic; reset : in vl_logic; cout : out vl_logic; high : in vl_logic_vector(31 downto 0); low : in vl_logic_vector(31 downto 0); initial_value : in vl_logic_vector(31 downto 0); mode : in vl_logic_vector(48 downto 1); ph_tap : in vl_logic_vector(31 downto 0) ); end MF_cycloneiiigl_scale_cntr;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/pll_iobuf/_primary.vhd
1
266
library verilog; use verilog.vl_types.all; entity pll_iobuf is port( i : in vl_logic; oe : in vl_logic; io : inout vl_logic; o : out vl_logic ); end pll_iobuf;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/endian_controller/_primary.vhd
1
360
library verilog; use verilog.vl_types.all; entity endian_controller is port( iSRC_MASK : in vl_logic_vector(3 downto 0); iSRC_DATA : in vl_logic_vector(31 downto 0); oDEST_MASK : out vl_logic_vector(3 downto 0); oDEST_DATA : out vl_logic_vector(31 downto 0) ); end endian_controller;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/mist1032sa_sync_fifo/_primary.vhd
1
934
library verilog; use verilog.vl_types.all; entity mist1032sa_sync_fifo is generic( N : integer := 16; DEPTH : integer := 4; D_N : integer := 2 ); port( iCLOCK : in vl_logic; inRESET : in vl_logic; iREMOVE : in vl_logic; oCOUNT : out vl_logic_vector; iWR_EN : in vl_logic; iWR_DATA : in vl_logic_vector; oWR_FULL : out vl_logic; iRD_EN : in vl_logic; oRD_DATA : out vl_logic_vector; oRD_EMPTY : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of N : constant is 1; attribute mti_svvh_generic_type of DEPTH : constant is 1; attribute mti_svvh_generic_type of D_N : constant is 1; end mist1032sa_sync_fifo;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/dps_lsflags/_primary.vhd
1
505
library verilog; use verilog.vl_types.all; entity dps_lsflags is port( iCLOCK : in vl_logic; inRESET : in vl_logic; iRESET_SYNC : in vl_logic; iSCI_VALID : in vl_logic; iSCI_SCITIE : in vl_logic; iSCI_SCIRIE : in vl_logic; iREAD_VALID : in vl_logic; oLSFLAGS_VALID : out vl_logic; oLSFLAGS : out vl_logic_vector(31 downto 0) ); end dps_lsflags;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/execute_sys_reg/_primary.vhd
1
357
library verilog; use verilog.vl_types.all; entity execute_sys_reg is port( iCMD : in vl_logic_vector(4 downto 0); iSOURCE0 : in vl_logic_vector(31 downto 0); iSOURCE1 : in vl_logic_vector(31 downto 0); oOUT : out vl_logic_vector(31 downto 0) ); end execute_sys_reg;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/execute_port1/_primary.vhd
1
2364
library verilog; use verilog.vl_types.all; entity execute_port1 is port( iCLOCK : in vl_logic; inRESET : in vl_logic; iFREE_EX : in vl_logic; iPREVIOUS_EX_ALU1_VALID: in vl_logic; iPREVIOUS_EX_ALU1_WRITEBACK: in vl_logic; iPREVIOUS_EX_ALU1_COMMIT_TAG: in vl_logic_vector(5 downto 0); iPREVIOUS_EX_ALU1_CMD: in vl_logic_vector(4 downto 0); iPREVIOUS_EX_ALU1_AFE: in vl_logic_vector(3 downto 0); iPREVIOUS_EX_ALU1_SYS_REG: in vl_logic; iPREVIOUS_EX_ALU1_LOGIC: in vl_logic; iPREVIOUS_EX_ALU1_SHIFT: in vl_logic; iPREVIOUS_EX_ALU1_ADDER: in vl_logic; iPREVIOUS_EX_ALU1_MUL: in vl_logic; iPREVIOUS_EX_ALU1_SDIV: in vl_logic; iPREVIOUS_EX_ALU1_UDIV: in vl_logic; iPREVIOUS_EX_ALU1_SOURCE0: in vl_logic_vector(31 downto 0); iPREVIOUS_EX_ALU1_SOURCE1: in vl_logic_vector(31 downto 0); iPREVIOUS_EX_ALU1_DESTINATION_SYSREG: in vl_logic; iPREVIOUS_EX_ALU1_LOGIC_DEST: in vl_logic_vector(4 downto 0); iPREVIOUS_EX_ALU1_DESTINATION_REGNAME: in vl_logic_vector(5 downto 0); iPREVIOUS_EX_ALU1_FLAGS_WRITEBACK: in vl_logic; iPREVIOUS_EX_ALU1_FLAGS_REGNAME: in vl_logic_vector(3 downto 0); iPREVIOUS_EX_ALU1_PCR: in vl_logic_vector(31 downto 0); oPREVIOUS_EX_ALU1_LOCK: out vl_logic; oINTERRUPT_ACTIVE: out vl_logic; oINTERRUPT_NUM : out vl_logic_vector(10 downto 0); oSCHE1_EX_ALU1_VALID: out vl_logic; oSCHE1_EX_ALU1_COMMIT_TAG: out vl_logic_vector(5 downto 0); oSCHE2_EX_ALU1_VALID: out vl_logic; oSCHE2_EX_ALU1_COMMIT_TAG: out vl_logic_vector(5 downto 0); oSCHE2_EX_ALU1_SYSREG: out vl_logic; oSCHE2_EX_ALU1_LOGIC_DEST: out vl_logic_vector(4 downto 0); oSCHE2_EX_ALU1_DESTINATION_REGNAME: out vl_logic_vector(5 downto 0); oSCHE2_EX_ALU1_WRITEBACK: out vl_logic; oSCHE2_EX_ALU1_DATA: out vl_logic_vector(31 downto 0); oSCHE2_EX_ALU1_FLAG: out vl_logic_vector(4 downto 0); oSCHE2_EX_ALU1_FLAGS_WRITEBACK: out vl_logic; oSCHE2_EX_ALU1_FLAGS_REGNAME: out vl_logic_vector(3 downto 0) ); end execute_port1;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.vhd
1
104
library verilog; use verilog.vl_types.all; entity ALTERA_DEVICE_FAMILIES is end ALTERA_DEVICE_FAMILIES;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/jtag_tap_controller/_primary.vhd
1
1371
library verilog; use verilog.vl_types.all; entity jtag_tap_controller is generic( ir_register_width: integer := 16 ); port( tck : in vl_logic; tms : in vl_logic; tdi : in vl_logic; jtag_tdo : in vl_logic; tdo : out vl_logic; jtag_tck : out vl_logic; jtag_tms : out vl_logic; jtag_tdi : out vl_logic; jtag_state_tlr : out vl_logic; jtag_state_rti : out vl_logic; jtag_state_drs : out vl_logic; jtag_state_cdr : out vl_logic; jtag_state_sdr : out vl_logic; jtag_state_e1dr : out vl_logic; jtag_state_pdr : out vl_logic; jtag_state_e2dr : out vl_logic; jtag_state_udr : out vl_logic; jtag_state_irs : out vl_logic; jtag_state_cir : out vl_logic; jtag_state_sir : out vl_logic; jtag_state_e1ir : out vl_logic; jtag_state_pir : out vl_logic; jtag_state_e2ir : out vl_logic; jtag_state_uir : out vl_logic; jtag_usr1 : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of ir_register_width : constant is 1; end jtag_tap_controller;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/execute_load_store/_primary.vhd
1
1099
library verilog; use verilog.vl_types.all; entity execute_load_store is port( iCMD : in vl_logic_vector(4 downto 0); iLOADSTORE_MODE : in vl_logic; iSOURCE0 : in vl_logic_vector(31 downto 0); iSOURCE1 : in vl_logic_vector(31 downto 0); iADV_ACTIVE : in vl_logic; iADV_DATA : in vl_logic_vector(31 downto 0); iSPR : in vl_logic_vector(31 downto 0); iPC : in vl_logic_vector(31 downto 0); oOUT_SPR_VALID : out vl_logic; oOUT_SPR : out vl_logic_vector(31 downto 0); oOUT_DATA : out vl_logic_vector(31 downto 0); oLDST_RW : out vl_logic; oLDST_ADDR : out vl_logic_vector(31 downto 0); oLDST_DATA : out vl_logic_vector(31 downto 0); oLDST_ORDER : out vl_logic_vector(1 downto 0); oLOAD_SHIFT : out vl_logic_vector(1 downto 0); oLOAD_MASK : out vl_logic_vector(3 downto 0) ); end execute_load_store;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/register_renaming_table/_primary.vhd
1
1927
library verilog; use verilog.vl_types.all; entity register_renaming_table is generic( ENTRY_ID : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi0, Hi0, Hi0) ); port( iCLOCK : in vl_logic; inRESET : in vl_logic; iRESTART_VALID : in vl_logic; iROLLBACK_UPDATE_CANDIDATE0_VALID: in vl_logic; iROLLBACK_UPDATE_CANDIDATE0_LREGNAME: in vl_logic_vector(4 downto 0); iROLLBACK_UPDATE_CANDIDATE0_PREGNAME: in vl_logic_vector(5 downto 0); iROLLBACK_UPDATE_CANDIDATE1_VALID: in vl_logic; iROLLBACK_UPDATE_CANDIDATE1_LREGNAME: in vl_logic_vector(4 downto 0); iROLLBACK_UPDATE_CANDIDATE1_PREGNAME: in vl_logic_vector(5 downto 0); iROLLBACK_UPDATE_CANDIDATE2_VALID: in vl_logic; iROLLBACK_UPDATE_CANDIDATE2_LREGNAME: in vl_logic_vector(4 downto 0); iROLLBACK_UPDATE_CANDIDATE2_PREGNAME: in vl_logic_vector(5 downto 0); iROLLBACK_UPDATE_CANDIDATE3_VALID: in vl_logic; iROLLBACK_UPDATE_CANDIDATE3_LREGNAME: in vl_logic_vector(4 downto 0); iROLLBACK_UPDATE_CANDIDATE3_PREGNAME: in vl_logic_vector(5 downto 0); iLOCK : in vl_logic; iREGIST_0_VALID : in vl_logic; iREGIST_0_LOGIC_DESTINATION: in vl_logic_vector(4 downto 0); iREGIST_0_REGNAME: in vl_logic_vector(5 downto 0); iREGIST_1_VALID : in vl_logic; iREGIST_1_LOGIC_DESTINATION: in vl_logic_vector(4 downto 0); iREGIST_1_REGNAME: in vl_logic_vector(5 downto 0); oINFO_VALID : out vl_logic; oINFO_REGNAME : out vl_logic_vector(5 downto 0); oINFO_OLD_REGNAME: out vl_logic_vector(5 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of ENTRY_ID : constant is 1; end register_renaming_table;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/mist1032sa/_primary.vhd
1
2409
library verilog; use verilog.vl_types.all; entity mist1032sa is port( iCORE_CLOCK : in vl_logic; iBUS_CLOCK : in vl_logic; iDPS_CLOCK : in vl_logic; inRESET : in vl_logic; iRESET_SYNC : in vl_logic; oSCI_TXD : out vl_logic; iSCI_RXD : in vl_logic; oMEMORY_REQ : out vl_logic; iMEMORY_LOCK : in vl_logic; oMEMORY_ORDER : out vl_logic_vector(1 downto 0); oMEMORY_MASK : out vl_logic_vector(3 downto 0); oMEMORY_RW : out vl_logic; oMEMORY_ADDR : out vl_logic_vector(31 downto 0); oMEMORY_DATA : out vl_logic_vector(31 downto 0); iMEMORY_VALID : in vl_logic; oMEMORY_BUSY : out vl_logic; iMEMORY_DATA : in vl_logic_vector(63 downto 0); oGCI_REQ : out vl_logic; iGCI_BUSY : in vl_logic; oGCI_RW : out vl_logic; oGCI_ADDR : out vl_logic_vector(31 downto 0); oGCI_DATA : out vl_logic_vector(31 downto 0); iGCI_REQ : in vl_logic; oGCI_BUSY : out vl_logic; iGCI_DATA : in vl_logic_vector(31 downto 0); iGCI_IRQ_REQ : in vl_logic; iGCI_IRQ_NUM : in vl_logic_vector(5 downto 0); oGCI_IRQ_ACK : out vl_logic; oIO_IRQ_CONFIG_TABLE_REQ: out vl_logic; oIO_IRQ_CONFIG_TABLE_ENTRY: out vl_logic_vector(5 downto 0); oIO_IRQ_CONFIG_TABLE_FLAG_MASK: out vl_logic; oIO_IRQ_CONFIG_TABLE_FLAG_VALID: out vl_logic; oIO_IRQ_CONFIG_TABLE_FLAG_LEVEL: out vl_logic_vector(1 downto 0); oDEBUG_PC : out vl_logic_vector(31 downto 0); oDEBUG0 : out vl_logic_vector(31 downto 0); iDEBUG_UART_RXD : in vl_logic; oDEBUG_UART_TXD : out vl_logic; iDEBUG_PARA_REQ : in vl_logic; oDEBUG_PARA_BUSY: out vl_logic; iDEBUG_PARA_CMD : in vl_logic_vector(7 downto 0); iDEBUG_PARA_DATA: in vl_logic_vector(31 downto 0); oDEBUG_PARA_VALID: out vl_logic; iDEBUG_PARA_BUSY: in vl_logic; oDEBUG_PARA_ERROR: out vl_logic; oDEBUG_PARA_DATA: out vl_logic_vector(31 downto 0) ); end mist1032sa;
bsd-2-clause
cpulabs/mist1032sa
sim/inst_level/work/altstratixii_oct/_primary.vhd
1
469
library verilog; use verilog.vl_types.all; entity altstratixii_oct is generic( lpm_type : string := "altstratixii_oct" ); port( terminationenable: in vl_logic; terminationclock: in vl_logic; rdn : in vl_logic; rup : in vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of lpm_type : constant is 1; end altstratixii_oct;
bsd-2-clause