repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
sakolkar/4BitSorter
Synopsis/sorter.vhd
1
3047
---------------------------------------------------------- -- EE453 Lab3 Tutorial - 4 Unsigned 4-Bit Inputs Bubble Sorter -- Khaled Al-Amoodi -- Top level: sorter -- Sorts 4 unsigned 4-bit inputs (A-D) so that S1 is largest -- and S4 is smallest. ---------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sorter IS Port ( Clk : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(3 downto 0); B : IN STD_LOGIC_VECTOR(3 downto 0); C : IN STD_LOGIC_VECTOR(3 downto 0); D : IN STD_LOGIC_VECTOR(3 downto 0); S1reg : OUT STD_LOGIC_VECTOR(3 downto 0); S2reg : OUT STD_LOGIC_VECTOR(3 downto 0); S3reg : OUT STD_LOGIC_VECTOR(3 downto 0); S4reg : OUT STD_LOGIC_VECTOR(3 downto 0) ); END sorter; architecture RTL of sorter is -- Internal Signal Declaration signal Areg : std_logic_vector(3 downto 0); signal Breg : std_logic_vector(3 downto 0); signal Creg : std_logic_vector(3 downto 0); signal Dreg : std_logic_vector(3 downto 0); signal S1 : std_logic_vector(3 downto 0); signal S2 : std_logic_vector(3 downto 0); signal S3 : std_logic_vector(3 downto 0); signal S4 : std_logic_vector(3 downto 0); -- Temporary signals within stages signal T1_1, T1_2, T2_1, T2_2, T3_1, T3_2 : std_logic_vector(3 downto 0); -- Signals between stages 1 and 2 signal O1_A, O1_B, O1_C, O1_D : std_logic_vector(3 downto 0); -- Signals between stages 3 and 4 signal O2_A, O2_B, O2_C, O2_D : std_logic_vector(3 downto 0); signal R1_A, R1_B, R1_C, R1_D : std_logic_vector(3 downto 0); signal R2_A, R2_B, R2_C, R2_D : std_logic_vector(3 downto 0); begin -- RTL process (Clk) begin -- process if rising_edge(Clk) then Areg <= A; Breg <= B; Creg <= C; Dreg <= D; R1_A <= O1_A; R1_B <= O1_B; R1_C <= O1_C; R1_D <= O1_D; R2_A <= O2_A; R2_B <= O2_B; R2_C <= O2_C; R2_D <= O2_D; S1reg <= S1; S2reg <= S2; S3reg <= S3; S4reg <= S4; end if; end process; -- Stage 1 O1_A <= Breg when (Areg < Breg) else Areg; T1_1 <= Areg when (Areg < Breg) else Breg; O1_B <= Creg when (T1_1 < Creg) else T1_1; T1_2 <= T1_1 when (T1_1 < Creg) else Creg; O1_C <= Dreg when (T1_2 < Dreg) else T1_2; O1_D <= T1_2 when (T1_2 < Dreg) else Dreg; -- Stage 2 O2_A <= R1_B when (R1_A < R1_B) else R1_A; T2_1 <= R1_A when (R1_A < R1_B) else R1_B; O2_B <= R1_C when (T2_1 < R1_C) else T2_1; T2_2 <= T2_1 when (T2_1 < R1_C) else R1_C; O2_C <= R1_D when (T2_2 < R1_D) else T2_2; O2_D <= T2_2 when (T2_2 < R1_D) else R1_D; -- Stage 3 S1 <= R2_B when (R2_A < R2_B) else R2_A; T3_1 <= R2_A when (R2_A < R2_B) else R2_B; S2 <= R2_C when (T3_1 < R2_C) else T3_1; T3_2 <= T3_1 when (T3_1 < R2_C) else R2_C; S3 <= R2_D when (T3_2 < R2_D) else T3_2; S4 <= T3_2 when (T3_2 < R2_D) else R2_D; END RTL;
apache-2.0
alainmarcel/Surelog
third_party/tests/ariane/fpga/src/apb_uart/src/slib_counter.vhd
5
2883
-- -- Counter -- -- Author: Sebastian Witt -- Date: 27.01.2008 -- Version: 1.2 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; -- Counter entity slib_counter is generic ( WIDTH : natural := 4 -- Counter width ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CLEAR : in std_logic; -- Clear counter register LOAD : in std_logic; -- Load counter register ENABLE : in std_logic; -- Enable count operation DOWN : in std_logic; -- Count direction down D : in std_logic_vector(WIDTH-1 downto 0); -- Load counter register input Q : out std_logic_vector(WIDTH-1 downto 0); -- Shift register output OVERFLOW : out std_logic -- Counter overflow ); end slib_counter; architecture rtl of slib_counter is signal iCounter : unsigned(WIDTH downto 0); -- Counter register begin -- Counter process COUNT_SHIFT: process (RST, CLK) begin if (RST = '1') then iCounter <= (others => '0'); -- Reset counter register elsif (CLK'event and CLK='1') then if (CLEAR = '1') then iCounter <= (others => '0'); -- Clear counter register elsif (LOAD = '1') then -- Load counter register iCounter <= unsigned('0' & D); elsif (ENABLE = '1') then -- Enable counter if (DOWN = '0') then -- Count up iCounter <= iCounter + 1; else -- Count down iCounter <= iCounter - 1; end if; end if; if (iCounter(WIDTH) = '1') then -- Clear overflow iCounter(WIDTH) <= '0'; end if; end if; end process; -- Output ports Q <= std_logic_vector(iCounter(WIDTH-1 downto 0)); OVERFLOW <= iCounter(WIDTH); end rtl;
apache-2.0
alainmarcel/Surelog
third_party/tests/YosysTests/verific/vhdl/top.vhd
2
862
library ieee; use ieee.std_logic_1164.all; library foo; use foo.foo_m; library bar; use bar.bar_m; entity top is port ( clock : in std_logic; a : in std_logic; b : in std_logic; x : out std_logic; y : out std_logic ); end entity; architecture rtl of top is component foo_m is port ( clock : in std_logic; a : in std_logic; b : in std_logic; x : out std_logic; y : out std_logic ); end component; component bar_m is port ( clock : in std_logic; a : in std_logic; b : in std_logic; x : out std_logic; y : out std_logic ); end component; signal t1, t2 : std_logic; begin foo_inst : foo_m port map ( clock => clock, a => a, b => b, x => t1, y => t2 ); bar_inst : bar_m port map ( clock => clock, a => t1, b => t2, x => x, y => y ); end architecture;
apache-2.0
alainmarcel/Surelog
third_party/tests/ariane/fpga/src/apb_uart/src/apb_uart.vhd
2
51558
-- -- UART 16750 -- -- Author: Sebastian Witt -- Date: 29.01.2008 -- Version: 1.5 -- -- History: 1.0 - Initial version -- 1.1 - THR empty interrupt register connected to RST -- 1.2 - Registered outputs -- 1.3 - Automatic flow control -- 1.4 - De-assert IIR FIFO64 when FIFO is disabled -- 1.5 - Inverted low active outputs when RST is active -- -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; -- Serial UART entity apb_uart is port ( CLK : in std_logic; -- Clock RSTN : in std_logic; -- Reset negated PSEL : in std_logic; -- APB psel signal PENABLE : in std_logic; -- APB penable signal PWRITE : in std_logic; -- APB pwrite signal PADDR : in std_logic_vector(2 downto 0); -- APB paddr signal PWDATA : in std_logic_vector(31 downto 0); -- APB pwdata signal PRDATA : out std_logic_vector(31 downto 0); -- APB prdata signal PREADY : out std_logic; -- APB pready signal PSLVERR : out std_logic; -- APB pslverr signal INT : out std_logic; -- Interrupt output OUT1N : out std_logic; -- Output 1 OUT2N : out std_logic; -- Output 2 RTSN : out std_logic; -- RTS output DTRN : out std_logic; -- DTR output CTSN : in std_logic; -- CTS input DSRN : in std_logic; -- DSR input DCDN : in std_logic; -- DCD input RIN : in std_logic; -- RI input SIN : in std_logic; -- Receiver input SOUT : out std_logic -- Transmitter output ); end apb_uart; architecture rtl of apb_uart is -- UART transmitter component uart_transmitter is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset TXCLK : in std_logic; -- Transmitter clock (2x baudrate) TXSTART : in std_logic; -- Start transmitter CLEAR : in std_logic; -- Clear transmitter state WLS : in std_logic_vector(1 downto 0); -- Word length select STB : in std_logic; -- Number of stop bits PEN : in std_logic; -- Parity enable EPS : in std_logic; -- Even parity select SP : in std_logic; -- Stick parity BC : in std_logic; -- Break control DIN : in std_logic_vector(7 downto 0); -- Input data TXFINISHED : out std_logic; -- Transmitter operation finished SOUT : out std_logic -- Transmitter output ); end component; -- UART receiver component uart_receiver is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset RXCLK : in std_logic; -- Receiver clock (16x baudrate) RXCLEAR : in std_logic; -- Reset receiver state WLS : in std_logic_vector(1 downto 0); -- Word length select STB : in std_logic; -- Number of stop bits PEN : in std_logic; -- Parity enable EPS : in std_logic; -- Even parity select SP : in std_logic; -- Stick parity SIN : in std_logic; -- Receiver input PE : out std_logic; -- Parity error FE : out std_logic; -- Framing error BI : out std_logic; -- Break interrupt DOUT : out std_logic_vector(7 downto 0); -- Output data RXFINISHED : out std_logic -- Receiver operation finished ); end component; -- UART interrupt control component uart_interrupt is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset IER : in std_logic_vector(3 downto 0); -- IER 3:0 LSR : in std_logic_vector(4 downto 0); -- LSR 4:0 THI : in std_logic; -- Transmitter holding register empty interrupt RDA : in std_logic; -- Receiver data available CTI : in std_logic; -- Character timeout indication AFE : in std_logic; -- Automatic flow control enable MSR : in std_logic_vector(3 downto 0); -- MSR 3:0 IIR : out std_logic_vector(3 downto 0); -- IIR 3:0 INT : out std_logic -- Interrupt ); end component; -- UART baudrate generator component uart_baudgen is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable CLEAR : in std_logic; -- Reset generator (synchronization) DIVIDER : in std_logic_vector(15 downto 0); -- Clock divider BAUDTICK : out std_logic -- 16xBaudrate tick ); end component; -- UART FIFO component slib_fifo is generic ( WIDTH : integer := 8; -- FIFO width SIZE_E : integer := 6 -- FIFO size (2^SIZE_E) ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CLEAR : in std_logic; -- Clear FIFO WRITE : in std_logic; -- Write to FIFO READ : in std_logic; -- Read from FIFO D : in std_logic_vector(WIDTH-1 downto 0); -- FIFO input Q : out std_logic_vector(WIDTH-1 downto 0); -- FIFO output EMPTY : out std_logic; -- FIFO is empty FULL : out std_logic; -- FIFO is full USAGE : out std_logic_vector(SIZE_E-1 downto 0) -- FIFO usage ); end component; -- Edge detect component slib_edge_detect is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset D : in std_logic; -- Signal input RE : out std_logic; -- Rising edge detected FE : out std_logic -- Falling edge detected ); end component; -- Input synchronization component slib_input_sync is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset D : in std_logic; -- Signal input Q : out std_logic -- Signal output ); end component; -- Input filter component slib_input_filter is generic ( SIZE : natural := 4 -- Filter width ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable D : in std_logic; -- Signal input Q : out std_logic -- Signal output ); end component; -- Clock enable generation component slib_clock_div is generic ( RATIO : integer := 8 -- Clock divider ratio ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable input Q : out std_logic -- New clock enable output ); end component; -- Global device signals signal iWrite : std_logic; -- Write to UART signal iRead : std_logic; -- Read from UART signal iRST : std_logic; -- RST negated -- UART registers read/write signals signal iRBRRead : std_logic; -- Read from RBR signal iTHRWrite : std_logic; -- Write to THR signal iDLLWrite : std_logic; -- Write to DLL signal iDLMWrite : std_logic; -- Write to DLM signal iIERWrite : std_logic; -- Write to IER signal iIIRRead : std_logic; -- Read from IIR signal iFCRWrite : std_logic; -- Write to FCR signal iLCRWrite : std_logic; -- Write to LCR signal iMCRWrite : std_logic; -- Write to MCR signal iLSRRead : std_logic; -- Read from LSR signal iMSRRead : std_logic; -- Read from MSR signal iSCRWrite : std_logic; -- Write to SCR -- UART registers signal iTSR : std_logic_vector(7 downto 0); -- Transmitter holding register signal iRBR : std_logic_vector(7 downto 0); -- Receiver buffer register signal iDLL : std_logic_vector(7 downto 0); -- Divisor latch LSB signal iDLM : std_logic_vector(7 downto 0); -- Divisor latch MSB signal iIER : std_logic_vector(7 downto 0); -- Interrupt enable register signal iIIR : std_logic_vector(7 downto 0); -- Interrupt identification register signal iFCR : std_logic_vector(7 downto 0); -- FIFO control register signal iLCR : std_logic_vector(7 downto 0); -- Line control register signal iMCR : std_logic_vector(7 downto 0); -- Modem control register signal iLSR : std_logic_vector(7 downto 0); -- Line status register signal iMSR : std_logic_vector(7 downto 0); -- Modem status register signal iSCR : std_logic_vector(7 downto 0); -- Scratch register -- IER register signals signal iIER_ERBI : std_logic; -- IER: Enable received data available interrupt signal iIER_ETBEI : std_logic; -- IER: Enable transmitter holding register empty interrupt signal iIER_ELSI : std_logic; -- IER: Enable receiver line status interrupt signal iIER_EDSSI : std_logic; -- IER: Enable modem status interrupt -- IIR register signals signal iIIR_PI : std_logic; -- IIR: Pending interrupt signal iIIR_ID0 : std_logic; -- IIR: Interrupt ID0 signal iIIR_ID1 : std_logic; -- IIR: Interrupt ID1 signal iIIR_ID2 : std_logic; -- IIR: Interrupt ID2 signal iIIR_FIFO64 : std_logic; -- IIR: 64 byte FIFO enabled -- FCR register signals signal iFCR_FIFOEnable : std_logic; -- FCR: FIFO enable signal iFCR_RXFIFOReset : std_logic; -- FCR: Receiver FIFO reset signal iFCR_TXFIFOReset : std_logic; -- FCR: Transmitter FIFO reset signal iFCR_DMAMode : std_logic; -- FCR: DMA mode select signal iFCR_FIFO64E : std_logic; -- FCR: 64 byte FIFO enable signal iFCR_RXTrigger : std_logic_vector(1 downto 0); -- FCR: Receiver trigger -- LCR register signals signal iLCR_WLS : std_logic_vector(1 downto 0); -- LCR: Word length select signal iLCR_STB : std_logic; -- LCR: Number of stop bits signal iLCR_PEN : std_logic; -- LCR: Parity enable signal iLCR_EPS : std_logic; -- LCR: Even parity select signal iLCR_SP : std_logic; -- LCR: Sticky parity signal iLCR_BC : std_logic; -- LCR: Break control signal iLCR_DLAB : std_logic; -- LCR: Divisor latch access bit -- MCR register signals signal iMCR_DTR : std_logic; -- MCR: Data terminal ready signal iMCR_RTS : std_logic; -- MCR: Request to send signal iMCR_OUT1 : std_logic; -- MCR: OUT1 signal iMCR_OUT2 : std_logic; -- MCR: OUT2 signal iMCR_LOOP : std_logic; -- MCR: Loop signal iMCR_AFE : std_logic; -- MCR: Auto flow control enable -- LSR register signals signal iLSR_DR : std_logic; -- LSR: Data ready signal iLSR_OE : std_logic; -- LSR: Overrun error signal iLSR_PE : std_logic; -- LSR: Parity error signal iLSR_FE : std_logic; -- LSR: Framing error signal iLSR_BI : std_logic; -- LSR: Break Interrupt signal iLSR_THRE : std_logic; -- LSR: Transmitter holding register empty signal iLSR_THRNF : std_logic; -- LSR: Transmitter holding register not full signal iLSR_TEMT : std_logic; -- LSR: Transmitter empty signal iLSR_FIFOERR : std_logic; -- LSR: Error in receiver FIFO -- MSR register signals signal iMSR_dCTS : std_logic; -- MSR: Delta CTS signal iMSR_dDSR : std_logic; -- MSR: Delta DSR signal iMSR_TERI : std_logic; -- MSR: Trailing edge ring indicator signal iMSR_dDCD : std_logic; -- MSR: Delta DCD signal iMSR_CTS : std_logic; -- MSR: CTS signal iMSR_DSR : std_logic; -- MSR: DSR signal iMSR_RI : std_logic; -- MSR: RI signal iMSR_DCD : std_logic; -- MSR: DCD -- UART MSR signals signal iCTSNs : std_logic; -- Synchronized CTSN input signal iDSRNs : std_logic; -- Synchronized DSRN input signal iDCDNs : std_logic; -- Synchronized DCDN input signal iRINs : std_logic; -- Synchronized RIN input signal iCTSn : std_logic; -- Filtered CTSN input signal iDSRn : std_logic; -- Filtered DSRN input signal iDCDn : std_logic; -- Filtered DCDN input signal iRIn : std_logic; -- Filtered RIN input signal iCTSnRE : std_logic; -- CTSn rising edge signal iCTSnFE : std_logic; -- CTSn falling edge signal iDSRnRE : std_logic; -- DSRn rising edge signal iDSRnFE : std_logic; -- DSRn falling edge signal iDCDnRE : std_logic; -- DCDn rising edge signal iDCDnFE : std_logic; -- DCDn falling edge signal iRInRE : std_logic; -- RIn rising edge signal iRInFE : std_logic; -- RIn falling edge -- UART baudrate generation signals signal iBaudgenDiv : std_logic_vector(15 downto 0); -- Baudrate divider signal iBaudtick16x : std_logic; -- 16x Baudrate output from baudrate generator signal iBaudtick2x : std_logic; -- 2x Baudrate for transmitter signal iRCLK : std_logic; -- 16x Baudrate for receiver signal iBAUDOUTN : std_logic; -- UART FIFO signals signal iTXFIFOClear : std_logic; -- Clear TX FIFO signal iTXFIFOWrite : std_logic; -- Write to TX FIFO signal iTXFIFORead : std_logic; -- Read from TX FIFO signal iTXFIFOEmpty : std_logic; -- TX FIFO is empty signal iTXFIFOFull : std_logic; -- TX FIFO is full signal iTXFIFO16Full : std_logic; -- TX FIFO 16 byte mode is full signal iTXFIFO64Full : std_logic; -- TX FIFO 64 byte mode is full signal iTXFIFOUsage : std_logic_vector(5 downto 0); -- RX FIFO usage signal iTXFIFOQ : std_logic_vector(7 downto 0); -- TX FIFO output signal iRXFIFOClear : std_logic; -- Clear RX FIFO signal iRXFIFOWrite : std_logic; -- Write to RX FIFO signal iRXFIFORead : std_logic; -- Read from RX FIFO signal iRXFIFOEmpty : std_logic; -- RX FIFO is empty signal iRXFIFOFull : std_logic; -- RX FIFO is full signal iRXFIFO16Full : std_logic; -- RX FIFO 16 byte mode is full signal iRXFIFO64Full : std_logic; -- RX FIFO 64 byte mode is full signal iRXFIFOD : std_logic_vector(10 downto 0); -- RX FIFO input signal iRXFIFOQ : std_logic_vector(10 downto 0); -- RX FIFO output signal iRXFIFOUsage : std_logic_vector(5 downto 0); -- RX FIFO usage signal iRXFIFOTrigger : std_logic; -- FIFO trigger level reached signal iRXFIFO16Trigger : std_logic; -- FIFO 16 byte mode trigger level reached signal iRXFIFO64Trigger : std_logic; -- FIFO 64 byte mode trigger level reached signal iRXFIFOPE : std_logic; -- Parity error from FIFO signal iRXFIFOFE : std_logic; -- Frame error from FIFO signal iRXFIFOBI : std_logic; -- Break interrupt from FIFO -- UART transmitter signals signal iSOUT : std_logic; -- Transmitter output signal iTXStart : std_logic; -- Start transmitter signal iTXClear : std_logic; -- Clear transmitter status signal iTXFinished : std_logic; -- TX finished, character transmitted signal iTXRunning : std_logic; -- TX in progress -- UART receiver signals signal iSINr : std_logic; -- Synchronized SIN input signal iSIN : std_logic; -- Receiver input signal iRXFinished : std_logic; -- RX finished, character received signal iRXClear : std_logic; -- Clear receiver status signal iRXData : std_logic_vector(7 downto 0); -- RX data signal iRXPE : std_logic; -- RX parity error signal iRXFE : std_logic; -- RX frame error signal iRXBI : std_logic; -- RX break interrupt -- UART control signals signal iFERE : std_logic; -- Frame error detected signal iPERE : std_logic; -- Parity error detected signal iBIRE : std_logic; -- Break interrupt detected signal iFECounter : integer range 0 to 64; -- FIFO error counter signal iFEIncrement : std_logic; -- FIFO error counter increment signal iFEDecrement : std_logic; -- FIFO error counter decrement signal iRDAInterrupt : std_logic; -- Receiver data available interrupt (DA or FIFO trigger level) signal iTimeoutCount : unsigned(5 downto 0); -- Character timeout counter (FIFO mode) signal iCharTimeout : std_logic; -- Character timeout indication (FIFO mode) signal iLSR_THRERE : std_logic; -- LSR THRE rising edge for interrupt generation signal iTHRInterrupt : std_logic; -- Transmitter holding register empty interrupt signal iTXEnable : std_logic; -- Transmitter enable signal signal iRTS : std_logic; -- Internal RTS signal with/without automatic flow control begin -- Global device signals iWrite <= '1' when PSEL = '1' and PENABLE = '1' and PWRITE = '1' else '0'; iRead <= '1' when PSEL = '1' and PENABLE = '1' and PWRITE = '0' else '0'; iRST <= '1' when RSTN = '0' else '0'; -- UART registers read/write signals iRBRRead <= '1' when iRead = '1' and PADDR = "000" and iLCR_DLAB = '0' else '0'; iTHRWrite <= '1' when iWrite = '1' and PADDR = "000" and iLCR_DLAB = '0' else '0'; iDLLWrite <= '1' when iWrite = '1' and PADDR = "000" and iLCR_DLAB = '1' else '0'; iDLMWrite <= '1' when iWrite = '1' and PADDR = "001" and iLCR_DLAB = '1' else '0'; iIERWrite <= '1' when iWrite = '1' and PADDR = "001" and iLCR_DLAB = '0' else '0'; iIIRRead <= '1' when iRead = '1' and PADDR = "010" else '0'; iFCRWrite <= '1' when iWrite = '1' and PADDR = "010" else '0'; iLCRWrite <= '1' when iWrite = '1' and PADDR = "011" else '0'; iMCRWrite <= '1' when iWrite = '1' and PADDR = "100" else '0'; iLSRRead <= '1' when iRead = '1' and PADDR = "101" else '0'; iMSRRead <= '1' when iRead = '1' and PADDR = "110" else '0'; iSCRWrite <= '1' when iWrite = '1' and PADDR = "111" else '0'; -- Async. input synchronization UART_IS_SIN: slib_input_sync port map (CLK, iRST, SIN, iSINr); UART_IS_CTS: slib_input_sync port map (CLK, iRST, CTSN, iCTSNs); UART_IS_DSR: slib_input_sync port map (CLK, iRST, DSRN, iDSRNs); UART_IS_DCD: slib_input_sync port map (CLK, iRST, DCDN, iDCDNs); UART_IS_RI: slib_input_sync port map (CLK, iRST, RIN, iRINs); -- Input filter for UART control signals UART_IF_CTS: slib_input_filter generic map (SIZE => 2) port map (CLK, iRST, iBaudtick2x, iCTSNs, iCTSn); UART_IF_DSR: slib_input_filter generic map (SIZE => 2) port map (CLK, iRST, iBaudtick2x, iDSRNs, iDSRn); UART_IF_DCD: slib_input_filter generic map (SIZE => 2) port map (CLK, iRST, iBaudtick2x, iDCDNs, iDCDn); UART_IF_RI: slib_input_filter generic map (SIZE => 2) port map (CLK, iRST, iBaudtick2x, iRINs, iRIn); -- Divisor latch register UART_DLR: process (CLK, iRST) begin if (iRST = '1') then iDLL <= (others => '0'); iDLM <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iDLLWrite = '1') then iDLL <= PWDATA(7 downto 0); end if; if (iDLMWrite = '1') then iDLM <= PWDATA(7 downto 0); end if; end if; end process; -- Interrupt enable register UART_IER: process (CLK, iRST) begin if (iRST = '1') then iIER(3 downto 0) <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iIERWrite = '1') then iIER(3 downto 0) <= PWDATA(3 downto 0); end if; end if; end process; iIER_ERBI <= iIER(0); iIER_ETBEI <= iIER(1); iIER_ELSI <= iIER(2); iIER_EDSSI <= iIER(3); iIER(7 downto 4) <= (others => '0'); -- Interrupt control and IIR UART_IIC: uart_interrupt port map (CLK => CLK, RST => iRST, IER => iIER(3 downto 0), LSR => iLSR(4 downto 0), THI => iTHRInterrupt, RDA => iRDAInterrupt, CTI => iCharTimeout, AFE => iMCR_AFE, MSR => iMSR(3 downto 0), IIR => iIIR(3 downto 0), INT => INT ); -- THR empty interrupt UART_IIC_THRE_ED: slib_edge_detect port map (CLK => CLK, RST => iRST, D => iLSR_THRE, RE => iLSR_THRERE); UART_IIC_THREI: process (CLK, iRST) begin if (iRST = '1') then iTHRInterrupt <= '0'; elsif (CLK'event and CLK = '1') then if (iLSR_THRERE = '1' or iFCR_TXFIFOReset = '1' or (iIERWrite = '1' and PWDATA(1) = '1' and iLSR_THRE = '1')) then iTHRInterrupt <= '1'; -- Set on THRE, TX FIFO reset (FIFO enable) or ETBEI enable elsif ((iIIRRead = '1' and iIIR(3 downto 1) = "001") or iTHRWrite = '1') then iTHRInterrupt <= '0'; -- Clear on IIR read (if source of interrupt) or THR write end if; end if; end process; iRDAInterrupt <= '1' when (iFCR_FIFOEnable = '0' and iLSR_DR = '1') or (iFCR_FIFOEnable = '1' and iRXFIFOTrigger = '1') else '0'; iIIR_PI <= iIIR(0); iIIR_ID0 <= iIIR(1); iIIR_ID1 <= iIIR(2); iIIR_ID2 <= iIIR(3); iIIR_FIFO64 <= iIIR(5); iIIR(4) <= '0'; iIIR(5) <= iFCR_FIFO64E when iFCR_FIFOEnable = '1' else '0'; iIIR(6) <= iFCR_FIFOEnable; iIIR(7) <= iFCR_FIFOEnable; -- Character timeout indication UART_CTI: process (CLK, iRST) begin if (iRST = '1') then iTimeoutCount <= (others => '0'); iCharTimeout <= '0'; elsif (CLK'event and CLK = '1') then if (iRXFIFOEmpty = '1' or iRBRRead = '1' or iRXFIFOWrite = '1') then iTimeoutCount <= (others => '0'); elsif (iRXFIFOEmpty = '0' and iBaudtick2x = '1' and iTimeoutCount(5) = '0') then iTimeoutCount <= iTimeoutCount + 1; end if; -- Timeout indication if (iFCR_FIFOEnable = '1') then if (iRBRRead = '1') then iCharTimeout <= '0'; elsif (iTimeoutCount(5) = '1') then iCharTimeout <= '1'; end if; else iCharTimeout <= '0'; end if; end if; end process; -- FIFO control register UART_FCR: process (CLK, iRST) begin if (iRST = '1') then iFCR_FIFOEnable <= '0'; iFCR_RXFIFOReset <= '0'; iFCR_TXFIFOReset <= '0'; iFCR_DMAMode <= '0'; iFCR_FIFO64E <= '0'; iFCR_RXTrigger <= (others => '0'); elsif (CLK'event and CLK = '1') then -- FIFO reset pulse only iFCR_RXFIFOReset <= '0'; iFCR_TXFIFOReset <= '0'; if (iFCRWrite = '1') then iFCR_FIFOEnable <= PWDATA(0); iFCR_DMAMode <= PWDATA(3); iFCR_RXTrigger <= PWDATA(7 downto 6); if (iLCR_DLAB = '1') then iFCR_FIFO64E <= PWDATA(5); end if; -- RX FIFO reset control, reset on FIFO enable/disable if (PWDATA(1) = '1' or (iFCR_FIFOEnable = '0' and PWDATA(0) = '1') or (iFCR_FIFOEnable = '1' and PWDATA(0) = '0')) then iFCR_RXFIFOReset <= '1'; end if; -- TX FIFO reset control, reset on FIFO enable/disable if (PWDATA(2) = '1' or (iFCR_FIFOEnable = '0' and PWDATA(0) = '1') or (iFCR_FIFOEnable = '1' and PWDATA(0) = '0')) then iFCR_TXFIFOReset <= '1'; end if; end if; end if; end process; iFCR(0) <= iFCR_FIFOEnable; iFCR(1) <= iFCR_RXFIFOReset; iFCR(2) <= iFCR_TXFIFOReset; iFCR(3) <= iFCR_DMAMode; iFCR(4) <= '0'; iFCR(5) <= iFCR_FIFO64E; iFCR(7 downto 6) <= iFCR_RXTrigger; -- Line control register UART_LCR: process (CLK, iRST) begin if (iRST = '1') then iLCR <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iLCRWrite = '1') then iLCR <= PWDATA(7 downto 0); end if; end if; end process; iLCR_WLS <= iLCR(1 downto 0); iLCR_STB <= iLCR(2); iLCR_PEN <= iLCR(3); iLCR_EPS <= iLCR(4); iLCR_SP <= iLCR(5); iLCR_BC <= iLCR(6); iLCR_DLAB <= iLCR(7); -- Modem control register UART_MCR: process (CLK, iRST) begin if (iRST = '1') then iMCR(5 downto 0) <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iMCRWrite = '1') then iMCR(5 downto 0) <= PWDATA(5 downto 0); end if; end if; end process; iMCR_DTR <= iMCR(0); iMCR_RTS <= iMCR(1); iMCR_OUT1 <= iMCR(2); iMCR_OUT2 <= iMCR(3); iMCR_LOOP <= iMCR(4); iMCR_AFE <= iMCR(5); iMCR(6) <= '0'; iMCR(7) <= '0'; -- Line status register UART_LSR: process (CLK, iRST) begin if (iRST = '1') then iLSR_OE <= '0'; iLSR_PE <= '0'; iLSR_FE <= '0'; iLSR_BI <= '0'; iFECounter <= 0; iLSR_FIFOERR <= '0'; elsif (CLK'event and CLK = '1') then -- Overrun error if ((iFCR_FIFOEnable = '0' and iLSR_DR = '1' and iRXFinished = '1') or (iFCR_FIFOEnable = '1' and iRXFIFOFull = '1' and iRXFinished = '1')) then iLSR_OE <= '1'; elsif (iLSRRead = '1') then iLSR_OE <= '0'; end if; -- Parity error if (iPERE = '1') then iLSR_PE <= '1'; elsif (iLSRRead = '1') then iLSR_PE <= '0'; end if; -- Frame error if (iFERE = '1') then iLSR_FE <= '1'; elsif (iLSRRead = '1') then iLSR_FE <= '0'; end if; -- Break interrupt if (iBIRE = '1') then iLSR_BI <= '1'; elsif (iLSRRead = '1') then iLSR_BI <= '0'; end if; -- FIFO error -- Datasheet: Cleared by LSR read when no subsequent errors in FIFO -- Observed: Cleared when no subsequent errors in FIFO if (iFECounter /= 0) then iLSR_FIFOERR <= '1'; --elsif (iLSRRead = '1' and iFECounter = 0 and not (iRXFIFOEmpty = '0' and iRXFIFOQ(10 downto 8) /= "000")) then elsif (iRXFIFOEmpty = '1' or iRXFIFOQ(10 downto 8) = "000") then iLSR_FIFOERR <= '0'; end if; -- FIFO error counter if (iRXFIFOClear = '1') then iFECounter <= 0; else if (iFEIncrement = '1' and iFEDecrement = '0') then iFECounter <= iFECounter + 1; elsif (iFEIncrement = '0' and iFEDecrement = '1') then iFECounter <= iFECounter - 1; end if; end if; end if; end process; iRXFIFOPE <= '1' when iRXFIFOEmpty = '0' and iRXFIFOQ(8) = '1' else '0'; iRXFIFOFE <= '1' when iRXFIFOEmpty = '0' and iRXFIFOQ(9) = '1' else '0'; iRXFIFOBI <= '1' when iRXFIFOEmpty = '0' and iRXFIFOQ(10) = '1' else '0'; UART_PEDET: slib_edge_detect port map (CLK, iRST, iRXFIFOPE, iPERE); UART_FEDET: slib_edge_detect port map (CLK, iRST, iRXFIFOFE, iFERE); UART_BIDET: slib_edge_detect port map (CLK, iRST, iRXFIFOBI, iBIRE); iFEIncrement <= '1' when iRXFIFOWrite = '1' and iRXFIFOD(10 downto 8) /= "000" else '0'; iFEDecrement <= '1' when iFECounter /= 0 and iRXFIFOEmpty = '0' and (iPERE = '1' or iFERE = '1' or iBIRE = '1') else '0'; iLSR(0) <= iLSR_DR; iLSR(1) <= iLSR_OE; iLSR(2) <= iLSR_PE; iLSR(3) <= iLSR_FE; iLSR(4) <= iLSR_BI; iLSR(5) <= iLSR_THRNF; iLSR(6) <= iLSR_TEMT; iLSR(7) <= '1' when iFCR_FIFOEnable = '1' and iLSR_FIFOERR = '1' else '0'; iLSR_DR <= '1' when iRXFIFOEmpty = '0' or iRXFIFOWrite = '1' else '0'; iLSR_THRE <= '1' when iTXFIFOEmpty = '1' else '0'; iLSR_TEMT <= '1' when iTXRunning = '0' and iLSR_THRE = '1' else '0'; iLSR_THRNF <= '1' when ((iFCR_FIFOEnable = '0' and iTXFIFOEmpty = '1') or (iFCR_FIFOEnable = '1' and iTXFIFOFull = '0')) else '0'; -- Modem status register iMSR_CTS <= '1' when (iMCR_LOOP = '1' and iRTS = '1') or (iMCR_LOOP = '0' and iCTSn = '0') else '0'; iMSR_DSR <= '1' when (iMCR_LOOP = '1' and iMCR_DTR = '1') or (iMCR_LOOP = '0' and iDSRn = '0') else '0'; iMSR_RI <= '1' when (iMCR_LOOP = '1' and iMCR_OUT1 = '1') or (iMCR_LOOP = '0' and iRIn = '0') else '0'; iMSR_DCD <= '1' when (iMCR_LOOP = '1' and iMCR_OUT2 = '1') or (iMCR_LOOP = '0' and iDCDn = '0') else '0'; -- Edge detection for CTS, DSR, DCD and RI UART_ED_CTS: slib_edge_detect port map (CLK => CLK, RST => iRST, D => iMSR_CTS, RE => iCTSnRE, FE => iCTSnFE); UART_ED_DSR: slib_edge_detect port map (CLK => CLK, RST => iRST, D => iMSR_DSR, RE => iDSRnRE, FE => iDSRnFE); UART_ED_RI: slib_edge_detect port map (CLK => CLK, RST => iRST, D => iMSR_RI, RE => iRInRE, FE => iRInFE); UART_ED_DCD: slib_edge_detect port map (CLK => CLK, RST => iRST, D => iMSR_DCD, RE => iDCDnRE, FE => iDCDnFE); UART_MSR: process (CLK, iRST) begin if (iRST = '1') then iMSR_dCTS <= '0'; iMSR_dDSR <= '0'; iMSR_TERI <= '0'; iMSR_dDCD <= '0'; elsif (CLK'event and CLK = '1') then -- Delta CTS if (iCTSnRE = '1' or iCTSnFE = '1') then iMSR_dCTS <= '1'; elsif (iMSRRead = '1') then iMSR_dCTS <= '0'; end if; -- Delta DSR if (iDSRnRE = '1' or iDSRnFE = '1') then iMSR_dDSR <= '1'; elsif (iMSRRead = '1') then iMSR_dDSR <= '0'; end if; -- Trailing edge RI if (iRInFE = '1') then iMSR_TERI <= '1'; elsif (iMSRRead = '1') then iMSR_TERI <= '0'; end if; -- Delta DCD if (iDCDnRE = '1' or iDCDnFE = '1') then iMSR_dDCD <= '1'; elsif (iMSRRead = '1') then iMSR_dDCD <= '0'; end if; end if; end process; iMSR(0) <= iMSR_dCTS; iMSR(1) <= iMSR_dDSR; iMSR(2) <= iMSR_TERI; iMSR(3) <= iMSR_dDCD; iMSR(4) <= iMSR_CTS; iMSR(5) <= iMSR_DSR; iMSR(6) <= iMSR_RI; iMSR(7) <= iMSR_DCD; -- Scratch register UART_SCR: process (CLK, iRST) begin if (iRST = '1') then iSCR <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iSCRWrite = '1') then iSCR <= PWDATA(7 downto 0); end if; end if; end process; -- Baudrate generator iBaudgenDiv <= iDLM & iDLL; UART_BG16: uart_baudgen port map (CLK => CLK, RST => iRST, CE => '1', CLEAR => '0', DIVIDER => iBaudgenDiv, BAUDTICK => iBaudtick16x ); UART_BG2: slib_clock_div generic map (RATIO => 8) port map (CLK => CLK, RST => iRST, CE => iBaudtick16x, Q => iBaudtick2x ); UART_RCLK: slib_edge_detect port map (CLK => CLK, RST => iRST, D => iBAUDOUTN, RE => iRCLK ); -- Transmitter FIFO UART_TXFF: slib_fifo generic map (WIDTH => 8, SIZE_E => 6) port map (CLK => CLK, RST => iRST, CLEAR => iTXFIFOClear, WRITE => iTXFIFOWrite, READ => iTXFIFORead, D => PWDATA(7 downto 0), Q => iTXFIFOQ, EMPTY => iTXFIFOEmpty, FULL => iTXFIFO64Full, USAGE => iTXFIFOUsage ); -- Transmitter FIFO inputs iTXFIFO16Full <= iTXFIFOUsage(4); iTXFIFOFull <= iTXFIFO16Full when iFCR_FIFO64E = '0' else iTXFIFO64Full; iTXFIFOWrite <= '1' when ((iFCR_FIFOEnable = '0' and iTXFIFOEmpty = '1') or (iFCR_FIFOEnable = '1' and iTXFIFOFull = '0')) and iTHRWrite = '1' else '0'; iTXFIFOClear <= '1' when iFCR_TXFIFOReset = '1' else '0'; -- Receiver FIFO UART_RXFF: slib_fifo generic map (WIDTH => 11, SIZE_E => 6) port map (CLK => CLK, RST => iRST, CLEAR => iRXFIFOClear, WRITE => iRXFIFOWrite, READ => iRXFIFORead, D => iRXFIFOD, Q => iRXFIFOQ, EMPTY => iRXFIFOEmpty, FULL => iRXFIFO64Full, USAGE => iRXFIFOUsage ); -- Receiver FIFO inputs iRXFIFORead <= '1' when iRBRRead = '1' else '0'; iRXFIFO16Full <= iRXFIFOUsage(4); iRXFIFOFull <= iRXFIFO16Full when iFCR_FIFO64E = '0' else iRXFIFO64Full; -- Receiver FIFO outputs iRBR <= iRXFIFOQ(7 downto 0); -- FIFO trigger level: 1, 4, 8, 14 iRXFIFO16Trigger <= '1' when (iFCR_RXTrigger = "00" and iRXFIFOEmpty = '0') or (iFCR_RXTrigger = "01" and (iRXFIFOUsage(2) = '1' or iRXFIFOUsage(3) = '1')) or (iFCR_RXTrigger = "10" and iRXFIFOUsage(3) = '1') or (iFCR_RXTrigger = "11" and iRXFIFOUsage(3) = '1' and iRXFIFOUsage(2) = '1' and iRXFIFOUsage(1) = '1') or iRXFIFO16Full = '1' else '0'; -- FIFO 64 trigger level: 1, 16, 32, 56 iRXFIFO64Trigger <= '1' when (iFCR_RXTrigger = "00" and iRXFIFOEmpty = '0') or (iFCR_RXTrigger = "01" and (iRXFIFOUsage(4) = '1' or iRXFIFOUsage(5) = '1')) or (iFCR_RXTrigger = "10" and iRXFIFOUsage(5) = '1') or (iFCR_RXTrigger = "11" and iRXFIFOUsage(5) = '1' and iRXFIFOUsage(4) = '1' and iRXFIFOUsage(3) = '1') or iRXFIFO64Full = '1' else '0'; iRXFIFOTrigger <= iRXFIFO16Trigger when iFCR_FIFO64E = '0' else iRXFIFO64Trigger; -- Transmitter UART_TX: uart_transmitter port map (CLK => CLK, RST => iRST, TXCLK => iBaudtick2x, TXSTART => iTXStart, CLEAR => iTXClear, WLS => iLCR_WLS, STB => iLCR_STB, PEN => iLCR_PEN, EPS => iLCR_EPS, SP => iLCR_SP, BC => iLCR_BC, DIN => iTSR, TXFINISHED => iTXFinished, SOUT => iSOUT ); iTXClear <= '0'; -- Receiver UART_RX: uart_receiver port map (CLK => CLK, RST => iRST, RXCLK => iRCLK, RXCLEAR => iRXClear, WLS => iLCR_WLS, STB => iLCR_STB, PEN => iLCR_PEN, EPS => iLCR_EPS, SP => iLCR_SP, SIN => iSIN, PE => iRXPE, FE => iRXFE, BI => iRXBI, DOUT => iRXData, RXFINISHED => iRXFinished ); iRXClear <= '0'; iSIN <= iSINr when iMCR_LOOP = '0' else iSOUT; -- Transmitter enable signal -- TODO: Use iCTSNs instead of iMSR_CTS? Input filter increases delay for Auto-CTS recognition. iTXEnable <= '1' when iTXFIFOEmpty = '0' and (iMCR_AFE = '0' or (iMCR_AFE = '1' and iMSR_CTS = '1')) else '0'; -- Transmitter process UART_TXPROC: process (CLK, iRST) type state_type is (IDLE, TXSTART, TXRUN, TXEND); variable State : state_type; begin if (iRST = '1') then State := IDLE; iTSR <= (others => '0'); iTXStart <= '0'; iTXFIFORead <= '0'; iTXRunning <= '0'; elsif (CLK'event and CLK = '1') then -- Defaults iTXStart <= '0'; iTXFIFORead <= '0'; iTXRunning <= '0'; case State is when IDLE => if (iTXEnable = '1') then iTXStart <= '1'; -- Start transmitter State := TXSTART; else State := IDLE; end if; when TXSTART => iTSR <= iTXFIFOQ; iTXStart <= '1'; -- Start transmitter iTXFIFORead <= '1'; -- Increment TX FIFO read counter State := TXRUN; when TXRUN => if (iTXFinished = '1') then -- TX finished State := TXEND; else State := TXRUN; end if; iTXRunning <= '1'; iTXStart <= '1'; when TXEND => State := IDLE; when others => State := IDLE; end case; end if; end process; -- Receiver process UART_RXPROC: process (CLK, iRST) type state_type is (IDLE, RXSAVE); variable State : state_type; begin if (iRST = '1') then State := IDLE; iRXFIFOWrite <= '0'; iRXFIFOClear <= '0'; iRXFIFOD <= (others => '0'); elsif (CLK'event and CLK = '1') then -- Defaults iRXFIFOWrite <= '0'; iRXFIFOClear <= iFCR_RXFIFOReset; case State is when IDLE => if (iRXFinished = '1') then -- Receive finished iRXFIFOD <= iRXBI & iRXFE & iRXPE & iRXData; if (iFCR_FIFOEnable = '0') then iRXFIFOClear <= '1'; -- Non-FIFO mode end if; State := RXSAVE; else State := IDLE; end if; when RXSAVE => if (iFCR_FIFOEnable = '0') then iRXFIFOWrite <= '1'; -- Non-FIFO mode: Overwrite elsif (iRXFIFOFull = '0') then iRXFIFOWrite <= '1'; -- FIFO mode end if; State := IDLE; when others => State := IDLE; end case; end if; end process; -- Automatic flow control UART_AFC: process (CLK, iRST) begin if (iRST = '1') then iRTS <= '0'; elsif (CLK'event and CLK = '1') then if (iMCR_RTS = '0' or (iMCR_AFE = '1' and iRXFIFOTrigger = '1')) then -- Deassert when MCR_RTS is not set or AFC is enabled and the RX FIFO trigger level is reached iRTS <= '0'; elsif (iMCR_RTS = '1' and (iMCR_AFE = '0' or (iMCR_AFE = '1' and iRXFIFOEmpty = '1'))) then -- Assert when MCR_RTS is set and AFC is disabled or when AFC is enabled and the RX FIFO is empty iRTS <= '1'; end if; end if; end process; -- Output registers UART_OUTREGS: process (CLK, iRST) begin if (iRST = '1') then iBAUDOUTN <= '1'; OUT1N <= '1'; OUT2N <= '1'; RTSN <= '1'; DTRN <= '1'; SOUT <= '1'; elsif (CLK'event and CLK = '1') then -- Default values iBAUDOUTN <= '0'; OUT1N <= '0'; OUT2N <= '0'; RTSN <= '0'; DTRN <= '0'; SOUT <= '0'; -- BAUDOUTN if (iBaudtick16x = '0') then iBAUDOUTN <= '1'; end if; -- OUT1N if (iMCR_LOOP = '1' or iMCR_OUT1 = '0') then OUT1N <= '1'; end if; -- OUT2N if (iMCR_LOOP = '1' or iMCR_OUT2 = '0') then OUT2N <= '1'; end if; -- RTS if (iMCR_LOOP = '1' or iRTS = '0') then RTSN <= '1'; end if; -- DTR if (iMCR_LOOP = '1' or iMCR_DTR = '0') then DTRN <= '1'; end if; -- SOUT if (iMCR_LOOP = '1' or iSOUT = '1') then SOUT <= '1'; end if; end if; end process; -- UART data output UART_DOUT: process (PADDR, iLCR_DLAB, iRBR, iDLL, iDLM, iIER, iIIR, iLCR, iMCR, iLSR, iMSR, iSCR) begin case PADDR is when "000" => if (iLCR_DLAB = '0') then PRDATA(7 downto 0) <= iRBR; else PRDATA(7 downto 0) <= iDLL; end if; when "001" => if (iLCR_DLAB = '0') then PRDATA(7 downto 0) <= iIER; else PRDATA(7 downto 0) <= iDLM; end if; when "010" => PRDATA(7 downto 0) <= iIIR; when "011" => PRDATA(7 downto 0) <= iLCR; when "100" => PRDATA(7 downto 0) <= iMCR; when "101" => PRDATA(7 downto 0) <= iLSR; when "110" => PRDATA(7 downto 0) <= iMSR; when "111" => PRDATA(7 downto 0) <= iSCR; when others => PRDATA(7 downto 0) <= iRBR; end case; end process; PRDATA(31 downto 8) <= (others => '0'); PREADY <= '1'; PSLVERR <= '0'; end rtl;
apache-2.0
sakolkar/4BitSorter
Synopsis/sorter_top.vhd
1
3216
---------------------------------------------------------- -- ECE403 Lab3 Sorter Toplevel - 4 4-bit unsigned sorter -- Jiawei Wu -- Satyen Akolkar -- Top level: sorter_top.vhd -- Maps the multiplier core to the input and output pads ---------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sorter_top IS Port ( padA, padB, padC, padD : IN STD_LOGIC_VECTOR(3 downto 0); padClk : IN STD_LOGIC; padS1reg, padS2reg, padS3reg, padS4reg : OUT STD_LOGIC_VECTOR(3 downto 0) ); END sorter_top; ARCHITECTURE Structure of sorter_top IS component sorter IS Port ( Clk : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(3 downto 0); B : IN STD_LOGIC_VECTOR(3 downto 0); C : IN STD_LOGIC_VECTOR(3 downto 0); D : IN STD_LOGIC_VECTOR(3 downto 0); S1reg : OUT STD_LOGIC_VECTOR(3 downto 0); S2reg : OUT STD_LOGIC_VECTOR(3 downto 0); S3reg : OUT STD_LOGIC_VECTOR(3 downto 0); S4reg : OUT STD_LOGIC_VECTOR(3 downto 0) ); END component; component PDO12CDG port(A : in std_logic; PAD : out std_logic); end component; component PDUDGZ port(Y : out std_logic; PAD : in std_logic); end component; signal A, B, C, D : STD_LOGIC_VECTOR(3 downto 0); signal S1reg, S2reg, S3reg, S4reg : STD_LOGIC_VECTOR(3 downto 0); signal clk : STD_LOGIC; begin -- Input A pad mapping padAGen: for i in 3 downto 0 generate begin inpA: PDUDGZ port map (Y => A(i), PAD => padA(i)); end generate; -- Input B pad mapping padBGen: for i in 3 downto 0 generate begin inpB: PDUDGZ port map (Y => B(i), PAD => padB(i)); end generate; -- Input C pad mapping padCGen: for i in 3 downto 0 generate begin inpC: PDUDGZ port map (Y => C(i), PAD => padC(i)); end generate; -- Input D pad mapping padDGen: for i in 3 downto 0 generate begin inpD: PDUDGZ port map (Y => D(i), PAD => padD(i)); end generate; -- Output result pad mapping padS1Gen: for i in 3 downto 0 generate begin opResS1: PDO12CDG port map (PAD => padS1reg(i), A => S1reg(i)); end generate; padS2Gen: for i in 3 downto 0 generate begin opResS2: PDO12CDG port map (PAD => padS2reg(i), A => S2reg(i)); end generate; padS3Gen: for i in 3 downto 0 generate begin opResS3: PDO12CDG port map (PAD => padS3reg(i), A => S3reg(i)); end generate; padS4Gen: for i in 3 downto 0 generate begin opResS4: PDO12CDG port map (PAD => padS4reg(i), A => S4reg(i)); end generate; -- Misc pad mapping padClkG: PDUDGZ port map (Y => Clk, PAD => padClk); -- Sorter mapping coreG: sorter port map (Clk => Clk, A => A, B => B, C => C, D => D, S1reg => S1reg, S2reg => S2reg, S3reg => S3reg, S4reg => S4reg); END Structure;
apache-2.0
sakolkar/4BitSorter
Synopsis/PDO12CDG.vhd
1
319
LIBRARY IEEE; USE STD.standard.all; USE STD.textio.all; USE IEEE.std_logic_1164.all; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity PDO12CDG is port(A : in std_logic; PAD : out std_logic); end PDO12CDG; architecture outpad_arc of PDO12CDG is begin process(A) begin PAD <= A; end process; end outpad_arc;
apache-2.0
alainmarcel/Surelog
third_party/tests/ariane/fpga/src/apb_uart/src/slib_input_filter.vhd
5
2084
-- -- Input filter -- -- Author: Sebastian Witt -- Data: 06.03.2008 -- Version: 1.0 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_input_filter is generic ( SIZE : natural := 4 -- Filter counter size ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable D : in std_logic; -- Signal input Q : out std_logic -- Signal output ); end slib_input_filter; architecture rtl of slib_input_filter is signal iCount : integer range 0 to SIZE; begin IF_D: process (RST, CLK) begin if (RST = '1') then iCount <= 0; Q <= '0'; elsif (CLK'event and CLK='1') then -- Input counter if (CE = '1' ) then if (D = '1' and iCount /= SIZE) then iCount <= iCount + 1; elsif (D = '0' and iCount /= 0) then iCount <= iCount - 1; end if; end if; -- Output if (iCount = SIZE) then Q <= '1'; elsif (iCount = 0) then Q <= '0'; end if; end if; end process; end rtl;
apache-2.0
Paebbels/PicoBlaze-Examples
psm/ExampleDesign/main_Page1.vhdl
1
29160
-- Program defined by '{psmname}.{psmext}'. -- -- Generated by {assembler}: 2015-07-08T15:28:56. -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; library UniSim; use UniSim.vComponents.all; entity main_Page1 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end; architecture page of main_Page1 is -- signal address_a : std_logic_vector(15 downto 0); signal data_in_a : std_logic_vector(35 downto 0); signal data_out_a : std_logic_vector(35 downto 0); signal data_out_a_l : std_logic_vector(35 downto 0); signal data_out_a_h : std_logic_vector(35 downto 0); signal address_b : std_logic_vector(15 downto 0); signal data_in_b : std_logic_vector(35 downto 0); signal data_in_b_l : std_logic_vector(35 downto 0); signal data_out_b : std_logic_vector(35 downto 0); signal data_out_b_l : std_logic_vector(35 downto 0); signal data_in_b_h : std_logic_vector(35 downto 0); signal data_out_b_h : std_logic_vector(35 downto 0); signal we_b : std_logic_vector(7 downto 0); signal we_b_l : std_logic_vector(3 downto 0); signal we_b_h : std_logic_vector(3 downto 0); -- begin address_a <= '1' & Address(11 downto 0) & "111"; Instruction <= data_out_a_h(32) & data_out_a_h(7 downto 0) & data_out_a_l(32) & data_out_a_l(7 downto 0); we_b <= (others => JTAGLoader_WriteEnable); address_b <= '1' & JTAGLoader_Address(11 downto 0) & "111"; data_in_b_l <= "000" & JTAGLoader_DataIn(8) & x"000000" & JTAGLoader_DataIn(7 downto 0); data_in_b_h <= "000" & JTAGLoader_DataIn(17) & x"000000" & JTAGLoader_DataIn(16 downto 9); JTAGLoader_DataOut <= data_out_b_h(32) & data_out_b_h(7 downto 0) & data_out_b_l(32) & data_out_b_l(7 downto 0); rom0 : RAMB36E1 generic map ( READ_WIDTH_A => 9, WRITE_WIDTH_A => 9, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 9, WRITE_WIDTH_B => 9, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "7SERIES", INIT_00 => X"2F4E002F2F2F2F4E002F2F2F4E002F490303030C0B0A09080706050403020202", INIT_01 => X"3900011E0000A0250001DCDCDCDCDCDCDCDCDCDCDCDCDCDCDCDC530500AC4900", INIT_02 => X"18313372186D3072530010702E4E0020702E490040702E100A4000011E4700A0", INIT_03 => X"AAFDE3E57CCE85B89D9139005B1B106D70183533727018333372701832337270", INIT_04 => X"A2005C005D454E4F445B584096006100203E4154415358398A00660606060606", INIT_05 => X"41465B5840BD005C005D44454C4941465B5840AF005C00203A524F5252455839", INIT_06 => X"616D6D6F43206E776F6E6B6E555840D3005C0021544C4148203E2D205D4C4154", INIT_07 => X"020166002E2E2E20414750466F5320676E69746F6F6265525840E8005C00646E", INIT_08 => X"61572021776F6E2073726567676972742065706F635370696843206D72415839", INIT_09 => X"0124584044016B00202E2E2E2073646E6F636573203520726F6620676E697469", INIT_0A => X"205F5F5F5F205F5F5F5F5F20202020202020205F5F5F5F202020202021244000", INIT_0B => X"5F20207C205F5F5F20207C5F5F5F202F202020200A5F202020205F5F5F5F2020", INIT_0C => X"205C205F5F5F5C202020200A5C202F20207C5F5F5F202F205C205F20207C5F5F", INIT_0D => X"200A5C205F202F20205F20207C207C20295F7C207C20205F7C207C5C205F202F", INIT_0E => X"7C5F7C207C2F5F5F20207C207C5F20207C20295F28207C20295F5F5F20202020", INIT_0F => X"2020207C5F7C2F5F5F5F5C202F5F5F5F5F7C202020200A5C205F5F5F202F7C20", INIT_10 => X"203A65736E6563694C0A005C5F5C2020202F5F2F5F5F5F5F5C202020207C5F7C", INIT_11 => X"72746150203A73726F687475410A302E322065736E6563694C20656863617041", INIT_12 => X"69736544656C706D61784520203A6E67697365440A6E6E616D68654C206B6369", INIT_13 => X"4C407F02006E67697365442065636E657265666552202D2073796C74415F6E67", INIT_14 => X"2C48202C68203A73646E616D6D6F6320656C62616C6961766120666F20747369", INIT_15 => X"35202C34202C33202C32202C31202C63202C6D202C50202C70202C52202C7220", INIT_16 => X"6974616E616C70786520726F662048207373657270280A30202C39202C36202C", INIT_17 => X"6E616D6D6F6320656C62616C6961766120666F207473694C40E802000A296E6F", INIT_18 => X"0A6567617020706C656820736968742079616C7073696420204820200A3A7364", INIT_19 => X"206572757361656D20206D20200A79616C70736964207261656C632020632020", INIT_1A => X"200A6B636174534154415320746573657220207220200A79636E657571657266", INIT_1B => X"776F64207265776F7020207020200A414750466F5320746F6F62657220205220", INIT_1C => X"745341544153207075207265776F7020205020200A6B6361745341544153206E", INIT_1D => X"6174732042694D20312073656D6974203031206461657220203120200A6B6361", INIT_1E => X"3031206461657220203220200A302074657366666F206D6F726620676E697472", INIT_1F => X"657366666F206D6F726620676E6974726174732042694720312073656D697420", INIT_20 => X"302074657366666F20746120426947203436206461657220203320200A302074", INIT_21 => X"20657469727720203420200A736574756E696D20332D2D322073656B6174202C", INIT_22 => X"66666F206D6F726620676E6974726174732042694D20312073656D6974203031", INIT_23 => X"2042694720312073656D697420303120657469727720203520200A3020746573", INIT_24 => X"7469727720203620200A302074657366666F206D6F726620676E697472617473", INIT_25 => X"332D2D322073656B6174202C302074657366666F207461204269472034362065", INIT_26 => X"32206F7420657A695374737275422074657320203920200A736574756E696D20", INIT_27 => X"203233206F7420657A695374737275422074657320203020200A42694B203635", INIT_28 => X"642043324920726F6620676E696E6E616353390E05B3927A462A0B000A42694D", INIT_29 => X"65747369676572206C6C6120676E6964616552392D05002E2E2E736563697665", INIT_2A => X"6563637573206E6F69746172756769666E6F4320433249394905002E2E2E7372", INIT_2B => X"433249397D0500656369766564206F74206E65747469727720796C6C75667373", INIT_2C => X"5320676E6972757361654D3995050064656C696166206E6F6974617265706F20", INIT_2D => X"030303030303030303137A484D003D66203A79636E6575716572662030373569", INIT_2E => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_2F => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_30 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_31 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_32 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_33 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_34 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_35 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_36 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_37 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_38 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_39 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_3A => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_3B => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_3C => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_3D => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_3E => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_3F => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_40 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_41 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_42 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_43 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_44 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_45 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_46 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_47 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_48 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_49 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_4A => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_4B => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_4C => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_4D => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_4E => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_4F => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_50 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_51 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_52 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_53 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_54 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_55 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_56 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_57 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_58 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_59 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_5A => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_5B => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_5C => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_5D => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_5E => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_5F => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_60 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_61 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_62 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_63 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_64 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_65 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_66 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_67 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_68 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_69 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_6A => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_6B => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_6C => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_6D => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_6E => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_6F => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_70 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_71 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_72 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_73 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_74 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_75 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_76 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_77 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_78 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_79 => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_7A => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_7B => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_7C => X"0303030303030303030303030303030303030303030303030303030303030303", INIT_7D => X"0071BF61BF51BF41BF31BF21BF11BF0103030303030303030303030303030303", INIT_7E => X"03030111A0010001A000750071D461D451D441D431D421D411D4010001A00505", INIT_7F => X"04040404040404040404040404040404030303030303030303DA00000FF00601", INITP_00 => X"400000100000800002000800400400400028210844000021423FFFC014200000", INITP_01 => X"0000000000000000000000000000000000000000000000010400000000000000", INITP_02 => X"0000002000000000000000000000000010000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000001000000004000004000000000000400000040000000FE0", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000006808D55546AAAA00000000000000000000000000000000000000000000" ) port map ( ADDRARDADDR => address_a, ENARDEN => Fetch, CLKARDCLK => Clock, DOADO => data_out_a_l(31 downto 0), DOPADOP => data_out_a_l(35 downto 32), DIADI => x"00000000", DIPADIP => x"0", WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => JTAGLoader_Enable, CLKBWRCLK => JTAGLoader_Clock, DOBDO => data_out_b_l(31 downto 0), DOPBDOP => data_out_b_l(35 downto 32), DIBDI => data_in_b_l(31 downto 0), DIPBDIP => data_in_b_l(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0' ); -- rom1 : RAMB36E1 generic map ( READ_WIDTH_A => 9, WRITE_WIDTH_A => 9, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 9, WRITE_WIDTH_B => 9, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "7SERIES", INIT_00 => X"6F00286969686800286968680028680010101010101010101010101010101010", INIT_01 => X"109D8D0088EF251088CF59595959595959595959595959595959000F28580028", INIT_02 => X"000909001009090010886A1A4A10886A1A4A10886A1A4A1008109D8D0090EF25", INIT_03 => X"1010101111101010101010280808100810000909001000090900100009090010", INIT_04 => X"0D0D000F0F0F0F0F0F0F10000D0D000F0F0F0F0F0F0F10000D0D001010101010", INIT_05 => X"0F0F0F10000D0D000F0F0F0F0F0F0F0F0F10000D0D000F0F0F0F0F0F0F0F1000", INIT_06 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F10000D0D000F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_07 => X"0D0D000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F10000D0D000F0F0F", INIT_08 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F1000", INIT_09 => X"8D0000000D0D000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_0A => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F1000009D", INIT_0B => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_0C => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_0D => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_0E => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_0F => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_10 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_11 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_12 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_13 => X"0F100D0D0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_14 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_15 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_16 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_17 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F100D0D0F0F0F0F0F", INIT_18 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_19 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_1A => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_1B => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_1C => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_1D => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_1E => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_1F => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_20 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_21 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_22 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_23 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_24 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_25 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_26 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_27 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_28 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F100D0D1212121212120F0F0F0F0F", INIT_29 => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F100D0D0F0F0F0F0F0F0F0F0F0F", INIT_2A => X"0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F100D0D0F0F0F0F0F0F", INIT_2B => X"0F0F0F100D0D0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_2C => X"0F0F0F0F0F0F0F0F0F0F0F100D0D0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_2D => X"101010101010101010100908080F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F", INIT_2E => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_2F => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_30 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_31 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_32 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_33 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_34 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_35 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_36 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_37 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_38 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_39 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_3A => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_3B => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_3C => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_3D => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_3E => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_3F => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_40 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_41 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_42 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_43 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_44 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_45 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_46 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_47 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_48 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_49 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_4A => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_4B => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_4C => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_4D => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_4E => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_4F => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_50 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_51 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_52 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_53 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_54 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_55 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_56 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_57 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_58 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_59 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_5A => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_5B => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_5C => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_5D => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_5E => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_5F => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_60 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_61 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_62 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_63 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_64 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_65 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_66 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_67 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_68 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_69 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_6A => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_6B => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_6C => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_6D => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_6E => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_6F => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_70 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_71 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_72 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_73 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_74 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_75 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_76 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_77 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_78 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_79 => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_7A => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_7B => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_7C => X"1010101010101010101010101010101010101010101010101010101010101010", INIT_7D => X"0D58175817581758175817581758175810101010101010101010101010101010", INIT_7E => X"1010485825582858259D8D0D5817581758175817581758175817582858259D8D", INIT_7F => X"10101010101010101010101010101010101010101010101010179D850D0D48B8", INITP_00 => X"3FFFFFCFFFFE7FFFF9FFE7FF3FF3FF3FFFF2CE7399C6314D9BBFFFEFFFFFFFFF", INITP_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE73FFFFFFFFFFFFFF", INITP_02 => X"FFFFFF9FFFFFFFFFFFFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_05 => X"FFFFFFFFFFFFFFFFFFC7FFFFFFF3FFFFF3FFFFFFFFFFFF3FFFFFF3FFFFFFE7FF", INITP_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INITP_0F => X"FFFFFFC1FF8FFFFC7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF" ) port map ( ADDRARDADDR => address_a, ENARDEN => Fetch, CLKARDCLK => Clock, DOADO => data_out_a_h(31 downto 0), DOPADOP => data_out_a_h(35 downto 32), DIADI => x"00000000", DIPADIP => x"0", WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => JTAGLoader_Enable, CLKBWRCLK => JTAGLoader_Clock, DOBDO => data_out_b_h(31 downto 0), DOPBDOP => data_out_b_h(35 downto 32), DIBDI => data_in_b_h(31 downto 0), DIPBDIP => data_in_b_h(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0' ); end page;
apache-2.0
flink-project/flinkvhdl
axiComponents/subDevices/watchdogDevice/hdl/watchdogDevice_S00_AXI.vhd
1
28322
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.flink_definitions.all; use work.watchdog_pkg.all; entity watchdogDevice_v1_0_S00_AXI is generic ( -- Users to add parameters here base_clk : INTEGER := 100000000; unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- User parameters ends -- Do not modify the parameters beyond this line -- Width of ID for for write address, write data, read address and read data C_S_AXI_ID_WIDTH : integer := 1; -- Width of S_AXI data bus C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_S_AXI_ADDR_WIDTH : integer := 12; -- Width of optional user defined signal in write address channel C_S_AXI_AWUSER_WIDTH : integer := 0; -- Width of optional user defined signal in read address channel C_S_AXI_ARUSER_WIDTH : integer := 0; -- Width of optional user defined signal in write data channel C_S_AXI_WUSER_WIDTH : integer := 0; -- Width of optional user defined signal in read data channel C_S_AXI_RUSER_WIDTH : integer := 0; -- Width of optional user defined signal in write response channel C_S_AXI_BUSER_WIDTH : integer := 0 ); port ( -- Users to add ports here osl_watchdog_pwm : OUT STD_LOGIC; osl_granted : OUT STD_LOGIC; -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal S_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW S_AXI_ARESETN : in std_logic; -- Write Address ID S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Write address S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Burst length. The burst length gives the exact number of transfers in a burst S_AXI_AWLEN : in std_logic_vector(7 downto 0); -- Burst size. This signal indicates the size of each transfer in the burst S_AXI_AWSIZE : in std_logic_vector(2 downto 0); -- Burst type. The burst type and the size information, -- determine how the address for each transfer within the burst is calculated. S_AXI_AWBURST : in std_logic_vector(1 downto 0); -- Lock type. Provides additional information about the -- atomic characteristics of the transfer. S_AXI_AWLOCK : in std_logic; -- Memory type. This signal indicates how transactions -- are required to progress through a system. S_AXI_AWCACHE : in std_logic_vector(3 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Quality of Service, QoS identifier sent for each -- write transaction. S_AXI_AWQOS : in std_logic_vector(3 downto 0); -- Region identifier. Permits a single physical interface -- on a slave to be used for multiple logical interfaces. S_AXI_AWREGION : in std_logic_vector(3 downto 0); -- Optional User-defined signal in the write address channel. S_AXI_AWUSER : in std_logic_vector(C_S_AXI_AWUSER_WIDTH-1 downto 0); -- Write address valid. This signal indicates that -- the channel is signaling valid write address and -- control information. S_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that -- the slave is ready to accept an address and associated -- control signals. S_AXI_AWREADY : out std_logic; -- Write Data S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte -- lanes hold valid data. There is one write strobe -- bit for each eight bits of the write data bus. S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write last. This signal indicates the last transfer -- in a write burst. S_AXI_WLAST : in std_logic; -- Optional User-defined signal in the write data channel. S_AXI_WUSER : in std_logic_vector(C_S_AXI_WUSER_WIDTH-1 downto 0); -- Write valid. This signal indicates that valid write -- data and strobes are available. S_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. S_AXI_WREADY : out std_logic; -- Response ID tag. This signal is the ID tag of the -- write response. S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Write response. This signal indicates the status -- of the write transaction. S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Optional User-defined signal in the write response channel. S_AXI_BUSER : out std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0); -- Write response valid. This signal indicates that the -- channel is signaling a valid write response. S_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. S_AXI_BREADY : in std_logic; -- Read address ID. This signal is the identification -- tag for the read address group of signals. S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Read address. This signal indicates the initial -- address of a read burst transaction. S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Burst length. The burst length gives the exact number of transfers in a burst S_AXI_ARLEN : in std_logic_vector(7 downto 0); -- Burst size. This signal indicates the size of each transfer in the burst S_AXI_ARSIZE : in std_logic_vector(2 downto 0); -- Burst type. The burst type and the size information, -- determine how the address for each transfer within the burst is calculated. S_AXI_ARBURST : in std_logic_vector(1 downto 0); -- Lock type. Provides additional information about the -- atomic characteristics of the transfer. S_AXI_ARLOCK : in std_logic; -- Memory type. This signal indicates how transactions -- are required to progress through a system. S_AXI_ARCACHE : in std_logic_vector(3 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Quality of Service, QoS identifier sent for each -- read transaction. S_AXI_ARQOS : in std_logic_vector(3 downto 0); -- Region identifier. Permits a single physical interface -- on a slave to be used for multiple logical interfaces. S_AXI_ARREGION : in std_logic_vector(3 downto 0); -- Optional User-defined signal in the read address channel. S_AXI_ARUSER : in std_logic_vector(C_S_AXI_ARUSER_WIDTH-1 downto 0); -- Write address valid. This signal indicates that -- the channel is signaling valid read address and -- control information. S_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that -- the slave is ready to accept an address and associated -- control signals. S_AXI_ARREADY : out std_logic; -- Read ID tag. This signal is the identification tag -- for the read data group of signals generated by the slave. S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Read Data S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of -- the read transfer. S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read last. This signal indicates the last transfer -- in a read burst. S_AXI_RLAST : out std_logic; -- Optional User-defined signal in the read address channel. S_AXI_RUSER : out std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0); -- Read valid. This signal indicates that the channel -- is signaling the required read data. S_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. S_AXI_RREADY : in std_logic ); end watchdogDevice_v1_0_S00_AXI; architecture arch_imp of watchdogDevice_v1_0_S00_AXI is -- AXI4FULL signals signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bresp : std_logic_vector(1 downto 0); signal axi_buser : std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0); signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal axi_rresp : std_logic_vector(1 downto 0); signal axi_rlast : std_logic; signal axi_ruser : std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0); signal axi_rvalid : std_logic; -- aw_wrap_en determines wrap boundary and enables wrapping signal aw_wrap_en : std_logic; -- ar_wrap_en determines wrap boundary and enables wrapping signal ar_wrap_en : std_logic; -- aw_wrap_size is the size of the write transfer, the -- write address wraps to a lower address if upper address -- limit is reached signal aw_wrap_size : integer; -- ar_wrap_size is the size of the read transfer, the -- read address wraps to a lower address if upper address -- limit is reached signal ar_wrap_size : integer; -- The axi_awv_awr_flag flag marks the presence of write address valid signal axi_awv_awr_flag : std_logic; --The axi_arv_arr_flag flag marks the presence of read address valid signal axi_arv_arr_flag : std_logic; -- The axi_awlen_cntr internal write address counter to keep track of beats in a burst transaction signal axi_awlen_cntr : std_logic_vector(7 downto 0); --The axi_arlen_cntr internal read address counter to keep track of beats in a burst transaction signal axi_arlen_cntr : std_logic_vector(7 downto 0); signal axi_arburst : std_logic_vector(2-1 downto 0); signal axi_awburst : std_logic_vector(2-1 downto 0); signal axi_arlen : std_logic_vector(8-1 downto 0); signal axi_awlen : std_logic_vector(8-1 downto 0); --local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH --ADDR_LSB is used for addressing 32/64 bit registers/memories --ADDR_LSB = 2 for 32 bits (n downto 2) --ADDR_LSB = 3 for 42 bits (n downto 3) constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1; constant OPT_MEM_ADDR_BITS : integer := 3; constant USER_NUM_MEM: integer := 1; constant low : std_logic_vector (C_S_AXI_ADDR_WIDTH - 1 downto 0) := (OTHERS => '0'); CONSTANT c_usig_typdef_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address, C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_mem_size_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address*4, C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_number_of_channels_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address*4, C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_unique_id_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address*4, C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_status_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_status_address*4, C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_configuration_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_configuration_address*4, C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_base_clk_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_std_registers*4, C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_counter_val_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(unsigned(c_usig_base_clk_address)+4); CONSTANT c_usig_max_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(unsigned(c_usig_counter_val_address)+4); CONSTANT id : STD_LOGIC_VECTOR(15 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_watchdog_id, 16)); CONSTANT subtype_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); CONSTANT interface_version : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); TYPE t_internal_reg IS RECORD counter_set_reg : UNSIGNED(C_S_AXI_DATA_WIDTH-1 DOWNTO 0); reset_n : STD_LOGIC; rearm : STD_LOGIC; counter_changed : STD_LOGIC; pwm_state : STD_LOGIC; granted : STD_LOGIC; END RECORD; CONSTANT INTERNAL_REG_RESET : t_internal_reg := ( counter_set_reg => (OTHERS => '0'), reset_n => '0', rearm => '0', counter_changed => '0', pwm_state => '0', granted => '0' ); SIGNAL ri, ri_next : t_internal_reg := INTERNAL_REG_RESET; SIGNAL granted : STD_LOGIC; SIGNAL counter_val : UNSIGNED(C_S_AXI_DATA_WIDTH-1 DOWNTO 0); ------------------------------------------------ ---- Signals for user logic memory space example -------------------------------------------------- signal mem_address : std_logic_vector(OPT_MEM_ADDR_BITS downto 0); signal mem_select : std_logic_vector(USER_NUM_MEM-1 downto 0); type word_array is array (0 to USER_NUM_MEM-1) of std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal mem_data_out : word_array; signal i : integer; signal j : integer; signal mem_byte_index : integer; type BYTE_RAM_TYPE is array (0 to 15) of std_logic_vector(7 downto 0); begin -- I/O Connections assignments S_AXI_AWREADY <= axi_awready; S_AXI_WREADY <= axi_wready; S_AXI_BRESP <= axi_bresp; S_AXI_BUSER <= axi_buser; S_AXI_BVALID <= axi_bvalid; S_AXI_ARREADY <= axi_arready; S_AXI_RDATA <= axi_rdata; S_AXI_RRESP <= axi_rresp; S_AXI_RLAST <= axi_rlast; S_AXI_RUSER <= axi_ruser; S_AXI_RVALID <= axi_rvalid; S_AXI_BID <= S_AXI_AWID; S_AXI_RID <= S_AXI_ARID; aw_wrap_size <= ((C_S_AXI_DATA_WIDTH)/8 * to_integer(unsigned(axi_awlen))); ar_wrap_size <= ((C_S_AXI_DATA_WIDTH)/8 * to_integer(unsigned(axi_arlen))); aw_wrap_en <= '1' when (((axi_awaddr AND std_logic_vector(to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))) XOR std_logic_vector(to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))) = low) else '0'; ar_wrap_en <= '1' when (((axi_araddr AND std_logic_vector(to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))) XOR std_logic_vector(to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))) = low) else '0'; -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awready <= '0'; axi_awv_awr_flag <= '0'; else if (axi_awready = '0' and S_AXI_AWVALID = '1' and axi_awv_awr_flag = '0' and axi_arv_arr_flag = '0') then -- slave is ready to accept an address and -- associated control signals axi_awv_awr_flag <= '1'; -- used for generation of bresp() and bvalid axi_awready <= '1'; elsif (S_AXI_WLAST = '1' and axi_wready = '1') then -- preparing to accept next address after current write burst tx completion axi_awv_awr_flag <= '0'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); axi_awburst <= (others => '0'); axi_awlen <= (others => '0'); axi_awlen_cntr <= (others => '0'); else if (axi_awready = '0' and S_AXI_AWVALID = '1' and axi_awv_awr_flag = '0') then -- address latching axi_awaddr <= S_AXI_AWADDR(C_S_AXI_ADDR_WIDTH - 1 downto 0); ---- start address of transfer axi_awlen_cntr <= (others => '0'); axi_awburst <= S_AXI_AWBURST; axi_awlen <= S_AXI_AWLEN; elsif((axi_awlen_cntr <= axi_awlen) and axi_wready = '1' and S_AXI_WVALID = '1') then axi_awlen_cntr <= std_logic_vector (unsigned(axi_awlen_cntr) + 1); case (axi_awburst) is when "00" => -- fixed burst -- The write address for all the beats in the transaction are fixed axi_awaddr <= axi_awaddr; ----for awsize = 4 bytes (010) when "01" => --incremental burst -- The write address for all the beats in the transaction are increments by awsize axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--awaddr aligned to 4 byte boundary axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) when "10" => --Wrapping burst -- The write address wraps when the address reaches wrap boundary if (aw_wrap_en = '1') then axi_awaddr <= std_logic_vector (unsigned(axi_awaddr) - (to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))); else axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--awaddr aligned to 4 byte boundary axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) end if; when others => --reserved (incremental burst for example) axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--for awsize = 4 bytes (010) axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); end case; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and S_AXI_WVALID = '1' and axi_awv_awr_flag = '1') then axi_wready <= '1'; -- elsif (axi_awv_awr_flag = '0') then elsif (S_AXI_WLAST = '1' and axi_wready = '1') then axi_wready <= '0'; end if; end if; end if; end process; -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_bvalid <= '0'; axi_bresp <= "00"; --need to work more on the responses axi_buser <= (others => '0'); else if (axi_awv_awr_flag = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' and S_AXI_WLAST = '1' ) then axi_bvalid <= '1'; axi_bresp <= "00"; elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_arready <= '0'; axi_arv_arr_flag <= '0'; else if (axi_arready = '0' and S_AXI_ARVALID = '1' and axi_awv_awr_flag = '0' and axi_arv_arr_flag = '0') then axi_arready <= '1'; axi_arv_arr_flag <= '1'; elsif (axi_rvalid = '1' and S_AXI_RREADY = '1' and (axi_arlen_cntr = axi_arlen)) then -- preparing to accept next address after current read completion axi_arv_arr_flag <= '0'; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_araddr latching --This process is used to latch the address when both --S_AXI_ARVALID and S_AXI_RVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_araddr <= (others => '0'); axi_arburst <= (others => '0'); axi_arlen <= (others => '0'); axi_arlen_cntr <= (others => '0'); axi_rlast <= '0'; axi_ruser <= (others => '0'); else if (axi_arready = '0' and S_AXI_ARVALID = '1' and axi_arv_arr_flag = '0') then -- address latching axi_araddr <= S_AXI_ARADDR(C_S_AXI_ADDR_WIDTH - 1 downto 0); ---- start address of transfer axi_arlen_cntr <= (others => '0'); axi_rlast <= '0'; axi_arburst <= S_AXI_ARBURST; axi_arlen <= S_AXI_ARLEN; elsif((axi_arlen_cntr <= axi_arlen) and axi_rvalid = '1' and S_AXI_RREADY = '1') then axi_arlen_cntr <= std_logic_vector (unsigned(axi_arlen_cntr) + 1); axi_rlast <= '0'; case (axi_arburst) is when "00" => -- fixed burst -- The read address for all the beats in the transaction are fixed axi_araddr <= axi_araddr; ----for arsize = 4 bytes (010) when "01" => --incremental burst -- The read address for all the beats in the transaction are increments by awsize axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1); --araddr aligned to 4 byte boundary axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) when "10" => --Wrapping burst -- The read address wraps when the address reaches wrap boundary if (ar_wrap_en = '1') then axi_araddr <= std_logic_vector (unsigned(axi_araddr) - (to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))); else axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1); --araddr aligned to 4 byte boundary axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) end if; when others => --reserved (incremental burst for example) axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--for arsize = 4 bytes (010) axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); end case; elsif((axi_arlen_cntr = axi_arlen) and axi_rlast = '0' and axi_arv_arr_flag = '1') then axi_rlast <= '1'; elsif (S_AXI_RREADY = '1') then axi_rlast <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then ri <= ri_next; if S_AXI_ARESETN = '0' then axi_rvalid <= '0'; axi_rresp <= "00"; else if (axi_arv_arr_flag = '1' and axi_rvalid = '0') then axi_rvalid <= '1'; axi_rresp <= "00"; -- 'OKAY' response elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then axi_rvalid <= '0'; end if; end if; end if; end process; -- ------------------------------------------ -- -- Example code to access user logic memory region -- ------------------------------------------ --read data process( axi_rvalid,axi_araddr,ri ) is begin if (axi_rvalid = '1') then -- output the read dada IF(axi_araddr = c_usig_typdef_address) THEN axi_rdata(31 DOWNTO 16) <= id; axi_rdata(15 DOWNTO 8) <= subtype_id; axi_rdata(7 DOWNTO 0) <= interface_version; ELSIF(axi_araddr = c_usig_mem_size_address)THEN axi_rdata <= (others => '0'); axi_rdata(C_S_AXI_ADDR_WIDTH) <= '1'; ELSIF(axi_araddr = c_usig_number_of_channels_address)THEN axi_rdata <= std_logic_vector(to_unsigned(1,C_S_AXI_DATA_WIDTH)); ELSIF(axi_araddr = c_usig_unique_id_address) THEN axi_rdata <= unique_id; ELSIF(axi_araddr = c_usig_status_address) THEN axi_rdata <= (OTHERS => '0'); axi_rdata(0) <= ri.granted; ELSIF(axi_araddr = c_usig_configuration_address) THEN axi_rdata <= (OTHERS => '0'); ELSIF(axi_araddr = c_usig_base_clk_address) THEN axi_rdata <= STD_LOGIC_VECTOR(to_unsigned(base_clk,axi_rdata'length)); ELSIF (axi_araddr >= c_usig_counter_val_address AND axi_araddr < c_usig_max_address) THEN axi_rdata <= STD_LOGIC_VECTOR(counter_val); ELSE axi_rdata <= (others => '0'); END IF; else axi_rdata <= (others => '0'); end if; end process; --write process( axi_wready,S_AXI_WVALID,S_AXI_WDATA,axi_awaddr,S_AXI_WSTRB,ri,S_AXI_ARESETN,granted) VARIABLE vi: t_internal_reg := INTERNAL_REG_RESET; BEGIN -- keep variables stable vi := ri; vi.granted := granted; --standard values vi.reset_n := '1'; vi.rearm := '0'; vi.counter_changed := '0'; IF(axi_wready = '1') THEN IF(axi_awaddr >= c_usig_configuration_address AND axi_awaddr < c_usig_counter_val_address) THEN IF(S_AXI_WSTRB(0) = '1')THEN vi.rearm := S_AXI_WDATA(0); END IF; ELSIF(axi_awaddr >= c_usig_counter_val_address AND axi_awaddr < c_usig_max_address) THEN IF(S_AXI_WSTRB(0) = '1')THEN vi.counter_set_reg(7 DOWNTO 0) := unsigned(S_AXI_WDATA(7 DOWNTO 0)); vi.counter_changed := '1'; END IF; IF(S_AXI_WSTRB(1) = '1')THEN vi.counter_set_reg(15 DOWNTO 8) := unsigned(S_AXI_WDATA(15 DOWNTO 8)); vi.counter_changed := '1'; END IF; IF(S_AXI_WSTRB(2) = '1')THEN vi.counter_set_reg(23 DOWNTO 16) := unsigned(S_AXI_WDATA(23 DOWNTO 16)); vi.counter_changed := '1'; END IF; IF(S_AXI_WSTRB(3) = '1')THEN vi.counter_set_reg(31 DOWNTO 24) := unsigned(S_AXI_WDATA(31 DOWNTO 24)); vi.counter_changed := '1'; END IF; vi.counter_changed := '1'; IF granted = '1' THEN vi.pwm_state := NOT vi.pwm_state; END IF; END IF; END IF; IF(S_AXI_ARESETN = '0')THEN vi := INTERNAL_REG_RESET; END IF; ri_next <= vi; END PROCESS; gen_wd: watchdog GENERIC MAP(gi_counter_resolution => C_S_AXI_DATA_WIDTH) PORT MAP(S_AXI_ACLK, ri.reset_n, ri.counter_set_reg, ri.counter_changed, ri.rearm, counter_val, granted); -- Add user logic here osl_watchdog_pwm <= ri.pwm_state; osl_granted <= ri.granted; -- User logic ends end arch_imp;
apache-2.0
flink-project/flinkvhdl
functionalBlocks/adcad7476/src/adcad7476A.m.vhd
1
7632
-------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- INTERSTATE UNIVERSITY OF AAPLIED SCIENCES OF TECHNOLOGY -- -- Campus Buchs - Werdenbergstrasse 4 - CH-9471 Buchs -- Campus Waldau - Schoenauweg4 - CH9013 St. Gallen -- -- Tel. +41 (0)81 755 33 11 Fax +41 (0)81 756 54 34 -- -------------------------------------------------------------------------------- -- Project : ADC Interface for ADCS7476A -- Unit : adcs7476A_interface -- Author : Laszlo Arato -- Created : June 2014 -------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -------------------------------------------------------------------------------- -- ADCS7476A Analog-Digital Converter -- SCLK max frequency = 20 MHz -- t_convert = 16 x t_sclk -- t_quiet = 50 ns min. -- t2 = CS-to-SCLK Setup time = 10 ns min. -- SCLK-to-Data Valid hold time = 7 ns -- __ ______ _____________ -- CS \______________________________________/ \ -- <- t2 -> <- t_quiet -> -- _______________ __ __ __ __ __ __ __ __ _ _ _ _ -- SCLK \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_/ \_/ \_/ \_/ \_/ \_/ -- _______ _____ _____ _____ _____ _____ ______ -- SDATA -------<___Z3__X__Z2_X__Z1_X__Z0_X_D11_X_D10_X -- Data is applied on the falling edge, so sample it on falling edge for maximum timing -- _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ -- 50 MHz Clock \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ \_/ -- __ ______ -- CS \_____________________________________________________ -- __________ ___________ ___________ -- SCLK \___________/ \___________/ \___________/ -- ___ _______________________ _______________________ _______________________ -- SDATA ------< Z0X__________Z1___________X_______________________X_______________________ LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; PACKAGE adcad7476A_pkg IS COMPONENT adcad7476A IS GENERIC( base_clk : INTEGER := 33000000; sclk_frequency : INTEGER := 8000000 -- max 20Mhz ); PORT ( isl_reset : IN std_logic ; -- Reset isl_clock : IN std_logic ; -- Clock osl_adc_sclk : OUT std_logic; -- ADC clock osl_adc_csn : OUT std_logic; -- ADC chip select not isl_adc_sdata : IN std_logic; -- ADC serial data oslv12_hex_data : OUT std_logic_vector(11 DOWNTO 0) -- ADC result out ); END COMPONENT adcad7476A; END PACKAGE adcad7476A_pkg; ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY adcad7476A IS GENERIC( base_clk : INTEGER := 33000000; sclk_frequency : INTEGER := 8000000 -- max 20Mhz ); PORT ( isl_reset : IN std_logic ; -- Reset isl_clock : IN std_logic ; -- Clock osl_adc_sclk : OUT std_logic; -- ADC clock osl_adc_csn : OUT std_logic; -- ADC chip select not isl_adc_sdata : IN std_logic; -- ADC serial data oslv12_hex_data : OUT std_logic_vector(11 DOWNTO 0) -- ADC result out ); END ENTITY adcad7476A; ------------------------------------------------------------------------------- ARCHITECTURE rtl OF adcad7476A IS CONSTANT cint_rx_bitcount : integer := 16; TYPE t_fsm_state IS (INIT, CS_START, READ_Z3, SCLK_LOW, SCLK_HIGH); TYPE t_adc_signals IS RECORD sl_serial_clock : std_logic; sl_chip_select_bar : std_logic; fsm_state : t_fsm_state; usig2_clk_div_count : unsigned(1 DOWNTO 0); usig4_bit_count : unsigned(3 DOWNTO 0); slv_rx_tmp_data : std_logic_vector(cint_rx_bitcount - 1 DOWNTO 0); slv_rx_out_data : std_logic_vector(cint_rx_bitcount - 1 DOWNTO 0); END RECORD; SIGNAL r, r_next : t_adc_signals; SIGNAL sl_reset : std_logic; BEGIN adc_comb_proc : PROCESS (isl_reset, isl_adc_sdata, r) VARIABLE v : t_adc_signals; BEGIN v := r; -- Initialize variables CASE r.fsm_state IS WHEN INIT => v.sl_chip_select_bar := '1'; v.sl_serial_clock := '1'; v.slv_rx_out_data := r.slv_rx_tmp_data; v.fsm_state := CS_START; WHEN CS_START => v.sl_chip_select_bar := '0'; v.usig4_bit_count := to_unsigned(cint_rx_bitcount - 1,4); v.usig2_clk_div_count := "10"; v.fsm_state := READ_Z3; WHEN READ_Z3 => v.sl_serial_clock := '0'; v.fsm_state := SCLK_LOW; WHEN SCLK_LOW => IF r.usig2_clk_div_count = 2 THEN v.usig4_bit_count := r.usig4_bit_count - 1; v.usig2_clk_div_count := r.usig2_clk_div_count - 1; ELSIF r.usig2_clk_div_count > 0 THEN v.usig2_clk_div_count := r.usig2_clk_div_count - 1; ELSE v.sl_serial_clock := '1'; v.usig2_clk_div_count := "10"; v.fsm_state := SCLK_HIGH; END IF; WHEN SCLK_HIGH => IF r.usig2_clk_div_count > 0 THEN v.usig2_clk_div_count := r.usig2_clk_div_count - 1; ELSE IF r.usig4_bit_count = 0 THEN v.fsm_state := INIT; ELSE v.sl_serial_clock := '0'; v.usig2_clk_div_count := "10"; v.fsm_state := SCLK_LOW; END IF; END IF; WHEN OTHERS => v.fsm_state := INIT; END CASE; -- Sample Serial Data Values IF r.fsm_state = SCLK_HIGH AND r.usig2_clk_div_count = 2 THEN v.slv_rx_tmp_data(to_integer(r.usig4_bit_count)) := isl_adc_sdata; END IF; -- Reset State IF isl_reset = '1' THEN v.fsm_state := INIT; END IF; r_next <= v; -- Copy variables to signal END PROCESS adc_comb_proc; adc_reg_proc : PROCESS (isl_clock) BEGIN IF rising_edge(isl_clock) THEN r <= r_next; END IF; END PROCESS adc_reg_proc; osl_adc_sclk <= r.sl_serial_clock; -- ADC_SCLK osl_adc_csn <= r.sl_chip_select_bar; -- ADC_CSN oslv12_hex_data <= r.slv_rx_out_data(oslv12_hex_data'LENGTH - 1 DOWNTO 0); END ARCHITECTURE rtl;
apache-2.0
flink-project/flinkvhdl
avalonComponents/subDevices/infoDevice/sim/info_device_tb.vhd
1
6819
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance witdh the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- witdhOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; USE work.info_device_pkg.ALL; ENTITY info_device_tb IS END ENTITY info_device_tb; ARCHITECTURE sim OF info_device_tb IS CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT dev_size: INTEGER := 128; CONSTANT unique_id: STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0) := x"00001337"; CONSTANT description: STD_LOGIC_VECTOR (c_int_number_of_descr_register*c_fLink_avs_data_width-1 DOWNTO 0) := x"000000000000000000000000000000664c696e6b2050726f6a656374"; --fLink Project SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '1'; SIGNAL slv_avs_address : STD_LOGIC_VECTOR (info_device_address_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL sl_avs_read : STD_LOGIC:= '0'; SIGNAL sl_avs_write : STD_LOGIC:= '0'; SIGNAL slv_avs_write_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_read_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_byteenable : STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0):= (OTHERS =>'1'); BEGIN --create component my_unit_under_test : info_device GENERIC MAP( unique_id => unique_id, description => description, dev_size => dev_size ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, islv_avs_address => slv_avs_address, isl_avs_read => sl_avs_read, isl_avs_write => sl_avs_write, islv_avs_write_data => slv_avs_write_data, oslv_avs_read_data => slv_avs_read_data, islv_avs_byteenable => slv_avs_byteenable ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 100*main_period; sl_reset_n <= '1'; WAIT FOR main_period/2; --test id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address,info_device_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(info_device_interface_version,c_fLink_interface_version_length)) REPORT "Interface Version Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_interface_version_length+c_fLink_subtype_length-1 DOWNTO c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(info_device_subtype_id,c_fLink_subtype_length)) REPORT "Subtype ID Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_avs_data_width-1 DOWNTO c_fLink_interface_version_length+c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_fLink_info_id,c_fLink_id_length)) REPORT "Type ID Missmatch" SEVERITY FAILURE; --test mem size register register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address,info_device_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT to_integer(UNSIGNED(slv_avs_read_data)) = 4*INTEGER(2**info_device_address_width) REPORT "Memory Size Error: "&INTEGER'IMAGE(4*INTEGER(2**info_device_address_width))&"/"&INTEGER'IMAGE(to_integer(UNSIGNED(slv_avs_read_data))) SEVERITY FAILURE; --test unique id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address,info_device_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = unique_id REPORT "unique ID Error" SEVERITY FAILURE; --test number of channels register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address,info_device_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(0,c_fLink_interface_version_length)) REPORT "Number of Channels Error" SEVERITY FAILURE; --test dev_size WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_dev_size_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = STD_LOGIC_VECTOR(to_unsigned(dev_size,c_fLink_avs_data_width)) REPORT "Number of Channels Error" SEVERITY FAILURE; --test description FOR i in c_int_number_of_descr_register-1 DOWNTO 0 LOOP WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_description_address + to_unsigned(c_int_number_of_descr_register-i-1,info_device_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = description((i+1)*32-1 DOWNTO i*32) REPORT "Test Description Error: "&INTEGER'IMAGE(i) SEVERITY FAILURE; END LOOP; WAIT FOR 10*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
avalonComponents/subDevices/avalon_watchdog_interface/sim/avalon_watchdog_interface_tb.vhd
1
11078
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; USE work.avalon_watchdog_interface_pkg.ALL; ENTITY avalon_watchdog_interface_tb IS END ENTITY avalon_watchdog_interface_tb; ARCHITECTURE sim OF avalon_watchdog_interface_tb IS CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT number_of_watchdogs : INTEGER := 1; CONSTANT unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := x"00007764"; --wd SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '1'; SIGNAL slv_avs_address : STD_LOGIC_VECTOR (c_watchdog_interface_address_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL sl_avs_read : STD_LOGIC:= '0'; SIGNAL sl_avs_write : STD_LOGIC:= '0'; SIGNAL slv_avs_write_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_read_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL sl_watchdog_pwm : STD_LOGIC := '0'; SIGNAL sl_granted : STD_LOGIC := '0'; SIGNAL slv_avs_byteenable : STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) := (OTHERS =>'1'); BEGIN --create component my_unit_under_test : avalon_watchdog_interface GENERIC MAP( base_clk => 125000000, unique_id => unique_id ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, islv_avs_address => slv_avs_address, isl_avs_read => sl_avs_read, isl_avs_write => sl_avs_write, islv_avs_write_data => slv_avs_write_data, islv_avs_byteenable => slv_avs_byteenable, oslv_avs_read_data => slv_avs_read_data, osl_watchdog_pwm => sl_watchdog_pwm, osl_granted => sl_granted ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '1'; WAIT FOR 100*main_period; sl_reset_n <= '0'; WAIT FOR 100*main_period; sl_reset_n <= '1'; WAIT FOR main_period/2; --test id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address,c_watchdog_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(c_watchdog_interface_version,c_fLink_interface_version_length)) REPORT "Interface Version Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_interface_version_length+c_fLink_subtype_length-1 DOWNTO c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_watchdog_subtype_id,c_fLink_subtype_length)) REPORT "Subtype ID Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_avs_data_width-1 DOWNTO c_fLink_interface_version_length+c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_fLink_watchdog_id,c_fLink_id_length)) REPORT "Type ID Missmatch" SEVERITY FAILURE; --test mem size register register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address,c_watchdog_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT to_integer(UNSIGNED(slv_avs_read_data)) = 4*INTEGER(2**c_watchdog_interface_address_width) REPORT "Memory Size Error: "&INTEGER'IMAGE(4*INTEGER(2**number_of_watchdogs))&"/"&INTEGER'IMAGE(to_integer(UNSIGNED(slv_avs_read_data))) SEVERITY FAILURE; --test number of chanels register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address,c_watchdog_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(number_of_watchdogs,c_fLink_interface_version_length)) REPORT "Number of Channels Error" SEVERITY FAILURE; --test unic id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address,c_watchdog_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = unique_id REPORT "Unic Id Error" SEVERITY FAILURE; --test base clock register WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_base_clk_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(125000000,c_fLink_interface_version_length)) REPORT "Base clock Error" SEVERITY FAILURE; --test status register WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_wd_status_conf_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_int_status_bit) = '0' REPORT "osl_granted should be zero at this point" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_int_rearm_bit) = '0' REPORT "Rearm not set to zero after reset" SEVERITY FAILURE; -- test set counter register WAIT FOR 10*main_period; sl_avs_write <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_counter_address); slv_avs_write_data <= STD_LOGIC_VECTOR(to_unsigned(100,c_fLink_avs_data_width)); WAIT FOR main_period; sl_avs_write <= '0'; slv_avs_address <= (OTHERS =>'0'); slv_avs_write_data <= (OTHERS =>'0'); WAIT FOR main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_counter_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = STD_LOGIC_VECTOR(to_unsigned(100,c_fLink_avs_data_width)) REPORT "counter not stayed at set value" SEVERITY FAILURE; --rearm WAIT FOR 10*main_period; sl_avs_write <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_wd_status_conf_address); slv_avs_write_data(c_int_rearm_bit) <= '1'; WAIT FOR main_period; sl_avs_write <= '0'; slv_avs_address <= (OTHERS =>'0'); slv_avs_write_data <= (OTHERS =>'0'); WAIT FOR main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_wd_status_conf_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_int_status_bit) = '1' REPORT "osl_granted should be set at this point" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_int_rearm_bit) = '0' REPORT "Rearm not set because self clearing" SEVERITY FAILURE; -- set counter again WAIT FOR 10*main_period; sl_avs_write <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_counter_address); slv_avs_write_data <= STD_LOGIC_VECTOR(to_unsigned(100,c_fLink_avs_data_width)); WAIT FOR main_period; sl_avs_write <= '0'; slv_avs_address <= (OTHERS =>'0'); slv_avs_write_data <= (OTHERS =>'0'); -- test granted again WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_wd_status_conf_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_int_status_bit) = '1' REPORT "osl_granted should be set at this point" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_int_rearm_bit) = '0' REPORT "Rearm not set because self clearing" SEVERITY FAILURE; -- write counter again to see if pwm chages WAIT FOR 10*main_period; sl_avs_write <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_counter_address); slv_avs_write_data <= STD_LOGIC_VECTOR(to_unsigned(100,c_fLink_avs_data_width)); WAIT FOR main_period; sl_avs_write <= '0'; slv_avs_address <= (OTHERS =>'0'); slv_avs_write_data <= (OTHERS =>'0'); -- read counter WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_counter_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); -- wait and see if osl_granted goes low WAIT FOR 91*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_wd_status_conf_address); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_int_status_bit) = '0' REPORT "osl_granted should be zero at this point" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_int_rearm_bit) = '0' REPORT "Rearm not set because self clearing" SEVERITY FAILURE; -- rearm and then reset and see what happends: WAIT FOR 100*main_period; sl_avs_write <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_counter_address); slv_avs_write_data <= STD_LOGIC_VECTOR(to_unsigned(100,c_fLink_avs_data_width)); WAIT FOR main_period; sl_avs_write <= '0'; slv_avs_address <= (OTHERS =>'0'); slv_avs_write_data <= (OTHERS =>'0'); WAIT FOR 10*main_period; sl_avs_write <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(c_usig_wd_status_conf_address); slv_avs_write_data(c_int_rearm_bit) <= '1'; WAIT FOR main_period; sl_avs_write <= '0'; slv_avs_address <= (OTHERS =>'0'); slv_avs_write_data <= (OTHERS =>'0'); WAIT FOR 20*main_period; sl_reset_n <= '0'; WAIT FOR 100*main_period; sl_reset_n <= '1'; WAIT FOR 1000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
functionalBlocks/ppwa/sim/ppwa_rtl_tb.vhd
1
2825
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.ppwa_pkg.ALL; ENTITY ppwa_rtl_tb IS END ENTITY ppwa_rtl_tb; ARCHITECTURE sim OF ppwa_rtl_tb IS --Sumulation Parameter: CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT measure_period : TIME := 800 ns; -- 12.5MHz CONSTANT resolution : INTEGER := 32; SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '0'; SIGNAL sl_measure_signal : STD_LOGIC := '0'; SIGNAL usig_period_count : UNSIGNED(resolution - 1 DOWNTO 0); SIGNAL usig_hightime_count : UNSIGNED(resolution - 1 DOWNTO 0); BEGIN --create component my_unit_under_test : ppwa GENERIC MAP(resolution) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, isl_measure_signal => sl_measure_signal, ousig_period_count => usig_period_count, ousig_hightime_count => usig_hightime_count ); sl_clk <= NOT sl_clk after main_period/2; sl_measure_signal <= NOT sl_measure_signal after measure_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 2*main_period; sl_reset_n <= '1'; WAIT FOR 1000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
fLink/core/const_test_vector.m.vhd
1
1501
-------------------------------------------------------------------------------------- -- _ _ _____ _ _____ _____ _____ _____ _ _____ _ __ -- | | / / / _ \ | | |_ _| | ____| | _ \ | ___| | | / _ \ | | / / -- | | / / | | | | | | | | | |__ | | | | | |__ | | | | | | | | __ / / -- | | / / | | | | | | | | | __| | | | | | __| | | | | | | | | / | / / -- | |/ / | |_| | | |__ | | | |___ | |_| | | | | |___ | |_| | | |/ |/ / -- |___/ \_____/ |_____| |_| |_____| |_____/ |_| |_____| \_____/ |___/|___/ -- ------------------------------------------------------------------------------------- -- OCI O'Connor Informatics -- Allwegmatte 10 -- CH 6372 Ennetmoos, Switzerland -- ----------------------------------------------------------------------------------- -- Unit : const_test_vector.m.vhd -- Author : Marco Tinner, NTB Buchs -- Created : July 2012 ----------------------------------------------------------------------------------- -- Copyright(C) 2012: OCI O'Connor Informatics, Switzerland ----------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY const_test_vector IS PORT ( test_out: OUT std_logic_vector(39 DOWNTO 0) ); END ENTITY const_test_vector; ARCHITECTURE rtl OF const_test_vector IS BEGIN test_out <= x"00000000A8"; END ARCHITECTURE rtl;
apache-2.0
flink-project/flinkvhdl
avalonComponents/subDevices/avalon_dacad5668_interface/sim/avalon_dacad5668_interface_tb.vhd
1
6152
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; USE work.avalon_dacad5668_interface_pkg.ALL; USE work.dacad5668_pkg.ALL; ENTITY avalon_dacad5668_interface_tb IS END ENTITY avalon_dacad5668_interface_tb; ARCHITECTURE sim OF avalon_dacad5668_interface_tb IS CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := x"00646163"; --dac SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '1'; SIGNAL slv_avs_address : STD_LOGIC_VECTOR (c_analog_output_interface_address_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL sl_avs_read : STD_LOGIC:= '0'; SIGNAL sl_avs_write : STD_LOGIC:= '0'; SIGNAL slv_avs_write_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_read_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_byteenable : STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0):= (OTHERS =>'1'); SIGNAL sl_sclk : STD_LOGIC:= '0'; SIGNAL slv_Ss : STD_LOGIC:= '0'; SIGNAL sl_mosi : STD_LOGIC:= '0'; SIGNAL sl_LDAC_n : STD_LOGIC:= '0'; SIGNAL sl_CLR_n : STD_LOGIC:= '0'; BEGIN --create component my_unit_under_test : avalon_dacad5668_interface GENERIC MAP( BASE_CLK => 33000000, SCLK_FREQUENCY => 1000000, INTERNAL_REFERENCE => '1', UNIQUE_ID => unique_id ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, islv_avs_address => slv_avs_address, isl_avs_read => sl_avs_read, isl_avs_write => sl_avs_write, islv_avs_write_data => slv_avs_write_data, islv_avs_byteenable => slv_avs_byteenable, oslv_avs_read_data => slv_avs_read_data, osl_sclk => sl_sclk, oslv_Ss => slv_Ss, osl_mosi => sl_mosi, osl_LDAC_n => sl_LDAC_n, osl_CLR_n => sl_CLR_n ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 100*main_period; sl_reset_n <= '1'; WAIT FOR main_period/2; --test id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address,c_analog_output_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = c_dacad5668_interface_version REPORT "Interface Version Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_interface_version_length+c_fLink_subtype_length-1 DOWNTO c_fLink_interface_version_length) = c_dacad5668_subtype_id REPORT "Subtype ID Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_avs_data_width-1 DOWNTO c_fLink_interface_version_length+c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_fLink_analog_output_id,c_fLink_id_length)) REPORT "Type ID Missmatch" SEVERITY FAILURE; --test mem size register register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address,c_analog_output_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT to_integer(UNSIGNED(slv_avs_read_data)) = 4*INTEGER(2**c_analog_output_interface_address_width) REPORT "Memory Size Error: "&INTEGER'IMAGE(4*INTEGER(2**NUMBER_OF_CHANNELS))&"/"&INTEGER'IMAGE(to_integer(UNSIGNED(slv_avs_read_data))) SEVERITY FAILURE; --test unic id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address,c_analog_output_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = unique_id REPORT "Unic Id Error" SEVERITY FAILURE; --test number of channels register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address,c_analog_output_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(NUMBER_OF_CHANNELS,c_fLink_interface_version_length)) REPORT "Number of Channels Error" SEVERITY FAILURE; WAIT FOR 10000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
fLink/core/flink_definitions.vhd
1
4333
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- fLink definitions -- -- -- -- THIS FILE WAS CREATED AUTOMATICALLY - do not change -- -- -- -- Created with: flinkinterface/func_id/ -- -- create_flink_definitions.vhd_flinkVHDL.sh -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; PACKAGE fLink_definitions IS -- Global CONSTANT c_fLink_avs_data_width : INTEGER := 32; CONSTANT c_fLink_avs_data_width_in_byte : INTEGER := c_fLink_avs_data_width/8; -- Header registers CONSTANT c_fLink_number_of_std_registers : INTEGER := 8; CONSTANT c_fLink_typdef_address : INTEGER := 0; CONSTANT c_fLink_mem_size_address : INTEGER := 1; CONSTANT c_fLink_number_of_channels_address : INTEGER := 2; CONSTANT c_fLink_unique_id_address : INTEGER := 3; CONSTANT c_fLink_status_address : INTEGER := 4; CONSTANT c_fLink_configuration_address : INTEGER := 5; CONSTANT c_fLink_id_length : INTEGER := 16; CONSTANT c_fLink_subtype_length : INTEGER := 8; CONSTANT c_fLink_interface_version_length : INTEGER := 8; CONSTANT c_fLink_reset_bit_num : INTEGER := 0; -- Interface IDs: CONSTANT c_fLink_info_id : INTEGER RANGE 0 TO 65535 := 0; CONSTANT c_fLink_analog_input_id : INTEGER RANGE 0 TO 65535 := 1; CONSTANT c_fLink_analog_output_id : INTEGER RANGE 0 TO 65535 := 2; CONSTANT c_fLink_digital_io_id : INTEGER RANGE 0 TO 65535 := 5; CONSTANT c_fLink_counter_id : INTEGER RANGE 0 TO 65535 := 6; CONSTANT c_fLink_timer_id : INTEGER RANGE 0 TO 65535 := 7; CONSTANT c_fLink_memory_id : INTEGER RANGE 0 TO 65535 := 8; CONSTANT c_fLink_pwm_out_id : INTEGER RANGE 0 TO 65535 := 12; CONSTANT c_fLink_ppwa_id : INTEGER RANGE 0 TO 65535 := 13; CONSTANT c_fLink_uart_id : INTEGER RANGE 0 TO 65535 := 15; CONSTANT c_fLink_watchdog_id : INTEGER RANGE 0 TO 65535 := 16; CONSTANT c_fLink_sensor_id : INTEGER RANGE 0 TO 65535 := 17; END PACKAGE fLink_definitions;
apache-2.0
flink-project/flinkvhdl
avalonComponents/subDevices/avalon_fqd_counter_interface/sim/avalon_fqd_counter_interface_tb.vhd
1
7868
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- Test bench to "Avalon MM interface for FQD" -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; USE work.avalon_fqd_counter_interface_pkg.ALL; ENTITY avalon_fqd_counter_interface_tb IS END ENTITY avalon_fqd_counter_interface_tb; ARCHITECTURE sim OF avalon_fqd_counter_interface_tb IS CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT number_of_fqds : INTEGER := 1; CONSTANT velocity : REAL := 20000.0;--500.0; --1/s CONSTANT direction : INTEGER := 1; -- forwards:1 backwards: -1 CONSTANT enc_tick_per_turn : REAL := 512.0; CONSTANT wait_time : TIME := (1.0 / velocity / enc_tick_per_turn / 4.0) * 1 sec; CONSTANT unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := x"00667164"; --fqd SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '0'; SIGNAL slv_avs_address : STD_LOGIC_VECTOR (c_counter_interface_address_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL sl_avs_read : STD_LOGIC:= '0'; SIGNAL sl_avs_write : STD_LOGIC:= '0'; SIGNAL slv_avs_write_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_read_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_enc_A : STD_LOGIC_VECTOR(number_of_fqds-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_enc_B : STD_LOGIC_VECTOR(number_of_fqds-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_byteenable : STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) := (OTHERS =>'1'); BEGIN --create component my_unit_under_test : avalon_fqd_counter_interface GENERIC MAP( number_of_fqds =>number_of_fqds, unique_id => unique_id ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, islv_avs_address => slv_avs_address, isl_avs_read => sl_avs_read, isl_avs_write => sl_avs_write, islv_avs_write_data => slv_avs_write_data, islv_avs_byteenable => slv_avs_byteenable, oslv_avs_read_data => slv_avs_read_data, islv_enc_A => slv_enc_A, islv_enc_B => slv_enc_B ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 2*main_period; sl_reset_n <= '1'; WAIT FOR main_period/2; --test id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address,c_counter_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(c_fqd_interface_version,c_fLink_interface_version_length)) REPORT "Interface Version Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_interface_version_length+c_fLink_subtype_length-1 DOWNTO c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_fqd_subtype_id,c_fLink_subtype_length)) REPORT "Subtype ID Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_avs_data_width-1 DOWNTO c_fLink_interface_version_length+c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_fLink_counter_id,c_fLink_id_length)) REPORT "Type ID Missmatch" SEVERITY FAILURE; --test mem size register register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address,c_counter_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT to_integer(UNSIGNED(slv_avs_read_data)) = 4*INTEGER(2**c_counter_interface_address_width) REPORT "Memory Size Error: "&INTEGER'IMAGE(4*INTEGER(2**ceil(log2(REAL(number_of_fqds+c_fLink_number_of_std_registers)))))&"/"&INTEGER'IMAGE(to_integer(UNSIGNED(slv_avs_read_data))) SEVERITY FAILURE; --test number of channels register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address,c_counter_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(number_of_fqds,c_fLink_interface_version_length)) REPORT "Number of Channels Error" SEVERITY FAILURE; --test unic id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address,c_counter_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = unique_id REPORT "Unic Id Error" SEVERITY FAILURE; --test number of chanels register: WAIT FOR 1000*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_std_registers,c_counter_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); WAIT FOR 1000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; enc_sim : PROCESS BEGIN WHILE TRUE LOOP IF direction >= 0 THEN slv_enc_A <= (OTHERS => '1'); WAIT FOR wait_time; slv_enc_B <= (OTHERS => '1'); WAIT FOR wait_time; slv_enc_A <= (OTHERS => '0'); WAIT FOR wait_time; slv_enc_B <= (OTHERS => '0'); WAIT FOR wait_time; ELSE slv_enc_B <= (OTHERS => '1'); WAIT FOR wait_time; slv_enc_A <= (OTHERS => '1'); WAIT FOR wait_time; slv_enc_B <= (OTHERS => '0'); WAIT FOR wait_time; slv_enc_A <= (OTHERS => '0'); WAIT FOR wait_time; END IF; END LOOP; END PROCESS enc_sim; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
axiComponents/subDevices/gpioDevice/hdl/gpioDevice.vhd
1
6070
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity gpioDevice_v1_0 is generic ( -- Users to add parameters here number_of_gpios: INTEGER RANGE 1 TO 128 := 1; unique_id: STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_ID_WIDTH : integer := 1; C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 12 ); port ( -- Users to add ports here slv_gpios_io_i : IN STD_LOGIC_VECTOR(number_of_gpios-1 DOWNTO 0); slv_gpios_io_o : OUT STD_LOGIC_VECTOR(number_of_gpios-1 DOWNTO 0); slv_gpios_io_t : OUT STD_LOGIC_VECTOR(number_of_gpios-1 DOWNTO 0); -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awlen : in std_logic_vector(7 downto 0); s00_axi_awsize : in std_logic_vector(2 downto 0); s00_axi_awburst : in std_logic_vector(1 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wlast : in std_logic; s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_arid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arlen : in std_logic_vector(7 downto 0); s00_axi_arsize : in std_logic_vector(2 downto 0); s00_axi_arburst : in std_logic_vector(1 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rlast : out std_logic; s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end gpioDevice_v1_0; architecture arch_imp of gpioDevice_v1_0 is -- component declaration component gpioDevice_v1_0_S00_AXI is generic ( number_of_gpios: INTEGER RANGE 1 TO 128 := 1; unique_id: STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); C_S_AXI_ID_WIDTH : integer := 1; C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 12 ); port ( slv_gpios_io_i : IN STD_LOGIC_VECTOR(number_of_gpios-1 DOWNTO 0); slv_gpios_io_o : OUT STD_LOGIC_VECTOR(number_of_gpios-1 DOWNTO 0); slv_gpios_io_t : OUT STD_LOGIC_VECTOR(number_of_gpios-1 DOWNTO 0); S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic ); end component gpioDevice_v1_0_S00_AXI; begin -- Instantiation of Axi Bus Interface S00_AXI gpioDevice_v1_0_S00_AXI_inst : gpioDevice_v1_0_S00_AXI generic map ( number_of_gpios => number_of_gpios, unique_id => unique_id, C_S_AXI_ID_WIDTH => C_S00_AXI_ID_WIDTH, C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( slv_gpios_io_i => slv_gpios_io_i, slv_gpios_io_o => slv_gpios_io_o, slv_gpios_io_t => slv_gpios_io_t, S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWID => s00_axi_awid, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWLEN => s00_axi_awlen, S_AXI_AWSIZE => s00_axi_awsize, S_AXI_AWBURST => s00_axi_awburst, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WLAST => s00_axi_wlast, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BID => s00_axi_bid, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARID => s00_axi_arid, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARLEN => s00_axi_arlen, S_AXI_ARSIZE => s00_axi_arsize, S_AXI_ARBURST => s00_axi_arburst, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RID => s00_axi_rid, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RLAST => s00_axi_rlast, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready ); -- Add user logic here -- User logic ends end arch_imp;
apache-2.0
flink-project/flinkvhdl
axiComponents/subDevices/pwmDevice/hdl/pwmDevice.vhd
1
8115
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- AXI interface for PWM -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity pwmDevice is generic ( -- Users to add parameters here unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); number_of_pwms: INTEGER RANGE 0 TO 64 := 1;--number of pwms which will be generated base_clk: INTEGER := 100000000;--clock frequency which is used on the clock input signal of this block -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_ID_WIDTH : integer := 1; C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 12 ); port ( -- Users to add ports here s00_oslv_pwm : OUT STD_LOGIC_VECTOR(number_of_pwms-1 DOWNTO 0); -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awlen : in std_logic_vector(7 downto 0); s00_axi_awsize : in std_logic_vector(2 downto 0); s00_axi_awburst : in std_logic_vector(1 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wlast : in std_logic; s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_arid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arlen : in std_logic_vector(7 downto 0); s00_axi_arsize : in std_logic_vector(2 downto 0); s00_axi_arburst : in std_logic_vector(1 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rlast : out std_logic; s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end pwmDevice; architecture arch_imp of pwmDevice is -- component declaration component pwmDevice_S00_AXI is generic ( unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); number_of_pwms: INTEGER RANGE 0 TO 64 := 1;--number of pwms which will be generated base_clk: INTEGER := 125000000;--clock frequency which is used on the clock input signal of this block C_S_AXI_ID_WIDTH : integer := 1; C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 12 ); port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; S_oslv_pwm : OUT STD_LOGIC_VECTOR(number_of_pwms-1 DOWNTO 0) ); end component pwmDevice_S00_AXI; begin -- Instantiation of Axi Bus Interface S00_AXI pwmDevice_S00_AXI_inst : pwmDevice_S00_AXI generic map ( unique_id => unique_id, number_of_pwms => number_of_pwms, base_clk => base_clk, C_S_AXI_ID_WIDTH => C_S00_AXI_ID_WIDTH, C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWID => s00_axi_awid, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWLEN => s00_axi_awlen, S_AXI_AWSIZE => s00_axi_awsize, S_AXI_AWBURST => s00_axi_awburst, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WLAST => s00_axi_wlast, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BID => s00_axi_bid, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARID => s00_axi_arid, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARLEN => s00_axi_arlen, S_AXI_ARSIZE => s00_axi_arsize, S_AXI_ARBURST => s00_axi_arburst, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RID => s00_axi_rid, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RLAST => s00_axi_rlast, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready, S_oslv_pwm => s00_oslv_pwm ); -- Add user logic here -- User logic ends end arch_imp;
apache-2.0
flink-project/flinkvhdl
functionalBlocks/adc128S102/sim/adc128s102_rtl_tb.vhd
1
2766
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.adc128S102_pkg.ALL; ENTITY adc128S102_rtl_tb IS END ENTITY adc128S102_rtl_tb; ARCHITECTURE sim OF adc128S102_rtl_tb IS --Sumulation Parameter: CONSTANT main_period : TIME := 8 ns; -- 125MHz SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '0'; SIGNAL values : t_value_regs; SIGNAL sl_sclk : STD_LOGIC := '0'; SIGNAL slv_Ss : STD_LOGIC := '0'; SIGNAL sl_mosi : STD_LOGIC := '0'; SIGNAL sl_miso : STD_LOGIC := '0'; BEGIN --create component my_unit_under_test : adc128S102 GENERIC MAP( BASE_CLK => 33000000, SCLK_FREQUENCY => 1000000 ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, ot_values => values, osl_sclk => sl_sclk, oslv_Ss => slv_Ss, osl_mosi => sl_mosi, isl_miso => sl_miso ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 2*main_period; sl_reset_n <= '1'; WAIT FOR 3000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
axiComponents/subDevices/adc128S102Device/hdl/adc128S102Device.vhd
1
6012
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adc128S102Device_v1_0 is generic ( -- Users to add parameters here base_clk : INTEGER := 33000000; sclk_frequency : INTEGER := 8000000; unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_ID_WIDTH : integer := 1; C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 12 ); port ( -- Users to add ports here osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC; osl_sclk : OUT STD_LOGIC; osl_ss : OUT STD_LOGIC; -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awlen : in std_logic_vector(7 downto 0); s00_axi_awsize : in std_logic_vector(2 downto 0); s00_axi_awburst : in std_logic_vector(1 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wlast : in std_logic; s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_arid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arlen : in std_logic_vector(7 downto 0); s00_axi_arsize : in std_logic_vector(2 downto 0); s00_axi_arburst : in std_logic_vector(1 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rlast : out std_logic; s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end adc128S102Device_v1_0; architecture arch_imp of adc128S102Device_v1_0 is -- component declaration component adc128S102Device_v1_0_S00_AXI is generic ( base_clk : INTEGER := 33000000; sclk_frequency : INTEGER := 8000000; unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); C_S_AXI_ID_WIDTH : integer := 1; C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 12 ); port ( osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC; osl_sclk : OUT STD_LOGIC; osl_ss : OUT STD_LOGIC; S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic ); end component adc128S102Device_v1_0_S00_AXI; begin -- Instantiation of Axi Bus Interface S00_AXI adc128S102Device_v1_0_S00_AXI_inst : adc128S102Device_v1_0_S00_AXI generic map ( base_clk => base_clk, sclk_frequency => sclk_frequency, unique_id => unique_id, C_S_AXI_ID_WIDTH => C_S00_AXI_ID_WIDTH, C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( isl_miso => isl_miso, osl_mosi => osl_mosi, osl_sclk => osl_sclk, osl_ss => osl_ss, S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWID => s00_axi_awid, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWLEN => s00_axi_awlen, S_AXI_AWSIZE => s00_axi_awsize, S_AXI_AWBURST => s00_axi_awburst, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WLAST => s00_axi_wlast, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BID => s00_axi_bid, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARID => s00_axi_arid, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARLEN => s00_axi_arlen, S_AXI_ARSIZE => s00_axi_arsize, S_AXI_ARBURST => s00_axi_arburst, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RID => s00_axi_rid, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RLAST => s00_axi_rlast, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready ); -- Add user logic here -- User logic ends end arch_imp;
apache-2.0
OgacNS94/C-3PU
vhdl_files/Data_memory.vhd
1
1556
-- -- Copyright 2016 Ognjen Glamocanin -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity data_memory is port( clk: in std_logic; --A1, read chanell 1 address: in std_logic_vector(31 downto 0); rdata: out std_logic_vector(31 downto 0); wdata: in std_logic_vector(31 downto 0); write1: in std_logic ); end entity data_memory; --RAM WITH ASYNCHRONOUS READING architecture behavioral of data_memory is --2^19x32 = 2^20x2x8 = 2MB RAM type ram_type is array (0 to 524287) of std_logic_vector(31 downto 0); signal ram_s: ram_type := (others => X"00000000"); begin --process modelling writing: write_ram: process (clk) is begin if(clk'event and clk='1') then if(write1 = '1') then ram_s(conv_integer(address)) <= wdata; end if; end if; end process; --asynchronous reading: rdata <= ram_s(conv_integer(address)); end architecture behavioral;
apache-2.0
SKravitsky/ECEC412
MEMWBRegister.vhd
1
1108
library ieee; use ieee.std_logic_1164.all; entity MEMWBRegister is port( clk, MemtoRegIn, RegWriteIn: in std_logic; WriteRegisterIn: in std_logic_vector(4 downto 0); ReadDataIn, ALUResultIn: in std_logic_vector(31 downto 0); MemtoRegOut, RegWriteOut: out std_logic; WriteRegisterOut: out std_logic_vector(4 downto 0); ReadDataOut, ALUResultOut: out std_logic_vector(31 downto 0) ); end MEMWBRegister; architecture Structural of MEMWBRegister is signal MemtoReg, RegWrite: std_logic := '0'; signal WriteRegister: std_logic_vector(4 downto 0) := "00000"; signal ReadData, ALUResult: std_logic_vector(31 downto 0) := X"00000000"; begin MemtoRegOut <= MemtoReg; RegWriteOut <= RegWrite; WriteRegisterOut <= WriteRegister; ReadDataOut <= ReadData; ALUResultOut <= ALUResult; process(clk) begin if rising_edge(clk) then MemtoReg <= MemtoRegIn; RegWrite <= RegWriteIn; WriteRegister <= WriteRegisterIn; ReadData <= ReadDataIn; ALUResult <= ALUResultIn; end if; end process; end Structural;
apache-2.0
SKravitsky/ECEC412
Or2.vhd
1
196
library ieee; use ieee.std_logic_1164.all; entity Or2 is port( a, b: in std_logic; y: out std_logic ); end Or2; architecture Structural of Or2 is begin y <= a or b; end Structural;
apache-2.0
SKravitsky/ECEC412
Control.vhd
1
1748
library ieee; use ieee.std_logic_1164.all; entity Control is port( Opcode: in std_logic_vector(5 downto 0); RegDst, Branch, MemRead, MemtoReg, MemWrite, ALUSrc, RegWrite, Jump: out std_logic; ALUOp: out std_logic_vector(1 downto 0) ); end Control; architecture Structural of Control is begin process(Opcode) begin case Opcode is when "000000" => --add/sub RegDst <= '1'; Branch <= '0'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '0'; ALUSrc <= '0'; RegWrite <= '1'; Jump <= '0'; ALUOp <= "10"; when "100011" => --lw RegDst <= '0'; Branch <= '0'; MemRead <= '1'; MemtoReg <= '1'; MemWrite <= '0'; ALUSrc <= '1'; RegWrite <= '1'; Jump <= '0'; ALUOp <= "00"; when "000100" => --beq RegDst <= '0'; Branch <= '1'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '0'; ALUSrc <= '0'; RegWrite <= '0'; Jump <= '0'; ALUOp <= "01"; when "000010" => --j RegDst <= '0'; Branch <= '0'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '0'; ALUSrc <= '0'; RegWrite <= '0'; Jump <= '1'; ALUOp <= "00"; when "101011" => --sw RegDst <= '0'; Branch <= '0'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '1'; ALUSrc <= '1'; RegWrite <= '0'; Jump <= '0'; ALUOp <= "00"; when others => null; end case; end process; end Structural;
apache-2.0
SKravitsky/ECEC412
Registers.vhd
1
1798
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Registers is port( RR1, RR2, WR : in std_logic_vector(4 downto 0); WD : in std_logic_vector(31 downto 0); RegWrite, Clk : in std_logic; RD1, RD2 : out std_logic_vector(31 downto 0) ); end Registers; architecture Structural of Registers is type mem_array is array(0 to 31) of std_logic_vector(31 downto 0); signal reg_mem: mem_array :=( X"00000000", --0 $zero (constant value 0) X"00000000", -- $at (reserved for the assembler) X"00000000", -- $v0 (value for results and expression) X"00000000", -- $v1 X"00000000", -- $a0 (arguments) X"00000000", --5 $a1 X"00000000", -- $a2 X"00000000", -- $a3 X"00000004", -- $t0 (temporaries) X"00000000", -- $t1 X"00000000", --10 $t2 X"00000000", -- $t3 X"00000000", -- $t4 X"00000000", -- $t5 X"00000000", -- $t6 X"00000000", --15 $t7 X"00000000", -- $s0 (saved) X"00000000", -- $s1 X"0000000D", -- $s2 X"00000004", -- $s3 X"00000000", --20 $s4 X"00000000", -- $s5 X"00000000", -- $s6 X"00000000", -- $s7 X"00000000", -- $t8 (more temporaries) X"00000000", --25 $t9 X"00000000", -- $k0 (reserved for the operating system) X"00000000", -- $k1 X"00000000", -- $gp (global pointer) X"00000000", -- $sp (stack pointer) X"00000000", --30 $fp (frame pointer) X"00000000" -- $ra (return address) ); begin RD1 <= reg_mem(to_integer(unsigned(RR1))); RD2 <= reg_mem(to_integer(unsigned(RR2))); process(Clk) begin if rising_edge(Clk) then if RegWrite = '1' then reg_mem(to_integer(unsigned(WR))) <= WD; end if; end if; end process; end Structural;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_stbs_set.vhd
1
17012
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_stbs_set.vhd -- -- Description: -- This file implements a module to count the number of strobe bits that -- are asserted active high on the input strobe bus. This module does not -- support sparse strobe assertions (asserted strobes must be contiguous -- with each other). -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_stbs_set.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.0 $ -- Date: $1/19/2011$ -- -- History: -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from AXI DataMover v2_00_a axi_datamvore_stbs_set.vhd -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_master_burst_stbs_set is generic ( C_STROBE_WIDTH : Integer range 1 to 32 := 8 -- Specifies the width (in bits) ob the input strobe bus. ); port ( tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- Input Strobe bus num_stbs_asserted : Out std_logic_vector(7 downto 0) -- Indicates the number of asserted tstrb_in bits ); end entity axi_master_burst_stbs_set; architecture implementation of axi_master_burst_stbs_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_stbs_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the number -- of asserted bits within an 8-bit strobe vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 8 := 0; begin case strb_8 is ------- 1 bit -------------------------- when "00000001" | "00000010" | "00000100" | "00001000" | "00010000" | "00100000" | "01000000" | "10000000" => lvar_num_set := 1; ------- 2 bit -------------------------- when "00000011" | "00000110" | "00001100" | "00011000" | "00110000" | "01100000" | "11000000" => lvar_num_set := 2; ------- 3 bit -------------------------- when "00000111" | "00001110" | "00011100" | "00111000" | "01110000" | "11100000" => lvar_num_set := 3; ------- 4 bit -------------------------- when "00001111" | "00011110" | "00111100" | "01111000" | "11110000" => lvar_num_set := 4; ------- 5 bit -------------------------- when "00011111" | "00111110" | "01111100" | "11111000" => lvar_num_set := 5; ------- 6 bit -------------------------- when "00111111" | "01111110" | "11111100" => lvar_num_set := 6; ------- 7 bit -------------------------- when "01111111" | "11111110" => lvar_num_set := 7; ------- 8 bit -------------------------- when "11111111" => lvar_num_set := 8; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_8bit_stbs_set; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0'); begin --(architecture implementation) num_stbs_asserted <= sig_stbs_asserted; sig_strb_input <= tstrb_in ; ------------------------------------------------------------------------- ---------------- Asserted TSTRB calculation logic --------------------- ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1_STRB -- -- If Generate Description: -- 1-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_1BIT_STRB -- -- Process Description: -- -- ------------------------------------------------------------- IMP_1BIT_STRB : process (sig_strb_input) begin -- Concatonate the strobe to the ls bit of -- the asserted value sig_stbs_asserted <= "0000000" & sig_strb_input(0); end process IMP_1BIT_STRB; end generate GEN_1_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2_STRB -- -- If Generate Description: -- 2-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate signal lsig_num_set : integer range 0 to 2 := 0; signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_2BIT_STRB -- -- Process Description: -- Calculates the number of strobes set fo the 2-bit -- strobe case -- ------------------------------------------------------------- IMP_2BIT_STRB : process (lsig_strb_vect) begin case lsig_strb_vect is when "01" | "10" => lsig_num_set <= 1; when "11" => lsig_num_set <= 2; when others => lsig_num_set <= 0; end case; end process IMP_2BIT_STRB; sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set, BITS_FOR_STBS_ASSERTED)); end generate GEN_2_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4_STRB -- -- If Generate Description: -- 4-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_4_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8_STRB -- -- If Generate Description: -- 8-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_8_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16_STRB -- -- If Generate Description: -- 16-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_16_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32_STRB -- -- If Generate Description: -- 32-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_32_STRB; end implementation;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/fpu_addsub.vhd
1
44605
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NCMQdjlb8RI5UGAlmWycawo+wcaN7jwBtAVy39bFN2YmZ8MRGjYEBiOsyvfMA2BbToOdLdLritMK J9yDCNh1VA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S7h/u/joLdDCF/cNsT/48k7MHMYVAWPDaRLRrmGljUX34khZJSlB6iIOc89Rr1nYOV3aG7WrdrxA cZfmsLfL+tkB5q2cd+JPkg8O2sme/5xspNLp+9FGNBInC5GKqFR2tzEMjHdYiEmaGGcvhOXcWDOs uA2Mjv34pSXoW5HzNF4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kojtt0yGF0VeEUFRBZp1gyNH3iniUEeKIggaXYwV/y+btnK94nH8Img6ro5yR6vGUL2tgI4lYIPp VtFQWC7Lmu/Zxczz2uvHjhksks+U0C7oJqDm9sGH0YkPdk6Aa2RpRVc4Vca0Hlx1BMzRtyVnxOFr vBWQuvMNbdZykutOKKlTmh20QU/trOycYj40OSEewY4J5J9A0UbA81X7KZ53EZiPPs01rqNRchsM 97blPgL+DkXOoYLukgI5JzzSIMWYa8S6Zod7w9O0TYz9Oy9pxpoNZHePV2oKmbqMz1JSWIWy31tG x16GvjcTSMlkaFZFyNPOiTC4r74cI6pERckZqQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ixsDaeciZc1Iqpu0dGwOYxbWa9gyugNkMS++azXmPyyyRV/o14xhLJWytyk1CewDYYOQfq1lAEII XL9RBYgzTfuJW9s8i1cVSIbhcLE4kRJYDoUzzdqY46frX0Akhs6ZTWpW+niXZKOYC2BNMkOE7lQU JATPlAlq90kYBOkc8EY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HSv7HkN2xUbuA7mdf3U7vVSdVFFbKu5P40CGaFCQWAlFiv9IvqcIlWGpnHbC7vcxCNo93k2xkH1n 91JYwWz4Wt8UWbol3uKKQpLiBmaD169tgCkaLk+1vB0lNsdanbzdvStAvlpK1JCwHpBEPi9EJgdX 2BKuD1DakQW97KESHiIARRs7R32jLYuz6HtrpU1eY+6SHuZZEipru/X0Kk4K+u4pojMyLZiXChSa YCpWSKlEKZ2jt/vI+hjzKTRNuacxErdEMKhWFPihMJ8h+2x/Wc4cp4A011nMyG9g6SivNkfdLHul Dpbp97RRZ28mlzMRFT8QtDazQgJNCz4I377OlA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31280) `protect data_block j3qLjGj88XNlShrexG2wVkXoYaIycbJbn+gYT0qxvJD9RzzekufTqE0k0res3xPxrOkh8lOqF+W6 Hn7bGa4lzMsDQkVKYSw++GmW9JW+qrUrOeojfbEz8xDCywLrgDQ6QX9XIJDEbsvK/zPY5Ms5mXOr hIQ/ml/hPky4hQiaY3ct1+6Zkd+28Md8ffk3tSiSs77+PZn/8oEUk7sRrNQmUFulaFcGF+o81dWw nGofo5/34M4+HhQw5YMR7I1tLV3kOIZq3mrHhf5p8v+gRN86GkOG2+Q/S2XxEAtG1BFluHk7OmXW 7XgQB/LaSTXIfZFQuEycvNQqZziB7fUD0fOBiF6J1jY/KfaGUEE5myO76qc54Bzw1oB0LFmiQi3k 8Q183RxEjCmJHgLNNVoBEr3oLRUyAdZr9QBBiBS2SvVNQadIyEpj4has7jXqsCCmBsPje1jfMSuR v4ojlp+pxRxQ4eHKV2hY+5qmx0/TAUxN5C4Xw0BHblRwX5zt9BCNt8BCp2S5NwcRcoolM+c89hdO +FR4ZQKmtoucuH4sLYOew05kUqBtGAv61W7MS49PWG6M0EG2PsRp+44/qVExwoHIGMWnk2ciwkCH pgSXOyfV3yZJ5xuaCIpdvTiB8hIZzm6lCQfm0rZcHaNWe6/sWsCOQgDPUYAUXNX2UCEeb5ffdw3W ibeAwfbSgvRDaH7ft69aGa2f7e4ajW/CJ9hK5/M7Y4KjRQZh+4KQfbGw2TJr+8Tug/+Ojue0W+fm MNV2fUyY0OD6TBRMtvMZg3fkuAfaeFP4NVRwrYu1/eceOBArCIo4snSiej4CqL2mvbem44KSKbZj Sq0oRVgpFSTr+b5kGI6bof7JImdmst8Ly96edH2XluA5udksbyAmhED9H1bGxETmMXnpVfp1l5mX ltIMvDR5JUEXjz0k6R5XeqKPOT+6+zzJEzQsCLQuxd4lBj+EIyNwquJpMQUDzZWYqZqPF+xxHXWk BRAnqrbg+VuGXHDsZ84X+/V57UuhwzVE/Vj95ac+gyOW5ArMMYcnfsP0WdBzbDhJPVI9BwCj8alt NUUJejJkikoi+tcIKdZy2XSZZy/AnCuTKuY9TMCrAaS2ErhREB5Ko1EBFnAzNI7eCot9FlQVNozL YxaSnhzQUc8kTFIVC4R61GSSNLytuw3yxHKDvaJTicCtmv4wxSjCgEfMk5UfS/x12jEsfFQVLxAR AX1SOqHOTcWaIQr8UjCfOV1+61wNWUgpNpXs/Rc7f8Ba7FoJ7KnM3BW1szo42qZIA+pCOohbhHT6 HXs/BAwIH+PNacmn7fPKEwVXfqoCDLNaC96zqAszbFUl9DjfCL4hrjp0BqGxrcfHQizqPraM81Ts yYjjbz+fOH8ds9TAiIg7PoZaQgESUj94p+lO1p6l4X4mwXBjLzfFM/lKUOQcr+8p9xjFITcpp02o tjDkiy1kW2pICZbuQWI4Qf8iDXBvyBSZP0WOknnKlP/xLqgVGE191LvQKqP+syXVvBuqQsknSPCj WPbZ2o0Rjku3tXEcPk9cRo7MU6wOmvi+yaQI9JCK0mhWQke7gfQN65aMHB5ZMJZJ+pc7UHzxF5TD +Ar/5U4s1VuM104WY7a3bFxs19x9UqGjzefa9GsjXh60DsKFEWlyTVz6ma3yyg5FahwpX4k2sWIJ HbRff/+2cWIcnqqB78oWC/4vXfbrU8KfeOEjh1sHuOgbnRckUBZYtseQIF0WimFTdW4tZLwvk5qJ iCKD0JPNoW2sKA7860GMDOeCXIIdP5SotjxUbNfIVMvt2Y/+QDf3Lyjug0YbEdoylxnXBurg4BDn 8kZWKnz/+bu9KUR61DNkBBWA6yZ16krX0x+3EzwaFud11UtCyJJjkcZStSysq8wnT//j/ZR01FPw qn0pw3QFTNAX0cGUhB8BvVGVjai3EXDLBh5rGZ7JeyDjVXO598nAFdQkE9B5YkgZf77rlZGtKGxe TtdJUNUALJhLo/y9JGmxbwKYMVpPdAE+TaY1muFDi+N2m/+OkSpwRUBKQ5VF5qEfoRZymb5Dl6Hi Zq8l+GRRTJpt2rhY3iouxTryLKmlKo3kEo2zBk0js9bBzyepKP7LbOYTNV5Vostf4CKNzt8ZwFiN b/QfjQuYJ/6Uw83jUiS6nWM7LqNuuR6OTGcF1xNmtlfE1Gsj3gbdBT8dS+cyO+g6LpFMh6nB9Zjb QWF2lT4agMmXIhlGKOWeJuHGzvnNFztZlIdYc7WdqlnrSbDdp0fP1HMm0+J4F45JqeDielL42RVr lalSSRqBjnSn1UiOjx+q34LcNogzjpfKYuHSlHrE1djBSEBfrqjzxt5YVu/pVHueIJewgOdIH7+h 0TQyt8ZRhanEGOwUGbrnk0BfCMP2sdHEUc7j9NVFUL+R/lWmazuE80O1ECQ1+zDsB5x4Ha48arot 4ohvfS2g1MxEDpmDc4BvffJe8y60gx0P5nnvlpsoLdvZYdI95dPsZbf2ZIU6TDwE54NQKCis2TRk TCXbTjEt3eRXjhv+/IesyfVUrcPNxqO835qAzfQBybhr86a5bR4Xl8e0Gs11VvehEqN+0tx8UDVG jawcMm4BJGlpXSPiVwVASgbXMYMwUoL/pyTyLVf4g8n5OCJyMDFc4nv+vN22GZxnuC0K3wy8ZcYe wspNHn9uB+x30xrmhfUSBb3VlLrdkZ/fdE/nrTgY6sK9HoOi3ReonHdG4eG8+IPQ+kZZzA1Jkym1 TPFDjJqNgU6yaWiqynzVRZiQIjksly5t1CgjIIeMnpv9XVoKwoGey7jdknXM1FrggTNKnv6J9keY vcILAjf/qq0mw3dr97HGw/CkL2d1zDAh7gNeyAthW+t81mVwjEnw4Yz6T1Dh6Oj5HcixFYGPoAO0 GEOhShAJeTt5bNzM+wgCu3XLb1KbI4IfZVngFVjeyWZPpbTN5Jvwe6cgZENKo7QwIB2eYb73Fwtq ojNzAmMnpPkmXO6+/3BpgAf1PLy9k78XuB7Iyt5ocrvdsDGJ0hidEhLjiNxiOsVV2ULQjDWAPjVX DajDQocRXpuii1UoLxSq3mJJ9LEbIGEpUlV7OlgokNbDR1p6gKSzUCsSD+6Qu5gPT8W6K00qttmq dyDdIfJlUuhDYfWPPWLIXO2x8ykzeBY+XG20ORSr0XFgAC6kQ8FaPlF7Ld5o+uc9AsfsdYJ5O2Ux xdaa1j3Sw9zckDPn+Lhh4wVoVFnMjfDCHiV3vfVo1M6aoc/psnGgtDw87nmbv9U5HevrMkmHDF62 XIoXEco1M51+86TzYDk6ibaHiAcJ5jemk6TdnyfhTEi10vGwMiOn5CpUhqX0woxn1HCZLyOOTBIj Wdzedrig7xPOK120JGYtczvtQJ5O5JqcYygnY2eqfbYRlRabyVyHRW7xy2ix6NMNE1+fzFTfjSC6 pSf+CQRxVRSz0pIsyO6MLjYPDyfUoSOl2vQ+P8vGUZrZFBwOkS2UOVUlBVzwciE1i/rOgR7YxKBQ eQevQ8TNQhdQ1gaNu6fVITnQMKtCBTJLte0fC36k5F/vWQcvjCN7AlNUPNf2iPvfK/I8nBH7kigr he6uBwYNFI+V7VjF5HBpgMchFIyN/ZxvErvFsVljpXFzMpjAw6w1HOqXMGX24sNmZNHRBfd223gj mf4l18FIwl9WJhjJr60qYwSfYTCxBVV94cMpuoT560oo1sOtCpwgs4Z3iRdBknJlOQI/WZHjvZBd gL0Ke3/b8nYVrA4K5LoWPOO1Le5HSDJhE+I5/XTO6dxqeQ9ykuFKrEO7G73tYIiIT3QxWOsTQ04L kj1hgYGyLCxumcsc2etWdjc3lvfQjoK8TfC4ext5sqqx1WVAZ+W9FcNqV/116KV6fie+kk5Jlhsg f+7UChEUxomsM+1NshW0+a7T1JrmTSfZPuUMEREH/b8K7jRpt1ZH/UUpMIGiti1fcZE+JYf+3+CY EIkOAaU28IS0uk+yA5xnmj5a6r6qJp9Grhwof2+DwTo2auxEv5yMviMktXwxuYWY1xyEf7oh6HGa dlTMKSkxZub2UEfoSV44tVpE3ES0zmb6FIdoP4AlYGvEcQgD4uyxNJMRX8ritnVW+QG5r0bvWbV8 GG+YBaWqjKFsKXKXRC/4z3oo++KZ03NbEy7UgLhm3UZcxpJvjJ8kYKSD9ZV+1QnOlg1jRFzaHdKO sqOu27IEuFk9qOSNO2xMHtjzCCpdRLAd8WkmlCZf/C6GmNzvkzddzGLR1qPnDm02+XE/hlaeomfC y37TxNTunTaDX+I9qQ4fmL25bB25Q+0kIh+txiG92oswpZ9/mVWanXdWppSRAWZxwCLj3HQfFNHt M4iRgS82r6JihIaqcj+MfZQhMC1dJTU5lsuSNaVu4vw7mHmpRbt2o4AGU88Etg3lvoSGD0RC1Cfe uC8FBPhS9dDvIb610aZRAQYc+mtqvO9KFGNiBzIG5zA2Buxbs23NQus8xU083VaptcVtRbpCoQxu mDQT81uYor7qgpWummEb0f4YexcNFy92QMxvSEdB0JYvlpTD61nmooQkNEx/4E1u7X+cf1Y+h9aB iMpKlLB8EU12rjN7vbSgzOh6HBSO9HWI1B+f4l8TEXt4kIK4PK/YMKiZWP8gDj0bjZaPyut5KMEN Pug6UpZmcYW/q3XnRHfBYHERWEvxUNQeEd4W+3CFV8/SpRUGduaS2xg8t3+68T2zslSgstKYeyMd cfzlNkqgZ7JDP+j3VhbsD4sPLkaCLvGlIRuiwm+n3KYjKvtV0uGm9kd3JWojkG2tFXr/QCF0mwpM /pscDggxInRL43rU0U3MRx+zahf7fAKU47cul7dGZKgEZXyyXXK52RSrNofU2tDLYYXoQLA0Qr/o jBIih6NH/524gpmFreoWTxZH71mADfaVtVoCT/QgXc1W+z/SDxinyu+58nYtsH5C4743zXHg6Gmp NeHl1niYx+VhssRQjtWwD2jOUS9ZnvkfNKjywrirctkCgijOUsqq6MSG1benT7JumqcfgW90dFHQ /c/zQQMz4xNmHYEny5/rfnPCMP/Jz+t7q/9LJcuQEHMvTJm0RnQNLBwJ5xZd8gI1fJ3g3eU7Vd4f 0OZYMxMUmJPgjappwd5dlz98kfT7NsNTes3C9VECnziRr30n68RktLvAWzH4XMUubxHVT0g1GF7I QVCaur2TWH/WWGeeMkbfR7KfT/kSr54epDqUYbyVEfjPG9HlVYX0KVfJxK5bd0iyWz9r7hDw3tk/ 9mvELxK2glL+faARwKzFTb+bUGeL5OmsziM4UDMaIvmnPoOjKGoCb6EE47lqldxXjb2Y6QVtqOnc SPHRgF3YnfdBEP4ryt5vvtOXBScbGYzXZJS2KPv96JtJh+wUnR9n90WyTNbHGRFlv/NxsRv4+o/Z EmQFyXG6Jt6nq4a/zPW09O7+QKSWRbhauuGZymhDoT1IBTdzRh+W0DX0Vo2HWBSMqga7lmYyCD8W 1gl7xvX1exJsLlTcCwiP4hR4Shyd3IJNV2IUkH3KJPkjjU7ug1WV5YYwNlM8ylQQCdq6fE3tm82B EdBe2OCkKE8nKoi4BCZIeyj4Yk0hIt5R7Vk7BWxFlAKFT4uYz6fQqlyXP72o9jxpfJ0a26qBA/U7 4Lshsp8BgdC8dKLkc/UklcW0rxDs5qIutP786gHEGqdwnlmp035Tk6Wt3kFG3H6v+qrUUJhCIArO 0iYhW2sGzYWQ3U1d8cq/U+6Cuwn1Yxa9fkaJIz3QG7bLk4vOKQK+BEXAWTSvJGBN3HTweLF70xX5 KgY9N6069Ta9lGI6Qrvl92EBwT+e2DKkawe3p8HhuUU+W5lD8JJbp2jK1wdSM1g/lft4e8yAPVcv hGKriweCRVJ/AGc5bkTz2Vk00h5vBx9h0faOya1Spr/x6rc9FnVTo4Wmr6e5RoticSVcQipbpWwL LGtWzC5WXTmv1fyUz3LXeNSbKqutBzJeXoUTcKemYUmpAoreFnE2ya3R7FuV6INZYqF4k7Xw8eCZ mNk0+F6y/0MCQt7msN+7C6pFVpXCfEBHyyEr5Emqt49zrTW5LwCH3J+ZiAAu7pB6Q95Lf7HFRNfm xbRaj2zZ2ltvo95LwkVJLNjFNXpfraF1TdYHYwx6fZMe4tcq5kLjcXrezChkXOB7QegVVqTxU/Tt 2B4gEFOKErs8witxUoIfxQNhFdCyIYIZAJbkaW0P9Sg5rVc9F0kaKszeV06bo597rhmcBVVFzkqz dWgF4obbIuyUeqThCSYYwR5BH7ewtUpMy+f+H0r9PltDFRa2qMaDbe4Qta06KvXhyQa1eyBU3wqu CYFAsFp6KkWioQT5llI/R2OJpPdGXyptrOb07/kKEctZkQuGnv+6OxtGNFrcypIAZpi65/8ibXWS 2ev0SJmfFt+SuLpKhOULzuPlGgUiPrREnnGVxTwy+oWnbkTdCOdjvehbK0Jr2dnxWd13kgJZP+H2 uyM83inFp+4dUKZ6FEoY4Zw82IX8+T+8LWOa5kIuoaj2K9/gOhu8ojSj0df7wsAZmcd9YlzmAoOa 6Q1yPIeBT487n+gvmf98ioMz7rrS9gggmtt/1eR/wT3X0QpAs/Km/uw9+bvnR/9SJoskheNobCsO Fs7CV4BKnWsvJQsgacSXP2sijSdio+vZftL8Z+BKnVoZNqiUOmtfrIAmeV5Eb2fMIEOTlDNMDvz2 2SMFapnefaXOLHIOsic/01eB1PYUJCJu/KiOH208QgaW9AdA87gFPB8hCbc0ZKqaiU4452+nntCJ aLqYNCOACtgJRlVRqwhA0amcQl6teFZV282Pk6YQfF5Tu//xHKlgBHOYKHStFhZKFB9iVasAsGb9 pEGMI1xeBFfpws9Jkh1ag3XxfviLtxrRyZBqVWAJskaTJa0VYSaPJWrVRtT+a6yiYYFWT8YmFz/H W1qQgqwvC33ojKWFrxJPtPlMYcYu2q9xSzoUqb+opbLlCcbz9QigWfFHewiIoAvsGxETeAr0eshM VXdYsXZt4PcXb+CMA9GaV0GhoQcCWyHGLHhRvapWxtz9lZie6ugeu3goqcMmOmNQFKRDfUJQdncy 3dUVOE3dacLo+mVMZaNxi6TehgTJugogy4S0T65OGMjkQ11N7fXGIBGuVsHCwMQ1rYSyYsYrOXVM 1/k2YosphjoVPHmi2waXzUlotGNdeWCEy4gBvxVXpoluIkoUWO2olTZ6FqBHoUUYQYFw/Qt4d9Ih 9fZNwgYgdzYMmmUFIIr5FZWSGwSU1ft5OlFVDM4Hyk/jdxUrhpYB6yDYlB7tAGjm9fRxanrAOW0x E/VaUeeE2hSRVxZklirVgiqUziQIdaV6kNfoWNsZ7FxSL+PKDGYHe5F7urXpp3FDS+hXa0EQvYAQ EMBghgEr9pvTk+EgGJcgZpC3RkTZOETkZYpRWE1L2wURdjsGdtyCw/DeDTIJUOF0VOc+Za1uFxEq i/v8cR9qIIMaQRLQMJRjz2hmENmznI5PpgZR54pEatMvCqrctWdM+SEqLdY6yjlRfGPK0KNKz5p/ ptwUb0Qq5KK4RUBpWLU8Lif2U/bV2cbOf3h+QgeBrNLrvpoBdZd5Vxe6cCnkKRBJ4jcUZmD4AY5U u3ArtaGVfI4c42jCz2WurOnV8CS3oIDtSb48+cN7oUnjKNe5LIpCQCx4vG5J74Q0KQKiz8mjV4fl eFVIWGHCM5MacwLFE7iJMAFHK8SNFS5stljMNvW1aprXg+4SnGBGXm5tK60qCl1Fir0wveOrogpG eg2myr8mpiURS3E/ozg5RsBRHKy8pT+RQfR7YFzCO8JIDLwvBAwgeNXztVrGaPgR4JRUC8dEwRlf gUszOu76XfCO9ecQU3bq3LWv4PvZVvQv6VAEdMYw6JJtMf7z+YHrShdAZVoGjJi+A9d503qbmq9y 6wyTLm4/hndxcuTYUFuXT1f5Xwmamc9OLgBnIMOKj2nYuN75RO89leRVUWFHUnQDXDgUPr++OTfV oxpfth005dwKfzD0VqQ9xOs70DAYJDbY68mAcLZLDGAW69vBREBxks8VfmGH86zEYD6/6/hbOLBi gUAUAUOpUxJNA2QbyFux9yMvkqn7nJKQEnsGCoOOCRcbyjCTbXxBIZG1ycx04hQc3IfH5bko/HNB yLlM71Q59p5EPFRQxPpDcz9BlvSM0wEmz69fhZcXcc9dwGSpm1GGI4SQ9z7J5ok9UDv4vYLmUdmE p+DSMITO9WuPuyon5KOyDEJgJfB2jywN2QMzTKR4W5E38uCgOm8u64jMuguzCJ0KEbPBXTdmo6M7 +lRgE+cC1tcQaDvLWqzBR5032QEnWAfO35uXTKiGXuLzWnXTMlNGO2zclRZm1V0/5dkTTqH+b0NZ RctvzIjIJJvK8fd6ngfFQpGXAmBeCNRlxgUCNH0YqQkNJf1l9KXQ/C/Dx8GBnQ/dGA4NkoR+94I8 n0k9Sx9516BSVc1kV9jdYeNcjAG86wpG6TPavooNpU6YQ5qzRbcJrLeKs4IWPmLNmqV4lzPNEK/8 PZFl07ZZWcD0AEVDRy6RVAYAFQrka/uHCsNG03SWu/fuYdbgy+lI/FVcj1ldeJKYkh3h9D2oPoKj hk9I5gJvEOTJ+phbWDB/WdxlxjqkPviAYzT1b146WdsMEhW2MkX2U6TNMjqJx60LG3aqy2jie3Eq HxveetBFWo7egE+48IVjGOz9BRiNNHj68s3D1IA0Ldz1yk05ZtX22UIDcsiUUChFvBpiTSS3/Yxj d1oddH+2xQxW0Ow+SIdS5ntvGesNOY3HuoB7dTstILcse2eE9roAExb7JJEm+41kVWi3N6a6ZgC+ a+yOpulv7oWLWBA+0B/JQnRl1aGt7FbB+bpS6hNHfSxmLr0yTlwLC3MBfbl1eVakP2Quhov+d+hm UORT7PbqgTDLzX0/eIc2kGl21SDg0q8GCbbpZo05MWM5hy7LCQl3VNfzMeJOnvPMSQGI9xySnjof SNexys6jIdvjZD8+abDI0nYfCLQ5Zw6uPFJ5SDqQBD+L/10DviffArSqk7TCMZUsfhSrh3diHS5+ APbW85hBL9rprLTXFIIUAu+X2FZsLnclk+Hq0ylC67gKmBdmXd8OBhg26heo17ocI1Vt9aFWJM2y 1VJJZ7ODG1ekBu47wU//OHL/j3jt9yJribBra3vgv+ZkFTQ2IOMTNo9CR2ybZjV+AQLnu5kjjaNP rChtGoIOtJkGEo/fm2+8havocIJ2uiPUnzAdw6MMvwcMg4XLnuF5yVD/m1y6Top2c0DHYWmLj0gh l8Qf51KwYmb9t412qalbg/zS8xIqfaa/ibN/V3wP2XTdmekXZZp3z5/jXVUTodXomlUVj1/dL+wr uBNeYK/y20Vdo3hMNIkYRv+xK8bAzncMFuL6PXotLaHN5j+PkLwS2iJJBStWXpEctwxvY1kDTgm+ C0xbU2/ht42ZEFX127L7mCfGTNM+G9ycACzIffeO6wL9xG0ngdps3ZKeHsmunJzLUAfzQe+NVLhK LEhvPmCMN42Cd1/VOnWQmntCT118EsD4eKRKxK8qZjrin69UFUNrcGeI8XVnQjHBGinVrPlisMIO W9MKJRjWS64KJMPzgop5t1aQRJP9qrMltmKcRrcq6bjy9OtqSUJIYUaofteqBTE2xeR5uK14M6VI KIL4jYIJOIl4OBZRfZ2ousSKi+ITNuvBHPYaN93l8+38HeWnvpuhZM6Dnz/o/E6OzEUGr1vAUog4 nznz6hFf+S5XzERxhblNryfH5l7WTZqqEVNKPVZZDRIOcu0oKirBVpJXMefNWFKg/n3jN8oLmK7x rtLJiG5SnOL8TdkJlBP/0vXpX964BaiJD0I0Z/8cEZCM+8xGRX5jasp49EwpTp8vi4A+OuWkVvhX NJ4E1Ag4k7C3bjudA8p9dbS/b1ZbBO8S6TYCvNHutWT3Cby9KR8GudOvFbzOo6QHw+iWdrwCc2gK 0ap9IGLkFfn7tT21o1haoMHZDwfd48Jx0N2Cg7A2iaodClAWouyDRqtcGRS5RHGx1IJUidp9nNMm ZVe6Llh+0jatV4KMtHbPLX2CjGRFcl33P/TzEM1mvxkROclgfLChXf5zbMG0kGo4ZDiqFeorNMjU rDBtsmv49np2CK1APTa2ewqN6Si/swVrxb2RIOFV+obsWcz9oPNjSgHfsTA2iDHLPIl8GMnph1Qm L61Qf3kTP289sP4pNYZF/dWMWHmmA+Dtam/JZAEteYAXagEa35/kLc7khNFWFpjbO97116EBHqCc EgcjwCXOHkD/3K8umsZsTo5ZlK00QQ4jg2vnvRxPenic3aEzcMAF5Ow5B3cwlMQKWlm1Ms3NM/U8 3JBfwqJlCqoQhTIpnbCGaW/eDI1l+RSRrJvdLZCHBA/S8/66+KFywdXybjMXIPbSK9KAVq/k+0E1 qx1EJzobkKqloaoyYhsDUh3SghW1tKPQf9rsv2pzdZPQNvWANtCiWiu6jOKfFOeCaAJJnHcsaMPi xmCBhCbF57NIcWYHAZnu384dcUyxJFws9BKD6OE/txVb15FKEsNrgqaFZnGdxl0JkzTbMRQJ4uUa 69x9CJflGPVSsw4GPdGdsYSLO3u3+s9Ul1swqJl3uXkPyOHETf/CqlcJfVMTwcwxDE6kH6ADpXaw +3wvyS+r2q+OiZ3P+znK4QOiwpxxpSmhGcph5fcyRgTR0hnd+SvY23Nv9EB7Nr3Tl/R/zDxiYlJH wPBVdup4qCx9YUZE0eKfsGsMHv93v56UbDEdElp5h9vONimoddnmsBoi4wgx3KUcOPTnK+f/YAfh ZuNB9IF1ZSHGHjorTkyk30dWzCUPr/X15PR7EtOxRDqj3aslrTUbxwP4bqQ/tkhMcPnjfTkDZXR3 4hlUdMhrG+HaqBf3TfOJRsRDqtl6X9FkZoQ49X6NqREyuSWwHUFOT5DdgkiAzfapSzTimTDb9TfY xBuLxzNOP6RYp6Y+nPDb7K5iq44WIhAWMIaxbZq39/++195YidlW60CZBPyatKn/YeqA6pxJVzKY 9punxdswkdN/NJOCHLGo8omwMRwnFNIYIZzqVA1rLp43ivJLTxohN1JjbqkdPM9f6R036xSTMwqW +v06mQd/WTnf5mYoS9GvAbVCf2ddRuWYhFai6+9tHWlKOKR7Z9m6JkF00fxE5kklPIRkgHMDz8w0 JELYN8TcNed3XHHZO+3bns6PADO9Pnaf2n6QqnWZv50rghFj5RAbJMy7D/otAwsXgPHfl13gxHYr yr26pUW8lH3wYpZLeL4JecnEEgh4oCN67Rt3CL0sJMWJohqBoxcRdgFxonpC0COKHNq8e8d99Kvg m/CieLVyiUNdPxvK2oJpRLPG9ORi9cUlbsYjURdadZCiieunnNb7rGIyx7bNe+1GS4Elaq1ZEXL5 Iw2EGSSjRpoHWI8GSxD+UuJN0t5/5qafBCJfpKeiDhuy+R/QBqiXzYv8Ks564hJ3KSAcmiYcVmM/ A3RDMZGHvMd92mY5vMeEOXNNab0vOZpaRjhkaXsBny44I0abpQDwPIJnWNQxuKYOjBIrAWe15R/w UiyQTju8YsEhVTMn+dB2yiH33fFbLigylRE2WxIdjH1hVB+Zio+hVjJV8A9Wb09MSynWNm4I/fQd 7yWHR6hQC5HIwrarNs0UFNWQ3pkP5v325iI+LJZ5dEJ0ndicqtudnrW5OMrulojMoYcwTLxoUgXf Tm3/xgXxdYG/NBnZKNej7pXX0zCv9z9GAclMaS1rjjgNAGYunlUSA8YRtyX+bEdtS2ShtUhAWFn2 q9aG+Rg+mp2gfoylfkzUak0kYkPgNNo6TkZ0BGd/MvgzSBnO0SRioWjVBp/MyBLSDwLpik3qR39l zxHykPGE5OF5unxEeaknPUE7vd+NuMsN07WtQtGL15MOrfU2zfnWDfkB7ChwI7AXy/8bdH7qiCGd BpOcNTdZdYABRH8mQPI/82dz8ntG6/UED6HBeQbc88Tnmnxq50uGUNcWk5gJ1l/5K8cG/nrNUtzF G+lb8x9wF/onnzQViydPGsYFsYVSoI6hxUzTOZIDXJbs4iORESu9YC7WThEXH2/+sRw8VbfS4rwD hw0SPug3v5kQ/APmu2pJOZBvepZbzOWA+u3xi7e/QcUnOpjC6p+MA6ubsdANJ5U5gFcx2e8dEJ5f 8IV+6O10TszYYnWH1BJQlML4daadRidTGLAX0CY3WyNp41gkp/MPJbqAZVLnj0XrpWMXZVTxGz7Y jQbFw0+0vfqoE/5brepUSW0em5P48BKPUYvU3OM2MxanfBvd0XvlzXdLJV27JWAHsHC5D4PMfUU1 sg2Qc/4JcgLdx5Ovuw0Fo7bcKBAu9NjQkIu79GKgErpluxm+I8Opec1xMIZHVfObo09YNT8+2662 72jllQIhYrODQAT+wd4ObJQ/vwtFN4iSIeUdNFjIgGlE9ZQUOkHBAw2IIvOCDpij1tj+xby5jjXp JBjDYvrxmsNNEfkoHNg7AIfUZoyr0i5CH41QhqLCLc34Z62yC7GCoc8+fu5TKQ0BBjtNHcWrB+sH sUq5xlMsr6wghyQe9ouSXqE/CRMvjjX3Gpg27mdyOPtaRkin6lUyeYnkicmoB6ek1Ptv30WWp8vu ss0PI3Kt9WeIcxSoemTXnyidn4PDbHSV3mSlsi6ETgkrUOHUW4vdi83//UkeL28wy6cqYizICb1o +Zsa25ziQ59uRP7zd/zhRiHvGzUQ3RdZC98Co1PRWZcisFrmA77OCNSqeUOWFPhGBSvsSxSxusC7 aHTbqF5aaVWTonxmSyhaF/uhYRguAZCbY0mSv+FlQD5gGnmXTlThG+gbQm82qe2jZYyNZqR0flIl I1RKsgHh2jx9lrnlxikLoxrad24PFZ2t1okNIa5WLsFdtlOES2USvmlIZH+9S9k26XpDFLFkJM9u YAHSrv5zlvViFTstyUqA5JASgAmeeiIRRFjsVTzUc7MtyFiafmAs7Bh/qdlvqxB7QMkZn9/S6DDa y8Q9Ku4Dz9aLQWNyloi/gYXr17FeZAalL50QGBtwfP7anTY7oxgiOOzv2+ZcgA+/XSybK4qR5nXt sqiAHWpbveyvkbOaDt56jXXRGFrfree+DGMFjZGurFjbg/Yop7Dgt7vK9LK8x56GClA+BAeTzz4G RV/DxD/EGBbKkeUMslDGZjRi4yLAmW/NuKtNYM+L0KkEHl42mI+3zsgTNwfI/QUnbbXzKXbYPd+E dDhdIoaXMIXmu7XTpITN2Z+E1Bz3N8+n3hPygYh4ItGyCdP8beqv1pk8y3+LwBSBfyQEvXy9vDC4 CS6Dkr+cOLZ9bq7oHb90eeGddamqccZIAhcZXwUyN3hpkM+QCvoCm/QrwYvRPTDcB4n4up0ofcHB MydLD5DHZH/oLBnPr2iU+yVobUo3+tnwK5+n01pQvTIDBseBRPcG6DMj2uf7GicIs0dvKX9xwiPn WcydVr0Bl1gIve4N7kRDO+phKa6+3I3eOzNzooOAdq+S0nRcqCEdyGQOjNiY3mN8czckh2CpgaN2 PPW1Q++NDb1WZeL5jT4PT/UvJljefK0tfbAl9Rl8+WwqFYJt+2up0bSloaanaU718tP9mrtq9B8Z Bxjv5Avv9x4KLwp61ahXLhmm/c//O0RK2BtNL1GE5nXsBnE/sWJJ1C+lPkZTW8i27chE1Ri3/6SD Lufi+MupXuoNt8MRLfHVLLiVFyfplW/g8ZMpcE/w8R0qoEGr40A/zIkxGftUMSRTBa0VtZlH85xv bWxvH5YXwGIYYMrRP/zzAPlGIvU7Vf2CdA++7huOlSrCUbtfO/5TXjtGbeQ2fAXJjZTBSvT81uCP 72xLa5YNhw/yHjBxWp8Nk+Fc2HN3QMBTt21te9M2SNrwITCdJ7uSLsOwqPmmXtv/bAaR4Sf0fWxf 9fRJpJwu1yVocgPRR4V4x7FmUSLYUuOLEG4rl+rsL6CZAMx8uc9ih8VDEvpi+CN0JmyJ431JBkfl y3hTjhN/pB9n4CzVaPoZq9bmElaDLNtS7EvSySSWkTk4m3TnpLtTKTcgENwvAvR8Suohj4OX3yF7 Y1ynXZk8g0V1L0IoXIWQ/6U7lDlIElnhfqccCOxw/FiekDkUyYoNete1ZknqbanS/aGo1t4HjerO kUGlcRtBLcD5DXbP6t4NYMbgDP3KBHt0dJXRC5a/tqPO/OgdADvu79oOFEVnioVjMEvcz1HHV2vE b/G0EejBc6gVR++4UVtYHD6XnUQn/sOP1E81I65EURfphb8Xa3J+eauAfYmzgf08McJHQZWYI49K RUhSbLXm5dUc016xDKZgEZ6kCkwijkpWvByVeztAqgPQgDy5ZgNRU07vcBx8+HiT+HRDsOxi/W/U s9UMW31Vvx+Vp3QcG/frFyLduxMUBrhJ/0VCqYiLoEqef2j4o0OLs3RHaXk93mXQa94aSrhbXU2D S8Lo7aOdhm/xRf8GI1x+zkK7BTRS2QzC8adzD3w+wtzqOXXSnqNnpUC2bdt3cr9bGuuIHwrULTmu QaJEJxNmnVDDOsGOiXu+cbH79NQxbTTjJec/mlY9qQpySSVGyokHtYfN/JYQLxzirv22Nzb8k+KD a5/bCBkm8KvIDYoQZ44clJMoAMrLLKJ0fmo6uXnGh4z1Rkf/6WYSCbYeCGBhuZUB0nCoYjjyli0j wbs3dSry5dllqA67c+8fpzngXzECsfxwjvKp+qjeuYh8nPE0Lj9tWt6a8Ppne64YZDIR+Bfp4E5M xCz4ExlAAwUewPpjngzWGTuShDvjRhe4aV8s//ckqgWtzJoOlattk06+s4dm/IE37a1O5qpBxqAL ZY2L6rFDeD7rn2/HeZahHKWM/UcCUyXItYNGVvmYUJ3NWdYSIslYAgp9qvGJq88lhF0EuZzHUcSZ NTlwW94acblwCLO8oXtZ1Zaxd063ZsuxrVJoYbhJwDso1+iItHLx2MOpL9hS3rvqcyIzXftZIIZj 3QHAhOVM+GbpPljy/I7QIHGfrGDUuT1RlM9HWO+qPjae1irp8LmQDtW5lwxpp+9NRWiXzVOtAtr7 8LXpZ1qvGcZJf5YkA4Y4E8Jpcn3ZRM8Uc9amszzzW1WbXLshu4u13w/CitB593qD/DmoloR3wXnP ZGwHxQvFhoey4h197FaQeL0sZfBycaN0CLppIo22gGlCiaDdGHtWdP8Q6ciBzbMfocMtSQA6AKbm dgSBCdxyN5a0JzHIrX1QcsPeQ570wlr0RnHts6Cerfc67+8hYt1hLu5nt3R+33/otUI9yb2Wiguq B5YQfx8X87aM+Tk8hm7ZBfsA0n+BH7u8YjaIxQJpuXgtmBFbGj126CLrS1e/9hpOpDA8a7UGfv/c zwcw+3S4bu0gi2PA++VxpGCbuV+NQ87k9f2IxaBKxfJJrpu/Fnlb/V6k10U92cc4nPbUerCZCRfG rJpA+z/Tlz7/bRB+3KmBHyvRqdOAskmkkX2GkdyLl/oGCuqAG52FYC6iyyK8AmszwHlzm58u/E2q WRn4lSl0cDtdMNdxJUmI1Ka8UYnr24lPFgZZGqf3mcubhKRkaclat0Z51ikzf3FVCQUHmGjRKkL8 FFhTEQTSwjX0DrLn29fTnQkNpg7VE0FpCrivpyClZj6rUuP8XaxByXSLK+IsHQvvTa0ZgipKDwOD z1TxnwZ4lvTzWtLHVzSez4I5HBsrdzPzrHAonnLXtK05GAoDwrAVeforUUPvQNXpQ5S+XwhgvBlW WgztDnV3YaXop+6SAWsHalFd1bhY2B2bfahTU7Sn7jzLnEwwuBJ+2SfzdNEna1fT3a0tAg4Hvo3t oBnCvfxjKuZhu+LSXC9ngytPP+VVMj+zDkPtx4H46nVLaCDYY0MIN5970LITQXrIG4teJ9OrxSGF yV/gb+ieXKuYhViQrjhMXaMT9kpj8IfkeLV5lXLXHQy0OOZDYQRCUA0208UMXQmiIp9GA2Y4S3hx FF3dfNDMUzUGHhMa98b0nB+zBzgNDBPqRzXBjXRk7d8nYAAkXYN0o3QXgLU5QPvUEAFtrwIGsfiY ki92PrtEfCimhpUMCOYr1PdQEA+A5QNhG7a7i0O1A4ZnCeBBuqZZ1PX4vQkeO89YEUPQLztZRjmj 1JiEvGihN1e8mF4x9cGvitA8CgiEv0vcAUehu/puZlK8rX5fOIT0nkF447AczmHpJ2ZzGSkegr32 GqMGngCdM9IGKUL8pQp2WscypKG7HtzxcRpRfq5p05bq9I+3+Cp4iczuSrjUKhRDroalyRNi4o7J o1PcvtMtvNL1RIt/YWmKqaanULVxqYz/8IEypJz/HYrgKF9XaroQfpMFAlgAjMpHAiwEXslGYIeU IqyS2gZnky3KRly+jQz2zp1IjT3myWjs6DJO4bFhQ5ZUZ0qbpRc/d3X4RnvS8YIsvN1A9HEkWCIO Kf9ET7XeoPAgYPxqtw3j/XmfDmA5iHCr/e/krl8SB429LAvkUAVOcC7Hn7RGqfij8EDxTX+N2xL2 75hrTRoxebrLwCSVxJS8kVd2y6cCyuCyXm5n+7eQOow8DbMZHFloBeZ4CZ2uVSKRmSqSkhB5QxMs ebFxSkygDt6AkJJLFo3Ly7zEid9RQ0ak1+m4jz2NPHQaXTmmzbaKYBMKwxOBmxASeyTmb1Da9Gcy yaGRcC/mfQVvUPTXrZWXjujY+Dr/g+56qByL3vv1tBzOrGorvwZb1AMkWWhSBUHb3JNtHdhikO77 nVNCYlKWHkiPkfVdoyEiJEyj71pSkufkVr79756FVJBnPcaFbQRBPUXFasRnZLVNvDEUePhiy6pl GuGAmkVwjO33o1JVkFWsrRd1IuC1aUudTp+cM9+zsGYnW7YsBbeQD7/QP6LUTvKaMrW+MdcWpSVe hfODoVBBAs+ZOC1myXyZqPZVvZrL1dPNPvZsgmCYPt4N7PJrgECGrllLUOnEDl65+j7NXb7HCcUw BZFGNuC8rhcHPb90DsI4AS3jHxvLEgthryWqxN3qUD+9X97yohaiBb+bR6jugCCsuDg+Sr8t5bA1 sYtC3OmjEtxPeBa4tG82JPHKbCUWlNXBMFDqINnP/AlpESj1JWkz7Tilc+ZlJQwienHGffOm2LUZ ta1ZJt/8INMbanmI2dgC3M/3fPecOWIwY1ZmycabSRUb6pQv1t32xjhJczsD4mtTL3iLWrlS7Dij spE2OzDWjgp6YabUZ711n5auZLXXF04WLjGff6EjwPLAuw5H2M/rSG5VomwELmAKrQIsln4XH5rp qkGk/TKDSEsVojAThC91J+TC19PmNbtYFk694wALGtLI0uEm0J/vRAVba+tQS9GvaNJQlKFrQ8R7 /2a2GQ4ZLYVFy1GLnJb14cfklT8SBn6p8AZZe7JglupYAfOOwgSYtsXAilA9LTXNA1NR9WE4N67z LIxjJc37Yi2gcdN660PShEmnU9raUauE5doglEX8aynP6K4P5IUulBYGdFQi45UbZlzoJKV00lQp Ku6Xlxp67+qGTclURcILmOfNl8s8IvmZFiUicmaaL+y8FJbdR/CstmFLDkxNXl8185HREQN+ekIL Fxz/FMCqu3z4JTJWLz0eUpzPfPFxsoAHONld05Um0nBUh2XGY7xq1c5ra0wlHSBrwCJJBb6NEsAw YUIexoOkixgSONkJmTGCI9x887lAKuB5fqvv9Mtg8mm+dmOjWTTBC/kGDMSeLWJ3I4y5m6Ki7IAf 2ltwkIxHpuqYAZeRr6zv+oBAoBpvHrramNDIAxDvVinFfp7usKGnMJ049zW7Ar4x4uTX8usXDgw7 OZp6X6Lpgxw8KcnPde+MTjQb+ZiL8Mj89Trd2goDP3f07zOmFyGYuz5cfZ18FpSYWq6B9dpydW2m yJ+MvEWgeeDk4gJC90ClHJp+wbJcHVpk/M3EqCTzPKTcRcX+1Gys7xNmTGMHC4+5N3lt2DJXejEd my3Zcf0potp64c5eS/LCXtS+flB+cLQl8JqFAJlwh/S7iREPCMDHfdCed15hX95go7MvPD3CnWYu raukMIsy/Z1LGcty8X2Dod4YwuNgxds6kg6sNdnKWh6shof4WpmuwP+N/cCV/Ys+blUti93gKjuU tOriJllFgZgJPNZRJppU5olc0LjE081ChMmjMqIZnO99RaA8G+yU0+1QjFiA8mHMurfDxKaAa567 4vAt/gLzVyx4sQ//Uq/6PNLUpnQLx1r9act+FB+FR7Re4Xx6/eGo0gVQSZ5NexIQhshstux3Nt8M U67S8gYd0dGEaUIwHnaVP1iMnczuyxelUpBB8E+hK7f9mPZVhtH5oy9BiFb40nxOvFxUDcEmxb0B RmnOPoukfRYl3T4KgWLDMZm/S1ie1odeMeD5g4Lu5LXC0TzUjgBwQhFe8rgcK2uRC3dOiEKKsXPJ e40DUrJIifvlWFKU/YFzXE2JnJwj0aC4KVypd8XoUTiQA2vD4YKQONcXusW0i1pJHi/YbRVBKYGL byipQp03mNh+F4kaAGCdscJwBj0ReDqhFBhN5Y6Q8JLZXkBrGTQkBfuuwYFVSB/K6laU00vxnbfe 1tC/JPICLcm9peyIu2m7rXXgyuHaE2JTZTWtLsWxPVm8pSQzXIFQUBFdtSd9V0K6egKfMWhafT2t ABJZPix2c6LrOvZfJTlYnSMABPQmEv87fqiwEnrsALZggNKMO1I47hyPs8AGTTGnWVii8tvGZsUW 4l3+UjbR3PY4vKAS80wTjCDhsa+HLWRTpLiDqPg9mZmiFuCHCeVNSLA/zwihCSzFFu67e8hJVhzt Ol2ODjZr5zcUCl2NtWPeBbe2hGwqakgbgTvnxOrw9ygPKqYgU+9DDbAcDkprSwcxuaO7tbR5RO3G 7aWd6PsfFhohPJJiuxHleaz7HQngjlF5dhZIOO8NsJgvIB2FxB5xBVaKt9CJmREykeYXCv2vwPtd wDThinUPbJESfSGXTbxNG9f57GwtjcNnHoyKb2fnikta3noNzBnFK0xEG/pPvTawTwrDGd07dz6u U3AKaxGahd07w4evIfJFdMToYS1slmoTqnWg0LugjMzvAIxiycrNJ/FgY1l93Ey0huCtIqlcirFN LWDJ3JcQc3CxromnvnXjILoBhURWUbm7LZqUKtXGO3HB7kP+ksoDzBPzLhmiRCXBerQuTzcjB3Tf k3sD7dHy106GpnklVMGVSOyFyS0FjnQWocPgX5KxUW50pUdnj9eDn1GW3aGccxljyxSmVOEjRK1i s9uQg/dtWvoZxq75BANrjfnUbQTYJm7r0T20wfhu7LE7XgV34WYYSxUIp2CEsDDAL+qmV1ZRlFDS wKVDdy/Viih6rcAxLHy7IeE9tHa5Q6BbFmui+7Np5quaDi8IOz0K+xZ5R0+W1oZr05gUrHQWQHVW nVAWUi5PfsrMfjNEhSBWiF8MJZJaturuN4HLjRJecXUFJMZSAuC8eLg1CXPl/hLCrapo79nitFfQ hkn+dQF5rQD3AHkAvZqkNa/AcCJPx9ZKvODmxpJcBZhJt2NYqGsJIRekY2/hO7BrhBn/HiiuzAyl M6mlzijUGcj6sUYRjFCRw3F9E0C4EdRCdC0PUEooxjbrqT1LN+jiWIk2VhslD+uJ4VqzuBcYbwCv /ydLCko1pTYMbh0D7FTepBAtxxEjNWCqrV6Nv8Q2U3JsGTT4/hIVPDlfPbd9dRLKDm8IAuqkQ2Ww xWNm5RorMkp7wsYylXAA9YGJYszLLAB0iYvzX2gIBVV2P3jdxkTCGPkGhfuckGGcg/52s7RAJ3fW yEdgseTQbl4St+WgvteFnNTkripQ4lBn6lU0gv2LE2oNMBztIsttOaXldaX5depN52ELz2ugrYKn gn+uY3vc+pmYRMMd64fkZLQcRk4tGU0+Su8nRI30vuU0znWI/cEQCT4W1jVdA+xUiPxtS4wkCW5O NAEDxfMyzP8WiIsgpw/CzvAuJiDtFNFgtRZAjVgkboZ+/ZrF+dhkWghFDz88r0pxUHiCWDDhizMp vj7G7l39VJD5d4UX31MExnmbhEu11bUj2gxPp2VE8TW77LFT+mAZJIiXTlbl09RVkt4img6v19yF IWQpRSTAnvIBITD61SUw0w5rSqN7jG1E8qie0vD5Ss2FcNVodfCcVg3DKSWL1dLj6p7+g9bxVlAV vlArhuXaRuOrlxO+SrOlu+AV2hEmN7jEyQLEUhzEnfpriM1anrbrGvmlApm2oebk6FX5tPJfWAeL zGlAwZkq6Sq2X6ieDldhASZqpPOfSmPRrPxKuIbvcah9WWXTVFbKqk9ZOOAPIqOB5t1oaNOAa8C9 tHU+O40keUkISmtxlCaVgQcAA+bepiZpC9azUfRWaze/IKAU8I8Q6hrgj5bC9573xSXtoUnS4xm6 I31VE01F818bS9LsoIUmpW23TNWUd5AUoHSVLTyq8n2v2qmLIEo45/H/83IdJskyPsMPSt0X7MM3 gFaiU7+KnkxmN3lOyS7r89ZO4H7t0YBaeVpSG0+V+aW5sWKAEf+6J3M8l/JFUtSWoNRsadvJyQFA JMprmxlTyYmN7bgkfTdgAN7nWM4cyjFhvPAPDKExznpAqUZdcCVjqBl/eEnjTtVog9Zl66gYRb5k KjZI9QBV9NrIKdLKOjcveAJ1NNeyphRxPJesrLACHwxGgnSbhLU8snZx0SqpWsZKinC0MYESk7hn sDIrn0VQ7txBmeV4LAyhYOEP1RWsX0Uj+ChmvD43KWDQ4vKiKnYMDYJ+77mLn2lPU+qvBNMjnQXI WjBw0e1Vw4GQTBi5HlfzElZ78FYBwVNRG8ltJm3+f/aEako6waEw39b9TC4DPkhI3GAb6ZY9glfo NZxIzk075OOEHs70iLWNq1iL3OPgalMULD4bwuv2bHRD1scBHn6bZYcMAdzHGuJ2mzvhKrkyuGuD G+K7gi38kNtcjwoSE4nXlVs9OaeUj0HWvWf/A4zkrEo8gqMnYjkpSQyP+vO2TQXow1AIJLlyYunQ Sv3ANayEkEkG0nXfhA19lL6MJ+OFlzmcWLa+PU1iW5Vx66HqRhHryzY+P7GrjhfZt61gyEeTILti jEsDQgZtttgl3gH2fIWJ3Ly8JIRVMhh43fBgqYDfY1gK0f0r+L9XBEUlcJDgPvZCUY4I3z/4K8lJ A1jyg9kdTHcXwh+YbugtSlK4684qu8LFwvoPBA8fqiTDXYY95I12Q9jKf+sie4fJxQ44AdmzF3Nq 2hecMG1uGc5s7N9izGYb/U03yOOMxgt9T1me5avDkxFT+4CoQaT2e6NCmXv7uGNWNijZAcD/V7fA BfzMLdaRMcWkn0tTS8Sb6Vv9hcppTs8+LaWcQdw/KMHzGwXCnBjMmhxDUVMQHTGU19OP0KQvyhqu f1OvzsXj7I9UcMtgu4SntM+l4ZQxmC1YZEd8XiKiAi5cdsOUDd9EZOjUBgwn6Yy8ll5RAOnAuDXt URuJodjga/fWK6TBzpIRPQi37R0brL5toFdQOL9WYjeG1HCu3s9xJL15kc/BklbQ/ZvoJvk0i5rZ qytnFim+SDuw0QOS1Toz3ZoShcE4rbtmcr1TPHw3qHivxgouN23s+Q39raC+iCYRD021lQhr54rU hcPm2vGa1TqVty7el5+SK0qX46qfc5GBBOM6Q/XlDRdfH8Zi81hCPQJ09ntcV0pEKSn8borc7FTi dbui515L1jteFSkZwskMaVEf0jaedXqQrx22GGTI68znm/M0Z/bh8+NBzU/1AnVRViYVZLVC7A1V JI3nSncrPmFYN6/1aw4SbMDlLpORd21MiGYOqgg89R8ekPaz4eVpsDyNrgy4x8pBDkR5xW04DWZj g7aYwFdgE8s0AfSMiGZM26HC9OLei0zP6QAYKpSXeCBc3bOX7aDYvX2DygZ1aFip6FUA3ItMikwr lNPZCXCZhbEAQdfLBH+h35XZC5NTAfi9Cat0VdB2niwxk0Fv9vGEweaoi1Hzbc0+qwukItBiHauM Q9Gsy5SldDSqbPRqNwWqnkVu+HBzrqJO83aLrbkpmhL6rn2F48KTngOiiERINQbqddJP3slQ4t3n 4h9pHlUuT5c3BZziUAXDzPKY0CbszAs9aAm50rG4LZqifTKtJZ7nxYFL0JCjUAOR31yPTE1oYsZ9 hb0IjiTsXRo3cTCRrTahSHPardC73YYkwxPc5qjI+6ZuwR1Ch7+Fuo9eh+xWDqjeIFF59TLy5kUu EEilEif/tHh3FCMys4oBspOyDWbFhb/QdHfBGwRFWLEBFqG8qXhK9WHNu49n9tgWF2G49WLahdCC X/k4Sktuvn4/QVZDo9iS8L5zT1d2aSGg1QGpefZjshK+R7ksoB7JlVtQzg/wuiI9etpExnowSRYf e02Cc/1OMWvJsmNcMTWHwQImyOOZDRDJDhrbe9A3H+pbqwTQBeZVSqQ/Mo6JiEF2gxgnahJgg/yi YD1Eu93k9VVci+aZez86Znw4qMWSVDEc4lDXXvbMn7ne64OmL5n6curiFB45NrnTwT10XWXsDSuy p1l6Sg+hgUefZ7n6xyauT4qKpVLlkI5Vh0wnbEC3CI7wMx0YpNm7Jix/S4WnAxF4EuRw5N9qnN7k k9n4g1ISIjBQPfHaqiPNjAHT4yPtGAiHaHC1+d9XnNTg5ZPfeZrb5z1KRFm06JE+QhS4LADVebgn y2Pdye0M535howSze+YLVO0bE44TA/moaykm20Gp97sRE15hEza0ljai6+Al3E/SK/LbD/ixTtq6 07SlVlipxTwZr2NC0bDebCXEO/CubwPMYiZ9y/4Ygn9t0PQkIVzWcQ0qBZVNzLFu7bqBDu0f/YJi zfZTRVcPuq6VoLHNyOLLIYlcU0HDlMmNJSF7i3P9aNL3Xd/GD/Eur57aM19Ia6sgtarejVSrbBNR UzfByFLzRbJpASJwPV9q56Pazdrppfe5+2W08DG0YTwRJy4awKFOioKzm2IXtKDvQ4TqLJ8Gzs1R 3NrOU32U+pXWhjR55YL/k7+UQ/spN1UkM7TGPy8bpJM4EDu4QvqETwnt+s/psGzuonXuwbvwm9zT uQ0LElE5WbxPrC9m9gSW3rqVrDYDFWpI+/ijFba47Lrh0y59I3NzdWGLTFdZdMR1sPbm2oQpqGpV rJl9jKrFEKeWY5VMPzO8q8aw6fHwfN5UC/bgTVR4PXBhcJqeaE3RXrmPN3EStvPLvXKk11pksEMj mfM8voMPsltH3omKSTK1tKM0FXtnezaG9x4dXNVnhSNDtZhzNTAZbP/J2OLLgjNoER2UGPLkUDUJ gZqI3AZ25d9Ma76pRG5hucGN4N1kq0aDXGsdwmqEvjRN6/EHviFyw6fHRCvZKf/amhROmb9o2KXD ZXsekgRzXlNe2gDcBmeF+QpG8HRvHxBVBuTKliDOCRO9IvZ4jDDzB1QvZUH0DReS3t4HR7ZtQ6rp +cxG7s2L6It9Db8K9luSUQiy7rv6bm4LIaRxUK/BV/FjDvKVi8eTsHG6mG8vARTP9/c+NA3QLAHu CyIthvo4PE66BJcB2HVxWrDOyu13GepNlBN+X9m1ot/7Sng/uKf2K4qswGrEMnk72I6ZS5ucIfMu 5SeBe3XcZpu0llTrpi/XFXrICc6jtVf0davNE5J/+joRv88ZBUu2dc2GN2s1x3CLu6USaU7Rc/9Q E+OIBznh1RDC4816Q55msVxobCqs764OgSEoTcfCl51Y2W74DSLoYOa7uhSWMYpVBrzG4Im5zmnS PxM3AUR2S4SeBLclow1JJL/6Vt0/2TGlfgI5JUgHhL7hdLdAs1IJ6O476zAk+EZyeKVj2jlHU+tG wH2fYlWkeSnclXiENAbAospPAPfiOLmBq+L44bOCi3JdZGiV0WujvKZT/t3az3I+Pxmm+7KR4TZ7 bqcXEGra2Cl0CJY7uC99/pNgZzJR8njfIvspmutfY8Pyo47feihBjI9BWxoi7ZHzY0FLkXg3qtA0 M2ct995q2v3gmlP1pA5EuY8AYqcJjbIkFChH53b3rRkO9JzZGhi8qGTCxvKsil/BnTmO+iW1p8tz 7Xm/Ui5NrgGteBQkq8AJp8B3rGTXvxvJWdvaMCYD2URGxh8/KfYEky5qr/iodaBIGJpt70doIRTr zwZPXWr2bcagsEEO/L7etRUPhm1hQYDgyS/aZtJU7274LgMfAhuTm5mceIHEw5VRxOP683Q0ErYu YtluR+jBW6gwkCFE5eqzRrx8vfTFWQO/Vw9RJeFHW62EF2v5SjUe90WoYmjLANANzk66jtnODdO8 rrxehmUf1gY7/GgCmh72vOvTP3rd0whQpR8V/a3/olzezngXW64Th0W62MqPPIaOlXzxdkV4lD3q YYu3+/Nk0tWzVfWilldDh7wgEB6bloE5Y0REd816jMaVvDxZAzSJ/A5q3iuDbVaTV/LYlCaRjWe8 of8bPmGStnVVOcN3sq/BzxwuOiOZnTERR4KpsUB1tBLdh1/UVyjt5OURpOnBWLr/zepy37+a44RN exwiCRCZUz/oZx0UrAbxfh6L2HmN/zupX8Bb9WsLBeEOH0R3V69OzJfi0Cm3fFjlw1BWBUPBqi27 cTVl5DJAlgFOI4U/vDFyyDJl862gQeK67cUQmel/fn8hejhR1w7NpomJEBKrNhe4chDkBJrJJW2U edhXwhXqPv+NVr2zQXVjD+CjhLlisO7zK8puS/VD6VvqOVlFk7+M1DlUbsAJimbi/bzLxSj5dclS LL2i3nUUgjetavrnxXqZtuh0aReS8DE7VKUzKKUrIvcD7kuXMoVCraxwo7f1cARAdW8s77GCI2nB x3DKn0+xLh/W30Ons73osi7gqaFety82r04pCd3TFV4mJUo606521rl65av1yk3b8FUKUl47XyPd HXD6BMJWOscnCK39g8WCNrR39rohs/vF/P2/F7L7/g7l+3nvK+ysHpTgj+I6m9odkKUs3idN8qhd I+hEd33QnIAV96RY4Cfu+d5M9jYMa2IkUxcbTLrxbqd/srOh3gc1ZrXlGKQmPbYCFcIbmRcthTWu 5s3bMP5pJIT690QM4kkfbny17RRSlNthYOVkbH+ZyOXlBMF0UpbtLTX1fKQxeHL8SZRTw8yODHZT 8qrZ3mmkf2IieR0CJK0KfYkL1SZt256n59nuSZgM0zMaP+uWoLJp4X9Eq3PrVoerrqb7icmyBT0f OgaQZIcLSBN55qzXh0aAwo5kdY64FB4/tEKA8rf3k0DzqynLE1mVOZsLrq/PtX8KxEp0Dm1iNgi/ 8c5pzBbf9YsfdUqITuQeLnoVefYlQOVUesMWWany1EdZ1xoWe9mkpwUspMxX8Cgygom7OmUzVShd Uzl3VuBYqzfRWjvDQQDkaIvbmZxj8mNO+hWorjYZ9pqLb/g49oeU+9L57Es3m8zH2xpKX4EpTm4h +9CrydRMP57GQ9t/NjlMTulrROJQXn4kCZs7+sWJEvs2wCoinjBPpG5ZhHBj4CNQhGbmfcFrs8FT JsfBgajeN6icLlh0SHF/BOYy2OvMTnCNlxg43snTd1mXE8B+d6AOH8exEj8hwEyTP8tX91YJai4c HpWSBEqEs4k5HrTA0P7lJ1eQoylJvZzo3/RlAu/mLqOPwsZ6PMYI1K33Zh4UfUMzrdUqjmzjxZju f2rsmv2zHUZ7N/8b6mdQRN6GvHY4L6irQ3LoSO9b1OdPGYtDbuQlb5NYDkep8E1VtkGfPIXX0ouJ d9PCZOs3BFgy5i7fXBQseNzRyyZwXXzXwmsourhbcCl4DjKmaFSEnzlnxX0OVRVIuusCj/8GR3CB VcyG3O3vPojju5M6eqMYZhWvyUutIP5GmeCxydevbmojTxPzfP6PEiXumsoM6vm8mVmpNaxG+j+3 sZz+5YjKnzG3OfZWk/FvTzOl9TWPgfWVfNOyB8zL0TOeyxky0EXdLY0k/TXVu5eNArgY98HzFz/F 9gWaTofYpApC9glo2YvG+ZIu655/QsvSYpW13Wh3ngDV48cZFHu5cW12UGVuNas+SCeL9HBfiDDq P+Hi7SIf2pLWYtE76hjKuZxiMRUIqRNPakAfuBeWEGsmsFXesc8ZjJY+6HK6nvDtNFY38OJ7v2Ee cT3y4eO7ji/HbJ1GLbEtfODjDGzL4039lzBKvh+bRToNesLgH59A0H4p4Mz8mMTwU01dAsHUD0WV K8MVjUmerS0sOpXQmo4wJ+gZS2nSlzyYe9nj4fSOza8caO4ggU1fx78BchG+0Dmx/2qgFAPfoMJp LMfAdcx3x+iG/Z8RHNgVejo73UblaTrPCqUdYWidod8pEf20mEYv81uaggzMta/R/Kmyxn68u4sJ Xv1PZLXDVohw+amfiwVNphP1wkYhEt5vVJ7/qRBk9JW9Q2LXUB2BhdRTIMwvUP6g0dEFob60uyTT Q+yQpC7mr9b3L8ztzaqa81xK53A32y+4WEU0YYEgGiDvGuGg2CmdOvJxFYm9bX3EHqaAomxXih0e RrySheQnY5Up2Z9zMghHCgx6EvIjR9BLMC5b70ejEclvnhkMWFUySzeO6HxAEn2NxakHVi9fIH8k VVImRKmEyS3VOKD1JMigSPnT3D8sTJOMyAwzfOgksddPlu57lJB1bn9FoYvgr3W+gv3t0BzmMT9/ cxUWFmDqUbze7HXGKRsQ7ljIxjihbHt623cRiqNdTf53sO11zele6h8rtGAwGy9j8d5YXzjGhwyn Zv5cISbVdEC6aMmTrvHBQIdHhTNymJGrhGWbPuBWLv6irsPP3hXg/zBmGsFhQR2xWHLUxVSWkeu/ f3fUjEps0o1ywFYn17h8Xzc5VFUm8Kdel1YY4TKIt2y16abnirKEqab5V52yTAcLIv2e9p95h/1F F0K1IgWD6eNxSG1pUtdgp5KdorUrXwqtYIedOVkmeNZfWIKIjLd35D7MrMSBUZQmi3cSL1zShFv1 i0MbhC7rXOe6JCAOEBmOkB0lhoycKfvvkCX0mqbTF87YqYc8HfPGDX146QntPHCs1Ur0Mwxh3qiQ 7r6r8otW8MPoOZjXvrckU8cd1A1eZPKiIiNk8PWNr4bVh0/faKi5BGfQzMCVlXGrqgphBsOICPub vWlH1lZu5uSANIIIz/NizeL44+mcwbpvRYSNECAn0ZV4suA2WC+pVMuAqaQ4sdOnD0lyV+PRJA9r RgpViQ7wS40VZBjF7xrtPs1zRVobF0axMMro5hAj4bwiIzDwiIL2ypIDoxCWF8vI9tjTqoKMSAoL Lb/ex+yAeA4JscjPIlVrOeLlxGASy1bL+Qk5Ju7/cvm5izGg+/gjEUzHJM/QUsV6lbzLk3xArEv8 JkSN/uP/6vBuM5KpZjkVDmyCPj8WdA+LMQ1mQawY0+LBgOUlU/k2A96h5kX1nuVpV0j63+YSr761 E+Q4ip2Su9+VDLn6eB1bYVz4chPm09x5lnR20I9M4sJQPXOpEQubFx3GG8pcntxER7DNUYANOpmK QQu4MVerOVerE9b701nokcKTn6G10mMWcJumyUUM4TiblHm4A6TANMloDkX5vmOJX0ZTV/N5BeZU M8Xd7+oaLV9ZEpD1qitYuAe2lx7mgP3JU9LRuZsCdMX04IM/+Qf7wQDaxV5T3dvEzS45uFO8sInj mTyesiuqXFZQUvTIQDN8m4SiFU7tOOk0aPw9VJwDJwy0CndRCIAlgQkbofGJIV6iTgoAdyalQSVu KTWWZTHANZuHmgA0VJdoWm7pD372Fv/+y8QNlHrQXlTl3Gd/ql6Hr+TXfaZR2/aIBJP0Fc3lRPmr 06YLjBGKUX78l2eTOBEqkLU7zFv0+S9+VH+DcPcgg1vdKVYrARKH90vZOhZEwDDHge1MFl9QPUHs snzOtXRv5oHGkVjWqO4m4T0eTLu/8MrpfjgE8uWOYE0/Sq1I+BLUwAgjr4axmnpEM5NwYiB2FH/8 FnEgbFoF9wZh+MWIdJet9QvvqzPIEPkkfAhEFkLvgdiKki2erCuTvRuf0paRsIka1FGKCtdUX3LH TkFRiGnMyuX9IOwVwCC0EqteeoidrawZ5Qhr5ZuW3aorfscg+Wd98b7HP6nMLO/nXQ88lnmEAB2V VLcKI86sOOKDEygO2mR10TEbbaLxn7V8+8A5mTFlILv68eRolPEUesYyjn0WBqrnGpYxJGSo17Bu uUbdB7sbnWF5jFKS2mriZ1BhhP+JxNTLpOlJQzxJZkUMcpqK3GCttv/d0m6dPDcvifzHCwbJkc4y JwQtHOFoAOucSZUSQRK0deAl/YColL7pZ4mEpVwTKR0ja4YTHsKSI/klfsvF8xuIYRXlowpHGcqO W2WbdwRGf7ccyWMNdT6HxkSXdrxXcQDuRLkoLcyYGDD65ji+VcZEm2MdukqrWLWMY+hdScOBa2mI /H4+jTF+y0/vkX5ShgbPnnqiZIeX/t7TVINTHC9kH/xMADuvW00GDtp1wCaPFJ6/uPIUAEu/5iMA I6FB5Z93grji2CCAqcItXPK5AW//cW3FQyozFnkgVDmYqVV51DuPrFHvhtrnzqAzgIhO63rCHZbw l2l8XhYSIhPqciooByse52hYN+JL6PGJS/i8mhJfPj1s3cuDYDbCmXTMAASkzO4fzvPXu79ULMT8 FF98Ywe4a7MlnTCzrtp+/joqzpnLmPXvp0PhEiMu6Ldh4a6TupH5kfWPZ/F9kPgA2JmYfw9RGdrW P+Vt5TtGW1b1Ci7WFj7VzoN88n9RwSx+F13/t+QXIFY4HYencYZaIVm74WqDtNsZOFkt/esYZK2e FozEFHKL9tiFYZOncvDy4AIfH5i+nheBdUv4Ac4NhA2cbdshpBBc4240flG8gHBYb9Vqkj9ckOJe Qgz+1DZmVKvyzTxowu0NM10ZBK+KQU6ryoHLMCT32T5dJgEYTU6M48VEv3hUiVu0Q4FP8WiNl/Jl lS/93AXP6GhArIKsniooggdNzdxfNr2H8DKASfD9sPN1K1kNUyYPx8zgLZpxjrdA4fbd2RS9WwVS LUzbZ4dcAyMBeOu6nBAV6oYdH9hITVrwe+p89iOVvu69CPqyukrIGEh/Q0SyVIROBDEc8oqfa+5m PylMStj3gerBvi+t8uBZ2UyXBwr7276tRSQoCbL9y7+RNXYLfY9PzJZKEV05zjD4c+RHr4nCQ+VE C+Vbd0b38h+jJ0RnU16+yArZPRQ9p2cS5JK/U1l+FWzycBXiOgLqYHC/vU6KBazMwF6v3mbDfE8g 8gNqYRrLb8eWAzHCLUT9E15Z/RE8FUOzV35/cLTmZ8cfAlxmVNOIA2mlBdaikmFx/MpbI79V98wr cuAtXlfmxE6xQgR616xSc6QI/vIizHXdqbjqXPLXU42GwrsLN6ure8g1km9GGLVU2kjYk4rM4m6b 2jUEGa9kpz1w1EKc7LoXvz+MCkk7GueT87l9V+gn4NaYq90ldo33kZgU2vOjiC3apUVA41gpqvQR AS4UxiC7en611gtvP/VSmHvT1fy/8BzMSrlKnkvIAWTkRmC+6c+bclLHHyJHgpBPFfY9CorE1JGY ENOEfRcvnVGmB/I1RU4U2KWGSoBSKf6kDpKgzKSyOitSgNf8B10ZWaR4C/oJ8+22+9dlFmZyl8KZ qhJZstjBMGOdVuF7Ho3RM+zMt8i5GtFTvpe5TNWFpHJGCRKHrl9gtdSy7HC5GFPnzTfnfV2WzAF2 i6n/qh8XQLqt8VwUO6a0Wrs8z9a24cE20lnrp1F7JjWGTkfi5Ff9CiK02l6eEbexcjdXVCgBH7tC 4jCKg8QeBWVHZIaRj1JDKDvo8SoKdqwHdp9Z06Le580JRsvl76LwnQBLc5N7I5YIMpyp4TAIpEjv g7Dn7aP4gnQ7T2TrRH8XjGXICJkvV3yY2mznwqveIvJKuqII/jQWY6H986Q+zkOet809mVbunUPw kVV2du0GKFKP4V6DAi9UPro4pTy9OW0dBBenTh6vfzWSUGh9t6QcBy3TcfpdwFgNN+BxLupDES86 AD1mU9yWnbwFwx9j3heTQAer94IzP2Az7+YWVlVEIaN4RcZK8KEuRaxzusn7ziP5tlgkxeQt9P7D FaMn6oxCm8V3fKHir2WlKATv5Sl0UNYArwuiw7EUpmZ2BJklSJ9buiFInTWbBhhi2E29C0TM27l9 9dMZvLZKsVDG8l8tBcVo72bCine3DEx9HVfaCikynL/6srn/7qriLVKb0aMl4pSGIa8kjURqYIOq CRWOYKsiFkb4O26fYUdg6DdUshxO6pz92Q4Lq29n5a6Innw6LL3wfqfhst/ceLp+kPjHPEMsePqU ZoHoXTQlWbB/AtjHwZHZhGv1riTDOl3UPp57I+LgDf9OYwj9sOr0UhhFqygy0ATWAbp3vdku8hqC +Jww6rserTCgjPJv/DpaMskZW7K9bCMJZIk0bV0kTrFG0oxJfyOcae7r3KOSVR5+f5wWP9pM0Jry wqGI1J39TsQpCBbgNpXm3kjLZOPhi14jSgjuBXoUMN9gDjYrpUN76ScP3fao3HGky62/L900qlyT ZRPfrHO9Dt2DtyXAtEEY0zqJs/1OcqHQH4tCwrDKewXkCykM3BbAz/jWAvyU2kvYSABVkDYsjyZ6 Nr6WXBuO4z15QJD5AEYybcWg4O4qGEl0wbDzPpTFnjSL2l8mobyDYAxldp4LJ9fzsw8oRLUIA8i0 VPhTht9itukgldQYScbVFCdAFB0t6nrwuQO7T5GiqKKcMaED+xkkD7tOCn5z5ThxJE+ZwyjUA2Xf l1Ow0flyVN3DwuaG/OJ82H3bG3hiz38tAfYyuzgUjBoeYUU34T3QzMC/HalwKE46UqpCOBWWw2XM DKiLghjtX/ASJSprEsg9ZCVZeF+DijdGR4nmid9wSTMPRCuNaB2FGIjFOik2PhrLsqsbpv7sqKSZ Ayu9EnPszmICN5Xscbx8fknXf/IW/UmpIuKl/8at1zRQ1Ndk+TB91d6mqschNpGeZVjKtzBBfofa RXz1YMiGsnLpxnz/UmXsVHZuzSYuGxI8xHuQM2VBIEyXIaUH8uVCR1KBLNZt99ej+xgkcyi94LXU 7xLBYZDp98H55LkbCGfTxY6q65bvG1M8fTG+72M3JjYGXUYLE5Oc78AHsgcn0ZWP8o9EP+/YgVsp 3+R2kLEkhw3Sq0f+nkMK3e4layUeyui9f2ahTyGV2Ki8b+oWx8BC/C3sOXsDl2VsE51LZKlqhSoQ JNX55yBYQgP208LkNcP4Fe/Y5NFd4IXfee8TeAAbnioLuP6Ja7PAFpBl3dKh98tPHPm/vSBYjrD9 ocikv2+aFJeaQfMQi51GbkY7/Vz0o00lFn6zXDqGz/H+2k77GU+HiBf3pOPjbxG0k2gbQnQsIYsj 8W0hZ1MGKr9zv+V/EB5Po2b5cPhR8GE/t6LHuHxBbTU+XnwihHjru7iHEqDBU056ZgWlqygGr4ZQ /Vw9Cp1UzpgCQ5YS3JemLVIcdc8AzbnSYm/jIbKaGGskmeL/zr1tRPTGBVsekTUw7Stq33iPodoP eJSP5DJqaeNmxM3pOvQjIqrKm6kMgTCO48QFUgSDNk3EzStVwV+ZTcPMOqhi5VFOdTG5kJmeLejL qQ/ZnX7nMgz6g4ySE6pMm2J33eAhIZOE4VE2yoy32U18TmWNgMfvsv6+PE1NAsg0vESzsy5yYe5A vnnUVcpe4nRZNdlx6tB40N1fT/y07gN1TPFy/cmbq2sHrnRPxV28snu87piiPVQNhXsDlyLSG0Y/ Ca2ACFNRL2Jdo9EcjT4E1PxgtY359ln5g0krUqfx829XkrMVcBmeB70B7RykZGkEa5uJO92C0r92 NmZrtYIHtTwI9uExIi1ZsWB2K7Nv4N0IfIsFvOyJMv/cQvokIVF6ZOOocI5rzmsvePojFGf/AC/l oBbhJZJX1n4P0Zj4t5gZE7X2gUuHJGDeCgeF7plFRcuo5P30uFT6pHla3lXWcO/46PMGWNf9BHEz Wxv9NmKELzg/URbT7tC6Ip7uVHmoltLeNQYN5/+dUbzftKBBpG46HOQcCgiOC0C+/dNGBYjvV3TB 1SCnoj5M1lpxFzciH1hwg3IN6ihub2uk+8s3rFTFnullf6dsAX+GFaKv8crUgYsZuK+8vFxmTlF5 r330N1qeONHnN9cEMkshuvq7Q2RqOnoqaj5GmFn0anHPQPZPwhAqclW3Ni7eLBl+gS/HrZUO/dkh cHXw/MKqyOSVPZj4phIjphSmWXsl+E7tylVsVwP/y0Jfz4htn1dFHrxf/NHqK304eIRIK8JSgZG1 4s/lhAad9f0FlNyp6owTHZtX8ZxhnLuPbGR9Zih64N1rorwenLYtmbnoUFDly/7P3Qi3cJPj4IyE T+b1aBeZPTD4LFxyllBQgxeEaFNy97V6Lu9nL2BvkUquVBua+3n/RLYdbNZY2D/a12F0U82AZ0De 2JN/BrOERh0LxVi0MQJODcOwmlWzG8pu1vZLYXqunjSTKoCs6Yp2lSBNrKkBIxW6/DmeXBg05yNp 7vwsQuMGalP097k+pJWe4HqrNVZNk3C+PU/T9A6iAxF+Y1G5MIxtUzJQTpHLSkEyMMsybGx+Di0t fj3ZTToFQ5hq/crG3+Z0jjeBO1e2AyGsfAV5zuvfV0ii3SPNm4kYNc/7u2SgL65Py6cV0vmdtXJk jdcaDbzKFk65sSW7CTEIcyOTBISw9AqVsUA7Fzrw0Spr/zom90w37/wt3jxGZvxWqZLu8oMF0gYy T9RUrtJKXL7g7KHuERZELYGJXIfIFafuOscNg6csJ7G6jbs8im6VtjOjtaNYTWJHejqm1CktQ4/q NwkxkoDqTQWkZVkt0D5WvidkeGyEyfcPMhIrRAz0FQL1byW4/g+N5+L2uGhWZgmp5ezcr0pfhvLh oDUDqwNj0DIPq409lH2wCWvReu3akiYZ6aqTt0SRmYijBi7vepFzIqcF5JXyBIRwzarbIKXzsVWB VHkLsIcKLYdq4bnYmULaEp8QSvj+bW3naTy5qXvqZUSrV6+KyrTDmk/3iTLAQKhi+irTR0TjC29a FId5LJRryc9Nd2TVtsw3Rz5wImbvXwikC9QXzQXSAZ8GV1UVherBeTeR/QEFCBGd3hfu7F1bVXOU eJmA0e+NsUPg9GjruqyNfQjnWiJtZzk6zrloz0jUu+3uH3caFk818eQYlMi4Uc0aTCOb8cf5R6pZ XhIKLvib1vIcyhpjjM10+NjGhVXggijaMurGomv5DV+7jAGDPtEBFbabXwtAlGZBMv+YlY6gAuES pkFeg/JPy2Z46Tp/5c2Ozky4aQMeoJ+yg4y5SGuxBz9eaTPXRKgXSUoDmRGBBa2KybR4zvcKfSus h4PwdLzaOJ4D1E8lQSl88rblV186qNXcc1xg0sHzAZpj6b/NUsRDPz+80tRr2GMtXfTV27eQNi8C /X7AKjvRZEB2Fnx7pB/n7ijDdTyaVrkpUSrwOxqOhOBtLsy38oWCTi7yLluEvz1NXBzFJ7Aep63a bgdnIl/QaxNzqDTq7ttx+5XKzEIpghYfoNvEFleGT/u3cY61bCpL+XO21Er5/eReJinZBLXNP8a2 bPrxyeC/6nZJjXOaojfw6q6117BRzWLYMOL+HLjLad3b1BXOsNf53tpGe/UYmQjT0GeCx6BKbyxb EZj4HR43lRkBRU7C7VB99P/+LhycUaEMkEy/Qi5KFsKXRn5ITtMFokQTPEWTOIUfqaM2sDwWUPks hy7S1+kzaTeI0a0qqpeoD0eGL2uL0wfAo0OAn1NnHTwbQDWS6CknCShqb375XjXtDX9+IyIG+Iju T5Joft7Ye+lPO1AhhEks+wokFdI0D9xiEBVAf//7LLYtSTtOZ/TBb89LgakoxUocBq6W9ACHPDTF wOQeuVNxo+jXCL+KzSNk13An08SkbsZOzF36lGnUsjXzQdjRzOmpKodagJB4jS8kplY7dVgaXa8T rtNpz+5wR1ar0bTCTmqcqmKtVVhbT3g1i4XZgE/5y/U+9/TcEbBJyBWpglfGdpRyAZF8AvGrXsn7 50KVvWoTIVFJB7S6+r9bsmji+vp8TiG0PrTXu1YR+p1dxh8fdxMuPa09G6cIjmD5PJWUULuNYMAi h+Q+5+q2prmxMby6bEWWGxdVbHeg8rcAQhKqUwp3ekfqerve/xzv90e+QG3B9RT+P84W78D7hU5U 7tGLVDxxHoFJaQrOfLh/nr2xLqrQ/FfbVZ3/lyGVJbpT7M1/PunEErhscacYB37S/bru6WfXl6bc LOsmHr/GOazKP3Cgggjfeh5HZj+2YDhLWQVSzIBzcm8219nD1ZvdwSxYKyBnVQiC/L7CQXRFXr0h ScCNSqTjgsIXipjfOR32WKbYDGSmpEX04bMn0XT8adyOuxFHYI0awALDRJgFU8zUOp0ZU3mI0RvN qb7q8I0ThuWgNh2uH0pNeecykIiCct43/LCyYFi6vDOeXUTBsWplVNiXeJcRuOpDsz3U8M0iGWFW kPZ/WUkv/49Iu9Yi3C/sFfg+QINTTsZV5CHCUoTvjIZwrBfe0NOmogBBBS5UTy5zmOCE3QbfTtPY 5DLPyuY/RIWTkJaNDdI6RRAXjcb7lnaXctO7Z6gTYCQhTnC2pMC9ek46HMBubxVAftSI1Ce2G3SC dtsFROB2hVmCCZgj5fYhQPPES5/pOnMWLMsGfs3ubnR6KW0XijVLA92uISffSwO2YAmLcqxIIo8U enDAzIDACVPxrbPRuIUYcmW5P7IlDrx2XfCRqYyI4uEevSgHAY3S64+cFubsPIV/N1+aqWPmfKoz Cwbpz2FJ+C6QIqhDD87NvY2my0leOOpjdtQbZaJRFwSrJgGeAevTmx7X6s3DstrZBT/eMxj/NQaz ObgubtkzBUvY+Cm+B9zGsotjqqa9s+Tt2i9OyhOdcu3T9TOcPoqD7rDjEigC7YfBulw/cGMp+rnP cA4GqXVvyYL3yV9xQ3CjgIm2eN/17fIr0saKLmTYfKD6o6qdzsrb2SvmPZT6WmPtWY1kGFkmRf9k zehRe+6NNzGPwNIcvlC+/umlSddr/TkAjjSFLL7HjK2DNS9IxbJLLnzRkXIghz+ZpmGJiyZ+y3M/ 9gHm97SLnyL6ycaxFYRdPcnWQreAilyJR/1+qDr6cisiv+Z/6YPqKhplyCDp+9I8MDE562RI/cV3 jWVKh9lERdZNxt4xXk3+9ONVw1sXbUhabPeEUaPfVZY6rEIE353FIyNclalaQ30VElEFByFYi0k2 mrraaHXN8mwVFqAmfxFTdVALVA1R5x0JhyhDwXYGWrnlhSnXXAzP/S16VxpDIESAUyYdRJ+uz0W1 In9xxwe9tm/NuEg46a6MVOmq+frwnbVX7QLsMpR4SZaLf2vHaa9lyUjdGtY74yM2mp7qLY83iDq3 /r6u4kS8rkagQYDpTXLi9mBbRagOo2QeIJrdPDQCWE8Lbvd3TnshlgFEmXeQuJxasR8kgyZbcpLn 6Asn/mVzm2SWpnh3k162e2RuI/DhkRSPWgvYzECw7QctQa6KfdHkKun92DxfK/egt7HVv/sYYA2r PIQ6GnidCtie7Eq+H4w7yYu3RUmp7cnxe8YJyk07E6y4fXZVu6lVpr10CLfu9MKuS0wDyF/taZE4 XqAqIRaOrC58NaOJjbnV62XkjiG7vjMBVndn1X390JQB0IViR4ujjP519jM7+lie/JBsHT43hzRp 8mA0WoCMfXguQ9lVny3clHSIoTnTkYtu2hl+Bf4ZPLTTe7JnTksjK//t3weQnPu6iG1Anoni7zcp 44TG3VpRKJPVkiS04Zcd7BxKIYqMczdyVrf16kjXqFljuOo1+UWKCUZtjS2R3myc+tA/iKd0rcWC ZA4xJzNaMS0ARtn8+Eu90TX6zaJhe+cyZW7qnmOtUf//te9uSzakLQMM1zh9RVKN81vw3Xgt/ilu r8CvWe1eYYj1QdnpqPFL3n2egnEApIhBCIfG+gz6tHbSpePLzgWPunNgdprCvNtL49G1YKVOMwPs 2nzXC0sLAWlXiqn2IwX/KsUVAR6FZMh15ECFqSANHPpBG6QjFL0evjNaxp8UjTav3zYnQen54BNR 1AxPfKBpRDL4o47iYXaqssQrdjDPE6NucEHrS9TGdSmTnUYTlNCjAQTZ/RbuZGKd36qsc90S7QQN LeFaZcOtn9u6MnRzl5g4os7YkfsUAaNQOJmXKq+Ivxmf/c2tKdHI6Udv9ETH2PscYj+GXnZDws/s aOgLGnRg8RWFQfefGx7iN8Y0/aWOgLdF/m+tM8UwgC5N55V4bLzIwsnx30vrr2Uzy3TiwmbCQek4 JoRctKqlpHeZli623siJgQT7YtsBG0fVJRn++oSO60WTbWUW7QM/CfQ9qMXipoDEA+2Y6anexjD3 dJFhuWaoB42vuWDYRtQ1aukp0yUXb2m0CJSMMHenJegaSsv3YQUI/IVWqtiC/Zp7XAbJbMImRGFy 63h5C+ACznf0QfNCFkZwQRfmbvkJ7GCs7PaprZ5FWwb6SiQw+JXe7LVFVUVfvoVozcQTKcJlYIDA nx0B6t3HAxeATlK+FrmD/QUm/t/C/MgGVe1xsdWlg/V3mrhjNgr6j0++tbHlgSmj5q/BjN4Uz+gP Oxl6xKk9dSbJScV8CRSzvNI7xW3V6Vacb7Bx4GOrycayPPCAZCmh4Ehi3MH9R6OYoAjLaQUMAxJs XM+o0RctZCTWd5PKVhEFEigAXYSv6trek/Mo3oPxcdKtI7sBEqTfD1F5IeYMCppiZW1K9bDij6ae QjnTYNrd6IgWmN2Z6jB7mrndfNeNM8taIzu0MtHZKKhdg+Mc/K992q683c51R1/q+eba08q3RHzR fTfGeBaTXwcBlETDDp6yBoaq7jH/guOUVZGVm1A2KxbfH2QkMsTHI97/q8zRWnhQ/RvR4Y7cd2JN Ni+PJJ9Z+hhsZp8S2CrW0T83wTi14ZTBwHGlPDFK1j49ewViA+7OCfK+/NmmYOnVZMbJnd5LuaYK 5lZ2EoiZmYQrrL1T07u5adLU9/96BTta92vrFDQyd6zL5cJPps5qrvT9xdZ26i1UYKblINIGnK90 ofq394gsMBrUMkQF5wT3ggMOAW2qApJ8MfFkQeiyfgMw+s2VNBWtFj9JdXcVC1dRItnYjuQm2Vl8 YU2kCSmRKIW6ChHDJrrird+0I2yI7bqsbkciK5UUHRc2IxnstDuhp7ZCqKwkvB6+hZvSW40xG3xs D/s3cCThriFjPg4E2hsK3a4aA3eYW3mSTE4Yjqb3Py0f7zk1MvuK7FKq2OwU3ragnSH/HLqclIXS b+Pc318wgGJXTaYALeG0KSaSSs9n2iLeq7Fl4LviiybyL51SIM4XF+9O4nE3gHccf216Ge+TVnTW 7yuqi6XIgNXCWxXZC45tqxxt5XtqGw/P+P8cLIyB8vUg925tfnKujlFnKhxLmna483NQVz9Yz0Jz ztOk7P4A5/4a0+0wlN5CvZohSZ5VyOJM3MaVELWD7XFxncu5/G0KyeTaup2c41m/Cw8h4bDuqXzS F0+wyK92PWOB55nBSVO97s0vhHKnqMg/Xb8Oqdf9g322JvD1siuEPKleMin7G0x0CevWXWQ6P4nZ sbLGDbg4ehmnJNB1QrQO1+nPqzi6jatDtyUg2WtJD3jDeqEJykBUl3DbB/3pSFNKPt3gW8Azo78n oEkCUgNAim4jogDcrxqGmQsnZ4eFBq9ntXyfezPdLR1IxXvyiSiMYFJiJJepfttDm5RtodC/NMFi yEP5QiOx9rPc4bpM936MzyjkTAc04LOdjkE0j+ftyCDl5on7riqO9THGbx2w8hH9dBv8Y/aq9NqA Zp2kIxkNbVqOaah5jjYLoAi4ly3q+TAptxL2P0lFJ7jcYkxiz1KVSINXPg2LavucokhuYFin1z3f WzpvysZAeP6rSPSENWzLmKKSAWCpw23QeR6aOyZv1ktvLT83Ah51E66rttZa/1VZrk5e/+wfFtkd GAXqQrd5IeVC8jOfKPlJxsVSDVowu0uscYRCdFjHWlELmBd/EEyFDFXZv8AiPUKi0g8+wA/nJXJ+ WnFCVqAT66oQaqLnpyKHOr5CSOKoYz1fmVwgvXYsNGYoq3nr/9nKUseLPzThtYCMPb+1OX2hne0o SVgoBJsiKARv32qVZNUcWfDizAMWxSKtHuPSQpASr6gv3EUwVrPvJ7gzsYubY6PDMzOP6/gZ3EKw tIwpIoqiNVUHK8EZ3HmTWiqyOfjrvpTJ6M3lQb+V3wWuMOhlFe+WBQ46ZEDZ1HpqWbQDWnbxuCiM WEIa+Fr6QhJKspDFkXh84DLmehFyHKYxHgcemDkmxCyxhRst2sT8DukvsyMmZCN5YD94wMwk6+st uDrPvQ+l+oWJJB3nbo/ZplGmVZUWGOjWntNCeok5G24e5Rke0NiCUjL+kstsSuKyPXzo/v0iD9qJ 3qRz6i2+6Et4OR+UFOeVVYlsp2IU6NZoL7ZY/43DAFCV2ptNhdt+bUdK4kahI54ZGqZLZKlTdJLc JoJkvKDEGbsb45Ug/A1suYFdhPquzsGmPc4bfs7muy+mrSIYtO6x9ywXYxmGfzxdlqMpoj2qXOZg FwU8v974owLZ58NGGmrJr6NY7FwcgoMwF3iI/yiAI5guWfAvn/Sc4v4fwq/7QXqCf9iY1634qPxR 7i1bwbtdiv1mgtGSfIccvbF6vrdrLn4zyk2TRrtCE4cx46mCS+xRxyVIhS2CXjy5kJmxTMvhOrII z6YJ7Ji3UYxZNF7A/oAPZBD2rGz/lnE1Oby2UpnQlRUvcZzLQFRR/am+k0IFPhZVGkiyhA8i1aJW WMwZps43TV1wMArI+sc0wJ8D1QkR3/16HYmTWr0cpIU26oqo+54q6LuN1b5DN3ShS+GDfyuv9fpe tMI/XvwpuEqlsajvk1YzvIt993IUPGR5aazthefLh8UMdZHDFwcBeI+PUcDO+Ogqimr/BaY52JBT J+kc4UtTr3zSSVFFvcUj1e3GKMEctUKj6+0EIrjVHZUGRvapMEgdw4je7+bI8d9cvuXBZHp+oxp6 gDK2h74ElEAnqtlbzEzf12+nrGuhzV1drX5uKyIFmuKVnU1otY4OP5z4qkn7oI3F7DppXUgDtjmR x/Vco/mcv92l3aJTQc6ghOoyuav7yRUeNi0XK/Sh/cDu0ZwZWuZ/KlmHgHZARMYtTDgNwHNO4cST 0ruXB3K/GY1lO5Vd5G4U+0a4lke+txl/PpBCunyDVGQw7YIUfnRv5PqftJ6v/k75gXDHaI/Q+Y9i 10l+KtYt2ttZk06OLhCH2GN8k5NtEbab5Ibg1a5GGQ2Uw9v/fy2ZOfnFOk/T6jkdguiXp3SvFU0z BOwEoznA0D5ga3RrYhZI6gNGw5+ksqtWS6Oqk4I+AbX7OZ6CLNUMBoa5TUsGjpezzQAFTwIGR5A3 3yI3KBerGyRr5QPGmKCWVLekIfAm78cKz0tiVLifwoGM6tXLxwdTHgq+BEgX5ZF1sxxlftVxDeuy +IFcaZCNUYcFiI9Ul/b4omsw/I9YyL6wFwrWaRpw5iNcPyZg15fQEGtgB3p177RFx4vCuBvX6tTp oo6rGw7viowu5M3c4kYk9O14i60FdW3YrIcw3FtSDcmU+gCW0gtAGlCYLxw7yahnaY2egmPbvqxn iHM+mkyMxtDfUL1V+MLoLdTHdTAXDjeNwgC08pTg7HZRuFA39eHr/ItxGVJpd4FUpA+mIS+Ulajj olJCM0is9G17e8EDnfSY1j5tIHTGl3Gh6djOQb/74B4PJdWxjqo7daEiOrjna97dI11affGB+yAH X6oU7ru1erbm6ELvMbivZGlnsj60stEmSumXnWyVba8h/HLGkZNxDPQKEjaWhcqgmJfNv2SRNfiR ySx8JxxPC2Xl8nAuPLAimufjsb/GcvwmBMSoV6kDovRVd5lvgFEbWd+r1CBKcGP5Y4LShO6ttWx1 HcvCgV0vGOQz7HOpn1Y1Uyt0K3V/qI5gAAbPclkZ0Ek4npAsKVoETeZmqPZDFv+JHtR+EvvjERfg S8zcRaW4ayQHvpkcEHppMye1ur3bV0y4ZH0OvsCCDsQagPT34XGQd1EJrpfL/Uf/YfzYe+u8Xxhf +7Rsg7rV9TbHWmYzpcBUOfL3PoKj79CEz8XeE+G0JpZX6i4r9rZpdfSnkzrn5yqmhZFPqcoNBG4y gemwApklzyXQNlBC/MEqZ7Li7UXl6ec544LZh27jDZDdv2p6SRHqiX3fgWYtwp8WO2QBZS/w5iis 3nwTycnrDfocfTD0e+ZETQWCW9RQH9meDNdzjDuUqh13sZZWk0Lw1RxQrmfVEuZ58rSXgXX5X/U5 T3tQcRjcP7uoYv/Gvj7RDJSNpNhfe0B1W6fFFUovaXl1CmyJJmrfylp7Eun3KjoXfKmxN4WlFtKG MQpszTAP8fZqqAky8oeIxC0uWvcaD2Qi/7Ds59AoUN1aVczXW4PUxFx+4XxdrJ3LiKLFTjWsoZfY kHIxeFNOueLic4MRmkBmG/ex6XV06vh6BYwLX9kXjCXCIawNAgMd84QyZF/FZa2IjDsghpbz20hI ShrXImKNe4D3UIEgWfgESxEWiyGVwJhEKSMIXJGb5RAHEQINonsKCZmr59XjrfVMhUq/7gVO09q1 8j+7Sc8ifQK1JqhEX2MLhSDYWQ0XiAVvGivu1O8NhHDSfYnBLlOpAu9U2xfDWqnAYLPXaFOzDhU1 hgmgEF4UCdxdSkxCwnGusQ14fDK3WHCJNnDKHYFKpfP7qyKk4TvLIf1maY52PVMKH0h1VXhSsbAD xF/jxqtlwb1STWQHOq8wNIPzPqDFEAdawto0VUS5pGPN3C+6mrAjKZ0J+pkgPhNNTncAV1TaU57A t4wxjyfLe45qfrlv+pDFYMMSqjbzUC91JWh1SnPmSAEI2xu/dCWBdmHX0/kaLfckJ9dItzLewHii GVZcBCZ2yY6J6KfUMNn86UEj236JHlADmywM0EhB3wf9C33YgfD7ojmiPU/WxplZyra0sPxYrMnb vhbZsSJG1wJYt1rcLs/RRwmZDfwEi9V9jMCuJSiizPNLB7dQgQauk92BoGFy6RDBHbG1BxWEp9pj NH9C85rh9bDAzAl3AyMMBynSxOjmYL+zClzXMbE7GlhqCL3MoccIYX7+vT+YqUMnafcdW5wQGeSA hV3TmtOIRqQw7QPrduwo/KK+8z87T/B+9tYgHPrw6t/WCiUziMA/X8jjRnZfUURT98YsKRl7rnKd 7wVQmQCNxWvHjGu68RUVTBZiYUkyguWea/C/7VZfeEKWuMzwVMMgHj89TpJKOrO2Ya49BhTKls1X 2V0MeRIRLOdte0NKr45ZG4KvuKgsOymuuuqUJe4ycgbXg3GBMzUPx6gNdFRvzmCknXlaYNOxIWMK SR4KmtwlcUKWepIUr7vIrIUxKOE3mCQQ0LsR2f24/G1wUzBZJ1GQo0zqDLQlsxb2I5h5pwZvl1OI dFO3xRor1P/j4MP4/UFPmlI9ydN49AMTzH7MbPOJowtPqVHZ2qGxRIhoaR86Ew26joF7xwwGiGMR LijGibxhM3pIGhfnsPhmbqmxZcQHBXHJaTMk28fWW2tzA+gAoGZhh38/IOzP6aQaklxTtBvVDJ1n 0/pgRlXlixYO9g0Xmt8k5aNT3DfqHQd1u8Gztw4qBxcrKf3QKexxffiYp+f0D2Om+5DhXw7qaHkX JXpOaFleeiUtw+rugSknPQQtYuyyInaa2gx6WUE32TceBsNkyC6ChAMnWfU= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_uartlite_v2_0/6e58ba99/hdl/src/vhdl/baudrate.vhd
1
8909
------------------------------------------------------------------------------- -- baudrate - entity/architecture pair ------------------------------------------------------------------------------- -- -- ******************************************************************* -- -- ** (c) Copyright [2007] - [2011] Xilinx, Inc. All rights reserved.* -- -- ** * -- -- ** This file contains confidential and proprietary information * -- -- ** of Xilinx, Inc. and is protected under U.S. and * -- -- ** international copyright and other intellectual property * -- -- ** laws. * -- -- ** * -- -- ** DISCLAIMER * -- -- ** This disclaimer is not a license and does not grant any * -- -- ** rights to the materials distributed herewith. Except as * -- -- ** otherwise provided in a valid license issued to you by * -- -- ** Xilinx, and to the maximum extent permitted by applicable * -- -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- -- ** including negligence, or under any other theory of * -- -- ** liability) for any loss or damage of any kind or nature * -- -- ** related to, arising under or in connection with these * -- -- ** materials, including for any direct, or any indirect, * -- -- ** special, incidental, or consequential loss or damage * -- -- ** (including loss of data, profits, goodwill, or any type of * -- -- ** loss or damage suffered as a result of any action brought * -- -- ** by a third party) even if such damage or loss was * -- -- ** reasonably foreseeable or Xilinx had been advised of the * -- -- ** possibility of the same. * -- -- ** * -- -- ** CRITICAL APPLICATIONS * -- -- ** Xilinx products are not designed or intended to be fail- * -- -- ** safe, or for use in any application requiring fail-safe * -- -- ** performance, such as life-support or safety devices or * -- -- ** systems, Class III medical devices, nuclear facilities, * -- -- ** applications related to the deployment of airbags, or any * -- -- ** other applications that could lead to death, personal * -- -- ** injury, or severe property or environmental damage * -- -- ** (individually and collectively, "Critical * -- -- ** Applications"). Customer assumes the sole risk and * -- -- ** liability of any use of Xilinx products in Critical * -- -- ** Applications, subject only to applicable laws and * -- -- ** regulations governing limitations on product liability. * -- -- ** * -- -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: baudrate.vhd -- Version: v2.0 -- Description: Baud rate enable logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_uartlite. -- -- axi_uartlite.vhd -- --axi_lite_ipif.vhd -- --uartlite_core.vhd -- --uartlite_tx.vhd -- --uartlite_rx.vhd -- --baudrate.vhd ------------------------------------------------------------------------------- -- Author: USM -- -- USM 07/22/09 -- ^^^^^^ -- - Initial release of v1.00.a -- ~~~~~~ -- ~~~~~~ -- 20/09/20 SK -- - Updated the version as AXI Lite IPIF version is updated. -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics : ------------------------------------------------------------------------------- -- UART Lite generics -- C_RATIO -- The ratio between clk and the asked baudrate -- multiplied with 16 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Ports : ------------------------------------------------------------------------------- -- System Signals -- Clk -- Clock signal -- Reset -- Reset signal -- Internal UART interface signals -- EN_16x_Baud -- Enable signal which is 16x times baud rate ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Section ------------------------------------------------------------------------------- entity baudrate is generic ( C_RATIO : integer := 48 -- The ratio between clk and the asked -- baudrate multiplied with 16 ); port ( Clk : in std_logic; Reset : in std_logic; EN_16x_Baud : out std_logic ); end entity baudrate; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture RTL of baudrate is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes"; --------------------------------------------------------------------------- -- Signal Declarations --------------------------------------------------------------------------- signal count : natural range 0 to C_RATIO-1; begin -- architecture VHDL_RTL --------------------------------------------------------------------------- -- COUNTER_PROCESS : Down counter for generating EN_16x_Baud signal --------------------------------------------------------------------------- COUNTER_PROCESS : process (Clk) is begin if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then count <= 0; EN_16x_Baud <= '0'; else if (count = 0) then count <= C_RATIO-1; EN_16x_Baud <= '1'; else count <= count - 1; EN_16x_Baud <= '0'; end if; end if; end if; end process COUNTER_PROCESS; end architecture RTL;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/conv_funs_pkg.vhd
15
15316
------------------------------------------------------------------------------- -- $Id: conv_funs_pkg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ---------------------------------------------------------------------------- -- conv_funs_pkg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ---------------------------------------------------------------------------- -- Filename: conv_funs_pkg.vhd -- -- Description: -- Various string conversion functions. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- conv_funs_pkg.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: unknown -- Revision: $Revision: 1.1.4.1 $ -- Date: $1/1/2002$ -- -- History: -- XXX 1/1/2002 Initial Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package conv_funs_pkg is -- hex string to std_logic_vector function hex_string_to_slv (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR; -- octal string to std_logic_vector function oct_string_to_slv (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR; -- binary string to std_logic_vector function bin_string_to_slv (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR; -- string to std_logic_vector function string_to_std_logic_vector (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR; end conv_funs_pkg; -- -------------------------------------------------------------------------------- -- package body conv_funs_pkg is type basetype is (binary, octal, decimal, hex); function max(x, y : INTEGER) return INTEGER is begin if x > y then return x; else return y; end if; end max; function MIN(x, y : INTEGER) return INTEGER is begin if x < y then return x; else return y; end if; end MIN; function hex_string_to_slv (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR is -- if return_length is < than instring'length*4, result will be truncated on the left -- if instring is other than characters 0 to 9 or a,A to f,F or -- x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable temp_string : STRING(1 to instring'LENGTH) := instring; variable vector_size : POSITIVE := max(instring'LENGTH*4, return_length); variable char_ptr : INTEGER range -3 to max(instring'LENGTH*4, return_length) := max(instring'LENGTH*4, return_length); variable return_vector : STD_LOGIC_VECTOR(1 to max(instring'LENGTH*4, return_length)) := (others => '0'); begin for i in temp_string'REVERSE_RANGE loop case temp_string(i) is when '0' => return_vector(char_ptr-3 to char_ptr) := "0000"; when '1' => return_vector(char_ptr-3 to char_ptr) := "0001"; when '2' => return_vector(char_ptr-3 to char_ptr) := "0010"; when '3' => return_vector(char_ptr-3 to char_ptr) := "0011"; when '4' => return_vector(char_ptr-3 to char_ptr) := "0100"; when '5' => return_vector(char_ptr-3 to char_ptr) := "0101"; when '6' => return_vector(char_ptr-3 to char_ptr) := "0110"; when '7' => return_vector(char_ptr-3 to char_ptr) := "0111"; when '8' => return_vector(char_ptr-3 to char_ptr) := "1000"; when '9' => return_vector(char_ptr-3 to char_ptr) := "1001"; when 'a'|'A' => return_vector(char_ptr-3 to char_ptr) := "1010"; when 'b'|'B' => return_vector(char_ptr-3 to char_ptr) := "1011"; when 'c'|'C' => return_vector(char_ptr-3 to char_ptr) := "1100"; when 'd'|'D' => return_vector(char_ptr-3 to char_ptr) := "1101"; when 'e'|'E' => return_vector(char_ptr-3 to char_ptr) := "1110"; when 'f'|'F' => return_vector(char_ptr-3 to char_ptr) := "1111"; -- xst doesn't handle these -- when 'U' => return_vector(char_ptr-3 to char_ptr) := "UUUU"; -- when 'X' => return_vector(char_ptr-3 to char_ptr) := "XXXX"; -- when 'Z' => return_vector(char_ptr-3 to char_ptr) := "ZZZZ"; -- when 'W' => return_vector(char_ptr-3 to char_ptr) := "WWWW"; -- when 'H' => return_vector(char_ptr-3 to char_ptr) := "HHHH"; -- when 'L' => return_vector(char_ptr-3 to char_ptr) := "LLLL"; -- when '-' => return_vector(char_ptr-3 to char_ptr) := "----"; -- but synplicity does when '_' => char_ptr := char_ptr + 4; when others => assert FALSE report lf & "hex_string_to_slv conversion found illegal input character: " & temp_string(i) & lf & "converting character to '----'" severity WARNING; return_vector(char_ptr-3 to char_ptr) := "----"; end case; char_ptr := char_ptr - 4; end loop; return return_vector(vector_size-return_length+1 to vector_size); end hex_string_to_slv; function oct_string_to_slv (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR is -- if return_length is < than instring'length*3, result will be truncated on the left -- if instring is other than characters 0 to 7 or or x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable temp_string : STRING(1 to instring'LENGTH) := instring; variable vector_size : POSITIVE := max(instring'LENGTH*3, return_length); variable char_ptr : INTEGER range -2 to max(instring'LENGTH*3, return_length) := max(instring'LENGTH*3, return_length); variable return_vector : STD_LOGIC_VECTOR(1 to max(instring'LENGTH*3, return_length)) := (others => '0'); begin for i in temp_string'REVERSE_RANGE loop case temp_string(i) is when '0' => return_vector(char_ptr-2 to char_ptr) := "000"; when '1' => return_vector(char_ptr-2 to char_ptr) := "001"; when '2' => return_vector(char_ptr-2 to char_ptr) := "010"; when '3' => return_vector(char_ptr-2 to char_ptr) := "011"; when '4' => return_vector(char_ptr-2 to char_ptr) := "100"; when '5' => return_vector(char_ptr-2 to char_ptr) := "101"; when '6' => return_vector(char_ptr-2 to char_ptr) := "110"; when '7' => return_vector(char_ptr-2 to char_ptr) := "111"; -- xst doesn't handle these -- when 'U' => return_vector(char_ptr-2 to char_ptr) := "UUU"; -- when 'X' => return_vector(char_ptr-2 to char_ptr) := "XXX"; -- when 'Z' => return_vector(char_ptr-2 to char_ptr) := "ZZZ"; -- when 'W' => return_vector(char_ptr-2 to char_ptr) := "WWW"; -- when 'H' => return_vector(char_ptr-2 to char_ptr) := "HHH"; -- when 'L' => return_vector(char_ptr-2 to char_ptr) := "LLL"; -- when '-' => return_vector(char_ptr-2 to char_ptr) := "---"; -- but synplicity does when '_' => char_ptr := char_ptr + 3; when others => assert FALSE report lf & "oct_string_to_slv conversion found illegal input character: " & temp_string(i) & lf & "converting character to '---'" severity WARNING; return_vector(char_ptr-2 to char_ptr) := "---"; end case; char_ptr := char_ptr - 3; end loop; return return_vector(vector_size-return_length+1 to vector_size); end oct_string_to_slv; function bin_string_to_slv (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR is -- if return_length is < than instring'length, result will be truncated on the left -- if instring is other than characters 0 to 1 or x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable temp_string : STRING(1 to instring'LENGTH) := instring; variable vector_size : POSITIVE := max(instring'LENGTH, return_length); variable char_ptr : INTEGER range 0 to max(instring'LENGTH, return_length)+1 := max(instring'LENGTH, return_length); variable return_vector : STD_LOGIC_VECTOR(1 to max(instring'LENGTH, return_length)) := (others => '0'); begin for i in temp_string'REVERSE_RANGE loop case temp_string(i) is when '0' => return_vector(char_ptr) := '0'; when '1' => return_vector(char_ptr) := '1'; -- xst doesn't handle these -- when 'U' => return_vector(char_ptr) := 'U'; -- when 'X' => return_vector(char_ptr) := 'X'; -- when 'Z' => return_vector(char_ptr) := 'Z'; -- when 'W' => return_vector(char_ptr) := 'W'; -- when 'H' => return_vector(char_ptr) := 'H'; -- when 'L' => return_vector(char_ptr) := 'L'; -- when '-' => return_vector(char_ptr) := '-'; -- but synplicity does when '_' => char_ptr := char_ptr + 1; when others => assert FALSE report lf & "bin_string_to_slv conversion found illegal input character: " & temp_string(i) & lf & "converting character to '-'" severity WARNING; return_vector(char_ptr) := '-'; end case; char_ptr := char_ptr - 1; end loop; return return_vector(vector_size-return_length+1 to vector_size); end bin_string_to_slv; function string_to_std_logic_vector (instring : STRING; return_length : POSITIVE range 1 to 64 := 32) return STD_LOGIC_VECTOR is variable instring_length : POSITIVE := instring'LENGTH; variable temp_string : STRING(1 to instring'LENGTH-2); begin -- function string_to_std_logic_vector if instring(1) = '0' and (instring(2) = 'x' or instring(2) = 'X') then temp_string := instring(3 to instring_length); return hex_string_to_slv(temp_string, return_length); elsif instring(1) = '0' and (instring(2) = 'o' or instring(2) = 'O') then temp_string := instring(3 to instring_length); return oct_string_to_slv(temp_string, return_length); elsif instring(1) = '0' and (instring(2) = 'b' or instring(2) = 'B') then temp_string := instring(3 to instring_length); return bin_string_to_slv(temp_string, return_length); else return bin_string_to_slv(instring, return_length); end if; end function string_to_std_logic_vector; end conv_funs_pkg;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/microblaze_types_pkg.vhd
1
13071
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block F38K0TiQb7M3B98eBpn5bolStEqZ3mfpoQo/VKW+34Wg/9DYgc1Y9OXw617TVDXhRwUlu8EJxNbh MJ5czPA62A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ax+w44Vii6thdsoLUGs9RVPy1GHUfCsZ72DAMssSf49xnRS2MkjE+NIMUt4Jw/UeKRL6GqS2u9cY R/srsxiKSrNipqX+TEjcNX77+SHx4twc/zOz2HHx2OcOyr2ybX/RlMFoC/5pyJuPJX7zMCGmsOfB t54AStlt1Sq6Rj6huj8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TtkF/k73zzfLPVgrYbYRFqBzydKXJyE9H8FUPYiKGp1nIqjq/7o72tqDmLAXmMLixGFduRCldKRp sSi2n5YbeuZqXQVPvkZ7Ejl2mVL7jvc/EUlEVUP1ut1usV8SvEYHzoCtYIu6DULYyPd+FVrIhM4C WJujOrP9bIV3xsg/rJ/Xgokt5cBLJ0kMNKL5GngSXLt09Ibu3+92zDtaUc/wZVz4LZzKFlA7ZBdk KR3HJWw9ZODW8MmPQWaCjYsiq+GhvhWvFzPR4qFJPyurbKtXDAl2ha3yEq7ne6ajM1Mk2mkIezdK c71zzTg80kHyeEKzZO9NA66NprXMM2Ueu4doYg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yRwrnBZMY62RFWLB7Ani1nh0E8qLHmopm4Pe3pH//hATPz2ZGWx4P3Qe+4SU7esIePBfyNjxh4Rk ayY0wSI3K2FyO5nLw3VhBdw6Y63xRwV9F0+Az3RWN9pM1AUH5lnCKx64vZGM4vJktfej5F8tT2Ik JfA8JBf7LPVJUzJq+fw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block adkidPwGI7UeQLbMkxpiALN0Kuw9/9hLO6pyb/hwyjVvPVgbBeTDx1sLTLPaD8k2NIlZRGCrXaEM 7HkNNUpTp4GZWMms1G4bowvpx6QrIZsu7mZ4dqLpWNcRpC0XFuPw7prt9h1DsAc+cNlMlCb03Rkw 3HI31v9YoL28V2F8kr4T0UZz2kFlkVOpVHNlxLOZKcKt7VBFpNhCSNAWy+zcQH7+mCQo4FypJ1ju ynv2A61aORBtSSgG4btlMoNH7mCW65Ldpz0q7+lZmErmnhz7y8bIPFHJz2U/xIoY3/7X3cz/6AtZ IvXi1Hzvrwq6/LMxdVSYz3ilVxD5DKuVPiddFw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7936) `protect data_block o7qLriJD+bAkd0CCQq/6BYrXAvHkXyY5X+VB+hf780/mqbAAWl00gEf8dT1CSxF3uEa7cDfjpu7C 93d0NTLUBUuo0H2c/RFlNfnis7hPgIN26GVl8JO8gFQvIpb/wbbh/wTzDyxEN0SCqNjMwK/wLyYB X32mfxBp1v95go6o3hLYBIqaHbX6HyCLmsHiEguils5Fub8T8DDKy9e3X2VEI0eVvzkDdxvdAF5B vsmEjyCp3JajOdiLYdyoyMiSqe1ltvmlXqdSFA99VH9Q0of8eVPs7CneFtgk7SzpQ2MmoJXfOtgE cuc3JfcsZp/Vy5D2XICWCDLuSoJ4Ahqua1PU1/7ZqpB1rWXKW2LXvNXG+UJJxCJTeYxMOfqG/+2r Kn6v2tUCorRKI1A4jqvSDnZROzEmeOp6DEoqRugtTzcMXakqscE5a4wcXHkwU2hyOGx1BWaKvtEU 5su1sJ4TQ2EnhdFryJdYyGPyCe/R/DK1VIj8SHRdWuAVQR/XKcP7nZ1NyvAOvKExnsO1QWSLuAsa /550k0gbw/lup6BxvSz/ePjeYpdAtTs5tTsQK13UrQM3sq+BsOdoQ9emmWABv1B+WUtCrTtMXSMD 6jADNG46aymsSTq3YJ22viPPfotwTmOD0qSEjb7XoDlzn7YTYGcZryGkyPQ24E2/RFlKwulvoux+ SQVTs0nxXqt7pndFRtnELXIMlH9vWOYKlxhHmmFiJ5t87bQhVModE1y3ubvQa1+5IuMT32egr5ph bcRvz1z82r7lmX6g3n1XyLyMDycIBXj+mwTdCEA53vJLXfy8pjtAXLTPBARgnZmh4/zlRIvume+e JdvFRne73mfXPjcMn5yRxnKhk4UKp4u7jDe8AsxuPJN9yJVogVhHcW/iKOdg1pr3nKe7iyUusZYV aSXT8J/dTqlshAQDGaXk2ZNeu7EMtipegsK0G4e7SnAH6g8eZkRnIqDYv/uVAtk6Awxec4J6gk+0 862W18cimJiREi8QRfJ8zWTuyzVWKwToXUlceiDy9LtLVCMXe1Dr1WImRXKS+d9bfHJm61oxAjtu JaCQJEDRdd4cM+rAHGTfMFZCbVmPOR1j6eynw8js1eLMBsFIcTwyFCW5U4dGqm2B+zPG10FIrQ26 RJy33V7FaJrSFQEvX5eRbJdqunB4WZSJlalh9gO2UGLTg6pGZDcapRcXG5HUf2xE2LICdLJsZYID 02vJT837ch9TDJUCNAMwXD3zU075hCZMjaCSiHYt2thHsElJL3kzytMIWuLqPSZJKHdVKj1U/zLP A5kXTDSQP2yxkPm5nd5In1B1h8i0eXXPFXJtMdo0jjm9ZdH2qlZcpbzn0FH+BhYvuqRzXUQnopRH MFZuUl5Y2KDc+pdhyJEMQAuYbdiY58adoS4Vu0gmDHINUOQ2/tRNaUfJUlaQcVRoU8xMUFO8H69j 8/SBOt1W5sYgPX5qqJh7kwfnK4sqIM7063BVdeCKQNCb7OaodSrziBn80CZpG7AlMgY/AGX87sZ2 8ysMfwFc0e0KjC6gzhx46PNwzruNkHtRldzpTIqxijKqoK9OX2c28r/9dOq7zuTDueWbRwDCOj4C 5M+wao60HY5n5STRS4cS42ht8m2iJBNAUvkKRF3DVfCYQuZ3asbNU/mnuZ83YAnJCGF8QYuXYy8o kWVbfCwhu/1wXZhXnr8jtGnZbTxjXi04dQOLGaru69E6Y98SbMh4s4jSgsM4o7A5Y6oxffjr+eE5 q8PSlObSOrhxWcsemqUGic20TCY+r9BE3G4hj7FebkBoCkSq/dSPupL5nF4YDF/6vTMFTGw0ASB6 3Hy7F8QWloEtKJu6VDg9Ueq51jWx1qGlO8/J391OJtaVV1XxvViPw5UrHwv2HVl86VtK9yA/MDYR in5aDwuSS7o1Io5STL/X6qXYJsY3qdwkYFWWGKzQKHTwE/4C3g7mQ52s2BTX3xdogyKVS9M11OLf qaz8NcRXSQzug/crM+8xwXu0nqPdu3cKDBtEWLLSCOKazUsC0ynPlFNvWlag6BLooSPwuROiFLqa xcbLXJelXK5jq5yupJiOB2AepaoXDzz6NsG0Gn/jcFAicnP+NrKB62AyCt+7TfeQkx63ZCNm+d6S 3EChk4LCyMJfYMYU8PDqo81nEgv6AGbX6nC+Bq2Pl9gRJlI5YNYqddDqIv7gRyVYlDZRbikj/+e2 pRqgLLXs+Nw9ypockXVA0xmmiTPQM6qAUfEdoahEMssKuchaHqxNdcm3p2jPaKgq7NfNbu5pAOYl leQjktFoFOjdnXZmQGHAWKPOZrguCPtz5wP2JO8erONpDaKWrIjTIIWa3D8MbaL6CtVQmBqMDXsl Rf6AtXjGfFxV7MYf2FGJUg/fN6EPNFCa3g2f52NxX5rApkyBAhUZA5D+ypJKQ467cPQHh4d0ErHF KAoywYI/468FiUacZmkE5aPJe2w7UswPSV4EGP8LTzqnGREGfG5aSinz0VYI1GV1WnDY336QSMoA y45sQQS8L6OKg0V+GRmnlP+Lt2Rgvqu3gj77v2BKIwPvb5HMDcerN9BZyjoGI8BgbroKfukpw0xY 3QfWoQRkuIvcja1VO4jr1WV5F979evr2gxD3PWcAI7k3R5MOzqttyc6mT6iiFR+mFeUbgBzLXE5M Fkj2j9Zh3SEIW+qu3jp8iOgbxxi9MAvlgLWAnkhmwzRUyeTkIxZG0owhweeUvqyYCZAlmMH7SmQL mRuGg7YauoFfcGN2LKic7VYM3Fa+3pvdavISv5mg+Udlh4gE4PzmJO4SHZCbzQMgAImzGti49ftG 8MBr69q4Dw1LtLoiVN1NYav4jrcBvLG4sfLW+DDPOZquqYnZmATaHlBNKI+n+0Ys+EIdhZPjJ/yn fgpejaaGsrvULf1zcWXI4tkCiHvBf6+CosBp59VVAgaqoanztfdN7Est8VlwZ4fY3bw9MhC09VE9 MFg4oXcp+pw7NsApVmPSkd64vkoY2xFGQqqJqxlwAH3hy2zsGvh7gLdg7pksxY1MJ7oHpJY0VueF 1DY1F/mrMJWOvsa9YsO8DEoAdRJkZoF2Ehzremek8E4LzPLzE0CXOMzP5Dg8B6r8kXhNWF5cRGxb VLTZwIecJhoOeN5TnnMcTEDXFP1vNsYzQLeVBZmBor/Y3EkPRekVQYiX1Yq42nmL6z1Xc3uXBhPl kXhMLnA7O28VFSxzI/o6y1q8AMOz7zgFwPeU7Mp4fj0WXy1NNZLPyAfDS0GYy2AlO0HkZkM0c52/ ZUW0sSZt1xtRoh16o9WN/Ag5XEH1JdlFSKnVwe3leWdGG/rox9/FrfseoTKijmXGhWaliTWp8WBU uucFWXXtkoihJFhPNRpTFVT06kqT/4/ckO+T590MVg9K7dEyjqmhLXoASd00H+vqcXoOfxSGzJ2k yXtIKao6kyENl4pT9xMac7vou8WsXoeCTgqPdNdBiqgt4BLUTaf/22fYy7PUljUBaNi9yjuKGmXB qWg45eiGlc1AqGBELnFhxWfaQP30uEQLBIhsf54k0dy34/A/D7GAWqzsIldx/XnjpZO8JDt0+1Aq 28iCQV0lm8aYr584Xk/Wdu3ooDL/4XTahn9WCfq0QIoySqVMt8bogUlt1HKrdS7dVZwHJRSFX2s5 m3NA8ucVSBMAONoPKWoHKiXD0yFPcgNbxoec0OhGvNR5IzGzvopq5upiaU1nN2OjSIr1BXeBPwSz wwcaJ1s30B4EslPt2wjQ8h23A8ROgFPkoh88K1YapSVsC5FBZ6bRLCOTXHF/IjGBL+AcjnJv6J8R 8AdWkXQ3bOrcMakAtOlXRiUbBOVFxiFogMi7PjRGTgSF2N2THvHR6ccqTedumnkYFKPbWnc473oM kg2I16PZKfVrVzEhSl4OQ/vOht1iqY71MHWjq6Svvjtjo0DbDz/j+fKBkXLer2dblHF2IBoiXWzx QFV824ipcFY8w2rykw29ZybuW/rJyfAot3dE6wyVWUBu6itIMLZ+WrC3RswRAHXvEsZxZV26k+W1 yW3fx6jZNi9bpUtr+TfscuXJ4VOdRPlnuUdiVsr5OP445uWY3yQNW6eD7WumangzFWBGjrUaN1YF X/EMjiPn+yluJS+zd3ocwWsVSGkpCEeRbzQsZMNzGETrdcIBdEoQ1AhaAvi7O+Nydg9cuh0BwztW +cV5nvJ/Ut8LF5OmIqnJpA2t8iJd6z48nK26oV0KBR1trwaLzK/zat6oy8iIb21SfC0/IjMYszYS c18BmrObX1nAwRv8hudT8WPbKrazo+R2o3VwNxvnYR24ILEz1QO+4d9cR5pUEgV6VOAsRarot8ap 5uu6O1YayLA7O6jbsEsXUaWG5w+RZ4jeY4JYJ84HKo4MorDJDE9YsX55sTXEBLPmBSRDVLim4S7L KZ7ltPTlwixWnmZjYTlSKf0HpDvN3pAhDMc7sM+ULNPykHsVeWetdwgQve0PJnh8ZnYn8/95Ns6a mSaGsm3cZkn8yUU0qmrJf2cmZVNnuOsNMrnhHd3scNARKvfQAXUriS6Ttewz6dcXLZuB2BhSr+nC LRmoSVTqGRApbnl5QxwkWqGKF1+MwWCwRZFNKanU0kJY9fgo1Lg9Rhf1Le5CdFYj3SdLl7kdNEt7 BXazfHS7aNjMC+Fx3meK19/Q4SDn/IZDn5/ZBWkOTJhr3c1qnpq3TnkWPUmbTrAKy4OAtnByVI6o NL4+u/olC/j0K2eaxCCefMP9pgTUecQf7sMzvOPRjw6PyUlouUmnv0qcHEJ5uk4p9po2ES8DA7nu Mc8WWXfg+YUNZtwIiLbb0gOiD4D7GgGfQyHi0p/YXdJ4trUClbIGBCDtN3oO8FmkWbmAhK0hVLdR Aw4PeVeqrFTdcUAO+w+aH2uSH76O/5y+nsXFn/rJ7cybxc7h/JcD50QI3szCo5L6w2fZ4oXckhrA egSyT/+oNwwHTcXUgwz/z1YwzhaKLZyNVW6mm+62NdPsaIUBPBbv0BD3aYvpaINC22Fj5ggpfcH6 wEjWTIhhLm9eGYxz+27joNYwWq/jtVw4ajkFXq4i7lQvBfMUllNfL4d7J31olT5lY9WlG98mIz6U qLjMFRGyC+d1nDl4jKq3ipaYzXnmhREqBcGbzQQKFeEgXvCpoxY12vJt4ho1mTxAx61C0i3JaJuX cHXOz4s0MFmAoAMKvm+J/0MkFsQ/ITU7IVS3C6CyXTePiZU1YRNOyWBZQTO3sixuT6LpBYra3flq Vup9X0+t5kxD5C8614Yv5xRsDuW8IA5vhyAkrbERmcHEqVEozz+XjWZrAUanTQn+zte/0ppSK0fo asqZ9YbIopFkNvUDeHuR/7pwP+uHuMTXzqU60OHHIh9OhQem53wihpOwhbNAfLO/3DznOpkHjJXG Q9125FzMG0wWJIQPQn/wY20nyEsPCon9ZSAJUZWcv4b287pKdtXiMZrgeJnozucSmRggGvvgh9wS 1NmCMzJL5qEX2Fy6kknuup5opGRMAMz5fG/7pzFE0/iQmQJptx2XqVdXGIVj7kSWeVgPQDb0udA+ krvaW+XRXhO3/HlpFF2wzwPu2QD0/deX0bD7Wif0RkKuD+KpgAKWkjykXA1GPzMU60BY5l1bdShE AI+tExqTUSVAfiFn51p5PB0S3gYLmIFpttB7hNvFw1HUFhT89hSniHluISW5PRCiSX7ZX0YCg5k/ ed//b3fHowZuGrN1tyDG29iyXU5XCZR1/EfCky93C6+s94yW9qzYg9S5yXRCCd2pvvkL+KFuzW0x EmEyfNr5N6x+gtxBa2IXRPO50p4f15y0/MY0wK+GALX9/qKxQ30x7o/FX2gBP4OjEtOEU3PTgGls H3u0pvyGqYLyaouan9lbITHV8SVDXpfmzFV5hfTgB9EiPAC7Y6QJQf8p2MknD9g8UsioK1ognqUE o7ZR+aoOSDBIt2152giOmgZWeLag5UBj4oYhSk7hJJPJN+nl2hOvAlgwXIXjsPDTZQuyz5B8BHvV PUZAxRB+ZuPs/YwCXZwOXU5yj5AbIFmba5YsbdLh10UpVW1j4IWUCLmdADPjQEwtevFqsptqySeN mw2nyqn1PRfXn+M66NT05deVlMBN0kNoV2NNNzSn6MLZhq0pxD4RxJX2fiZcOZ6wKFq1SwunysJ4 0duw7p3WxRZYP74fNuvQoQYxNzD4uPVNXNy9xyh3A0Ujkue72DKS1Zi0IQ8iJOV+R+wHLvcqHsKf WXyiCGwv9sYuce5X859BIvCBHWlE+vDtwlD4uUaHnH1Z5Of/WSpPRxS7Uk7p7DjRWdJFGcZj6+03 pSSicfOCeUq47wenIH5PcRbaQZG+sOn8PDaLncKlH5PvS8nM4tkeTx26McR+9yvcwGP5Qn6qRjRj xX3eeDw1lMjqva/JrxAnN5PHrOn5VzmtMTFUCpplWXNS3HVrENBvX99sDUuRWXF8liNIJQLaliDS wEbyK7BEGtMCsOiAH/x14fEBIubqIeCzPWY0ki6+Jo1YRIlsGj9FP6i+Z93NHxlL6ONm1NJEsRHs HCACN0v2TtUo35rQwwa/6B8eODbBzziymr41H1qFSVkXs0uxEJsphDLk9Flrdl6dM7a6sWwyRNBm JbnQmQXN5qtoG/pYrbcJ5d0n0L0GlXJ1CEDyeQz+4sXUpnQPy46NLa0yCADC8wx9ASKC3q8Sl2Aq JuO3Ja/VRC75LVvcVB+B3l/HUMscJC+KtzrhABp5mLa69dcDpo+KErw6wXAqp0sutTufsvQkPCq8 DsNqyhdnQRvv6oVkDdWSAw/sFC3LCu/MN37qwRY3s7Yn0fYkZksn0OtrstIK1DK+2ZPilpw227pl 65vku4YtVyZF8zJqphXIREkqOrq1J9qVHY9o6brbPkbDbvnkLwLTGzAd9Rs5a17DhEVWEWkqMvun hHMNEp28Cs5UO/ii7SlpFICmvQi2+Jn0M2C713eJeHGMZjaiADQ2ix68wv3GTIexikomb39fz7MY SCQvbQQNhy6nXuczSD2ypMvSvsu5NtMlBACM+/0O6qQV6tMnr/7P1p0A2r0uyHhDYDnd5VR63Tun uDYQaNIbHE+y1MjVvGVySRUdc6B8DYpJX7STUJssgGWHQA34viezwiVuiHfTxsF8Imn0elstBAfb gCBnBz4df+fgo/DikX00ZEEqvo+0h1GMXQ7kchogq/thBFm9onvw29dkjU6Wy9j3zJVkdhbN1GT0 L8TZvUnSAnkxP6nerTxFoo8UrfXafLx/CZ9rj7rxf/cQdPpzAbhsU/jKMS92s1iD64KUL3Obee4h 4ZkLWQOBYzcO5hct1rr40D0CgJ6/pRHf989sFRj1YrpwtsE9h1Qh8UG+DvP46tOk0r8pEjiy6KK7 A/FBWMORGTL8sMhsKb4BFzTf6YpGWFWiTKQD74iF9HF5PbWe1aEuln13l1fp48OMxAavdiNqHy0U /xiws2AwH+72r9t97qpl4f3+Yicnh6nDDj575vh9d+GbmdCPZePTY1eYnoKWhXYnKilZOnNY+ZC2 tbXmW0+89AtRXxCPEUKkV7OAfR9697Sa4rX9+99bBWn1zZslptOAOg7YIDliA7LytNvpC2ks6T0S xMr/QZaBOj+0SlE4q5OqVV1O7jgRFy7fOrsczxZ7/wSa4Gu0PrI+dz0qkdpX3TfIKFhHO+49ikmN SmdjX2nLUpDctJ7bDDlBVYkKFlcV4ooI8WQbAsc6CzEaQM2Iyf2CO0ZxqAC16KKt7hbDJwFqOgNE C2f3qJDMn90zCA10zE2H2WF9PTya15/nALn2GBrksS2VwoAydz9xoDpYx88AdnGaoe8ZRP+a/KJA GslLgNSFePZ+jUvzsmDM4RarGpb1ZnhDNP9OtuyaAQhTgz34iYwArwkaXJ9meYUzv/kvHu1qjecJ GMkYPP7IM7RHFLDQZ+FNZKWd5B4HRXCMsfXeOIwlAxHEg+u/x232E23f2k4Egt00WVN/H13+bQMd WNKbRgdtTTcXGMUNtKXTj2/279nJFqokOp+530nt0kZPTvwjGucYQ4h+8VcbByewwICy6QC2yBCA HKZq1uAIYo4pjMSU/oIyaynjrL3/tppP7/9Vn7ZnAUExniW+u+SMtV1nsWT3iWhU4EpibYnL8UUI A/AvA8AuD64uozTjZOiDRxHw7B8OJl3gZ2cB044y1S2D7g3TjW1hlF0l9mI8i0krYo/eQo1JFxTk 4xTioS185iGSnoCorBB6KCo2cAlC6jTS+Y/p5pgw6LE4yy/30cp5u8faFUDnwyMAvnKDOvwVR4CA sc2mOlCCo/NYZG0J/l+3nW7ohdcEkUBEyGVwWYfqUB1vsY6VXdNLtBHztzA+Utnmok54RWXNOBQo QpGvreUfUsPn++FKKN9Z8WVlsE+dHABt83aHG/rQsiOr+e1Du/RUp4UMQ8Qi1jjAbLZlcRvJEy/8 ObqyU5tKu+xTLIi2ztfNE6he3ig0qv93NeIG65KpSOOxreDNSnWyzDTdSpq39eg/JYEg2051GgUa MN3vKlXWk99ryOgI2etNDNJMy/65JLB05Vv8qJSkyO1yrogEGV0Q70KgTlsQuRmdt/AR48c2VR2d J43vnjxG+ORqpwy8Et2Gi+InXOr8Y6CAa1vGOX0OVyVsqjwOyTg4DBnOkYDipwGoMepQH5t8Lkqp Upr5yck15tczX842UO8qcZFR3X0DGpQvMFsFofCV8MLBM3w3z/iLu70N+jI1z8s45QSsJRAdtXIo JhIWYcK3xbZ86/ZeP9MgQt2MehbfOUQepd899Z2EA3bhnMgUBFmTwTM7gHC40K2zq9Vik1bhnhZX Bi7JleJMGT3PhNYyJdFjAno+9ZF44DGQEw5ovSq2HQ3hXMHcjOFvedDpcE6lzez7n09VstTqWy+u UNm3dHh1T+cTsxXhDRf7Pcqdt1qjJj5LByqZdYh5uyYSfKSnooPGmiqBWKq+EAWwfc2qYRksOygt TZqxKAgWhBxrpHPMxjP1FookGyTsuWIcYdynQdIT+kToLdmX4OujQXuQcQ7YHpEnei6+je8rvDWq 6AyanS3GpJlAQoK6qHbRvVuJVYxnyYwjsDPLPGawVwUrRvIJbEoJHVQxaWZdMTf9dS4HYA2RV56P EcnDsHK84RYajaijd9pSNpcaYyOjvf2lRs538akbTDMvGvG/rXYQurDQBm5HJTBdrbdWBT/hdedf q2P3jyRwX+IeDG0hfRPepnzrr1vIai1/SSBJy9P30x2FJrudJVNCaqyhYQ6ybjWg/CpExfbJgt4n yWWFY4ttVaPenzeLlCK+yfVQyIJfQh9tx8TMldAT3g53j04xqq/kLahodMrArIYaLwjINCHCjo5i ND0KJIvkU6uMk/MF7mAVaIzKlxLunrbaDwqIqATrXzgKVDLiggIyraxcUvIGuphBcGbNkQ0dGWPZ +Kro/lGcx51RZymWqriFnPamK52D+N1IOiePSeUEYKg8ysl+BNIQr4u6YzqXmmGQa2cU03hN2Drt huHmwRESCrHYR6GYVroLwlGvOgxilZWPqS5mfwgg///c0v67jsJ/iniwYH9In+svCPBh4stccPw8 QraDri9ONFyQXK+cPeZtMGA+JSjt/KPmM2+Kk3JKsZQDwQ4TfVPMyfJ/gJPDUKzXZRbH8ZIk6CjD isQGN9kNnBpcyYomuZBWFDInvxIijVQxdjziZ4U2OqPQrxlaebDcGAC3nSdK+mNT3nzjmKx4sQMP Sv/ExiJZFuwqXPBTcG1pZQaQKmlLT6Mc6kMfD3dR4Si/RhBRw9Gqikk1RQMlsTl/OKSishhH1pLX pXzby8xsGBUgEHpglBYxnm2x2ne+XlIX+SpRXiBUfREDzmQv+qnx/PlDsXWayIxEzZQba2LkNiJy DUgvTZl6Q1Vy7VIfvN9dyLsWuBWd6G4l+XFzefgR2OUcY8+TmXURvl5B5Od/3/FIVHT1qOz0XKjV bteUqy99RfCD0n6Lm8rYUgfS/98sfnkzdNO5+K11WVWZpS8KaQa8HOtlp9PFwqdxRZlUR+TkThvn +NgK3megt7/58SzNpjuaBJ5xYc25ihQYrkrIO9F1hHf2jRyC/iGlCa6eRMTN7GihELEL5quGPt0Q orkr5MYHIzSwkOJ6R1RJnS23w0Mw0PuSmRhJGsmbapEtIalt2Qe1+i2lcBYBRxinCfIsrAwTsNaD sLn8oaAczI0pC7k3Qja0yAHN3mQkHbGyiM8DVtlgjER4u3WxsoMT16YMX4wMBs7/3QRDVKenwA/b RioSh5cxtGkC8OynvWQB9kopVLL4v92p3ebqHZjg97zg3g++QeBkc1o4y221ZQBbdJaEyFvQblWi SC/UG8U6GrkxmAN+ADRr9PH7FMIIK0Y9LyKzuYL2YU/UmQRSHATyf5CQmoaJGSKXYsIKcWeLB/Aw Z+LCO4WxXne2wAgkn1nJrIQtKx3Zm+FUVUPZWpZMPsktlEQlRtYxR/gqOHThISuC3KJ4AfugqOum w2088b0+1GkV/A/CPZ78uT1iKgHAyHaxe3wRDCsZvSOIM3rTwSOEy1gmr1gxQUS54wHf+u/0+UwG 1ekbZd4f8N05RekMcg== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/microblaze_core.vhd
1
619735
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Vz6MxMigho1fdZHc2aGld8fuRvoOfLhjYT77Xg/PPnCHCz5/gKUlug/QBzwN1tHugzx6Wd6TCM4g 9oOr8uDOBg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kh3DmbBAU1DvXK+ZzoFUAAHjKiXpGvrkPs2KX2qp3XtC5HvQr8s2Ny8FtHbdYa9ZFYovUMU6CCp+ wiAN1qP4wNQyl1iIaDP0t+oYWBryMu7PyaLReBmXC15M+TF8x2w13HES18EKuk7Kb1N2WqdNFsxr K3NyULihnfUjC74G1Ic= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cX/PRoz8FXr/TYsLZE7ik33AqXSDuSFsdWkocRb5zU8XlSc7psfbyyMbvo6vUKHooMw6RuL6Xava zWgPM7bMUJnQIQWCVlBbUAlxqtu466yK93fIGr9SQtS/jyrW6AwuwnmHYsd+wI6yg406TdxD2B0x NYWVuLrOKb9GRgr+qr2FHhDLmSv8Ek90IM7D8/7DO4Owymp8yPw/eYWzW9gTYSnQNNEogWuNdUa5 BqIXTTvG/tvrr0BMpI7T9Dz1rdWfg5TX6YAgd7jEnzEr18kJVXTLBJOLcn0lNyyn7QuBeiOR6UUz hXs2ciCPTRr+bnGMR9G+dL54abTLRhX6V3GHJQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ACXAf0XcEht2V75Q7tbvrf4+3tb3hBzLcilOKwTQkG/JktvV+wbdxIWfPLqgCYO/cUEUIl9MnB39 6vppaWBVrDJozbZDE6eR5mbj7Zf7O/tyPlcM/rj1mHt+NmhyVxT5qv0yQNWyJI3kxlTsbsvDDC/r NR58SO26TOafk61SaA0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Rf6RbIEYdmiN53FBIZRfVgJ2vg5SgDDmHSY3my2o5aU1GqfTPNqLHgTK0vam657IM49q34HbSQWx QlQ1JFO8uooINNRWl2Pkz5ZZxS4GSe+5m0IRf4m4LwzkJ6lrXUHe4AzvoTZUorknbcBWpplQXUim Z/v0HrQsoytdRQ4xACI+rFAnMjkCrbRWMjoR11u9MsaKI1jtx+IstOdQai98Rm+ZXxEVqpMyrMRl MHI04nogSbtFRheAZq/as8Z5TFgB6VPb4YtteMjc/RbhJzNYlDKpjXoFE6g5Ub84bKrZyH7ukLec IzTVNsLsG7fvGPuOuqXqh4VH60HONJBzFvJwMA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 457024) `protect data_block 1EzgEnubiS5Sy72p4JiOcS/S2woOO8e7AmevOYWgtLr/jShYDgg3LS00bA8apeJ7ZwJFRzNptPnt 07iYuGKqGfp90pmFs67rrdLmbvo0OO4fi7JEPEAYeiNhhG0uF8QJEqomJXInFDd4IluTQypzA2oy 7+s/i+fWZvRzEiJ8/pO2Txix+L1LyQx9GKf6WTzrNg1n+be6LVYiE65RYpsfhh2sLgjm5PrB1C/s J7SzGHVXC3NnHgVb1cBnfDnnCHIvJvC02rxf4kP1pAcpWvVVnzQKOk/7NVfj2v8K2zB9ZXtb5dNg Et30NstZoS+RKkWfELPG/i/WuPZzWa3X1YazwgXva1sMjggp43EffEsy8rz+tZ+ozmBdIPqvohZl 4FFYoJhbOAYQI2LO2WD2GfWfaS9jq5vrgfdU+VSlUWgejT3k+i1Bjukuv392GldhREj0b0zSNK1h Tyc4Xirw4XFYc1XvAFtmOEDJUzVuGiv/LujbnS4CSsMYwG//12sASmG7Zmj3qUoeAqIfe3PXrfBg DTTwg34hw9XBRrpqlqbZXGWzJzF6jXU6/evV66Lg1aIIlmsAtYxZM7dRze4iRQ+BOU1/G8VSmB9P +7ra6nQjKJBYGznYZ9Sq6+zVX3R56AI2Y33EbWWyvUI2yAA/SXQjiiCvgYFwYo/aKbwvCpvEXktA PR6P7l46p4AHwVC6cqrDmUZclPCkKiGpiRpRCxnpl3WJq5c33l26gwdTYS88Nz2TnlQDIkFDny+z HJmO8kp0niPBx8sLbjZk2x1VUATSpJS+V/Z0XlxC3Z1pjQZtiW/fm4b9IZT9SVQC7CQQkhnkupi6 PigevY+M95rMtM92fa6y/sDm5t4bliVtBkrSptmXakcVOGmj8g1uZc/PvS/MxRb4UvO4dIf4P8w1 2dAl3ZzGEeVDA1H7Xob9qEC7ZpCnByALglo8PS6sEy3OWOXwmgmfWbwRXGuO6tG/Q8AI5C0tzIpR XvRWHdoVXucAK4/6UovOdKeXgc64srteSmGVcZv91iGu4MSFhLlD6UGnhbxYsQnZLV6jnwIyNjGN o3019MgidPwNV8ATr/nRVAcR5lhlttvW7z/nP5AVS59wCOw+H7sNlTTVrczH3LfYMSSo9QlcVgyl T1s59sFO/NIi1BkLLH1Sa9vyqa6ZINIWWqnVDlPhS55hVCswwNmrUYSSpNY2LTgaZkdzNwfmR+wf giqz38nXedlpdyQ5m4yr7CAMeA1FKqnGkE2iX6s35WuekHP3ieI8hhb/e9bIe8l8ClNBZkeLIpE+ abHIxLeMGOM5+/VRrx5tsYK8G7Jb1PUo+QmvXjlKEv2Za5LSsn4ZAhrYLGr9uqxdHF6FomD4bJC6 DdrJQT2zoChU4+dEFjDVlaRxZhmF4HRwGhLWxXG1gZ3wKsnzztqyvjUQ0IDXWzeYi8bIuLC+cUZv bNaxcCUQXxOF1bLNimfm1SjfVX5q5/eFWeauwpfO6KTNKRWh+41H/ZfoyFVLEbi5DJ0InVHbnP63 nPV7HOK4ux5UL1BsCOE57/RSJmq4m/3oNM0UoIOluOc6AB4bF0DjAvvwBCWbQIs9/s5QRfsDxFiC tEYUXMxELDWDXDvtReXXmYFnkXEIVjGogU/EwPbhf9ZclqEOn2Xxqhw0eStf1C3unme2h04FTeSR AW/WDepAQyxHoLz4PhiaMScxIRvOm/H+27/XBzkP+411fYh++UZrrbVXt0hAyij34zxjFm2uyYuj 8rj4U8g42EJtQfeNW8/F6JpxfbqBgLqRXqiP047CK8nCvFBUEMiCVXWNjjoR4PLR0T/E+LbjOw7t 0yVvasR4vMc8bBDeq5qLsU22ulDq+H3nffD/k0l6GF9CTg0WNoxfdobnb/rMhT8CEZjBQ8/VXZuo xI/GrtkYiaGSOCBeR0pWkPPoI2if4LyJrh42R2oO30I8lJaibTzfwC4F3oo9ts2rBkDMkXcGerVD sIJI8hKOCj5WuSeH/ItSOUSvzSnTTQAoR/N40jdGlXPr4za7qV8/O3ohVrrbvynPk2xAw5XLOzty ED9Jh6Jzjn1lfNpXLS2tSb7etiRCm13swjHkR+WuOcCrBYHXbFUcxzSnhSffCLSydaErxAQTo/+i xF1Z1WxBnr0EMzrbMjwVCQiA/ZpoicxucGB2Leo/CxB0gjOxmg99MIC3iOuWSWMPQAeaidw1Nxkv p6piQlH1FD+pj8QORzVMal/q/+tAEZ2c9HPmRTl7vurTBbY26ELQXJEZ+D3gZAbfdbLkYl1uwaZ4 066VfbDgv53QAjTlqkH+u9kaPhkFg8xhvpXs/WPFGzr4BoqFcMFo8EHtZVjxWCeCqXMvnL/Ys0fO uF1A8vQ9r4YUTs97tK+Wkvt89xPxvs+KLE7iFpwD7s6zypySrqGtWtVscX139LTEG3q8mbzsCy3L AO09uG6/+PDoR2Y+2HKl0i2aHGuQgahfeQTi1z78os/oB1bLv95AM4UUNy4z82ujUXX+5iobuHYp ST/85yiu0v3+51GpZs8BKUdSMAZkjG9udVgOWweRwxlmRQ9troOEg9eUq9etkU0GK3YESPrn0VNI YDk3zXLSRbrh7oFpl6k1q/RkWV/3Ce1GhZdrCTUIh2/f3VVVhojh2seRgSt02GECZyOIAHFOJNw4 vkwcfVmZuNM83jkJgBRec5C+ajzePW6MGZs8uGXMTy4v4LHSJhUZdBz+TgvEbbSs3zqSpyxcX+o+ LA+yBBx6PV0D1TKso/t1yZY+FeERRYaJfrpDDl/kPVlQkiFPVAWwDVr9/Kim9Qd76FrlUh1IbYMb K6q/dRiMJoiqSVNYpp9TfJluxRhtD29/fMHGDF9xuc3Bwp/kJ5wQTbnDvBOIJMb2t+nUekjTfGD5 LZ03lHvN2Zg4/KMGuHTJR39JuolIsZ3AJm89qIoKYaj/7me+9dh2Htn5HggcnmbWQKv3EkPbirpn yMdM3cWdtC8cd4HTpdQQtgnKCubehlj6ywI9t03u+K1kCvCNJTAdzv+2jYW5ZQcaxQAs8MGHVPML A3bih164sBALVhWmtDc3+/IsvNXAdndba46WS+SDej9LJmAimjSd0k0eWaTzNbwpa2kMaUnszgC1 WBYaKNwEeR7ptBGBKVuNADrmV0fJHND50CNk40ZL5LVnEsSL5DGIhi8t+rXfQdUTPFK+NxbAUpnM 892L2UOxMwpa4gzC1a3z3sJ6YAmIWsZJkJhxT8Fde8HyWK50nQB3aM+Nkaqk0IZTSWeWurUi/D1d AcW//bkizbfDGfBQMTmxqv0wAvnp5YtBtnJtFdRPUvIbcNLta9lm3vtdfImUIxANzBshuGv1ZJXK H/tXD+PqoEX91vNOnan7HkIht0bY1NWuCJJHlG19I2MRELTjqj7P7L5RJBUe3tvXMGp1WvoNN8nK RRMfXW6KypD1YPYtHan8MwP08URj6r9yxWRfx5ErmLq1Scz0UZT8jxXlAhUmzjACUApQRwzlcerP /02+DamIl/WaeoAs9x+kHwvP4I8zYNp9bc9jZFKqPqZT4h+lAvNZftovLdRUjuze76977eoavBFv Zxawc5UCE4yvF9iythsghgWmnqoc/yFjdW3O9ZD9gsHNACQzQqFLeEKnZoIIiXUeMdF2CtECrt6F Mi0zD3mXhOV/ZnTURoJ8RU3d+0hvmqY/SCqZB3OB0cnLTONX56KdNu9631Ec22dYFVVrsQCGRUnF BAmJJHXCjLnlxR23MNbHLs5xDWsrnRkBypZtOkfjdc91ax6SpglNKmTrEwYc4dDsDYfPCHgGn8Yn DSD2oMKaI8CXvLONkRkjBBL2DK9a+uLczu0/Lbq0F3DgYwqbDz7CiVgQM3p0eWRD+55uOvaleQ2u h0pnJJbw0rT9Ro28Cr/Buq07khd/rsTa2pPIeOgl8bvD/3wqdthdbiF9XvdJfBkKGSJaq3boa5Px T7v4FtV28Glg+jcY5hReI8UCO0urKpnYfu1zwpsbw9B14/FyXJBBr0Fw68AFGJ18K1GcaRpy6uQr PS1zjhnu/ZT7sGbhu/XP+H9R9nfmLcO+mhk29gFw1M6nZ+ADEqF4oTaqCulwPZpQIiubqsu6W7vX v11TMBwApkKd154+Oz/KPnHJCp+3rc5zXLeYRpud36cSB+83OQL9yJ/vfzzSKGEe83Fv0CdeXcyO /SQRXbREZNqW/7OAPMfM8h0VmIozy36ugO6In/V4S6kmzcFenp2swUAx74WfpEvVPuDUOFzms3Q3 ZwfoRNgqxzk/0YAhqmqi3IkuogpxguW8HBc5ZWsMNHizvTImT+J3OAm3gzS7nYVPesM1biHzUHdK W0WEQ2AtsdptmJno452yV6ez81xYvnTO9zqca2Ana/HhZBCH+qZosiKcg/Qww8N8IzEMQ7yMfDbA 7Rri11ET4xl2WRyzpoznn15jnCmm2E8OTy97mInH2WXzmzZ5Nyzk4nb54xCBjf+3ERcRSNRyr+nj pmrYjznICsx0WwPFC+EooIDwntq1PCyAP9Sixbx+yF4q3Z+M5AkTXRXL2O2dKtLiidGTZw1CWi22 toXsAmzX/rMEVcAbhcDPU19fLHu8ETXKYaYiPwVVoCMLFkO5j6x1jymZ1YTGfKhLmHplJQIwj4za vR3uOFrBAj0jy9rulqKZLT6l/gt92H5++l9m7RGqDVqov6bSIYZF0uCVTrWYBOFvU6RilqSbK7gi 55sY83eYJOfqujPW7+ssIB/ws8w01wOGFTHj5VcKHis9EG+pHnABvqYOOkBIUOSZFr31zToQa0Iy SeSyxsAhn/+5io2LaQIZGrQiRZUobS4bR4YLvSQFloZiVuEP9ubbgDe8PSROivHAnnKxhS4WzYDB o3vHn2ZIUx8YCfF4N89gmzaO+jJBDMw32Ao9mWdrJi0sK/k4ekO/4vNE+5W+oxTd7PxV/gcZCXDJ zTP2dFFobgpa2PnTFSUhE/gsLYbwciB6Lr6A/lDgNjEFUgkSGmdmiqzsndkiBY+F9milX3EkGZ1L ENXgv0eWzppPeZQCaeGJMGQ8scOnbgDvB7YPCtl9TuxQyWopHlvaen/vQ04qRCbGTz0GMjkLeE2v mMkquwg0KGwiMarLeAReEQBp294eO4kibHZGjWOBsKWweTcAAHQXT6fS0zBmz6Lbfq1Vm3pTBJCD dQrpGNqTKOFyL0V4X7f+AO9TLffRpwpnJjdvwplJ71I51J8BBRqERrcH8EiXurTA22Y14tEFrbAV FvHvHYcmw5UTzjJ+fKTvbtMCZGQCWtErF7Pqulii3+nup2Genh3Y6Cvdcwoc2s4TyKfh6H23LNeS z9KH6tQ4JR8FvQymqekb2aGhd+tbZulc8/mvNL4TtwkPYMpFV9u4pzEDHhZAHun70Lr1TDEM/u5q qUxGL9F2qemM0UdfGtRtnfxA6xUf726nRaoDINSwMNaCflMJEdmzAZ1mlzqXJlrDF+mQpnr3tQQB 7cy6gGzLHUfMlaWTM84SHMXOs326rsAAlaVuOaqtljHDSYbwT2XMINXauVJnaSIoYOAq0+EXBhyI se5qcpVlq/tdthViEYDAQVZTAorW9XtQXsjKUyC9zzg9NfxQgKGm+kdy37Y+6dtqD9sS1xlstl7l ok1cJsTJPwPtqVvL/wpOCKdtOOvp3ucGho7lTRUiBbFkkOz1SyhlVsYpuUfmEV5NMJyg590oKPdb Rm3pEgs9EY917tKJ7ifYlixTW+K46jedhn3dDMujr7Io2kKF2qK7Z/WUSgbu1ZRSEBIUnJTKe8NS kHW5h2LRRh/yucMamKEFynm1q0OxS3S25/9/3zQysC/lClVc1rc1vYl8BMyqA4dCJvjLQyLLJYcM z4Hn7KKfaIr9T3DSq72dw/y1MHnq7oaC5iBUCwW8XJSi1f8/fDLtgLuJpIWEUyg9R2bTfcHYZhrz sjaH7N/veyBG0fh0d2ygy8BZZ2tP4d8mwHtYizG+gAAmV14Yw1r8MUPhz1wZ9rctLiRxrexeTDdv mDEj66QZrKi/rD4dZIMz6WNUK2iG5x0pYjoX1vgp0n1lkz8AiJFs9DJsjkfJStDcUITiG4DD6xZA JVwSRT7jF34Ukd5zvm2XtlPYtxK10W9H+aRMbpg9ae85/sRkBdIDqUNQsKvqTeLlKo1fi3ae/kmy 2fZjGhyDw/TzmD9nHjPz+QJoi8LSTZKdbgHMFHMN7RruVMjYPk6kop5a7gfZeGkeMze1FzKSOpUy g853EheMXj0ISBcs99Lsp0hzR5hPPYd0CHlWewSfsEyBqaYiRjRoWsYyu3/auBlEmf3ef1S4Awxl BoRML/hAg7uGeGoK1L+kgbPPWa7S2jh2sSpYkOCn2G+xJkkhqRD02KTcVJepow/CLYdBaEJf75ZJ oriv9iu+lihU9+gIchPzirTtwtDvNJ5NZqGDz9ZxJFmHCcPp0vw92M9MD0Qi0KnkTSNOgAsbBpHi j6QShYu97EK7a+QB+B/6Rgv4gBrKgwWDb3Xo9QIC4oPlgGSFwQmtaBqBwN9jhH0NiD0AAckTqw8Z wDquX+KJpkjRb/aB6zSVzRqsNwmkjYndvY3SNjhq2c9I7VcSHe1N/2TOyba9PXhKBhl67hXIEwAh GooNhByOQwh+V5p2F9S64SZ3abfRqeiMUDUgk3iP3Pn448Yec3TIh0Fmh5VwNI+jFJJ6/fSN2VTv RTStuUTuFKXQn0V6hjKyKCWP3MrI+PbJwjZ6Mnafp7BIM/Xj1+glXL6ILMRSiaI0IjV1gzACdn51 bjI+JPf9pwEyc7j4Hvvs3rUgoFpkBQaIoMzJdYwkqfdMDJb3UreATGXeCdXjIIzBCX4N/vJEPikw aGbp+hK9lu10xxNjvhsD47NB8T7szvlRG6xVSDAPopmNwPKV9JCHzJPQSNlT5MCs2cfK0iTk0AgQ fAwBJZnKNoAhd6IGt7ZkwZ7s6QRjfAR6k1R+z5VbFYQt7IavgtC239nFizpMO0Fvm+Pg6bM0hhyW JXz6gBxtnQMv0HBpw3Z4zOFqzQL41xqezu6kb7yiNX/pqYotTdwaB3wytJCWyrCVZS83/xtrYr0v 3Mh/HqRQmREuJ6Mk/y+YvWkkH8elMUv52h4xZcd0AOzN9H4YqlZTjKoTZWKNeP7Gxxsf84RLqrFw 3YcrJ+p+6BCrcDZITtyGtdUl9oBh9kBNxdg/cynRYkrlz8YQdiG4F9InqyUPx9qxTHSxqHmg6BGW w1EYLd8Hu/XQNWIrePqmdD5h8dg6HJkguSbLWWxosRHVPjYLPKV/JVNFW38tbWGy0TzG4X0R/Ts6 4zJaf4yu6Vd9NSyWqBlMWVdczpH4ORqrjhD4AMShAlgrXoZxElUTme7DJVCHHb8jkLc7BhhVMXqp 0Y0a72Ue3y22jTXU2U9j36tTMsP1UOSwSt9Y14GVsQ13cN4RO+XtDdlOKu+QsYeSHVKjVxjHCz2a PPoscs8KaHe031iUTYumsh7hfXIdmq1j9tThqGj95F2WaGc5x0edeiZmtN3veJPLfGCbJ5eFVTE5 cTkcaMHMMQHQndUlbKQnGHjm7W5JfrI+nTKeNfrsIYFetxwcCLk33j2gZX8t3zuF8KW+MfrpXn7E xpNG/6zO7aOXTifVa8rEBe6SQ2RurgE0utJt/h6STIHOEZnGO3BCB0HiX1S8VKaJezDkJoKIHcEl AGPT3OZ2i0PA6U0KUILDXzmhQ00BGG16vMrecePLV4dWGcAKoy8V83l3gElhDgI1cUkA7GwfklKd NYXFMePrXFbkozin0wXreyhHyyTb9L4oAZ4TKAAVey56uKIBmU/krcc/swx9kmfcwZf1GxhwtKyH mKczzo4fSXLsMiHLC16UVyfs7bnmXCdVyiSZQ+Ki80ZJy9zKDna2Zb5rwz/V2G8GEPneJg3J1+/9 LpVnPaZ/MWlB+pe2d4bO2+DbjiHwerlPgsEXGG7QRujQJWb/vuCChKvug+BWzcSAR0cgGO38Tr7C IlFWFs/LK3AHsrU1OmI6ggqFc1hj5H6GaOE/G/ejNN08yHdFA2CbwbtG65NG6tQ5aCxdA0TCxGxC TnDYP8tIIrDvbeBNRWBk18DOfGHXeAjl6ExObVPswnT04/X6eI0IzsgCyo560gWjihrvgwx4aRbj ecTW9pa5QXb+4CsDMaVJRzh0qPuKdCbgmEIICNs8KeUx7ZWjeTfhSQBnW87MPanY0HB++OT/qq/c lYOnWIarBHJdD65jVm3r5pdHqGnQRa6eLppLXFlLlu0SuvUYFdU5HC/Pgiu1z5IFvtMt4+s/sjL9 h1zBQO+6LpJAhaglyqmSygwAsZ4FcjyOsvHRfqpXvRReSH2JFmpVpS3Zf0etUbyFKNG7KTvgiKbh SMw+8Fk5BWtz/n2OCC1qrkJsel44+FypBZFYAvSv156OBvCTfznF86+s6szeel1YnKOCG/rqvd05 FXzsrdyfRldlHtPwmaQSQaUbzGlvwD2ARX9E56HpfEPR+FS7ew2E3AQvVXIwmc1AagmwkZAYxGMq RRvwQAohPiAqT5apUi+vAt0//X/4N5A3WGZ+KxodcP0kXLVUBA8ZXju3i+d2sj9xjJNTLzWeS6Nk Ag2ZwAUTqnJ44jL5jYbRr510mbKIO4EyAYhekMsuOIqbvHLUIcMdiniw+eNbFCD5C7Zdv/pQ1Bij VCbRQCPyiilFUmcotom00B9ckgU/Hkfri7S/86E95UQIXCpwzfvjIAg5sPYmfKzKDMZ5DQd8WwwF XaPsWdDT9RNM/EQw7cu/fYuAx9UJz0OMNkkyHVcptk9FgxUU2WEnAjtxcthJ6j5dVGZva7GJaY34 s0VeDESzpmJBb8TS2d+w/ezI2ZImzY+awrFUgTvUr2DmN7ufmTOgovOZgdE+BXdPzMtDKgAqpssU 1AnDE1P2In0jSN0d9Sb1j6Cca9Susadlr5DhUh1u3XazzbPUuUHHTQmG7Xd1nOaRVeR8QCKOdVUR slXoYpi0YI6OyazXqRiYX0fcNCGzrKROgt743N2+Td20+KVfxN4FY12t5XTD98QEw6FtiWF7RoxY /FTh30iNXyc8OTK+0OqRqa7Pll01WP6t0P+1hfFsK0m1pYZFMiTJ+34e5UQbbrQD0Rxbt60+lo+I io4G0XwSLljHNy1UWjLsNuBK51IKETCOi2x5IdCmwrfilvfDqIwK9uAXbqAKQSkQ5DLn4XmUgI46 lBqUrWxVlKLYjxiWVAns8SRRgMc2Ls4BKows0Ch8gyLcCfWhpGkKSVssnHFImwLFfGGMl7rpsD6F pQeeakrXLWuPpUvUVs2pDx5L8jvDKrJvFv6e1r8S9mlWbHF2xZ+guVNLxeaGin9Yg2j9rge5sIQa AvXH9a9+a8vXfWa3PQGz42Ul13G1WieFkNXZZU3UCuZY2YtjDoScD7KZBc05jffVmloMC0UDUpa1 jCbSDmDnBEGlT1fy8LQ9rJrOL7qHtgWsrTUOtbMwTJCbWFDSOrUcJNkHa+mc5IBay82cXrtNPP1z QFgWcuwj76VM5+cJt1k6fTOcxWAAKJns3r402rimH7Z8IFtZ+H3iIxz8JAkGjWazroKGGlUeqnE2 jxvZryjtFCkZTKdi3op29JNU48HB3Y9kGeB8qzsRi/v15lS5FX9MNrCzbvGcn1E6PxTWs8megQ4c 4Cv/RqgUNH8WCiiVR37sinnCcFwnGKOGaE0Rfw1SmtDIIkaJOQjV/sMbYPJUV4tAIAeCR2tJ50e/ xM11kK8PmkYnBHnlO2sMaJBIgnS2pGuGXYLHh6fP//TaolJoYeqoFIf9T5T2YyI6uNZFf/TYzmcN P5a90x/UhtpbcUAaD775oKoOjB8EvTGtqgWBtXGmKspPa3X1dHd/W/LNUF1l2ANgogeqJlEgEt/v EgHAg1aA+zS7Itmx4YGwQJPVMWdtZhAaQdzfM10eJ8MFztBpWxMC0v6dREd3eZCxBWOaGnTidbuj S9rYBofgO26uhUYdBrJ7nxVCRxxsQBhQqWQCqn8IJTqLZ7wX2P1I6oWgHmtWp1BwjZDlwn+FH/qt I2zh+i15wYhCGIfmRxx+XAB1Vvpc0eX+JiO/tgrHlLH3LCH9QTTp2IKFp9ExAWvbegVWAhg+OrAe HKqbBxc7ykIBukzIvleF/ud8O0YDqJ29dYoT2EsKbQbAKdl7g9qOgtPnwT6PmSF/t9Kv1XCiIRhp hgsK4kXTN9rU9SF2gpSo1+VFThKFqgVoss3tXm2Ub00ld8VYZqPkdGyrdHIQtx9hXgyDhVvDslpj v3vsYy3vmwCcKJSX90sNZyGF3SyWIyrdMgAD6dKC6RGptsF8H1iF7NdNrNhT3emBKQHI0I6vYU8M saMjQGyMF3x815xT+36laN+Q0UP+1vfLCMwMr8R+MRP6ZNFYNhfL6GAPVNaiu0iEKsZh6y7Pk1oi lJLGv5pzmU5pvH+2Zk2Nfq7wrjx3zmJlmFeLyo6HgeMAu1obFnNdTgqivmRx4HkdX4a0jjh7JGLP zTQnSlneDC71+U+MR8TY3y5PDw3Ohuxvtbtadcb8UAQJ4w66epYmYG6HQAUrG5TSXyodYBbcyem4 k7RjuyoTeypRbx3j2HL74hJwug++t93x3Pw9RzyN/bgOepqPHb4EI3pHqJiPRUcalsgo3UaqixI1 gmthO9qHPvYWjaPsAInxOQNnPogpS5wficfHNq7xQCVrFqXk9RcE0YNVcA3S40yfm3QQPEjxe+GL PheuEV6Di47s3h4Q0MkX4hw73Dl8EbpL7j6SPUSjH+XEOoRrKrzZOVTTO6fDlHOCPEnNGlgo2QYq +DDswGWaWR99Po9+V84+AGiTXpkbbsp9x2DbU+r8m0CbHDMoJ+VbDMDHog0eI1Uq7hsJLRfUPuJQ jCaeSqHorIbkIDmz0NIR5s+eGoZf8fzzEcAO/aApZOnRtZtoZ8a6CJSU6Yjufvbpsuw4ehIZXxfB hKKe8lwWs6WkQKzLRXbWSie2fplc2ev89FjwjrgzLrRpqKNR9B4mGeDJpDpm++RyPYzWfQ3oQ4OZ Xa6spt7VLsN8OIWYImOKqtsvgAXenZmon3Y4sGZrNb1ADMgjs81b7+yBJ1y5q/7oltoobb2LE/aJ XsB6fM8Lo8YJIwES1QNN9IdjD8wht85YNAgoccRItv08lTlWD5rkAHI2m6PTbAgYG+Odkiv/zJOA sAzb2Ba2f1khSfzfwXS4dJkU4iJ5NbLqS7bNdSuoXv4NbVvOBxKArMDieZ//WrR0mzEULvfiVEEU d0rReO2qzmTSTlejCN/rGH8MtN5ZD4Lw/JXM0qlcEojig4XlSuqJClNR9PYbASn2SBMo6jCNsTNG lndX2DEC2UKY5e+MC0bA82Dsu0wP7p88lva2Q00hNX+F6eSq01hUrbEj3nXO29/eIy5N7wXxY7gC QzgzRrnP2TlpHiX2waqvu0JIZehyIakNJeZ+U7p4B7FMnsh0pMCRLfxDmO59vO57zvaDtTv2jYxL b6YLzoWW5EcqZmTMOTsY5lOO8606ToB41OUYy5M4D9vspEtXq/tiPq9WB8wEZa95259/N9E05dys fr390vLm+kfEe/YqFfVh2BmOk79TRanGxbZlCBJPACD0VFpLYCXcUCFSmHzAyJ1woGIZuNCLitaC WIcexByy8yoLnPw8oMVsgXeI0lYu2Bq435AVwI19cey3LKGad2ogWUCJ0WpSLPMJHQxlRxjykX1P 5epzz0VpiR8l297f5eeVArHiG0ruQ83gJfWO8wKXuNiQzb73uoL8W08zQg9D/8LirdyO9SPu7XrK xwxCrGXVkyYaS0hDhcBy/ZtsLT1iCKTfSzxHds3AwzJFpDWPrg/cuzztj4scdB1YL9kyuAZH0JTU p5WvBpyiPrirvEu0wTdcRyOJr1NcR5iX4goWkvfuSNFvDbieR93yc69k4i/hhSLy77l5YXYKJvyU CWdsJkz/TuupQYKRQ6JLzYJJ2tdKW2X2Pis/uLdpxk3+GAu7nToEjwSHs3MuP11Ev3nV2x1Tyz9r JkJeQbrPbxhQNym1sAhofoZm6OOIsmB6cLLPKwzQih4jLkzlxRichmuWYGvSfT9jqxjPxKelxfD0 aLCrgyXWwNTJRUUb3NtxixL+tXZjsLu6GZCrL5tEcFE3TEcrHIzkU2TOoN4ChpDmjuRRzr2C1DvQ kOzsO3M+LKWlXnoolU/Qw8L6E/THoeKDkzsb9bNr15fZDDta4dtfAxFYxw3ait7dWLPloXyhjYLW MrX9YdSPZoS88gX9TPI9W6ix994IEug66XfetnOGBGOTvjTx17mnG+Lp4d+YJSwG5HCZwgUq483B gbPpUnAWfA2f3iv8A6wy1Mfw9TIXdrEwzZrJsfUQRUv3+VHN1fhc76o6KgvZWZ9CLyxTHK1X9+do Am6m1eOYq92b56CBspKZ4Bn4bvuQOU/tAEg0duv73WvB23/vMHFn95UXTHwjN4XBSD29iEuM76iW IFOc7erq/a1t9oVL82VOw31EmBk2lqIVkxYsaRKgy+EEizVUwGfOBAgEGlLOAfZxN1YiEpbAKnRW /TCMFS/T7s3H/Znhr1fUIUtfv5KOBZlqZK+poWFKJAGsHV01pqgKMKcI6ItWD3pRylh12ROJVP4/ k450yCrrQhA5A+icJvQssrvXOHLZgLYC2lDin9K2L1Oxwk51tI4794Q4mdMoGtnZZ7p7YuEvlpyG cLK/ODmPxTL3Sc/fy/3+jX0ZCyIHzzMOQ7thiLbAaXNSQKQ8yI8/r8f2SvKXXkVm/SNhLD/RrUxp qIFvyrYQxM+KmvT6M8269mOjkAGXVrdBBBaBz2V/JyRjZUif8JKSUPzJxGJxuk0zVCcz1c4MqhTp Jo0x/XLdFghfh2wNCmfXex5RgxkHy+Q+xRJTxYQu7iXR5qlMB6VRNwABeTL96e84O2BtwwhjVJzm goA48CWxKDjnDLe4xETdI/adBE/roiyKaKq3ZKwQ31jRFXgTyTOe60bKRybzA8MlBdp12lmk7tFn fIcz6q6AKMP+6o6zcnBl5vrndiLaQ2GSTEIpGkTYxBd2WijyKRw2urIu6dUg+sA1ZwHpLOadHZzt rZgJBnbdPSqjz9ij+Mh6My6P3nbW4ig0HwlOYtVVzig3FmCNnCKOjCgvMVFQ1mlk1YAy8sIe1lU0 9ecwmLUanHr8WIvmYUGLCn5g1xIB0XFvmSUmJLHNcjgRIoKjEEJYbY5E/Ao4HIj14hz9lv6Vjyyp kWXX0wvpLmnroM1ayoZHjpYzByPmSsXaSKOfoyKtp5Wfq9+UqCsmQMqNAAdK0+trJnPfyYBAtrIv HYVo13b7FhsNscshvrg/iW10/wrMqXTagFTm0wsWeJvQe+YgJZeQsWAuRBYLurFYWz4vn07C/lJ0 eJIbmARlOTnUlgPc/GW6M95sTfI3ov5AbPxwmdwG+dJuCb9QSPjgInsb2gySIKy4mmLizJ6yBysN ZtM486E/kxxzhNHT7Z+oSEqlk8PmdhqTfB+IkESo5EXk1U/nScllSRXcpamPqcvuJpf7fnxG3K1h n/vipOTTx75yOIXfkj3cpnDIDr+quyI02FywLDBVubbN2018v/5IM64sxXnnpv1jkYtMdYbEA7ea gh0iFlraB1t6R4A4T2Hv3YB/QNYWiOcJvvjsgdtXQZJaTwpSCBegWcz9FpqSZbKfSdvVdrsMuf1D bFaxq6TYHWeJfreDZzEBLsRtEheHmN35pNK4FRqqxue7QtdnnS6wjsyxQgVmpPMciGhQ+4X2kBOC gu3WMa4Iv13w+J/nDXiqAPALkncFDmbYfhF6pOi1OKJB+o172swF9o+KzAgSbbtn2BHNL1f3WbMx gv7oHDmnYaexc2i6oVUrYorbaV/0uqG9KhsG97g9uiMGI1gDtE8G/ag+9kGSjiWbJ9dDNpFO/d33 KLl39gZNU63RyZaG7MMNKquTgJKySYBRZ5JS5+0AxHMUWaCKBFZ8bq+zAVlF7rvLptOla7ziFqOs gAioZNKwIqWKgacSsEiX/zk1wLoLkq6lPlt4dGEeQePa7IEG38Ef2tNhjE9whoi1WXUGaoE7Exh+ kokvwD26UTOW2FLVLwSFIjX7fcCClON7QcGCi6b1rfKovElwMLo4Lp5ioFJkIe3GH+DXjfcQqoKG Pzsa/8Wy70H6/OEBDVwwd5SKR5ddTkjkJOmOGnSiR7ZL6FS7kR5o20aCXHvfzW2SvfW6ty0/wbK7 4kspMKUXBXu7nChCAdIiz2/l/opstoNRb1cOWdSG9tmvK0JSjsm9uggwkcavfmpR9aFmQiiIaOC4 VZlWoCWK2q9UOif0PQHBtu0UpYlX6DZXivU8i3+XMpvBrWS28wGl8gz9NewSnMQdlA06sq8MdIC6 WgF5KVnhESmK+Hjux3nLJmiwKvTNzCrbe2UcfFZeBzafEzcVK4U5O7cAYdkAzOIv1OKh8LBePRkD g1o4vqwmX/lg+CYdVixQKeyd2Vfs45oH8vIp/vPToSiP0IIS+Hu4zoUELgJmtnP7A+To9u2Kf7rY ypJyd9l+NHslV70nmQaGX0/CmpK4iOYmtcT5Hmej7p/jjpyoud42wYOoCJJ4RfntFndhFwtiBvM6 uCpfzBhLAw9N4ctq3/z9YVQoWx5bjGM98vAUmxVvQsbKelYUtktvZVI8Cw4mDNAC8DCnbU8g/B+0 Ab8o8eOM4ByNJdDnlk2mME2KdE4kbu8szwMFkRy0y4ZbANkJccseKu/KLgvU2vwqe9TCN0tob3AU EBw5MBnpzC7YrMIxJL0QTjL8TXJCjL1ZhYCP8XE0UrRNSvMNweaCShjB8wP6sqahrpRKsfNUrF8i AbK909oX0D2r388zZW9y2MOmqTYgD5z5o/w7TP2Mmuc0IQVEDKxyPeeJ6VuODJTDmUqfHfWIuV0V bwgYc2Zd572GgS43GBJFZgfeAE++oP3UkmpTg6OYp1rIrwXVUm9TICFaJsqlAQJBD8UQ+vs833ox Ugs9C8LiZ2K7Ozokmb7NLhcK66nEVXxZ70Ko8DViYVH1GQ6mzuNKOnCgIiFxnk/XuRp7050JgL6B 3uIwusszgzYdu2mvQ/ZBtSoz+YwgMebF6N/VgYdbKCzkmMfC07FIQignsloShIJYpSgdFlfbrOpA cHX98oJp+Vn7ZDGsLRXt0WxAHKbz9A4drdmYbkjYaxf/IWKmtjlBozq3T4JVSLu21v04ztdbRusM loCcEqG/XQDPYgkWAPwUDMbMAuinDgfamypLGGBeUDcnNAQLoLAnYCBoLSiWlgRXHs0hYl1/T9QJ 0j9aAPITbjOnWLpMB+KFqnsTbTu3kewrazzhAwYl0f72c6hccpj5Ux1SSNDof5jhpVXJAd3sBPmm UU96VROp5FyrYS8g/Mhw2a6lJmRY/5ilyb7WWRQpWUaZMn+2rUaZfl8JFh/ua2HSRsmh1rHrjtQF YhiDWOifnljBc78cHBBCQlXJKNZhkxXXySb5xgC9ZcfuGoyLxrth9lArhJI/PKobNZUuinvMVUsY o7FBmlooH4ob+L9jSyvwdhWAMwmBOJJTAIHgsaiMaIYm/v1lSZ6NOizS+toWSBk7IjvEeBLwDDr4 u+AZRmCJqAlGGbZ/rirJhLLcFZEraE/Ccs8nd3j/0Jk6452ICnDGrzOo+I2NQlO9qx9s6WleYaNu E4uc55WtjBVso8J17Z/2YXU/kVdyxw4IrNdtf0OO72VC64Pg4qWMH+kepZ+KMiPPOcjASl4LPDkY VOabpZ72DMIdZfyk7GWPH9X3DVbKV49x/lEIhfuy4sRL87lOxUbB3JjEgABKEeggCSjCHzf/09S/ 96zrc4udDlPYmgLQK/KhFGzQXEtMTjBYdA1xld8SCn2JmaVDdz+ZPNLfwF1ambxdj24hozi3F3xd gAZ0Gjaa9yuPTf1C73JxnaCgcI0kLKFlpkcw7StYGro2ZTkjpW8xe+ZtfaGcjnomENxEoRYy7neo DpBiskZUfEmCMjm30NiwIIXdCzcExzjrEV7lF0TSoWsauXCmldSek9gAVGPnDdevq8dGIKDVmliv V79u2GiQJY1ofS1l6vdIlAfqKsHCBHW+7P5ICB/yr49G48PcyJsChc+xXD+1zhnnB4Fg/MZV/kDS APFvqVcyALc1u95S30o16gypgCXfN0G9WYedcbL8P5xsCtFckUltPoBDfMaPlz10FbADcNt0vT6T F/s2u2eD6M+CvkQVBmJqHiO03XUmZFeG5nyPnw6AnBEA8ughh8xv1egXDttHXmWYHv1Iw0pKJFu0 vSualAeaVqNjvKZpsjtZqP0/KztuGz1HLm18Ita2vfCHqN+H5UaE12mBBoOVe1AvlGJRjTDvRkZH S6En6ceQNQ4r8hiiRfi0EBIoJORdiAfmM95gBZRJGGzU7+fQqqnI5DJK+mUEKyQWjQ+kbXxUHjZM jmvCbrvCUCIEpahXcysVNqhUHj2pwukrN/v2nZWvb6J0agFUt8ItQxbNMrRGa/TfeJ3CGdufLiTN FzMd2AIg0SMUGKEMvBydUbA21wZAr1BS5Yb0VRpGxFInFjykF89+yYkwi9fj1JVsGkgDGvjVJONa gRidAcZUBjpTuI84GXl3SSGfSLarFmGJFzO5/C6uOT4VKWk7WsoSIpo36X5y0rgcynNeuRhH1syZ X735yAk/Qqi9lSVyzBBjt5QtSYXTwc9XtJRYbzKrC+sv203LstH7qD5NdZsvL+ySJ5H7BXrtT1H3 Gu/YBn5dpRusa3f5meS8rckEc2Oh63i/JL/qE6MXjELRab0qykOQEGNN5MOIkqLlQLjxW46GWFck dRTvNq6rxtlWAiWIByiw+AnvaMmcc7R42/NaUeSnk65Wigoiopvzabn2WNM0gKO2/ngGr1lErOWw Ncealj+MfQIW70bMMEpiykMJy0DyOADmJLoKvxziC2fCBYriRgUVGg2M8Z+oSJ+Vs2Pyu6baBYt1 fbl0lYcWvrDCe9utMBRn4SmMxDz+lAy2rLWVjDbub6PBQLVU0loKvt3qsBe37HkxH5pIU2yqYibk x6PHTXMRFlertowrmmEY9WFNvDnCL6BAsf4dcso3WIjyWJ/fct9IWId+VXEY+lcOkNScCcz/oLF3 KQlubGu9jZ9iKhVnyNv9b6gwFBlZA5xkMDQ4XIkG1Zt1u75qVHC872H8aI98OXV+mOK95vL9xzLY o0launI2zqBBj+YeRPG9Ukv3wazZJnnDsbc3XyLrLlbqVE0Uxwr8XeiHSArTgMAXpBapy120YOuf lKi2y07U4l6jKfivqpbOZxI95BMRahl+1WZNlabmLYkavjjeyAf/fMgiq1M+wf1XHDgSd8g7MS/p 2zGKJVu/AX9N5ZqLAfbprTZsSLb1vZCLQ2h9vczI8PdxYmu5UQWbmkdUDnJ4G41/eEAMXdGraXT1 hxUKgQ7nMee0hcz9OqjX/YhnPpfKG0DSkJ/eX7tZ5d2eZdUZDpUsmrtxvygBSLxzdz0lk19Hj5Nb Slu2rt43Ylo12JS3+niy8l9Aq+MECYxGKAC65DdTLEy6BddDQI50p8KU68zSCiZ+OeWzr+fSS2Jj 2BI8pjB2/LvLHr5fFSZtGCozPeuDSJBKSPXYt+iKdxuvOmL9VSoQuln3xep41Ju5hpyT883Lm8Pa f8tOCH64Rjf1/IEB7600yB7eoW/7tI2bEONFHfNqSgCc3/isSHL8xgPmguvlAk5Sr+kwtZw/M00E Upbn6Ht+2v8xYynsphjxNxGXFgVeAXOv018ahtXNEE1zQKa2peq8cBBQWMTcCdpmOtJWOTTtjtkV HcojK1FjGEMkrZuM6MEf3UV9N8DbNMadW/H9jk1Zki9nl0z3hYPIVVn4mv7lohksKcsEjqwmRVT3 ewFDhOx6QtQ1vRsQuLNdOYsO3qgux0YBFk0K0uaFFCngIcwEjjMC8utjocm7h0lkysnco9YCNkpb qyc/tQzoe7+FuWd//EeatLowqajrF2jsFxtNbLpvwuM5DB/3pWyT5QDj2C3k/Dtm/+Nftq8vHXRp ncC6y2fvBSt3cSzr8jtR5Rl7gBGLIUB0p3XSay4c+u2/sG0QnBIMVL/e34MtShpW2FiNdKTeRvIY +qbV+Bw9B3lTPtURFCTcLFmSU5pFFFC5FQHV+c7VHdo9451WSBgrXn3ILRVmeloxj3R52rhK/nQF vLHsRz+NC9oxORHwED2KjtPP4KY/uipKMZ99MLXtcbndoKwFo7yDHscFz4+j4HHlWwMwrF3+yU9/ i8uk8kOtEzmc78i9/J+RD4Qyt25s+BoNUOAR9UtDW8B5HP2iOiWNR7ky5yCjf9uXHBK1joZVscDw /jxA+JNjFebO1TJqCv8Ntcyn+dtaQtuGIWf6yOs6vnkRuFryH0ZqNKDgEMC4P/h9zvXbYfgamcx4 iTRN0K/e0UQwv3FH+uvI4DYA9wfJfi9ujLsvNPFPA8i7FazDdy+dNm5oGnWheIF/Nu6GRsc0x83V zeGkBmVk7fCnXZIoTyXPxpsdkXNhLaoYjJlvLHo7PwFnlFkety7lY5r0jR4ZZMUYpTQL2NN+CdJ5 7JQXHL9rph+VsfzTLxD3QfKsnXIeRGjzhFifmtiPrmo8crH2aDBStLIZk3SAH6gU+62wbrhIH25x 8lfpIhpraEiEeJ2e1y2XoQuUV82jhkkfUTTJLHm+SKgJAWz0stdAR7OdA70HHsqEzHyGXBfjP4nB cqhcmgAbKa8e5wy5PDGMHPxm6DbHF0xOZNZFdFtdd3eh7xgwo4/kWhFvNeFeZooXCWN9ifrrV8Vg sSSuUQ+e90wi7BnAVb0SMaVli/0tbXAT/c///82f0x6o3wyBZUGleg3Z5xlFs88r5mpavBVInZFC PwT2DNhnwmkugXxuHl1CkE9+qVHdPCxZ93P4N5iPkfUn7EUv1h3eJKkXShw/hpM8gg91eTJAHxhN hUB6cYoyaxVJg6l/pUHK12vNudTvHv12HhHj6tOkxlo6mpHVdpDIetYtFIF9ZBrR/4SSHSdc27Ft TTZApDGt+Bl5V1P1LRSMdsnJGwbqkL44kgxKFTySFY25Enan15QeN7Jsz0+1B/xt6W1kGsxlRnyn tcCnn3v8hNw3jU2bqxKzWp68RKWY+Yyf4Yr7K6ia947ZCXctusZaEDScJKdqaf7+aKEhmIDoeEJZ vBZMkxPNuk8L8urwp0mjEBJ/1mPO0doRmzo8N4wkECcfSSKKFUJVGfFIYE8uV/VJQGdQmLcYk3eN BCbx5zpYKiFlwy5yaVeWabfB9bQlwMwwYEDekQaSE2hW8s3ePAbo0X1z8VasmP+uJBV1r6uj4BeU fmEi1m1AdP8byYgN7SSlm+zYjtKRf0U+v9VyfP0endLAOykkKdMaoI9Kl9EQDJtOGj61vTOTMe3C sUS+/A9GwM1b5NZoiPF6y5p2kkPDJnM3z9pOATnEALI4uqYdzGprqqxAaGt/JhqPJx5KeVcGqGhc 3GZ26dGXH+9SPEAzDoTIDzhaRaMnmfyGvl0QHJ/z9eh9tsXXUbYUCOnm54eVujQ8DQqtxW22GL8V esc2aZnq9zylUXoLMv+d5kVHZr8VoCRXjx5ZOwlkBeO1a371KghziqdBKFr5cytXqIyf89oX6vYK Vthc63a0QiiLcJpsUuxeQRuYXlDJGjbJ8p5s06GCZAi4bgdwzstr/aqpfW/l1bvRIAguE6GSTbla dfUpCMH5gsEGK6OA04wtmpQz1HII5rG7DOIOfpKBnogq9GLhoxn2NVLuSfYeoEnjDZg9etyDgwxL lB2yuc/3kFrKMoETB0SUKZB+9wbEp33ZIAdd1BvWaC8qdjCDOBp1WxQJM/ApUZVZAUncLCBCHJCK c1lshu3fMWBaa0BEi1IVOpmec3+HP5KYuu+s64p1JjVJXXr2xX2BTICCepz+VPfiRCYwsy5ZlP5t 3lrebleEIUXRc68y/xoNbYohHvYpkvU5mNjgFirs0iLtpfPxs3xNNUcE85yNdZMvzS3zmjnWlTew SaK0u5EoszjncnNWOzZ1fgoYUSsW6KqWIMywJiQeIlSliT3z6XfH/1yjeNCyYvlHbuKU5TCmbfIx /MQZksI3zGpysmxUqoQzWx9GxyzkRpOw65KL7kuEIUgBZbfwRuHjizof9GScI/fKiwjTyNlivjGR bF4sZQehr9qe2bu/s3yFtUk+4fMkcpX4kSElGG+Gf7sj7tU/aFrqTfb1lkpQZxnkVo7hQBXDDXLg 9sVTt9ED8HCXr09Q8Bl1E2hGHHBECgJ7hh5fb8YqV3gxRpY9pdKGgfinx+j9TS8boIugiGIMVjOM WTQIU9zq+3NZVYwCURN5mzehDqlGeSzV/pmlBIoGx+EqAiP95xzFlxr5mhzrQkbSkx3j+xeZVDOk oxHcf0nwJDJfu215Jev3jnVJZa2QioS5FmQrXakFK/s8V3/eqbUT8mZjCImRxDzB8y5H/FKhOeZ8 qDhr3BMCqPyaBkKbuiMnt4L5QFRZv4FpfRx9+HHg4RL5dh/7wMOFIdKdQ2fhMoBJ2eIDhHbhed/l WZeVa2vjTkLse4LddynWF1k1aqDF6tPTAfqcZfzx2jrU9uQAo2Tupy+HO+IN5/cBhkqK+VzQog7N qaIHlXayOeli0HaTviNDMP1aBBXjdNM7EcX9gCzWA1/tUTsiU8dMPrCaiYYYQ/6l4gUsKP9f5WCX SxXIvRbPLsjh5MAP06FsCICLrn+qYMEFcbnxq4efkBntYZY8cRA/IHZpY6Zi1L1VI9cm7w8Hj1JC UR4Hiv9CapNprQRLn+tsGzAhsOaNPfKGI6ghokMSNVBiyBxOIOeqExO5bnxx8QMOCOx6Lw830+V+ 8UDrwk5u8De/j0gubAIyOZMY189X8saWEjPIZzVrCFIBlDNv4IF/NszyIJo3sxUR0ES5AqulqUt1 jzVHLtijjz3Xh23QtU2N0Q8ytMqhFprHcxg/efv2SPwHla4Xjcu38j3DCOurqdT+1nCFGhG+znKU BFj9p53S0EHW2dYBQaWLow15LVu6y/R52GFiOoC+HTEI1D2kY/X9RnLm6vjXBwe5rl7nVuMIvg99 Nvl19f6/xj+3QT8XiiOA6VU1jA5h7ISK8aH7Pz6eVTDTv/uRPtqPR3ngiH0sX7JleBtBqJRLXQU9 t7sGM75edpM0sFD2TA81eVuuWRT4bpYXhn1tFNigT6FGuh8WRoTCqCeaUcR6bkhtvoaYOY2Hzd+I ASJfKdafhoTAmE33AN2qBaJMgwpph72KdEl4zC0ZaVjRi1s6FAljsgLRFABaAm1EZYMMfXDeEMmc 3o1F4o+9mXkAx6j+gveNI//7tBa1vfnPowEo8poUT3r1BCmBLTFCsrIg010lBOv6Hu8XB5d4eh7Q 5Cqi/nU/vph9wE609/0WDSTZYlGuPmBuw7jbPyD4Wlit3xRK2ZvzlVvD++mwJYJrL3ymSuG2en/q qWYiS2sTi+1pDZ1zODV1s5Lew2/nQJ85HZDqadTkzwqECz2NzSALbLrFhGJl2kPPxlx2+bjMjZjB Qlg4dixaj3bLoa2RKkWcRaEeO3eg1zO+LrJGC+QFwxdcP1QJdHEjp8fYW/MQBuenTkevS2xRnyjr 9Q8ThpgkpgYeAAuQUzMlrmDCNMuRNFx1R9BvjlZVigMkI8GLfJ+pIZztSjHhTWnSgw2XDk3Dlm08 7Ttq3MEsilHBHxPWB8c7VzQt3lD2r3Vhr0tUrZFLSy5sWxZ/JizCc9eXJ7wLyKItPWOBFe7NVwMA oqnwl/lsWEpG5RF5SysySPwegDtuqbbbZr9aVS8j4BpF43nFrT2eCXZmBvUd2AAebaVi7L3muxea hp0Dr1urO/lb6R2k9mN95cSHbI7fUG747uMKK4qXiXe8sgdn0IOsbf9Fd+Mb4+nAD/zghJxz+x4g 4TomKh9GY1oDrWasG6xqwVjqq7yY4FFzFtg4Oa5LZYpCdOTWStV50NuNKl5JVbLO132X47oyXZZs pxi8jaYUumNKhtDkZQx6aUK6UoENJWcz1D+zL9xwsj7JGa0d7cd+l2pVx1OkP4v8x1jM9Dk3wQYn UHBkG73I3W1NU+SgWHOJlv8Dc1sUvjKBJxDNkPSVWl/0J/nKniuYbk01ZApQ7FG+D2AVY1zrei6o qYCr14nNWs4iwCfPuqqIJIRft0YZ9MrspzTN2mI/hNgUhkJT8gCbb2vFjNuQTUuS9ciW5V1m1HiH +GpXxyoPuXXVGtjBXvydPqZorg1QeBajkfDJvvyT5ak/taI3q+VUCUKkxMmuRIQ7B6EHN4hSooJS 2WhLUdWp+AiodzDj++eH90nwMW+jvab+NkAiIU+3XSWVoYSQaWcQ0136w8nKopI5pzH9yjCYsqb5 3oid7Wrj09z27QNulADqjsBfICy8OWUPMdyppML171XIgAp5iCC3lepcYvEAAUpExzuRH0IviBX7 l5sVxnHbpzGqbqMnX3y4AjnoOV2zbvqX1JOcZffN5mFuSGV/WOPQIFnVWhUioYJRfcySqpkVvHcc oCN/f6LSA49mnr9tWOHZWOtXkrdGhbpaKA8LO5xbnoQmLU1LL/dYynZeWmX/dDfiiz3FL8i2XOho cDwFz8Hg32bcLzYjSFoF7QMK+mW87mM0bvQJpUYt7gUodtzmntl9STKlXx0hpBuJ1q9btWzzCr1Q WVh53daeSIfUh/TLrubMjtoVNBkzIWEFCzDY0QHR+pruiz0YCI0Z3saENNPb/k3b65E6hoEWPAb+ loYmDtpNKeqfof7Y9Rklk1sl5wlAz2F6CVqQ5hqwGxlm4pZ9/V+I1ZPYurLi0vZJMrE/1wH3D9ce 47kpn3BBRMbnq+NI1GC9UjH6rMpTHkOmTiTuxfzM6P3kfh08x8D6iH5gN+4NGJz+RfGFy2TAVQtG VCdLlyU28J2DetY0gJYaeCumeR5y19DV16xWeS3cs5LzK4UI2CNQ5xOOzn0S8ALKCvLbRtPPS42W N0v8H7FfVRj6KPSTNMh7BPtBlbMScgstLnbxwN4+lK03E4/aBvG9wNGe2EAWNp2BNlYSnJDaAPwp BiVvjItprGm93ihWfApGHMdxhm2JxGJPIE2i55gbUm+PX8IfgDdHknq9QWr1bIdG+CDbi3aTKkWE sr05RzEPcN1Nai7EEx9LNgIAhBs2l5HybY5V2+f0S5dxGKcoWiG4AyKyHFXrRdHm8u41LtYt6LKV F19lpe+8LN/0GmkgL1EsM1U+5NPrROSSh4ncRh6/1PkkRvJH7/bcloBoAT13o8foKkEDr0XNV4Bx nwkSGVoeZTAIgBEjXRXoa67eYffEM/xYdGcqY6Sj/ONk5c4bsPCOLROwTmYH5QeJ8ZJIVcArJp2A z4Umcj3pu5wLIFAPmqVvKoEj8mmVf139B0abA4lqzYRYycUOgwgnATagCrKC4J3U2zpkkd+YSihu 1urPoOwm3AxFaGkNoMIfrqXB/HPAJI1iYYaW0+L9Lk9gM7y3B3lgbKc+P3voJ6IPYFUzc+0l/hhN 4XgqVUO56Fx/m9Jm318XbzvmbHOSYsV47qLjxa4tfQg+kdgq0lyD5wQ2zZHLcy8fCetakApwAnq9 MVaD9lIznpDjFLfV4+qY4UbMN1WYfva5ehDU/Bvpt8wPMYRPhPmGwDtuy+MxCX9YjHjmrliuctIa vUN18W/dQq8QU92Uxf4au2gjLlB9vaZW6eonQMkhOUK9LqrzJaju8CRGa32T+Edwj+9jeKk06/DS CB7GVGq5VWBTnFxqIsYfgaTuzB1LdYYiaS06O2/npV8W9Wr1j8bqp6SnOdG75zcuLBVWE63LDHn7 IW83DlWdd5icTpa9Z86MYIb25w/XDLrxszCxU5Ln4zhnluOsTzZsqWhoIzrYV46lOn/SAooOE3CA yEcPDAWz6oF5jN2BqSKsexDonAEtYkXJ43cxpMnvlZZzIWLmHC4gpxhOSNuQDqlKKepzhV2iFtyK MWwge/0A989eGpTMEqOivnU8NKggmVEbmo3Q5bPs1lRLuVFG/TOb1dMfWdpTV/GvUM6RnMC3qD1Y aKJN3zoFZ46W1QK9JjnI1aX/5TBSIR+aEiOJAxc2JAtpIFMAA0JYCTz47+a8gL0/8L5yZe3jOfiM p/M13jUxCqNv3KS6fpo2yCWfR/GeujvPEquk1inF8yGhM4cJdJD1lRh7Yoy+GF/uy43k5lx02tmM 6NdMH/w69+K6yQG0wqVdApbjoGmEXDjjlu64HjiDhdGUifKxJ/qjByb+rc2qNcBg65QqO4bP/t5y FyhUIYubzH4hVor22WQCnv5HaC0Yln4M7AlboQSxwbfiYlqogI0CAaEWRZUn39h5lPD4Pw5GyeKq cQCMAwPgJf45vsljrILeb3c18p3a/CkIY3Pb0Tk0iHU7bt8DxYyZTF3dwpaqvNR21IHg0r7Z/rW9 9Sj0XAJX+Y1Nt1jM/YfVpborKrHyDbXT4SRQBk3oI20NQZi1GeL+TMl5fPzPeCD2TZJSu7Q17Mmh VgK3INwVCmcNaAsKNVgd1BiPQ3SQl44y7WwpuPzrT5yN/cjUGLpXdmN7Lv7E8MPmxyYPmjOK34Yu 1jlnlfNteNPYqS2wXaD+3RArjYvfZrjGBTUkyTUI7mOQbi6GPuvWd7kwk4fvh0u/UQA+qxMyLNc2 sNni/c4UTtSnV8QJiAEOMJgEahcvt0PH9QhXsf2vwDn7vlZD8JM+hhS3XPXc2QSMDB+yd5P0eoU7 mPoTQuVgiZQT+tzP9Vxy1iISA+eVw/jp+yF5GukWU4FgqGYcGQH6S9Ltxd2+yM/6JRMXsJhd7cOT 1eZev8Df261kSGpIF/wNSPKf/reHeOwaTlWJRyQDsjIrYi1Qg9v73fvnPasOEpKKpdNI9iWsR03o BPre2ikR2p6I45E3k+GU5iptjUHvmDIl1gC5/cZN0cJFIJXvnCNMFnkdfGSTXoT75/HVKFdXDvuf 7lXfQf7myGg/lWYkl14gOPgcg4bM2ApOopmPLQZXcvYv1aY0dYnLbzD8+abv0UhevulTUxg5xNjc bDKx7H4/Zxv4s/VQ6ZM/93BeIN5kXVmskqJPzN3go5tNuALTJSvCJkodHwO1DS/CGxUiPWj0dq0C BPIYIuO+VgGDD+OqwZeU0Lp2ywVqbxTX4xEjxA1/ziViseTdtcf1tlxK8UfDq/h8mwk+vXfawgt4 ae6BcV4Ow3klaWhnPCj65Yqb9ZiKXem/jyxxmPs7sJ5wNj9YGnOCyL3n1GvBGQOI5KGMQpanFffg fQrJFVcXzzSzcYyA80I5qXNd0Q9hl05Y5aUB7/s1PC4aBIXossLGqWY60icEbAqJ9ogtK3M1okgV mAde2smj2acEVMarY8a1sFAEReNVwqnB8eHWMrGDv9viv4oBoOdhSXmKNLauqhJo+jt8OIRC5fGJ ZLystpH/gMg6iw841DpdhT7PtK1r79ydaN3Z7jxTeI2/JVLapTqtDfHirEauHaRtjCwzcxrem5wG 9K6j0U7l7Yyf3H9d9eu+h3jIIoyfieE8ltTLpa4N0lonYV7mdIjgvCfFDbKja8uxdbULBUL2Gzzt voaX/o767dYYmkCrccv9KW0ZE/8NgEPYJ61cilwShT8BYUAVA4T9DtHzYMX+H+fOsLhoKrp+Ew1w vXTt1rvf4pjXLWOS8wszjdzETwirZaMTWy992TZNuiC/zhXMzNvXIk6rk1Bg+PkygdyyWMvWBSrr yk7zOfWU7Gyc4EoxOyuAKjPKF1aiSzf3kP2UOIOT0hoBx3c/c+7wN+ICb/Spv/qXzE0ldyRRWWm/ wblDaJJQ0TK6JYseieEe3Rl966wq3nHf0g+edMkn4UGvWtSpA0v2HTtYB3Y1QIrMVrnfU9g/l8kl uu/VhDkK3EiL1E8F8AISsemShJSnUvGJAySytw4GeEvE4x3DFkviMIDnw5xZR/8NSa5t/pTyrYXe /FsuecTF7RPKcuf/8TsKGN3EDdB+8oXtqWts5VZucXJYHIMGKg3CaXvZGD5kQTt3gIfSWXE+UWxY vrCE0aXKY2u0EnjGBBg+9fP7DPggScMQ4NMvxqE866T+3965Mk4eczUmrxQzdUEB7aX+17a9+FZo 6Xo7VwTwM7YyJctobDAGNgYSDMjgIrs7tI0iz+DBKI5Z16A1bV+DHNJX+xuOOX3nej/gdCxgSDEW 1PMk3OsE0FuQeTGwHv+9MlmfaD6OayObi6a/kmj+iCpWwcilpnqUpbqS5OCBjJWx60kzqYCUOoBO jtIoz+177F/v58G7gpYsLg+S2gjS6CUFAbY49NRKvqTU1OcEGi9OuOebbA6lGZ/2e66OGxyi75fH 1vxYfppMeF1HFFT11DHYI8d8CR3eTn7DpiZypvGaPgg7UTwY4j0WD3P/ETdnCwmOU29RjytNGSUF pl6DCL0s2g/1kG+fR5ctQBC9+F9CjjZmDUAYh5KWB2yZXBY+A9oG5k+pmytTCkbaeEhfZYGZuTsc j4NLskpQTn1Dvdi/ipk+I4OOQJQTy+E4gUfIO0m+ahQPR7yZ7Pl+rAQAWuIxQ6VgquutlZBogoIY nohpRu9KGx7hxFqsd8REVTwjggj1PjIcKS78/2LzbcG0aRqi08besCBz+ykcaXAg/Gfl6G93ihsg kIBUOJpytflkuOA6q68mu67XHhyIc3YPv95EN5JEL6KhcZWvsIXrELDTB2nRFDbpk20oskIyWT/8 ubPRPzUmvcyWEn9Zd6znHAY7y5kgGpQKnSPfLOCF8LJnzJuLCWhUUUqGOyUW6TtdoVO5FBWtRTw3 /YtdVEXQKMDO/qGV88ZMb3YN47VjG2kaEVm1j8H/8D4yyQUGiD9Jg44YveCg5vH01tlDLKewsuOu ir3ZLDGcHTUhPQX1Uk+8/hrGaMMPi6+v9AaMnvKK3mPoT6aPS8h1YqvxyUmfKLPUE9D58v4IQ6fy N6CaFrkQu9Yu3UhOop5ChJyk398IX5mvBVbSMhykPKWbA0pYWHEVdnDp3PdiFoHsdZJtaA9qO7lj iye7yCghSJKh1YAVgyeGKarIsf1J7iM4rLaW1YmmJPn1qCJfnUuk1joQP/IWCWwDt7BGogALDR4u KptV6UJkrSqneEy1QR0lut8KL/Z3UocRag9/tV9NVB8Ujc30/B3p2ma+QJZLfAKO5gGbYNaFU4Zg gPp10xLa3tzza7SB+uXqLerpPW5ZiOLFypyMPQi2//EpQuXKE4trnDgwU7FmzIVkEAKJ/NWC1HBU d05jTMOcfmk3DZEU3cKkl84yE2X3SXvPHqSL3xC6BC4vNRZS+LCmRC9LB+DUKUO5Cg6KsurpxTGd htd5G52sQMClUGKWjVJlRjDGDSopsINKgqFcIanzjFwz79N3lFNqxE7OxUhpuoxkag/Suv05miB3 WKU/NB0pJmp90Pe+EGTD4GT3osp1utczVnQNRwolQbhi+imZTvlMEU/uCnCl3NtnhA/DLepJnDrr e5IdESWwZL/xLudNTVwESely/CFM4YxCcRMKZ6LQdddLdZdjtlXNeEs51cwH/9F+TDZVt2NYxDav SAF/rilk5UDbsDsKCQ7XPsQn+jQ5vNw5lgLQna7nAeOxMKd30eD+ZRAH2pEuqkwXwJl/O/SvYqgT yvVCAmB51BGKAlgSmy3y6jrxjwhITmT/hKjvwhTddrBniwyQlTQEQIG9XKQXlZwN76lPwGv+S2tc MM95hgFnVfQMwlB2gbBcNBxLA5u+HhSfYS8n4JIM4UFSMPJUnmGY8MZ3nCTQ56nJHME6PcVMQP/O t13cjP0Kn8TdhcANT1B9448k8r09GTV6sfzs5ykXU7OMhBBJAa7q2AzUxqr8iISg7yYAq2bpkMFD ppFOIMDSjPc2HZo35iR1AUisM06HgSWNWW29FLs+5ft48lmd4Uz0EGbEVFSfksqQURjAszyV5lM+ fQM3sEL0dDQevtzBNOR4TmSIyn3LYtgDfqRCpS8oSpfLuV24tJZ3tMkSszJMWC2S9yGrjtjNI78c 10Osvv8EIRgfLFKJAgbN93bi4RKDCzFBb6r/cUFWv2Nie4V3gX3R23i2pdonBEE4SnyBL1rvkt/i YfUX7JeAEBeekwucEuMcLuJn5+9lQyU5Pj6i00lP8naCRfDbZja6OiWL54JtoSOnwPzdoLgE0qH8 oQG37V5vhU9phn8M7NZ3uctYVhPb/u3OSDR3LXLIY9et3n3/hdF8ud620hIR87ZKEkh3gZEnCp8s VrMg5XdnL3k8yivpnZnLfAi+ZFI+OiN8ck2g5gJQBALSrIPiLRKVIQvtWZq1NFZnSgMMLZcHBfGg ZFqxQgoo3sKyio1RkpZ0o2ghb3uIjrOQdA+zg1fSaKVVpYSJK5iKChmyeoO79J9mfM6xqu+Dh47j 1eHmzIXxIeikVNjZX1sbqvW3/tLV+FLjUfXvjb4a+Y9C/UHMv6FUy/Vm4BRPL/+qAmRw+imAB8m0 uuvQA7wkDoospWnq5+Fz3YqaaLztpQgDHyzfZ95mcm4VgZHBQkOfd61QQgBrOt5mjkkHkXuTR6nn 3VwjkY5fYG3fMyDzTmGTnVeil0wWvaM01/vRzClaHAbC1TbO1TBZxUVlk9WCdRjXXBO9KCmDlbQq 9MBPEoj5+JZIomqylQHK0PAbaVQAxBz1GF2RLkYn9oYaxq+dbMbAALjHWF20ULrRggVbLpqzhgtQ hhHL0hl4w2dnEla+JkmBwghKpF5xSr6SDmHQ1YdY+X1s6wHWXmTJZtVmLrql8U9F0V+MsYhUzFTy 1WP1xRDeDmKS9XNH2Bw8WZF+e+Rab7pWEstU72AsfrIXWjTD0JubyDiBA8LNWzr957MfM1JWq6EN 71cVZOdr/gP0EzjDMMKiajXvTORAOOmRVv3fXjs1gDHNtyTmdX63mVyLIDEfDOPEc8EeIKD5yHgL lvpnhmdiR+XlTvEf9FAA8+KXJ8MPwC4ANfsvVI+yBiz9LfOOPW1sJ0eRotOUwl5JYDPH9o9ID58c wkFJlljJqqTzjBA39squJgRfGrBIFcwRGiu7dOvasU/3P1glDTCDFP1GE+INaMbnYBIanwxsBgE2 nErnvwcMmIL06//UmUPbjLkH64wPeDM7C1NNXf9rcRhjllzwso+bPEXaJRY7ql+7phMPUuTTPFE1 68sT3TFpEPKHFp8YmB4rpxOfu/hyfbMZUH4UzIzLy9vz4IccdLSH69WUz2iY35Y2kHr9TzRRiv/i Y+ny+JkuQXR9VQ70AbA6ow1UeibUiHZM4ZFuqoC47Uup/TB60uV79YiGGgfQiNYB4lZMzrnOhAA0 z6/knq5tUYXGR/IR835h0//N1CE0DvPAxOUpY+8CYFzZexwr1vPbGF+HDA45VXuQf85yqqv9fjO4 EQQoqjQxC0FhEQnS4dFkMNpy6JNo2S3CGWFJM7eorK5deOTIO/poqCsyWJ7N8maLAP77gUFCjrYg SXtsaQ+lgb3Wzvt6LVVobrKS6Ibkk6I0trpCPOHLfROsSTopKnJurfNa/qRCDhjao+q5WIxCNj+6 aAMjGHxclQso1MmIG9+6h7BmO7n7A6bok5qbQze1FAZ3KuhjHNiVkGdXEtV0MaKU/psWutcjdIs2 PZkRGSYr8gs/0rniXIQoLV/WkfiT5EYNYYZnYkJpVQ+oaKC/smSzK8rf2RtNwlOx6fre3RLcczss KCyVuSg3ypdQNW1yyFJMW5boq3TP30du0E3AyT9f9qmjD3KsCJRmM9skt80nrrF00pyyCy9mGu5O UAnPdYA0MzU7SOkyw0xnksoZnXpAdj8rnrvJ9AgvzMnWXvmuKiOxOAjie1Hc6Uas4P7TifTYUpgf nYZP4FvsEQzjAy7JhtQqp4ad7xS3PIjoMN7hal0NPn9lUr4ghVUs1CBQY0Dt5pxdyQH20uZXGwEd +FJT340XtX3J9i8DNmj4237jsDkjgJq9qzxEO7lBdGmvVc9YlehOS4yna+st/WDgxBsTrqKvMjKk Sdg3m0E1MSTweOpNCBssD/Ci7GrV0u4FUKofDmQVSRt/eSfMPZtN5CaJvIn8vwYobtb15uU1Z62D JN38/3AdlZMeygoCbE6K3kxP8gAwHjs0QL8i9ffilTayOjfyWj7YtKihItDS50U94UVFG9Njr++V jeK8Fo4jAeFM5cT9204wqLBxXPUJlaQbNGd9W3JM2rp0Bxme20aUfGBuAAINyXXuwsUqD6naZ6+Q QS66QGuqvf3JPxngcCGb3xt/Jpr+7U4T/IIeSR/KTZssV1sZiuqcWfQ5n5v52Z5OfmQHqtK6IVxJ eZDJMRUkIBLged8U3eCFE5+Hk2PH6s9JFc229HkYv2x+gnkyRvXpJFL/JqBdLzSeAlwi41ZyhLoz gjT3jOyA8W/k5VcGln5Dn/MUwab0uyOeucT/DEwVLdKadGKN09RTbCSCItvsRZ3TMZhoGniLXkbS V9fS6bRtcxGangIAggdapGjCaN6ymVu6v6lu2F11oBWuWlIBL/RfQsZTs2A/2mHHlY6CV42pEsIU D6QE6/v/0kv+V5YqcIOAyH8+e6ZQRcW11D5JwPJr6Xt+9J7MWUK5PJYL6kpmnPyD75t6kH2Q0XR2 wcNbudbVXogBiTdZfkbyv8GO/PrY06/Z4q47ECY8G1J5VxX6UOrmUtyFa6z4c3jHNUV45YShSd21 aNwK8DyhavOzWGn6tEOr4/iWygL4YlAwhs5q1fQHMPWipzl4345Rh9PwucimXtU9V84rcm3FwCk8 4DeMk/zYkMeNtK3nyoHoVed0jQgKc8ZmKdJA7ifI/PaudL4HMwzhy9d95IypfU8o6bZjmNS3xIgO OXSDk2j9DHal6rUHQ9v9g/C+3jcv5h5tgqh3XHmnWBY5cVf0igx5WAtxHGu/o/ZcpPYOw6mGZ1rj kxLLYTcoaNJPeFTHus/cwkN7Lnng682y6HtmXSen4t4mZV+ZdOnNLL30SueuOO9C5DyEzLrmS83t bGC4j4zfCWS/8Qn2FHI7osvEquZ4d7R7L6+USHTPQxw6CpqNfWA21K0HKbIS1hZ8leNSxLu/lfQ0 cTUT5jydFBpKEfRJSDiwbwsrHQyACU87TnqWfT147hih/4nncmPpFbw/u0v5iH+Cvff32rwB0dFw +Nv352YRRUSXWCkogdnygBPneS9qquerIWaqJ5FD16/0/6FS/oUoLgXPaIZefG3jBQu4jkgS4jle yTyhXEOG+Y+YfFVJz+sotugA9MoIGJgn33B+AMzLRp0wfjF7Im3PQEmjNVB/St/SbYLn12qyAmM1 aBtyQMFs3KD30sarneFNZtQRV0waVClGapeyQM3T5TUIgqho1+bURxOmWqXE9P1V38qhHn6V3OSz g0pyOhWR4zTD72snf8bmolgQUUhbkb0jW0UH01tZ/FjNH3fQaIuzptkYhnLY1ViBSI78Teps1Dpl A80NrgoG+N8+88varUsRAzXJZkz0WyIIU86mvpxGId+EkMYhcVoTaMNKw8gPLu2tU2Qv1t97dXNx Wb/jhACr4uDpGNe5rx2a1HMRkbwWCZPi3w+nEYzQhlzXq/LmCwNuqAmJOyuRir/GWVRIxZPa8DnK RcYv78OOH+ecWWpqbkTGS0/TQ7+96if5w+N72IEefaD5k0Tr8R8iJcjAwwgO/LXNihP6/5JNFrvz ApnlYYRkgciu3tfJ4tAZZN14Y4+CBj0SmZL1GH0RcMe/BFR7ahWv1ndptO2MRkHYjDjc1zQ58Kn1 Wyl9rKX1nNBi/mOlQTN3A69ZZKdENG9yubSoBp/pQZEyR2pgGvo+bbUkUH0BJA/bwYHpBmztqTEm CfGahqXoJOL5BbaHPhxkeH07aXO7WfVmSX8MPSSTZinlRMSK3RsbIgFMW7DwfhOQy8W9xJWRIJGO Vsp5qOrw/FZLmZapoJdE91LTQEOG7Uv4PxSD92wTIqt3nnIGlFV+a4GJZ9cwT/zKZYpBms7UAzZn mKLzY8/toQdl3rVwhidGtEWQ/i61aokWYUkcUTd8tw+BewFmmeXxJRq/skP2mnlBIT2C7uRoXrJs hxZEf206ALg8bj3xchmj2yTLgG1g225PgAHVK0je06BWqE5ljqs1YTZe8Suc+UHmhM5f9aUzphJM i4BeSzgPlEgW26ADzS8BM5VZ7gI8MqR2qAkf8C+hPYscFffz2rrMchhkrqL4hSK+qrJr+GXx7F/B P6W4A9uKdLLV7ImwEBuNwDRH2GMh4XbqBlwMMoiWR+sx2xb6UG4qDIqU5uvNme6DRmLY/3ei3TSs ulFm9eUAJbA1USsJuKXAHq9tFqTFOjddAYzfws8egcdiSkBBJSzoT6HV5ID97MtUT6gwPIm8ZmmX 01xCBAwgJwbLC83c44O13KVIAwwRgHdRjmFilVG9dNG6DBJLKkC1j2otF9LFAm4wdclYeGhHWLnV aWvLrQ99LZyeAQL19WSV4jvliqvZtZ4SuOJZbwmFMPhUMobXUWKjIHJfXt5U6GXxOZw9SSehTqSO W5Lg9IYER9bBDS8BRoofGPaLyERcNITuxNzgQANXjliSkbWx9ZWZTiGx14h2DWfcc5h7laEIgDDz 7x6oFWYH8wJYMFQChYy1NlOcpaZyKhZKrf82/5uK9BucZS5UNLPRlt9C4M0LuKj3gHKUQtmwbzzt odFyiReJwLSyCMuihzK5MwC7uC3mEhn8z8MA3Y9J7cyHBnuLGpNwgnnoDpxgFXhOWblPM3Qlt19y JbBhiqecFhLHcUOwwEGGMIXPoXFwQoGT3qe4i7jsMe/mAMMQDIgnWagl+0pEIsu4ThnEa9GG2295 ze831Nni02l66+Ai+QOcP8lon/UIs7hpq/64vuQrdUkIKz7u5T2OMWE5XHhoj9bzQjWiIPTSqrJ4 O75KscAOUgonneblkM7GCi0tX/ed1bjuRFbRTS438PhFGxMm7pD3DhFNlWuyhN9rwXE8qYE38dqw qOn5e2BNggNe7Laoy8UuojmPLB9Io2Ropq8KtxXL5lMIvcVqxgKrttSWSUp76H/71dPabUVkt5M+ 7zmmXeG/u5tkwd3CW+yNEH5US3XTG4kuNIM1BTU0YK7FonKozJTxd096bihBcMh8dUFNhEqrm4pa XHnJ86MFdfDBtJHPqDFHNi41ULUrr+4BJTexhgkRRDqOgFzjgHUytYt/quOtCK5Qsn9X3bbzUB6f iGdXVKwSbuFSB2gj9FxMEwUk1SMPXmoKz5Ws29sxQ4Knj9EPvtPtTjmqXmS/cITLNCeO89+e0J4R S7C9CrtfDe0LvfRiPMiBcN2OHEm1tz9l3mOemblI+ljces7+r63yghZn0ORNyYlko9+wiMPYhdTB InBAtpP+qNP5GvyrlTv43ucnnxxaSpkXiBGQqLQeH4HY8xZIEeciXxDkZ7vaHaE1v+TxtL2qFByv gXUzQFf5jOZdh60KChnoW9Pkr3SvrYFgDs4pkYMYCiMM1N3B6j9AkxBvE2UCtU6bzeYYM4REB5dt TLGChesf0bymII8rirxdyfct6iKEz1JO2SJamxFswtofBkEpoqTKNF3pexTN2h5bpKh21AGdtU1g hHFtF356oE4URpKbV+yTQExDS05p9AS2CeGhHHcSPMHLcuhzS6+BkM6yfv18ucktZVd7QWvSRBGP EuVs+A88uVYGF52v7NoRktgoKY+tkuPxfShdwQKYJyf6qDBXLtUKXfQaw5w5LrMaqgnE1Mohr6Q4 pADfWvT9BwCkg4acfMxGS8mHQM1MHwoEW5qMER8uO1TYd+ctJx2ihVh/rTkunLdKnXloib52G/o/ pOQ9VNQ8aBYFDtfgQWXo/O0WYu6IGsN8Jrc6MPfi6Fe9ySqGXE5btaxO9bnnbjfy/+RLe8BxHvsu bBXa+uccPNDdm1cqyLyc+9k+r0olBXnd/rF0og95sy1gX8ACKc+dm0sOgohMbf58Q05t0SP1mWOk qK3BXkBYzgTiLjCrJBQGckXqZj0hrnK9XH/XtP224JtdNNp+RkOJznIAXy9S3R/PAd57LqFKZ216 jQRrkld1fRzqPxwwOnMn32DgxIITF5e/GhWZhVhkQGHLrvxkSK89OQE98E76k2HcRghgymnVTFdl zg/2O05l2Cj4YCG7Tf5MZ6j+sxgCFwnYBvIJfQ/MHCs+xwIWVyfkc8HQFABqZDNipuf9hzKsRGTL v6DRK9ZD8Y3nFC0j7/gBeNObLW1GxZIchqFPn48CrSuqu/K+YzkpfHZjo+kMHlKyssTnQcvglzg4 cwsfNSnhaVlxNwr+9hG6mcbu3kmj8u3E/IIcz5lDe8hUpPcI/zIHf4Gsx4VV9UoUyxT1WaHxtJFA QkegshoFLauwsDHW5eQQMG8r4ngILCFrMN6vK48pNQDB7yB5V/W3oHEwrQBXq9S1cvXl2jjzkuCe BLjhkUxDQN5aNWS76DEu6KgcLrP+sC673TzDlsKiqntn4MQhVRXRI6k8C7lRvM1zXozundrv7H78 +J458AM5pMbbvio9/byOH1ntl+2s1KrfvZGY6uhAUWfAx7HBj1y346jaVfcfFxa10m4oXkc32R8R fJ/betTABu08A5mfWHTnf1PjuZo5CrZOiPnAPjRv1kswDTlAiwQSyoQEodcsvvtURunLgrse5zd7 QSaVc9KRauGhMaOdBp0S4p2JH4r2g08h9ZCvy8OW/3g6IWJj6YjcPbeM5Dg7ZpcSufuLcD7OR435 p+bmTv7PQGhiw2IruJPsYv8dQAl3AQ2/ceSgIqsmu27cyOoJMps6B8TpPM7IGrs73mYSOJr9g5v6 XYazvpQMKMyhNoWKbAlu7CNd5iTVLhGl8G9XdChkO/rOCD+hi8ahFQrbMQl3CbKKqL9MyIbLdUFW rb12N2zOX1aYkpIuyNYOX4eGpgJ0wpCSZQ/rxSZdzPaqS05BJxgqCkrbeaKkbTcRh9NDK+A1M309 X8U0gnVSKxF32LLcwrebZrXwZUR6Kzoo0Jy5WI4FE0htn6EMCY1EGBs4B5K4fD9QR9wiCvrvsH3h sxwF+4jHGRFNsAmwSGdJESAQF9N918Eh5XjX3ZOQtz2pumYizyeHsdwOEfQzKL13KUWzKLMKaIb9 ikV5DopXMMOzHBrlPLrJHdN4fMVPROFa3kocCl43HOZ5T3Lq/rRejpxAsqcfwwsRxsxRWa0A5PAO 766kp1EoDjXK+KwM2PZcQFCcJ5Yn7Oeipq03PPGkkzxwM6MAzGYY/bKlqOW3gcLnKICxdtBYFLDQ IidgKD60np9dIbVCq5Ya3Ug4uCHdeLlMLGXc7GOZHBfSD2EWT4UIkdT+ihRhfivecDHcY5wl86T8 mpmRav7nU6LZ2/kXaUDt7fdKp0aXt5T2qt6uBCMt29e0LMaK494+QUyif+CjmynGVPjoEGrVakRf Cq31fAgZShXEnMKq+IkkAB7wyLQ9Apm5zT9JOFqHWImAcY9LkFrMFan+oLSH1IIi+/SwjUT7jtcm 79uwsA6jQLj0crLRdbcrQFP6n5MtRDKHvH5cU/VMmX2EfcMzxIZED+d+tL3qbVpZz9GdQrVuD8zu kFJiadTbaGvBRhxvCBty7LYqCoKsiL/k27qshBUWD2ufqZIkQEApWtwqCsh6bE/0HZLJQzkgPsjF edSPZXffRL4/LJ9V+jII7ElknOSs/aeQmaJ8YOeMmldPlQwAfvB1ufZfLlhvN0zfwpnvMcJEvf+H wroNvyzleoy69/jTONNX6z2nJF1aEQ/jb4WCjiDg27tePAQno351xa8EPy615kUYSkG0LdJVNcyW Xe59qUSlMHCnESo+XN+8KinvOYFnhX4sVivvUSy/MASYHhhySRkRYJPn1GUQ3IQWqe1uAxBDklLv kvoSmywR9p44GAFRvIDCc/Xpi4BhGKEXDOmmygkVG+YQNYinNAIMVnMY3mB7W7wAP6uZ8y6jgTfU NoA7d7AJXQZKHW9d7zCcEUidRTb6hJNXCdot4jJbgCqTPkV9pK+GQAwsh7PiMxquASDC7lBWd9yy Lhn5dLLByo8CNfgfJHBaAcp8gHNaNwvyDYUUzkfTQHGZjMgd39GDFLHf1bsQGJ2qbn5HXY8hskU5 dLBEhxoKMcDTBLQi6Z5C0BnJjja+MjYLSVE07oSMG+YEnssRqvBtEbclUo5RExaKs67akAIFH6Wq p+V/mStaG7GMHwH7iHCj4+wVnAbGbpGjuGU3bVUwxXuyNYzP8cSxaVkk2x/LcVIS3nmSirMdwn+U hXQuOEkFZh4K7m3s3BaeYmROvGgPY2TRX2JSo8P4qkLwBbhqLC/YDUfa+6JkuG0Kfquu5iw3pL2r XfVDEV+khSqf3gsglG0mT04SiXoTM63zVl/jCiR+1GD4vRCcrsBX9UmVTQg1KIYbkibIbVNSaFeK LzERIpyxG39wZTs+wLTpDuq/2boh5oC5O59ilKosh+9oPIFGOFOFc1vTa6NdX4hJeUHz276K9oi0 /YdTHJ3KZjiKovCqgUozyKP4xUT54ZhGqyQ3A8YntKLM7nZDo4zKL74QOR834I1kBRwuXxvJ4Ute +fEqOyCPkowPAatagH8YJY2nNnD+7cvlnKt9DqofEuLJ43YIoxWOZe59zpNqhse/1PZeEWn2Xoda AKnydbCfSKSbgRSFIMCFT553IFemZuXdfaz8gHBohjfj9va+g5y812ABK3aXji1tac8nNfi+Q8s7 /JuSRUzn6EQlXb434dc5apVjFcJcUhTXke2fEY/RC8adiLJxhDY+6zYP9nN8WRZu6wZdE81l4krt jZb2NnOYCKA854gQLkj6kzP0Gb7MFFG03BgBVEVF5LceVkFuDsJAUXamYRTDpvCgD/ZNUd36lUcA nxdiBAiFVvVgzblc8Febn07eq0qyqgoacbnCzC05JTvmCT8gfLYeYxsIPkixRqQwbC6LF2LbAtmv uQKhNoYqqXa9gQB7HV/bbZzq67DDM8hErBrYhzKlD7UW9pUjAILrpQeAqRBFkjaJmCKU48hj5MLR I/GsmpSZhWCFFUR75c3BLMM9W4RerJq6DXrQM6+lUhN9X91I0ctupYtcw9vNh7KFtYimdesfoFQu DaVK+vE/QAIt3019W2LD2rGv9x60Q1HB4E7Lu6+PiM592B4ufNXT+BLT13CeUVfCVfJoXu15hgnJ rH8+VZVMhFR5Ms5ipvpF08VKPB6AoFLFCRKPYIud70Z9IkoDQdGvGQASDp3uwdftkv5fPWRFHoJ3 1CgE+iAy0uXqdDmrcgDrDXOSI7OwdtN9EsSA5YKPp5jHG/6oxUasn8rYHsU+5pH+L0SyBdJI6sE2 0EG+sF0Yn02DYFtwJ03h0gWXvoHEpz0003fvN6mtK3mdm9GqL8d3/nH/lrXRBLX9ajmcdU9FQXPT XnGC7keE3n/gddZM3a/y/3sVriK3Yw2yYA/xwWqtqNMfA5Gs3U3ToS/XYFCvVW3dt+RiY63L8VuT MDqwJz8+UM6NHdG1/axuRG1NNdSf3lYgqnKqvzIB8ACMes/ymENmZgFYDuUH1qEG2xm0U0MicnoG M0XcRu/uNMQfASWKowJQeB7Tq0z0f1aQgM2022HkrncCvIrxxhV16mIrbvGT2BFoYkZyMwBQsvNe IGnrhlDBeg3RAVXe+VHlm7ROcFyCrmcgxTYakLJXrng3mXdnwV1Xfg7i57CZXtEAEwEf7uQ7lsSA jbeGkHM4d2W4hmzkVoTp4VxuIY6RenUJjdecndYZrHN0AoKruyF6XQ7ifYcQ2w/c4qyw5aS0mM/d wnIt3nN4LeBFuF58RmmZu/CxAn2r34u8TQ0wJnlFff7C5XMk6kSxANV7Jlj56ahNnXAsh88xHjPd ZHdB78S/hZXEDNYnVyHZoS6dp5+s9jgaugtop8xCNCbSiAPV1WyPESq1dt1wwn8JfDiJfWAuGgt1 I/Hzupj4qC77hyxT+c8hIWueVvhIXq7I4s1lpNHTCihNdfFr24NcwAG9IOo3ExyvqLzYmQI1iHtH MfnAYWWXMcfjq6ikSreXq05mKejv6TR6dlaDRdYAHw6M+n6XNcCO+Jvo4OCIlANhKijDI5WPOvLB TJGSbRzLbYjUzPYEchvYaDe/ZfyevI+dlrrM8AzbL2f7F4iOp5PADDfb+etsX9RW/G3UyaBBrBjS P5FGZAO48mWYBrrWD5oDSVQbmceQUtdAz38+KcKygGxl+49J32kWsIRx+21y4SNbqTa6Q0UpAtfy 32wUOzWH4PQETdNkPSjTpP/gObtcStNOEwHCYyKSQB71qtDDNry8qcy1p8rWlhtyViuQ57Y+BQTL Bl3Q19ER7cirbkj4jEcZKAERKY8P6bBn26JmaVeFMl4vfxDWQ1Nqf0RwD4A7k1w0DhizTHOoOakn qTmp44G+eVuaN1DVMJYR35UNVgs5LZtRvw5orTBowIic/uSme/W+AFh8lsQf5irNNK1+/pWIpIWL qhjGbjjXLRyFBl4HFGaI+C24J34iqqGGji7Hxl5iIlpn8zTKaoPP6aviSNAc0zA2SimLL9xujQny dvAjdicgXvgMhMxBDvlfMhv/zC0Bw0MSJemJmIDjvl5ZhkAi/L0iajDUqR6jFLTSvy7B5Q3Pcwv0 LsIFuqr5HJjVrnQRhVlxcVijp0wtrVZIPegvrLnsAsrL1BlGRoKJQRSp6Apr18ZBOSOWm74/3y9t /Lzwnp/LPINdCNLQysLTFyjmx5bV/jG9jQau8NJbyS0mAQxf/a73eruuqYfJiTgEOk+0S/rU4pC3 0CSQYRQw4YSXgf+5dUc/qqU2QBnR5JHcjeD30rwvxGfn0DJmbozJaSJXO18ekmgbaVdq0NKbIbLb MpzePCMobCoD5EqD19TxQpVQZ2vRMmzweOrJqYrQoIwSKJhUhiBdz8/T55H+8S0TTxNlXmJqO2CJ vNEBCwt/DjDoitVTKqdUqUPCzS95dfXMSePVT/VvnsFyHORPQP1SprVfhl6Uo74ce3GSg3zUKCC3 HNmiXAWDe9S1j8FVg3dx3XQepxZZ7ynPRKMtSYzl7fgoa0Sk6ha2/nDdUNz+t/t5wyJ4ANlv1x30 OPABncwSRdd6PEFRertyk5kiUerVyBpv/Z3xQi4l8STL7DZNQixlax2u+EihrBSUCuHhkrDHraYG wIjOdrOKJY/+SQYlDM+sOI64/2RhjNM4eigZFexCmFgXowvXZFEO2netU7XZoR76Vb14sLyCfDzs gaUVTvOri2LHtdPdSNehDR+rtfDBjNbokI2sJmiGCevaiOuUB2I0X4eD8/snLnIm4SwQ2+usU3oc MSqZl38VehW1yX92a3s4SdjKtvMuH3+1EpvXHYyQ6F2MBGNNASig5k71uOdFB0yPPuTX262a9YEW nCcgun0F+SNmZA0JyG+n9Rfx2AUMUiNwLNHMrlZl2PpVJbKn/sPOPTVCx1QgVbPBUdQwSZauQN2M U/eEA4tMh0BAJFVYfTUNih23DHplX6sRj4No0pTw1Znm9dbOI2+Z7ZayoffvNPLwXxCu0tBhm9YL ylB8ZqWbl8XIxLXXTdCY0dhNxDNowVNNYiZVib+7BhNgTIwOVSjODo0lmCVF2LxmsimpSqqI+W/I PsSP8+yE7b5Qjnsqx0ETC+wyh91OmILcGcsNAFfXi5w9x44lnHSPOCww25Bk/tOyoFxQqfhGPqwM 9TOpsueXJDs5fMCS3GV3flo0rzLhGOSYV2fGC9lKL4wjKWAr56W+q48b82ysbr8i6nw6NtdATthl nT+UQ5zr+2Wu2x06BzvJjuwK0Id1phZ2B5yCrpoH+PuR3bNvKK8EHrL9IpKepISjOQR8IUO0S/Iy nqpvUi4tbDKuPIFwHTW/om8tgzG2VVHruU4WDc0TEGs2IfOm2XnVgh8y1mLPaPxfKL4xZl7h1Fpd YDuasW6JVRLVKEi9lIO9b6VnTw1eerp7GpoOUZHwKnMrM/Q0o7D12AtEVyoEA9MY8FjugfcpsygE ZLaBDoxT/xnYCNVzSXEOeaeohCUTNweKn3W6DdSdvk9B45XT0lySbduZ4NcFC0rZGOlsvBEUbi0h cdKfKfn5OOstBjdIl2+Ek/rBhab99S5IgK4QJ5HaZJNaikY0yAE0riH1/KLhbMG7RTlQwBa7q5Pn hIn8+pjzQsdp45efSCnWl5PJ8HEwbQVYja0PHvbUu3Me8UPWyLI5Cabx8SC7jjWwurZMS/H9CM8s fYdsGatrm2fRcgXqKVFlyYH+aRlqw0jjAXEeyJZYTOHHTmetj20Kg/UmvO6b6Eb2Pmw3Pm7rHqlG lBrZq+6icrBJQBAsVARHNujB/gTBJKChsV6oyjJNhhqt2rWDjPqVK8QoedQqVoVBxHJ4nV/Qy9/1 3IiMgoO5g2nQ00rxwHXZ1XbpOorUh13Yz2HYDMCLtAvZ97z9YaHs5j7cnWTRE8slT9V33qL4s5A7 ZGt6M/Oyo6mRAJGbYSlE4EIpcMwdCcfLCMu0mR4CcMAcxJVf+Lm0qY9n/ltqPG3vw194le+Y0uRD kHYW615AlgFczhI89HYFJsUEBojOKWr8qzfXLmsuJa70hgmG5JJ3H2xrFvPGyZROBfMHIzMhxqj9 +h5BEaTLVq+FccvvX01q16uKa8GMzblUVxwp8uzNXTqsjbIkXFo7j3oNFhLdcRQV6Lv3R8Lv6/Xc hjgQu//WSb6n5MXjBOoKioXc5hgecbZKXmN7X/3hmGp9Msz7viGj7J4HOxLl+YqZOmDtpd+z4bmI /Wb1h4kvYnIkDIv9oRCNJyK0ngHtfOGHoaOvWKRpmPhuvZXY8vXeev7MT3Ul9v6ncMZ5X1QUCh3n z25kAzxvoUpHeFxiv47Oi3va96N3pZ+fZWb92jAJx1NM3BkMBJwbZNDv9iO9r1yuF/IRS2DZdllm qp7ss1Hh56wGagGNe/rWFm0OnFFZO8gNLZc1q1LuSy4PAosfv8jYeIOEt2F1qD6YI0f/5P5kLNFC m7NkdMoLs4xpZhH5ZpWPitfi9INIFC9uiF1xleFWcX2cMcLgbOe6+NKN4ozmHI8U8SpX5C98nYxb OCxnozymx8kxnfDGmlWHlhk0Rgr3DJlFuUKXjjSylkV7QbGUei8G3EtyxW7TAcwzxJxqYfxWrenJ WoPh1X5h+1G92u6JjKCnuV4DDIoeOIv+a92ibYf4q3X4gkAan10a1QIM0kOWoLXJj9JWcBBinrXo 9Mn2EZeK3lA/iDVnexU2Hj4kCOp95jeZsG8bFQjKTInhfSYTOpjdJJyCo78VGHwjq/OhoCIshZaz HUa371k6aeTY6oPamJoZ6HRuhg+IiG98oQtwZnOWtYgWhZt9S76ca8rhCafYMV8Tf/oKYnqQVlms vLxJ7QddyY7oz4p/jxx1H57A9Ilr25ZEm5zLp7z2s9G7yLLGTU40bCD2IPiNmDhOJ92dwdfCcYuS bOgpef5wp1lewyPp+q3KgTkjBsAP+pm4pJ+NWF7sCHqKqMcBpC3byrHqr05TTDkJsQqUX6W59ieB ZGNrQmWy77ae5ozkQl2ErUa5f+o7On7SaQUHxijha6XDi4pASe8YqnWGjbZHAfGqfh3Mwkhp6i83 Vr7JDNaymOS83pHeN0rRkQxebPJswnki+ZpBeqQ47TuqaJVorW23ROqIGWf159hr8knnCOgQ8tqZ SY7GrPpDfhetK4zKw3zfqyGDLId3AAMf6Y0OKQp0/71SwdW2Dn2Dyh00FCu9xm+6cHV1XSeGXGy1 JuhGedSeU59SMIVFq3msODSD/HC1XvJ9HhYGzTlc7HLMVf927HDFAgFcDVqgbq4ucuyqvEIRWXTW +MuGrz7PgkVGd/tMBl3/RhZZrX8jFd4EvMgc8x90d74gBxx+6/MQ4jqmdYYuWqwBSFoKEWxVPzdy X7+n3uMygbKYyKiUVK/6kYcNUfNRwZX1AZ/zCABuWPvo53ExoG8W3jlbVa+Uk5qEaZd49ERd1VP7 8RCAKZHuOkIjyWWd6XlaMsjIvpD3rrh3HNkzqhQISNimO9udQmIgKs7AeOIRJSDbnJ3aAqd7MChT WcP5OQ8RlkYdfI+CTHSLLya3lI1Ij89v5be1M1Qz8Eu3PNhk/qdHOtqSVWGSuyEvf3hRYXGXjVym s1dtFxVYuPDDdEDdKGqFV8o4VAu2DJphbTJGk4VUd4SxzT95I63zjAI48NlT/NImO1+zVyvaRfCm vhtu8Rr6KM5JiCwBgzIUEVa+mY8n0jZtYYuGj5nJc/w+24J+PdzEn8GyqSnNoD82VxvWaBw7ClKc eD2xElTapl/+TljrAkjQWwwoGjYIU6mQFcQag5E1sDNcOgYml2c6nrDFlGfibUI1r+MJZSXulEIs OA2RKvRaSnee+RvXP+xw2i6bq+bGQM97+qKrdK4ZOYbcXarKQtonxfM02ovvM1gjo4VZL+1/KfjI 6S1npT55ExiP1neAtYOTH7hR9BC13JOk7hP897EseulNxVy7oxOW07QlXFtotrIUXFaIZrnFzTHd r60g9oCsMIo0Sql41DmOJjN8ZJIJKg2XanYaXrXzNduykz3jr4OVAKRgjask/1h0nC+SaP2JgvAc dxfNaXS1FK49aL0VOralJ0wlwES3ucJGj73tcvLolxBYr18uEB0YuARAn1pjqGmtsxnT7F6ht6z0 uuShZTk+PRUAAbXyO5R37PwmMh0qAdJO4Tipi08+Z7esuWTNZg7PV7T8kON6pao9dvYcKigZOHEP jt9kC/9IWfvVvlJYzKMfm5+5cxtG1ru4SVG6J7IROWoFGM9peJ+tXfFr9K+1HkolHeBWeVsAH9FI Wize1rom2GA3EGF3CSnb/RKV0JV4cfsDgJI0qCkqGLQdHN5jbqUAPfiWjguT5GEhw/0CPmJID0+O atVhLXf+ZMTpbp+97qUBs40YE2Cg2qCtR3QURAyYVnq1R/vAVZj0mDRTwOSVq65UYoRYMexMzNvt LpCFs6Ks7nvH8pgOWEYd2Jb9LEn7KG7RvOo4y8FFC/bTdWskk7925CyQFrKqzuL0FhihN1XaJKTt W7LMB7vLMKYIDcu9LyPykIitZsa35lel3Wj44TqdjIWaKi6jfHOXbklwAFkQtXf0PJLmSlvXZa09 cDQQmqdNS5VHW9waUPcNGFFm5FqMSYBzObhMWOKSBaD/1oIZgBrUHo+oWBi927CpfoLXYagoY7Y8 dSvqrrzAECKVJzZPA+DFJkT+If02YAFf62Fg9EgQHiO4BTZseNSCfmWk31T9GXNEwKGRS6PYppC3 8LZIGQFMilOTqaG+UsaBOPkTX+DdT2dGr14mSchIL1q7hgnT8VGQoEBFDdMLhoQCSkAS5S1LjA1C dwAJhB1PSBOl2YPfxRUocD0rzV62Vif1AtJjnqMgnWUpKJpykmLpWueA9HYnCM/okzDSxOUNYP2n T4K7M6YimrQTx7H/1aZFPMhLWl6ll6kEMOqDqERfzkhwqLM0HzJOORKwzoJ+RcdmiFXWBQ0xumjb jRhz1EdkXnmtuDQkLXVykBN8aExeql1cokoTh6Yr9ZfKkYS4yX3Cs8VJpSyts32LM/GiAJQQLnjK bDrE0NAmPnjHgC4o3CCC0UcFc9KtjF+FUKO42q5fx/7EgX0QfEy2thgwePqO2w/U4o8fXyVFMy3z 4gbC1DFOgNNBN71WLpOpxzcDPPcm3iM5Mfk8+B+TXnMHUDKr955wlpsnMUGVkBqeN0Ikd01DJEp8 gRX8dTXIgsKeoXQTtOIBxVHuBqc5r1cD9J4TCa9BrpfTBuV1OLn95uTHMgVaXp0BH4Cj/kOwARdi LgYFnv8qzFkAF+aw/I1NyqNdwc6VCrtHqeIYxzAb0jsaCOOeoeqmHRC1E0y98uuAKKST027kVggz OLmFjWLywP9goGsZ77zc9J/2XmSlGsn9gG1EVnsIvxTVgKysbnLGUpbYw851ZSOTm3IsFtzjqvmw 8BdwNJOKBqo/3Ye+BwzVt4woIxhMOTOP/RbeS44Xi1G8zjuwNLp8zjlru7J4HaO42EnUNs82iNPT 0j8X5UqmOZCIB5F1utjcOjWcbCserlvRdfhyR+JjWMIjWyN2qPTkXsgYQqCTjp3/pt3D6SFOgl34 KGBTfX/kizi4cdL/vpGw0w9gMkYtMAfB2yfsQXZmnxCgqGc8JjbYpuHIL4M6tG0Cpv+XQku8uXy8 UKBVUJSXeTWSGtP6LU3zuwtEkxS68d9AhUkxWB5e3APd9Y4o09E8Mv78cpGlyOYPdzyvIJx/8C0m 347l0/SJYYJS1Xv0YPOMF7ULAN6kEeGglvqUTk/Npfx8cqaZ+9+b9+RbvbgEkiK2n4aDNfwGHxtC TjXox0aBKX9iW7FQZy0UdK6R0DXUnrmKpLPBwFo0iNFOHcjQrRJRj7XsThhej8EC0As1rVBqmSqj BR1BH+2tUN+YyMEJMtLszjafLLl461kQ8sCW/nIBQAjGODEsK2MHyVfSm9iepZGYM+wkIF4HvEO/ XCNPq4OcZni7uR1y4gbEnnJ2ue2S09DxwSzFA4JvH9XOWAp35kgo89I30zM++vY//Vk6CLZ+vbmN A5zx5Y7jf4ovqqWGu8qdv1yVIJiLbodX3E8MHtIJ1THSlurxeM1VxSGN5JweAu0NDFuPLKa2pZfp 4JyYkk6j83swEY3sLtVG0VfVBEAI5RfIZF2qZGa66bUm8V3UA2ZVNv+iEqXmp0XcagIyByWm8fwM c8TMpu2vBs9wXaiLNevvdJM86/uaMTGwR/SmQmxgTzY1zg+kz0hEKsK3mDaMF531ipOMAvEd2Idg 5S3oY/Ugw1mEzY+MJPTJb5ahXaXfM8o7oLqrTj0+oge0R26zGNjf6NCFEl37/dajiHiAYrZPR9yj ZKBNrxf0L0nwarH8LeKzGOzf6JAF7VQoylwN8/k2NLrKHGJfXf7i91R/F4NZJ7FpRJ1tnInHTPKZ BRliWtwBaVfAz9rwxhNOOQSZsdHUsB0Gk+eZfeM57bKEsHw8EIeWfEmZgUeSgPcvomAMD0ZrsyxV zQWakdrDZY9mb79RhX1V/8k/j2OS8xt0aW/hdbLllVeXOvE2Q+V48hoAZYeyF2Hb2Q20Ev6dz/in szixloZZEupTBL5M5Jjm6ezSsPC+esmGzukw94nXyGRYdSxk6iQ452c8O/uePqgZDUt8R/njUAoU wtsmdWsKRZD8yXvH4z1cxeBWUyy+oWF0GGC+wi8PQSkHUoeboPu0o28xLtnzLotMeSO85rPAAVIA 1BmLmjlqDBbEuVY4PyOzMDkhwWKSTKuPWpuinb6shhJeu9HXGBZ5brT+9RLTPj+dc9RLXakQcFdR lfihDSe3CH2vn4OYy2rBw0TLr0/OTr2k5dLASAgs3kclBwYnNl9B2ErOaCRWIZ8xKonaN/UtGyOo 1HAAiBd6EmE9/9wUTnAQzrfvbwSJ37Hhr/R2rXkXKidGC2ziWuZnKzs+/DRCcAZ5wO904KZATWh2 1z841Qtpp1kge0oh/LwpYmd7SZ50SrhgZf0I70LBAA3t8MA2LoQmQAxIzL9Y+wL7TktEnZzO/HYm WqeA66hBfKNmBSSX9avizk8xQ9mBJDtPUrrxut6XZlz12Lu4ESMOCL+6rpjW5adbMir3oNNuWdac fcSq6Scha0NdjEu62iAdBMDA0sG3X9h8Ar6u8kh2OHV/s1y1nhuDr82LvBxcuHdWyH+/05woE0rD 2XmS9OP1Vdxmr/qDHAXYA74A2nvuoyxNv4TkybMCMf6UBS/BDOrCp3YYa8ITHAo468DWLTHvvvy9 vXElifV/w7VXyiYgaubpLMzpkkWaKIcXjkLrM7YK6JfXp7x9fWbLcZQhXpmX9ZkmRSGF6QRGebO2 JQcvuaZjpHoqZncrovSoNdj52/xWOxAk8MdO2MSM8xwubbJLtbWM0VMTIK1HmEEgQ81ogI4SqimW 8Q7KJDHGKipSO11bThMuyT9R9D0m8N+Z4D4GLT1mCUZEbfN2Jvq4gQVCnLNRmV3Emeq3ECJYTzqk l4TIzIMsw0eOXIpMkieAA/a4WGO6wisUEUveeqvDGwkDa56mE9h8OrCNTMZ0FeYNRM246fP0fC4v 5PJSdzaAfNEE/Fcrwv+W51pKIyw2zf4tEuoOIsJTZvYI0zaKOUD9csnujLIa2zKjHl9DajbB+5Si Vot1s0RrSe8tUQT69TfB1AFPeihbEseAMwWKV1q5BJHAS0pQmLv2aw9wbnTBn6KL2FZPtnjJIhwp z8IxOs8tlqkkm8rVxxMrPpxikUEcQ63mr5LTImE+wmsyIN4KMfDOT/jc4cGDnyrJCCbjLK1gJvDr UTiSycSJxNeGuOxGNxNmi/CH+n2ydQrCHUEkjQXZWMy4SnM3JJvs73cthqArMXNMQ/nUD7A984ZP pMOEqDhuMjO1n1w+Uw6oYQHuh5JupUXiU7WW9FZYU3mTldBf5zy4UhzP+63wbkTfJ8z/Fj7pLtt2 LFleLxlq7N4BGG94uLMEZzu3vCKKCFtRprZxyEe3EDdoRCIrDQlPjxw0zKtP+YXv4PJkI7lSBrEe WKqQRHSIWtcPJtIQ3HmYIAZdsK+C/huVXbU/FmPEXbGf3yWpJ6lTGAj8yAOQM2qTY6uDbvqTq3se Upt/V28DuNzusQULHENVG38VgGu5I1QCGoFzWA59z1rrKhZc+YxwSyvCoyAog26atXI/kR2wtMb5 yXk7pS6iVAJ5OnBDQyC1+20L/7PHKbBECaOToSiBcmRG9o2q4xsqMWbTdKVlFZuZovC6wUytOOur rx1cRRjNUcKiVQh+L1xl4N85lzVkEJx+vUs+xsKt8qLi2d2m6mWjO01zp2xapUPTDWTk6FFb6kM0 QQ/UyG/hSsjO7eZG1nUkrYRm4t6uUVJ3NjK2yZ6Dpo+C1AlrlAcOrbyR8sJBh0vwlx86kR+54BGX P5Yt2oS3T3/G55sJwqTQT48eL8gJsi9dmKKOg8uAUg8unbrJs4bHOC1IyS6qTJM6I+3Y9LBow5VK QRtjP1ap5sZ9X3I8e1Dy60bbkXxnNDpjHI77ZJ6Tl3WK5A4Y3M+gelOTAn0ZQ+A79p8W3jwC6W1j mJjgZn5QcptO9/0vBOotapt8dQDBDtfPCt7PAwXrI9kpPMU9B7iPLihoSjfuW6srEj7IexdliPJk iCyC/tQQiGWWOxkm+B0Jtc/EujBeXNDoCUXmacPNGB1rwJ1LGkLB+ez8u+msRUZZI2qstrMHHCUz AkwUFw6DrugyjECnOm+ozNdc4CbqT4MGfJXquZbaZky8I9NaYL6rWwyEx51PX5LM1qK8R2fAaq8i DtPM2yOiFCkTk6Q6uiodnpc/nt49lgc1kJ7AmdJb/7hfPZIaAYMOolihEBY5dZviYLJzW1syVU1l UvCaTvZwjbEUUht6+aEzPiOmyhdPxcsdGLDNEHODPxn3b+IXZzGm4SG1bLxuF3RBwGz5cmrm4Wlx 5DOHbbCI4b39cDXX4IQQWnL2kAvIFhdg2mFfH03gN72zzhZO45X5mNm2Vjmym3zrdVE10DbA44pT FJeSWYF2+ixLPXJ3VFdH6htnp2lnyU6lbYyOgA8/Fq+S2/zbnKVmdNE9dAX/yHNeg1xt/o3xlYYV N83kLtW3fXQuKbiWMU2JpucrLrHoleTNfROI/+yWSLvNJV16+rJxibGZLD6Q9NldHsXTJAxniR6P 7oAzUuwappMuXWlUVwClQPKjp3hS6bPN6OPQio9VStpKif+eycu+uvS4QqVWvbDfsdVYDEKlLmgt L0IcrHUKIsn7U7J1cFOs55ue4k981wf3pNJA7GuNRuXLXBfgu6VEHP+RfCk1W4v/hLpBevMThAj+ hqPUmmZgFoJUg82zwjjZbiVrQeLBOYnFGzO4Dj/rtIo+cQadzuhLpKu3I7YTywdp5HBW+VrTWcc6 s6qW6q7BC5WjEvz23Qb9JaD0Mv2p5k+4ewWwy/ggvg25ztE6QIpkAWlPxvLtK4SwY7jX3PQQx0v0 ifbVBIcz2XWQQ9sWFm0U0uApD7Fop1Lxo5WnSoWz5j+xxzMrZKvszJ9LxtPOVEblycXG6z9ONTrk eRdhQmNxTF5Vu7mIhIH93Q2frrRTPiUCY7QmGTSUWwJHNq8mToi68tN+F4LCnf3pM00yN3CPuDIf vde8PAWOEGpnAtJr9tOjbuiKETb9t0160a3KFRwXAB6vRkPzkXVUJiJ7m6gVr0BzgwzHL+jh0P5m ThPgENgM/rVXHzH22YpyZ9refsq0Sk4sPHwQVqd5QZiI1OnBeaYdY/CrSgTO+sq/Lr6FQ9FyIW5z 1CVmCB0tI4OcKyNpnYEEYqMbnryWtfZTC3/3/RjLhDtBDFv09xG0CY/gp1bx6AEjdqSY7KKIjkQH KwGHU+0hyOKzSipn13hCg8Rc4Tp8DcuEaxu3+u7iQ5rjVJpagkAmX/G4gA7Fred0UiEVj1wkELUw qXwpFh2DswIBKPmjBYF9Nc6f9BPpyHPtnNn23H8o7EYGQMc62dRU5gC4/+oBWCjMNglqj94UaOT9 Fp5CYfBA2k1FXq1DM5Bi2eOUKVKsPvNAo2X+blHRG8f8Jx7qOVpSYNJ0AV+9rhLTzhldSGgSkJtN 4TODmU6YQqp/bdKBEMZ22kTGZLxU0kMhyjuLSqav1vau+VRnrpPZFpVQpnVt+zFRqLYaFSFf7zke L/hhHK4nx4dmjUTLHEO9E1reeNteYkgmS/wyYhQbCrThlk9RqAOUL4yK9HrbaVf6/Hb7O+OnVcLY ohMXVO0bjTmF8S2jzX6HWJKcPBTW0c3iLaK3FcU0Qteihl7Doc2fHHl7wjBBzb1kF7FULRNq9nTO H2nxRv6k0I7u3UzVvy7HAjLpupa2K6DOqRnZRTePBwtSgkHrqAOl3LKkFaLB0MDTYBlpNguuW5Do 17GEDYC7P8aXQOF3OnwAGvYsEb6729AUbPXqSrx7YuIvJ4mABv4hFbYeNJVC+zYxEmzef0Dm96+m v7P8lDYx6mZyf8o+6jFbXLwdyDWaqSeuB6lbt8Z8OYAJeidxI+dtgdfOJuW3Lk/yL4deXn3so4Zf rtfH8ROSeNXSix+uvAtTIDJg/jivxXDXxxMaoW3iRgvnfFT1aVt6IhdQB0RuweWjxlWw/6Hi5xTK 09UeNKZ0NLhiWr0QHXi8GqksF/PhAbtZIbY169GhghKfSYzD6wj64IF3YNWFWFQpF8ENaz+5OG5V XibO30m62b8aAoLCEmodBlkTNyncuQ7ngy8LrjhAAlLhKF6KIe6ZYdrtN26SYirM4EzCqGcwCQ84 9BGHySaRH621nkqZYZBHJM75H7rs/7aJTsuulOdAdbO3X477X/5ZVjxuB0p1RQZuGyUtecfldyhY Xh0MxO7477mbklPnFDnoQ13E865f0kAZLUA076hO0zfqAQMNRfMCyy7eaxjI0KVy8Lao7YhcvPMx YNLu+utjILNm5N5qU+zEOyW5ojwhJSK+P51Rj6M7gipmmgo9919j1HkZUETtkEAIMBaNU/ESR2t/ oHizdI2aGCTpl20zf48ujlEO6nltWfuyk72XfFOszXGL+RQYoOkxoNt6kbZD5tS/kjxrEnGtfAMK f2eCMKaTUfFOIRs/v6BAtqx73WqOZdHHhP3/Gg/vyY+vkgQ1N+dsvPm/EHB51emkWCTTVecY0ORc MbnyVOCwNqyFN73ZW4xX8fLOGdmmSn7kkFLzL/m9q7IzR2CwPbYURp1xTVprH2UIM3FyUtw/yy9s EkHcF2RTDHhgnZ5Fb3/7uTW42QWICjV2TU+HvK94yCkWL6a10+neLr7kNnBVBKlStOk9GcUgpzsx PmXrGpsMfEREWVB6CuCy8LUPzdPFMBN2wR4sm46oHrgCFWWYqIpHixL98ZVHwJcrXVOUukosa6IV gvnsoO5DhL4JvMpJ4MBrK42hwc1MwAhOZTDAtu4Dr0cpauL8+liRr57vHLx1PwiirJ8/n3SpkrrE 4tItLYRyM1zX51UCl7H8Hvfl4wfVt0x3N8L+7TnvTCH2jh5aziioGVSgcXitfwv5b0dvd+AjvVfO xiPe7zeFn/bxnA+b5wo/nefsOmNh29Y8N1SiGNKzrOwEoK7LOnQlf4pq1NiT5C4fcUeXx3y8EpUS AAAdsg6w1qxookWSY1yqXsfWB6820EUAWLDOYDHlpUgXIHGKbze6kfY62jxG06/JkEDLKazWB4DR 9Op5fnE3loE2UiOSTKVijP0ZtAWgJyEuDi4gR/sYR9lXNqHImKTPGrVRfLkwEcV6yGDrtb/BuDER NYXk+PYJ3r7TURgOhephTkYjFQcMegGBepW5dPoEdlgfu6J0vHw4zdpd9cz46F3gIjZRNCmF5YhQ UDMObf7sai3ew+4WKRyaE02Kk9ffN3WI+f5kjIKyXWK/jy8SnetEqn5s3RLgNuKW80Zr0L/5725X bhxN7icdAxgpl0gtAzCtksn0iHS9YLKIpAGd1GJcq7UPcNbSyhzt/VRT7ITEzJf7mt4jEqCVidZS cRhjULNxapABHcbNung85hXg3YZqMouembIyUCGr8DOM9scVFO3gyWFfWpjvwvtpWZ+cquCv6N3k 7wASBYgQEHNXd7jC5+j0oJV1J1R/+vs3qFwG4mVE5ETHezc/dK+wwxmRPR/zBFt/+aklpAwFIlam kSfRuJmANAY02mpAd3CgneamdosBeGdc8C0+5UZR6vBebwavO3EoctVSAgLYZGoyzjtg4DZrbde/ Z1P+UDVFPcYSIyjA+MA9MaVR0l5b7Cs2i6o35K0xv28opunif/GIehzSDrbsDD4jymO+ySyo7vEi EdEfPYqzRwJ61Mn6bM2pPPpCmphLhCFuaDA2gtdpHta68ij639xq/siuUSNv4ggHvFDtWP1e35sA eGAqiARNGkVgioZxD8K2L5ihByH297V9S871ao3tFf4Gdk8ln64H9HbiUGVWww4eFdL211cqElW1 tFpuUBdNZqjp+EFJcp8G3EQbaWvUv3GSJ+JXIaTExE1jdn9e+/h+iy/yfNr874rjoPFInuo5xotl jJYoateUQQR3hk7QciSQ8uiGHr/fOiQI6mNvxnuXo69UXODDd7Ykg4f5fXFdIsDOcnkGPFqjps+w CwJUg5q+qqbqM5Zjiy/odr3JiaNysHjiaWr2+iRdxGO4bq4wkkK6/JSQco0JPNNJdvXrSj5PjHVk frsTmTEZe8conwJLxVfE8rFQ9a43XzL0pro93GLcJ6puZJMSXP1mz8DT1dt/yWLvvPE0jpWH7h15 CMv1/LJvMphMak/ACaGspgxrXlIuvci0SRGTqkqfHpBnusyaFSBEXhaZoT2AN+Yde1PWkQBN0K4M JPhS3NiC5bkqTwmlbVzDlTiBlZeEXAO0v/SRLd4SmRpHnmvhhM3teXC8OCsPHjgc+XmZoBq1+KTw SM1Velq4l4x7Wlh+wO2UJAx1argt9RsqdAu3YV299VEpxorHQ5PQeL/WJCj2Z01zS2YQ4oCYxIE3 Fa/PkLKX0jtdnZsGy4x50PGjevrQzq80yH9pI618pKMPojGqUXcIANQk4CmasB0oQCgdNsTZIN8j oriN7BePyPnV3xlEFeBx37GtkGMBCH7/1AqCj9lR35dZfGWyBoQMmheItxAMOxg4oxRjkaT3ICU9 pBp1E9Wav/5yCaT+kHEcmQJmpeXLEvbldbRwTgTh2ckz1uXT05KmsWvSdYYqFPih8M3Lm1i6a1gE mRU1g2yifesmM08LcgokoJ9iQaNkf1ZdO0Wb2buuQNOkihQ1qbMJfF48yPUJXYP6GHbLhppjRxhG moSMF53yO6kJQ2BLAtRyp/u/rep8ibzbjB81AxFHhwTfEDy+1er6DX0aKPGnJmht8FnlrgWgllx7 kgz9Rp/9sxl4ziCBub033KeereobsAhOE/WOAasoLZlGDF19nGkjcLCTTdj0y+M04o6v+3p5JQhj u3BukRXn0qrgnsKoTK4GiEV35hzT1cWQuQX3yybQnNsL7ivzp2vu1gEmEdzWJaIrDP36GDv+cxhy j6SF2LvwR+S3u3VlGwnvmfdqZIBQ2IymBsRRmCx4wrVdo6ndhk3L8megI+vSR44/QOn9zZAZD9hF EzSWS4MIzmncky6OnnbkKc7An5zLRG5iZwPEPFph4xoBdZ0lIRgrSblbaDaMSEhdcJusZiz2Feqq svgRIiSOZyCqx1Z5Yb0J9NunMOkHntTrvI2Cz7i559YuxrnLQuxp3yaNdAz6XmsX2hJ+O+jjzB9b 4RzvnJBZg9RJM012G8Mpo6blN8sq6Q7CcclWW7VPNHeyWfJxcdkV92FYPBViytCqhYn28G9FMLhw C17sVECe6z7RSAS/cMnasiouQ5l/qYkp+ZmuZJFXtVCHtR74mjpKYl3WAOzRHkbiVlq7xg9zU1Oe gf1BXs7nNwNBD0RSA0VvmSQOZfXl98qFD4oih320wHD4cYvNLXqYzSGYDv78Rjntp7AUZmVa/wa1 VWhLAyBhFnKZBaOEsZvJw/LQSF9yfwgV/xRTboE/rlQzPbuINcOTu/WKegfIh7tszgbp0ewY9eyW TAsdxScn+HdVmYEOvlobfB+4cpF5kTtYQJFF0oGlkqMZBl6TAFqwfmIfjVVYigKB3v+eb/f2QxKs xhICOCSBsfvddKnqEnVXDlf/R7LPUn3R2NMm2d42NzaAQV2By+nJeddKYXPRBGdl8yslpAborzsS wExtNK2/Z9SWucyhKRk/0CnaGMrKIWKvAcH7g2DeQuGCfZnINkJrdoDzKPGzY+i+rkzUhcOIQr7z Nn+fA8ti8uBkATBTlzWrKJgGbQtuZ9gQDpWZEYwt3ACdrHHkvBe+WlzvMc6IT3mANpeZ5NBuchlI /wPaIauw1l4h69NXr84eU1Ny7yCrZYcrAIHLgUII/sIsCk6O7J3uSPwFix/B7HLs5fa1V9nyVgGy g4QZkOEvHGqVP7lNtz86wamafmCSUaMaicSfMJadVYbD88boeo4SzKnWF2jSurL4zVU7Jfx70xXy xmX6VFm6qh0sMJSW+1qAVRjQbWVhENGTHpWrq6bwa9PuwAf8MZMI/egE7jsCf22v2Usz/mAjpvoF gkEILFnbPntWsRFZGtnBL2gZuDjxsfyt7uW2O1akgpQ0RMxkYbErL8/sQQTVVevV8HJhZxqz9M6L m+2xp2BTCwA1wd6XTZUjICOvnOhiRgaZlEhcigmm/hq8UbRvHUaKXKFQRnVWeWWBLoBgeMHnBCf0 uiU+72YgKeBfO9NaFKZclbSXDVA8yVzkB8rC18A0B4ome1Kl+HFWhgvxtjq+HEtlNQFCz+6r1YT/ 0dfOMVbyoqbQIRz2n/BtH1DtMTIz7e4ztN00Xq0h05v+JCkNIlPcYGcDwC+GA//G2JAzGFX2dxsv tlUXYxj5Gc2Adnm0Q2YYvY6C+uIYqrYminx6ll2o8XfMpjHrmwTkSrnv76yAofIDhYlPufJZI4JH KEnsA4j2KujcLOHAMvTyrelbdbKAc0bQ0Ij9hwnKySbSzIHBfWPINRxZWFmCjMCe8Ah0Z0nGzNO6 bWFxaFQRQMH8kH1TbmBFhZmXrMJVk8WSXghQQMDtfG7jTGUGwhzt59sSY2/ItCZi3dDw1Croe86s IrP23PJqcQ6IpjnO7lWnLHBKIB+UA/PlH/pORqxmzfLMOgBAJ8y8TvHCslEISymQ14Px1Cl9mTTM u8ASv6nd5fN+bm0ORja6fKa4aLNmbERxnYgrmG+NLmm+yUqE7Ho30fsmAtjeomOnH2nyfa/nLD5r wBaSikekQ9hUSPiPfMQgTo0+pVVM2Ir85KzY85cRPTrs0XeWCbZcjDEt9E6m2UjTJ48ZDkATbnRK edYOekJZUBkNJbZ4U3rYaTFwszUVAKpf7AJl1v9RDzgirLYIftzEclpv1hLqCt17kCk1cqTPjMZj CR1AxYvb/eY2xAQN7MSoQNiz4FMAm2jqI3dnGq+LFglmULvj5ONoFhtRCpcyCTFVpXD+3WpzvReQ RJaTHJYoLoOxl5+TeeAPZ1XTC0GbfMFKHm1USf7DTBRyJH0Hnfg9hi1QAgfncL+JnVPVo6m3JX/S W9h0h6M4p2tFDzS8phuwikrP/U21uD7mFQOQ2bDCOiVGR8Zx0UDJM+mtSOekUhaRH9g1y1VyB8jJ EPuBlOG8eo3sqVBc1KVGwvbTB2hDz08//8FZQkkG2P2ZGwz+YnPFeNxH+/6gjgbbNCldr6SGD5mN qcDYEqnT/UkD89HSpjv0/TLDUKcY6WH9m117KhC8DJCCoNdJlycFDnWu6PfB0UQhqSL42sRNGINC 2d4lDCLlrbJN4ZzM6DtUopLWCeKuYxdNe7wVqMLF1Ccfgi5bs6Uzmr/PJG5jRtmClBJjEniOHEwR p6PKZWcmLQ5N3XaPLLECJqBrQUWiVqdLEKDm0QcYHLL3c1wJu/d/fiDHCaltHGs0sWKqviMD3H/B wLauRUfCa56OWYynToR1TMPm39Z9jQ3jLcs4d8r22WjdzZDh3HMCaysFbXbAeN28vN/9KBrX8Dh5 K5c3QPdmR2wCCWN0GwBC/Hds25L6SzbAsvwVRDKwwPyRLXS9JSWK0TR1imnupnSHOt/qpaJnX3KA 5LHi6RkQatKfPNJOgWSQDinv7ltludQ+GfXJPKXEOFWhHWFWJkXtU5EfGpFXAqMexmWGqoBv8HBa JLNdQ9ZLx77huNg/vtWmuGzau4VYSJWRqn73Q5kGPdg5BnkMgF+bP9Bak9VCTT3bnvxBpgkoi5Sm z9sKHESpnay/rhEMxznFnD+/rpAf11RRLVtZZLo1y5o4GjmyKF5BUBAdlG3NeUAPKfVm/DSA7Ays +EbnIy6QczN8S8kgYruJhaJGQrZuJVYL0H6EwnQHSFGoKkMqC/zUWNqCeds4rfchnmrFTgWGjSkm Ulpama/iZRXodmO44vyD1PBkVy1xGjs03+GbVr3Vu4uzBHDXq2CuPwiAFeTQJJPm7PXvR3kV4wOy Rl83oaTwuAvR8qmPkF6mGVsp1i1BXrfcFfoWlaQSPXE1qyDUSNqIdt5V+MZsCJboyOFsNZIVy6Te bIWhIaTx2jJDvzkWy7atqs8rtFiQLQaPXeVfdW0Snam8QklIET0dRQIb/xo8cPc68lZeaHvWD2s2 MHPMdufAdKoyNR1Br6ZWfnO1iW0HQG684SIFf8d2QOGMyxdPlIU/7aNG27HzZvg/4hSrkle1n0f2 c/kXod+ZsJx+8wStCnKWNOyrLFVmg1UcIw9DAcZ4oqfenwYql6JdHyTkv3TO2/uF9blvJhSScNu4 KF1fmtpOXhn3K2TZ5ZRhwa/KoYTvZ4Ip8Vcq9a36nOlaDc43wED0+U04QOFGrvb6DJicrNTWSELg hZBS1K2ESjpHDuqr5xEzkAoTzsQ8fDXIK/+FNM61iOQBhx/rbnXCEnJm1p6mQm6H3OI0FRBTBdfn mOcnC8KbVH3FbJDpBVHeYHxFrPgbPbdcXxgSggwCdzh0aLgy4EkLzMPVMNM9Sbms/8svDK7KYsk4 Yftpv2y3C10AgTQL+g5rOpMu0TEv6Nux85rpdIVplxLFJtHcTrVZ/mudv2ySU1hQlzU9rdKJv7Sm NrxRt34E/iAAqMdQzNjeCbvsWToeLmS1GPsfvSwaI/G8skjTccwPXNVZCJkCGoHskQ20OyjnHXEj lckecHtocAxgMl0KmrvhhxIg6274zJBuuecRp4xt14wTJgX/3dm6mzvR7DjregpQ3K7CaQDd9Ulp ZnsFNrH1AmJ3+8tG+s38DVwK4s3HUmlKuCv/LLPaAtOTczkikPR/DtdQj2/k1B1UPPW5ZZtN9ahX pmrcFqZCN8rjyf0yMNXJwyARLBuL/X1bqz7VuLlvmBR0Ar77S4O11Zym+gHKPCpusFjRRx2fgrA6 2mQ5o/WgCNS9oPGjGPRGAMCnUccYDpOe6It5SZReufO44BwvvDyt6GAaAYDhowAyYaTlzhhJzFHn 3D+UzsylCN602UHX1HbZiArgHWc1ungjXq6K6WIiNzqYNkM0QOwqLclCy9mF6zPxXofAW/2W4T38 6IVE1gXZV4evOG+eVc3CTern+kmGFPbq982HRGu02zv1qlyK+fTJ2pPi2I0hONqbeGGbHrzAfFmr 4bQGiHczQkXwqAUL0UbpcrREisQ8KDx0FMRWi0Bqlhc+3z3Mv0+uuQMgxBy7+x9cHdNeM+MS7d1K g8cszcMrdtIyP+me16Mz8SxNIK2cwG5GXJXErm3eWZnfDBC6kiZUTWx9HbzqtMZdJu2Dr9w5oBMy 0K8sMXSAC1vUpV0uTfkNqHgOgkua5ZaRWbVj4B37Ts6x28LIt1kigHJ+O+rg1o+U0te4KVfqEFtZ g8BJIIYRqXLcIVoAJM3fhzQZdVCc2H9L7sGV76TjfyVA9dOUBy3rNgzRF4rHj0irJ7UpoBNW6Zq4 bBpHipjxDvWcmUr/gu2ti4cKYbeRNFl8NUD3kt1mRs51Y6EiuT09DLZXVREv8F7bZz3FkaoHOv+0 iMvUatPv64sOuAiEA0xOySS442Xb2igD8ncb3ESiubyWUOHoIOkYfyh4U5S4jblYIr/atPBfkK0D 9+e8LqHqyCbzy77bBFvx9I74ZXn+HsP9Z5+VO5IjSE5BHQC5nxD5XUb1TtmAcXddbxucAntyr3sz Fgg9v6mBN7zT/3OeaUrkNEbAgL8no5XFMOrjp1SpYBiQbL9x2bKP04uvqSu6RD6x305HIi7PYUyQ 9MEjSYEfgIUsAwm3NVQOCj+nZVRcQdSoquvj6FGiCgk1gy6kouTpJpw8iFKoJFH7OTojNry1uy5V t4Cvh0TDScsL6dhozP6LpN4zelSN4fftxOcPKF3ISTzPfh8Jb5eOgxdzLAu+d0MgqbeHi3MaXF6k IG5BqmzRfwbOcnx+zg1q4eoUIQ/g3Ec56LEQH7C9gQtyaQHqIvWwT65GlO2U3F7aFHByVlcPpBYt 5dY9JUG9lUKG4mXk/Np9T331iec4yueRJgJnmnusG+b6eQvsyO1A62kYrLstLHLcig8FX9otqecC pkV+Ex2577Zm/Uxh28j+Iz3iW8w2FSuTO8eJHMFgZJPpJPVEcy8BDHk3R+XtHz/0mbXljC7HSYVv hfXRAfzAWKTSejTxvrPE0eckp7C3P9qn7p1StU4ybs0xH+gWOrB36T3LXufstJ2C6EBeYDMr0Vul cDiRi9LC8DPWYDcenh6A1L3sxPhhBfDPmGNuNox2TraOH7K4fw5FRRmxRpzRDXafTjSjwapWJmry tEyjkmKO+8iCZk+PeVF0rY+LDoZv9MNdyO2i6EYgwHvPMfHXfaK15MBixl4aMUMJWwYsJ36GPyvS p66DCEEy0mxW9tJNbLg48ahExi0TCnmRujiNXTirtOQLl2o0hgO96ksYpXzY3yVHfY/2nVq3VDkL W7B83ussGcBFeRecMxMvO3Uj4DAhPGPW0mOsJEBDOAOQ0r8OM5G1bVooFeZ/2VCPrYallMzn9ulP a1XnyP1iXE3qlOygWBtPE0MM074NobiDVvJxFlS4QKbf4R0ecci4SKaS3rOweS9fCdpd3fQOYANC D003qwo2XmTrkxmLmQcvjVFKVqe8xPDf5l65PExOCyAqUnsTzqiF2y0LxpBuZMBquq0eayH6W08w NAUNh9ymmgga8WEPToAAfJHjyK1LyHXyLg2kJRb775nduCAV0EZy3whX9TPIneav46TlFehyge2g R5dcZJxRgaF1DHXpaQpKm/xtSndFShUsSAecjabkA8O2BJ8pDsQnGfcf0y81i+MgW7DjOVVMvPA3 gN6csW5gbHT6wkfiF2kX3AmzxBcismHOK6gU5e8eTl12nNRnt8hP3dlqSl3mnz2T50a4tIQ05Fc+ nQTRGqYGCLZitKJJ6gr7D9eWpdrU8fH5bMtMVYeE1hJBWxD92Vjxtyei7/TmL+c0+vcu9MhfsrJP vfweEpu7/4CuyCojPzjjpzDL5WhOV7EsGa90o6PxuP3UVEiaKVuIL6cuJC4xuJGw82Jb8utv2N4p EachZ7Q67noxiPniVlzvTE7mb+GuaB54PPPCy1KGsjSHH0hasqaWp1mIpa9o+DVIutIVft/0jxda cFtW371z0ox7tQdBgZ/4aHqX/F9ruAlbNmTNbOo8bVKa6JjVtqPci7j550MxM4F9ZYcY0j5j6+8c hsQgUctSS+40LX5dND7S75RLOeJtV1+kQvxx7s/H4OOUKWjLKBK5uYtZMYxOWa1KcZWYeUoxSiGx J2pylJYvWBuPO19d4O27T6fC5u7SNHut92XY07QB8PPyn2GrOVpReHbDZ4knXa++Su5+NT+cL0Ck qZwA+tuzRnFbEgbH/HD9yW4a5J8GXnr2ZaeWdQr/rFsIMkUqsEVOv5KiztpxYWQ3Dp0TvJRlmzaN 01511aXsMiTNVh6CfhGoABfCJ7N4Ak7SemWCa0aY7irjNlhb8wyig8/n1YmqfUdYJHcQIgwJOREP +va3NUm9Xfa8zB5C/soNVqsnjewx/rGAnPLlrRAn/tO6j9vdsWXBLxXU1hCXQdGXHOaQNVwL7aJU KyokF4s4xE+5u9UO5dE2F9i6BuIpiZulg5LF+hputn4UkFxyhBHQo/iv+eefRURHdQ4lWBZCRMB0 4wrH1ymEOhuXuSpwb6oV0dlJO5kxz+RvuA0lH6oXXPuZsgCEFhbGBFJCRlHoVQH0BBy5EyYYLYzu NYgEf9J6JTdNfHsuTjLKogZ+xTgrVMIgFeolU8lGFZGqxv4tG3nZB0QA95WExW7+XtvKHGNPD5vv lEKXmNFvkfJP4I8VZHBQsfDfOtNVwuZiONEKYL318pR3BsjuoPjCM2fqzK9mZ5Tynl8ZAG6zlJO4 2EkfW84vFBUC0yLfa+BOT08KPpS5E9ffPAXgvb1hreY8yyshqFrJf5ykv9xqnXbIV0jzXO9+g4aJ yVC8+8SXusYevbQAem2Up7miCVUYVLTZgz23CYNQBRlew4ziT2mQy/4+5InhOzrBZTPBOG+hEpnS s1U5wrBjRTugy7ymwlByHnwd4H7o4+VGAXtrMTq8BhT01zmZLauHOmAAsiv1XziRT+xlKp/HPJOt CHBtFDmIrnTwUrg0LNnGjupefgRMgQwtnUl6ILuQt6egQa507EpEysxLWGB12/AbOMLAh9ccbvEG 3hXdfxogwnIaoiacznfqiiBtzKK+CZgxLFXgVMwO+2DLNK8D49mXjLBIaruTUjOjQ1X6ccxnX8TG zm8s6G0PLKFCr5OlE3fSPYYfvHxmdyjcKVpm4m7QKWBv0ZHuwqragdFvVlFMgk+0OVOc7+h0csCp XtaJ+eZLW8xEfwLQNfkF0dpJY72qx9fBG6WkJ+n/P3a/+R9evw1EbL8PottJfSMtVbHo1+Q+XaU4 Zz8H3Nf1vnRk+wSLaIhEyjrocmgEjtDgqeN8cYwUH1VS61y2gTY2W4vJHBknXBucaB4bFIpsriY4 JQsmTW/13XVNeLknoHiCjIO46Mtiqd6tcXxMFtAImgl9/90GXECAUeNqTs5JJvnba4P1zcWxDPmv IdQoCae+bloK5EDSj6rNI/91l6ztohtFs/3n3+LeQDu1bHFx3CQMtS8/1lSxBNBpUQDZhjS4jdjp M8SChkMhA5yDguk+HvdsRXByGf82RFdgFytELILTSNGE+tyZoV9u+t0AUQKcQOy2/jXo4B77WEtx y9w0HZsub81Oofxr3tevky1rQOrZArY5sXzdInlSviseeqyMXG9zHozW3757nsfXRvV5XW6AHwWL pW52svgxXyN+az8uah1bDVrnJ1Ya3S6La8HXgaKTKloEbmHvbezcQ03vTJmiVWllzx07cIfU/ZCL VWWI0m8DY13jEqiRtSi/ix7bqZtfYbbqagDeGAtVias/cdn/POuDylVr7J3ay4/CpoP+djZcLVLq LwljHNBAd25UKDUuM3O05NYAZDk9ITtBAt/9xNaAz9P62VkRi8vkQxZO9Cb2LR/ozC5kSAmURHs6 LldK55sEa1LuPNIhmsAGqh+7BRXLAjveoodiBwJy5xpZNtTFnuZMJ2gpLeNYGgr2r5aBxvRIkfXv uNENc1Sbujx+a879z2n47q+XyNycB5kAfBkg2HEmJ/MTp+tE+HHtiMNa6zd1AXWFXBZ6C7NHfX6i ZPpHhMqNShIt9FerXhJa58R8blkGo6RJq+RYSEaUvCpO0QnYSRZiSsN7Hai9unC//v43JFhh20y0 ynso+Oe3zGGXZCv9wX9SNgvykfaOyE4BbdhlrTSUtwjlf4kbMrRuaccBR64A4bD01JVI5eoK1nhG 2ND1TAGyqFY81ycO+FcLCA7Vl0pH6grhhE94JqxMZssJQkTgxn0bFZt6Xon/6n0SDZsLe1PpvRvt 5sbQDuzjs5uOn14BwSYAFGYeK99wCy5qgBSRmAMjcF9ALVdFFv6ciA7X2plzHTTex7iDKgCtKLN6 mNkOtM/K+UWN/3M194qbJJCO4sF4z+zbG/znIVRTZDgSZ+R0+ncjIm68yE6klR8FIkEQU6mrDbEY I56KaGDzhOnVBLncdytLnwpyvisGIBUI6xiuSR/GbU8V0+05rsTg2ElcV7Zr87OPbzQgwVBrTxe7 i9/pdXOFZn56dy86nTMx0gXVlwWDxNBqZSNDckKupZL/YCnmWj9wrLQJ37bwkCN57AyD3kHYpQhL N0saXsnqenlaGR+CdzYGzDOrdtM36EOJXk2vNAImXeEEj6uXuVWd8Z4RNiY5oUcGXZ9FoTKze2BA UpPBCf2efrd1CPFgpBqk8C6ZIBAGQpYKUPT3yBw8QuICff2kOESw+kUcHpn7o4gpa2EeOmQEwLf2 +k1TGY3pguGUGUS8TTBb17Xf8MFDhmIKmgLOhvfq9S+jacPT5GylB8peIiKAzvMtpEIwix6le9iS BA1Gp7S8b4Uzh8i1gYOaNHXP5OsSHkAS2tZCTAblXzpm+CzKh8E+rBcMqxXUhFeyyPf8BBZcDAXY j6PW7INQD46iI5yKvjKptjlFNIHl9QioPaZsl5qZgzRGXNE5OWnPB4rclzWuKS9JLQpgI9oGPMy6 AEyBOQ6GD1oinikWAjwat63qgIJMrlta053EFmtwY0DVfZU1pBUyJj1Nsr/ZVghvQYmyWK0Inenk cF7tY5S3UqMPurVkrkH6B2YFmqOic8tUEwD60qIvXn7I5KISL75VorCNbHVe638UgKgMtOOiy47m KYcjdv2C4vXOHHn0dFwTHjeCLh5DRmJIdyt+dAxdQ+zYzffeSYUITEWz3uC1lijI99LOjcIMtQ+z pmMYLQMaMMP3xoP5rOqo2vXTBRM+5Aex22rdWLpjesljYPIZGkKytMjsZESRVHKESwsoYkK+tfbR gJYPMd75VqYU1HL12MYtJ5mQywECOP1ysYrhiYFh5HVYTjrl1qw7cx2mlGNB0lMLg6hRESVu2S6G H+GO/KUhUx7GL4EXcDxKesrW3CBwPDGi8DO9Y1qz80bCjrGE30GIyclUHTyMvfk3+LHLWF7PUt9C +FDNN0W3hnRfElqCEeWi1wRrnd8Zy7QKZUP4CPhOqx8miRdRzUh8553hCXD4nXZZWwXY3f0nGt83 tzsp9iJ+gCGojrvgW49CRKh14GOfMaBeQiTwh2/F2LwwZuaXxzbrxKQlvDgWWvB9lj7RsxBkW1ma k+vhwQtXd/6i7MLbUDuVrXbnnH+ivdAIt4b5YJofxXfy4FnxD5DHtkH1aoqjMM+ictciwv1QIvIu 6qu4MJ6OIJ6pc6S6i21TvpYBKYAUCuSZphES2Cr84MQj5CEmnznaDjUUao1NrcgTFM1FT7y+aTf+ 7Gr71iF98CTuZtVkiScEoPL845REpmVc50arA/r9reQiihxRbczapEalILzvKOsCAbEgSutRuOEU lEQuM6+Ta9gQghqCqUTlBEiF8+FSPIZklbpC65eIkKo2jbRVI9FBo3YnblOH61Run9DtWWGN/QXd iQHerdMtF3k22zVhJxxkIIwpLzMx94oNi4V/cLCG1FnME3FCcvCeZoTb4pnBFkIzvufh7uuTuiT1 ZxZHGOpwFzrWoqv5zUKpF6tjP9OHb8WYEZSzPux5sato1ARRopkb/XcPJ+ebzgwSlBzEl3yorTVX +0JML6KX/6PIzS4HW4Y78VzkWwVpXkue2lCBcb9G0KwnKKHSKgGvTvVpaBpwrMFdRiL5b55tQZCF 3hW0i/l1Dp+7eE0XLqXxTqOaq3js/+t3luNAh75Nd043IaOVl4FTR2Yz46FDGJ3syl2JHH7ywzOA FvQP1l2Q2W8v9TSMp7Klf8HylvrJFIbJTkq8guLFXhZWaAV5NZJOsObttMwVwWLgnrBP2n2vg+3+ xiEkiGi/dpHU5KfsLey22c2veK/UOkkCsxxDyTXzGuh+SjMmj1eWsSEc2Q9LCQN5+2jPLKIdqbaT tnsGr/cEkUC94I/C1wklgRAxGBPZyn0oYCJMnzMizNcXsJM7Q8rw2m9boIAkCDfec3Gq7kMTl/we xgBSCHuoZAHETA0EzmlfM/kIZly0M05Ln6DrziXc6drYWmrdtNBi0jBcEXgqc6AlidGYFK1VanHA z+ybEyd+jJ7eAR34OmqpcIijveY+2sze0PiH37r5fKclqxdgcBhRjQvph0qhE7SBKBSNNHIV8OH/ Fw3kJByA0XFHqlkwfJDuTorPO8uoXpe9uQjUokZsgXMXfVevPqriSz9GB5YUm4Y6G8qWnkWyqN8u mpsGVDgZtiNA6m6q8Q36Q+Nlxr/Irst5xfiDFJ6aCzNXUrGJqRF7tbxvUgkQFfYNanfIzJEBQeEC BA1sEZiYwEvI3rmTEfk3/EY0PowFJ7HjZJj+WHVN699ecnlBmxB7Cysd54SJMEqH+Bn2lKvKL4B8 mnQo2w1eukeLoZ6YfPFJMJuRgr+DUV0W3hUJPBzKkWnL5m6h4f0yUbwIqK+xgPOsBFn1m5TLMD5y t1D9oW+w+GN2ZiDQvG5VcS3a0gsP1/Sc7CMJh+ZVtBfEv7/Pt3jfvgmRImhxclorHEcqP4+t9hM5 dJ0hH6z4po9/pd9BWe3h4uH0FFX76Y4X8b2BABQS94d6+TzpvPXZneWFb49cbNykBWXiIuGymIGf KFEMDwZBTRaIx8TG/pxEBsTcBpTDpl0oQs8xRRsblNlnz1P0ybPCH48kY7s2v9wRKm1yP4rZCmm6 nELIVi9OVDPnjjvB6KY48O7STsenitd8GXFtY5P2sLOPHgBBh/xd0wWeaWdTcHFbPF2MrhzKlyHS vtcpKhCu7BHdioURQFbgBfiTnJYdijhhdiroCfuvw6UvBisr5LyWo+BwBj9P3lKVVVr7VCXM/jpo Bq7nD8OrqfRotRSx4vcaeoqSantGWxnz/OllHXTrAR+EaJoAvC/X2tzyBRnljIyN0tppr//iXEgd lSRM1+Ha15pP+KkjyCpMwCQqLE0xiPoBxUN9B6LvjG7ylyHAmW9sgHhG48NTE/lAq2zcJAr5CKic RUaQp0tU51l/2CF9O0+LiddUUEck59Iz/lzvmZagEzpBlhcGa77ejOWFtiTfSH4eqMgqw4XcbbLq 5GG691x+G6uHNYOqU1JLZXChNsLBiVRBGVVhIuXPEi3mSRzRzKlIYeMVPptdGBnaZHvgDg7xZFaf nyZpqaReuFQYRRQGlbk2Fp61X05nOgRNtXFk8zrD98bU2kmO3XoUqi5s+2muuwzoikZRkNxwiHz7 Cs9VfG71tqKWhO1EYxderzcf/CIZ+Z0K/QI8EOsXuoUcG78PztpOhrGJR2jkso4SVt5dYkx9QZ9D Z9p2LvPd7u4p0baUqMe6mWNvUgVAaluSL7vyWkSuuTkw2/jYQLIrH/qAGmzDK7itixC+qUVK2n9i KSShXueXyO4U/1fBgcdJh2F2TzKhVPVyL9e8vQ750uFRI8iCVgUFrQSQ7P8YjAb4d+J4fDKk/swD JdNyFMPST5t7ula1uo9q6XmeMY8n2p1j9tSjG81VYYhlJrbNjwtTaQSOCLaUg+GVRWhy0ijSiJna cEQqU9uEFzaVw7b8c9uajK0slEN96rBYO58YsRwTfLfkAwAVm7hTSFITA80m8SZK/tfGjR1DZWiT KuFk46NA4obVIbkDTQfFWIOIIG8df5tEt2mgPYGNII1GBoSKW9CCj7iTlhz+KUPsdKQwHIfcb6Q/ dUgaTYavR5OHIcz8jK6HAOHVih7MIUybun/l6iR+BZLR3p3aZbIhrtDcA7lE3L7oGsJzlEct83+R DkHn0SEpieBj9vhNHs6Dkjjg53ZSRqusUPGPxfsCr1enm+0vWMHxG6Re0n6cjjuDcz424NidL+x9 T2cPw7+N5BIAX5hB03QgjLmZsdTHxOeKNzM1bZdHXVqnwmNnoaHjHNyK/eqp8NIIYCNKzWT7h6Wt Wh+l4pDCf49jJRd9hJwQuej6+/I+sJ17H9/Ly5yq1pfMeBeCuP8slwnnaqwiWd8/HM3dfgu4i4an wBblCtXNemGjlC5s63Y1FL3vIxAw52JW4hJ8jSHM+ZrZ35afMCpKfdXeBJjp+h9D46xTrsEOH+J8 c1y0eOuS27V/hYHXoo9Oq9YU7hEo5tFkghpqp9EMhksaBpUpE4D8ZClKf0Hf0HOoBInn5RsSlAit iS3p/xhimJU7EwydjpBgX90/9fvbUfRFpbafEXacOieWVSGSNUAuCYM18JcGbYr/ndM61c11Ad4D XCEsmymr8+WAM9VgzVEZZVIeUQr2HeduREWlX4Z7KJPDEapbo/osA/Ifc4IS5yzDPpY/iR8lWym0 Z+JfNQdQOWwDAm+NrEuGck4P73glMKUbTRpiIGLArRqz9CDcKScRRGxA+mGW7CbCcJ7gMp1mqLg9 dTPZXBSaD0rlAWrb+Rs45LalapidABU58tUwh9+uNN6mpbF6xXucdVMhOvPuSiw83wXUppFHPeKA ctwMB3I4ZIfXNLd3lBb2CYKqy28sMzRQJWZlZ1YcR7nUg+ZJpGJ2eQssZtBMGG7AbpIGzj8Z0eQX x0NmI+auKmvPYDX1D5v27h2/i99hDC7ofxJ7QbnCOZNt8PpUQLm3o48jkWMKBdEFKKcsc8oLxaXP tdKasqaUwKQar9fnTqzYsGZ0aYYChH/6+xOwUAnIfDM9FmvBsamkw5peg5zpjQc4srh0m0qfiaFc TxSIz/aqAeP+L8g8Qee3iSvLZSIUMi/Af+qf4iJWyGpoOb79NmWItpfHZc+h+YdGzRemGEMZRcLI uFMXlJbqYoNQdfi7MxT1vVaQab4GTNiK9GBCND+gWBMAxn7F1j2AtNCqz++Je77B8VHPZoTlORg7 PSTkGHxmc68pZrXBAl2vJyEcPjb9AguoS9jMEzScq7LlCdsIM/wGdZW+JX7CXNO2Cbniemc5wxSS UVK3+p9YKSKACrpHh/0HWdIaSVE6I4tBYlfvXm0Jsi1FjMUsvCdJ64O8o78v5T0CBB5UpqnqWQe+ VqzDFigzg9ldPDaokpeot9pK4/oz3Kaz33HWydWS6SAvuX1B6XOwNH6+R9TVKrHOQrwXiQJqo400 7pyP9u2gMgaTz4+dxnjD2w9pvG9EtzCcl2/g3sXaWQ2nz8lWW01W9KG84YJxRwIoMZb8RXFswVJN 5mW3L+urcfwXvu89FmKp5mt6IHX11kM1PyWBHUqzsuWXJRxLjJFhrhGGkGoROhreRXeIxZ8N+YFR yQADw8ZbWhYPlMmxpFvq3zAsrSrbhYK2/UqM02OnW5mtI1cbW1XBHADVPpidJ6V2EtHU6y8BsbSp QOPpijcVWyWYODmEKZ3Ph3chhevl9nqV5X/fgyn8IbGYWbkBhUlr0DqWWT3d/aTTXPmgRnuRpLZi DG3tS9L7Av5cX4e2olDpNdRtetqU6ZhRVkH64Qf8Sidp3ngKYMqVgDYglcSYVycIp0KZiijyTyBK FibtXSJIEJxmZJFjw/qkDsOshbUxcsHmtODWYdltGgp2XFq9vUrbnk1spyhYZszy45DzkkePTioz +1PAwg7DZHeAqqqoC0GavDHPlU7uueafaCimxh1D+sJ/k27Mm+IlwuFobhX2hZS4LZcLxjE3d0we 7Xrk8awYQKRpge1OjWyuhxDWGGo2z/3b1ZlrBcg42gk48P+mYywd5dVPlMmrxMye2FNBI3EIK5tW 2gJ2vPFWTULE9eK2nR2qnDraFAHq386WJp2GS6bteHI9vLSGxeqKSTGeRgyPATwsrnAVO/aR1sdU keoLdhqX2X7hPXFPRiO+1EeEuZSFyGVxcHz21sc74/Bz0zwLERLiO2oPLSR0uDeVIhP7cf5geTZN X06kzCam7O/8HlUZh46jXswD0RG7NrtvZzQKv6zepsAadkx/Co/Ldx4RPJIjdwnD4iJwwOIU7NLJ 4Ve5LiUkGGZ3Vcdnp/nb8SFG1XE7wIS8k8DqLp7wDsmxf6Lc6/nj2B/jP4BQjckdpzCFKUB+JQVM w2sd/nwKBxy/vVNcOy4tRiJzi+GlFbhFfMY55ZZavDs8zbLSxov+rXbrw4i7UynMu3IJKm4nlzrT cx7fyYb2++G+6etDuiO1OwBKbAQrImH9628/4NDr+ZbyT8wPyVd/SSyE7Raay3Sjekm3cCI4ErUR 9H2Od1558yyNV8jPH6/8m7PLeZwgA247wCR529warBN+dYj/EzV7qNsei+5EYS6LVLtRSNv9nTTD zcDOer3OkRbnOlpcgz/w+ukJyqz9hCjTkVikw7s1XzrVx8TNJP90xA9lPuoN8GD0Nldpl72B1WVc uYt5aMM5cdWVMx4UETyP2Rcoug3dIGlV4v8e/yECymG5UOqz7clM/q8QFchxJE4jqg5IqZgdVnVn od1PvqsXHnGojxthPKoZgkMJBQUC0CMNBivxlGx1oFrJDTH3f0HhIZBYgk3krHDEdESwjcPUqM0k 2/Hvaz1DMrS7GRaXDX9Yh5PcTgExUdCNSWhZegitcaNACWbipuLi6kFYJLVutDCanD3MVoHZ+1DG WxlmsmNhtokV+Pahbty+0lPG4tE9h+D3nT+ZXCyImkHJRJgLnZpiAhcFR4n52oBU3XYrQENg0Wes iW7MXbwkKRo9ERQMixWfftmPqPo+KLf2f6UQ9oRI5CjLxIQ9qx7yu51SHL+FuiKGtSD57QCmS4WY r/ZHLqQIDRMhft/EKFZp8l+UZW/qx9SS7H8WrljcKWM9QkqtRL4ucpLhmIZhYdN/8p3IYAZ57jV4 3L4p09HL6wLhtImeJUWrhSFs0ryZv2ZcX6kLFc8ENKV0cEd4RTZQmJCdlTtfDq6ybsDP39g72q4r Yrkvgme2LlhmZZ8PNUHdDyGPoV8xpw67dYayy9y3gpQGMbR0BFSWTYbRUrIzHD1fanjX/iNZOmGz cWmC5Y/6UBG7YAS9rDH1kdHF67OCv4QmW0q4mbVY+5a4PFtUaTvme42SljH+vmoWdpM9SmjYcIfC cJNRgz5guzsBmZaEZE1tQPjmwz6vYQlRnLnM9v2ATJSB9gIRKdcUlYrMMR880++snCXOofbth2r4 vdhk4C23/d16XoOwsOfa4Qy1FnH9cGDFrm3oo5lzI2rxAaa5/sAyp+hqn7nXTfBHJtVn0PDWFcpE bZJgoNNn9xYRd11iOXiiFfTX41DEthIr9pQLG2Xkmw4j2Jqn8dbKzTuRwz63VVshp0YJkoEfFlDU QHyob6qjhrJ/D3jmIXiNqyCUvQZT+BnjuFPJULjk4AJn9vwSiuVVgqWaTsXDemzFncRG4bUgrgek FV0DSQm7gDQG0jfaGcR0mk6qhoEFxQKyIhlbJQZwu4SpgBxj8Uph/ZdlvOBx9TTbLd527ODDdj+y Nq5jimbyFxCvScgP7BG6LB7KqkKc5dzpneRNebRsTi4WLRZG71GAyFyA1IfpfqfWlz9W1UtmHaRQ 65ng/7JZIveXXbOAovTGob+lG9HnyzrBAH8MZt48h+vU3qmn8bWtoQBP7/Fab+uQ6d+P3uPJMyFc B9B7cXr58esmSTrTeF6QxezIPZxyquHdTXVKyZ/DOqdn6Va+Kc98SoszoSTi9pKtixm01SXR+KQ6 O8O4yRpfI/NLxTkLgDTNPbbDrbAWiFpyhqY/xL4JDfAdyq+dxxwrsemI3E+vR9po5y8evuYjFsqr ePFX1bsaowwOk1ZPvG2clrlKh7uUIAI7N2I0usy0JWkm/2CxdXiVJSUah5LiUsPq4lEC4V02kb8u KtenspwYGfGI/GDok+cKruBJ8j57zdCXLaJuRQS7/rfsjMIW4wyL53r48UQhXC7f+KMJQdN+hLb0 T9mt0INyzCXOJLVSwXL7BHMfb6dM5kC2mYSOxDPauDKiaAh0683mVwX1Zzi0eik6F/yUeqva/Psf TpqMjgCLMykaSoQgltMf/bJM7RLMvC8aXKzp9PjjyGZGCAcjFwG49p4vVfo1eX4e3JaZkw741cel VCgVrlenrypQy2NozByfWsK+fWnzFVs2hzFE2CsttmW/u3FV4wJNUCrMYUtb/skK/Ad/GW3n40zv 71WiL/TI7ZBPmNnDa7REj1OKxJJs3ZyLjtfK7NDbnLJNnRUkon2WNeC0Hw7ojg6DgtEMFSfM/mDp 5Nmp10YxJOGVJKKKN5CJJWvI1xqm5uh6A2HZ78+SJsqU26c7sYBqkosL/dp+a/NeNgqE5J9S8iZb bvlxQPT0XNrLMhFaww70XJm/kdmFBPoxep2FHCAiZtoUKWdUzxfsUZlJS/Q5NHW7tRIXCsbogcfp qIK7RCfbUVb/n4ai4Hed163XeoHGz8DLXzsyJZQ3glTdhe4OtcKFycuqIloLryegAwxq0/aUjlod 9U1FK84KTH2AbciS1THk4GhANPJsfJewq3mSUGnYdqGzcqgN7jP58WBAqjP/eFZ4bc1DHmeAzWUD z7YJwPRZAe5qluQlz47LA54HwVST9j/fvjt5nZkudz2+SYgXEmiE3aizcnoNpzvXrOTid/uvis/R vACsXpyXvEB/IiIu98eEgEW/UvYVABRmJdJql/vAsfbgbxPug2YbCVUEFeKxpyawCBMllAx9Lqvp +hwDfxpx/Ao4tycJcI48g9PX1gfNHcXw6TD2gVjO71kIxw3WouqmF+XZYQvJWA4zuCdx6Jo2GVmQ n5sb6HSHiUvIubeUGgxS/UHrKidWrfY+mzS/91pBEbKBVQlL0wQgLCHndDiM2Qymtj08qP6tp8Ot sM5CmhxqrSfuV7IWaZLWEcDVxb3WFSIrxIoaFDpKHzs8pvfEWVq2/o9a4AlX88eCOdBYLYZazSov xfpEJjnx5HtmJRXXP8h5iEhIsblLuBWOAfz9SRAF12cDK4pVala6Lmha7nuhl4dBx3RfkHdQ0LKk YHsBlemjlTk6X6cIs1C+OyQelCyqPSKQ3hRZXh8JyEw8lIFaBU/peHgRcrzIVoFRE0jogzMHq8Ys XZnf519M4S0aUtAUh1AlGzTMVERJeltZVvsvO7M51UGHyXJ2s8zfdouhZU1yYIV8UsMwrNLE812F kMDJxELGr9US7Cpv0PEvdMIfmB0Q3MQ6qh9fRrJWcbiSFiX17S8xw6OMMviTslGeyuXPi0HO12NB VyrrIO3Ew/YkxiSVpUrCzu38NX2rDmKZMr4twg/VBxM5E0XVORVZ4rdxfTrUCSDGKGAo3Kmr1jiH zji+8Axc0anx1jLrWYaSOidHb81OU8UkJ1TAMWfArRE+He4lnaJApoXbg4RcDf5tW3yCQI7NpcoU amXQwtNqZv/aoHIXEvXzNkirFLXOWxv2GrKJ4jCbF3VJMxrrnk7kLNyF5ujyVpfUOfF/sBsGxWoX WvudNV7zfeVnSpDgqI/wr+ZVTB1mwUPibmGxs+HpMLxNqAMnsCQe8yd/6f3bRUn0wB/70wTLJoD1 eRe4QdjUbK9QvletyJrhBt3VgHyqof2LH/xs4beQZ1zZbKti9JPGrlg85CgUFDKVZzoiSb7XnLPL gysM/zhXA7RtMJ8x6RU0sDiCxHc+pR/Wd76Itw6zPzTQOLc6Ih1Sq/oJbUdH87n2rlv9aWEeyU5U 187+jOm/9VnqvfglOKwXnQDJPMghI84bnP6znN//N9sYHwDj7h2fWvTPZioGj9jPCr4pvuZ/4B7k 2uvflMS2Sfx2VDwQqEc4unJWGeK5jiX1502Kxaot50mzql4aV78bH4VUeeUjWKwqVacI5xthJEbr mdT8C6KlwPaFFrrjdvH+g+4xG6iCtA1YKVQ37nCCqXX1//P5Pz+oCqHQz1pfU1eEXQSpRTqgSynu 9L9mOW5rG6IlqwxQ/D59XLN7BYNApu6pcTOKeiknE9nfHphxQZVPY4sKwx3EwOi3m2oDD9Pi/4UT WI790nUMRkn2bjEQBm2eRkQvbK7sgKS54Gpx0FMoTVT33NOrJZEaw+CtiubZ7Gz29tECg7uZ7YI8 y+GrKkAZzQ53qSsr55KMwn7FNoIdgoqGg8yhoJNo/4f7KwUONhf3b4FmelpAx6JCVPl+dXstGbNJ 2O8DmW5KBIEePUmJ6OxTiv+q0IQlCGT9ACOJo9LcFQDKX7dholtqwxe80s2oBIo+RRgVAwGGEQFW HmLDrnKzzugkQmmQQIeEXFo6KcLtn8eBHeIflb35/B/kjkTudruWoy4RmUzcC7mpaybRN8+kXGTx DsWTgloYCxG1RO30ZqRycSFH87kLZ4wAKLYFRlIuKoX7TVzHQ4O9ePzarlDWC3NyRn3z9obszB2H nISYRr7/ZBWjbeyO+8THN6RAaZ+S/vPblGNaJ8rGrd/+WQBGcusUQ0YKkrDGDW8Dht3YQGXwRq2s geytkg39TxFIZFGGpqTQZPJuzvvHnFnCo9AQzR/N09KuWt/v5EM9AOI27Z92p/6TNkDfzNHxcu4g axUiJqvW+mg/GL6lnUqRKEyvv3mr6w7Uhx4LmYwWHtplW4e1R88XKZkODtVvaDQ18Zte3okkj1yk YhSBFt8sWJLFKmMcFTxl74H4RL1AXPH0mBDHOydFshF7MAaLEx6i0maFHGNQ6gplIe7MqpcFgvwP fp9e+sjGSJSU+d2ZRiVjOkQFZ+nxSoI0KrW1/KWny0vXvO8RrGPisseVyru98JwviMkuK13pBZYD EzdVz5ohZdSyA3UznYKFRyOx672CWfBhbcRdyOWSaZMuTCNQ5QLkPKIAkOKIWLNO7l9StYbeRIHg qySKJfCSzkEG82O391AVKQDAGkWPeTYDoVtdAHwhe0rAHB3FIQcccF3lbQ6iZsCZKJDyrMj/aAEc IO92FJEcZKEjgAET7K7B3jU/8Ua28AjiqspR0Q2vzMKe9qM5vv4egItrD4MMW7FhUOaEiZlVEHQ0 8PonVWxiDaAtJ6t2lFw4yMpLk6SVIHVaI1+YPz8A6pEubcATQbB8x3MFzOYSxeuV/SVU43hQ2KbM Ey8zt/YAVR/h+UQqDfKcjx/5t0RZxPg7tt134a7MChBZDf6UtfJdAiZwJnfdSAIt6veq2luj61xt 61uY6mC07H1o9OWJDWGSm3ZyXiNyhVtMq/CqK3yeCK6WwfXiaQdOr5W0DzBrzRt+5db0HXZhqXs2 OxuVcFB/WfKlZn5qofW9eGkXOtkbvZvcsTX7g4Hp1ZUZEuaL55sxjvPvSJnjRDiXsY1XDtH87KLK CZD+QEmH0iy5SkS4wb9MHHfyvl6NNuL7UfiQcEkeUdYxB6mxg0q4+MsvaI7aeMeDeMfTlN3Gnxpx gEdzqVpwphY6idE5dGdMxXVz9PViKf2sTAGjXnAkypqVxVDohoqEQ0jDbP1GQEyqRnu/PDYytC0x 3KQn7KU7dSQ/mtzDL2KuV13hr6+BtyFvWmApDmtT4Hf62ces/lKRmkVcAexiNf3PRXj23nd1pSoJ JWq3UYXsKLJTL5Mr2bKt2eqBaJ27tJpprkfyfaYqAu71jzV76Eed4+8zsqMvGqmoFmW5/gkUysFa WjI4y8T6bvilvonb02sezz+LIoS1Fc3JzhoK63Ecc/enQUm9ZdgLBaD4De7atE7nlqJTcH8B7lyj XgTtYQiLDf8yQ5e+FDTZphwyUvhvTTdgqeE/m244cfsthq+yR+kn/mk6VTwScLfSM+EDT+4KPuZ5 syaFr/do0jnETPT8tNjaY5cKWx9PCUbGPlacmE+GVWW6MJ3jGITTR5XMa0wPuUL+iv0F8hvd/XjG BJT1B3EsI8Mk7uvPIyFtV7j0+ZPq+xNzS4SBt09Utv1IriOmpnbjecFvjOZbMO/zSMYzBM4DIvjM 86Q3oidG8I5wusK5AX9UmOOImWSWlk5kPvK3hI7cb8hDr8horSSQKuvv+t1EFo6/fX3DtrQkgghm i8X3Bt/P0Gth19dXN4G3jaNhUm7hGYvc3J3yExLP5QT4PkMQokHGfADommFvGfcNzUvmVwJr454i ih6eXLt835jY9Yq74/tWQ+P8bhCxk1poJ0NBF6VNFYZrewLnmyHlzLkvnNsEfYsg3X2wvGURXnEQ YVM6h5+CuQS8u8qBmc+TlMAFJNnvH97mUF7cdtSX7hxCa9pPL3ZxALQDtgxODGd72iGeskKO6Ul0 Zgu1Q4GppeHBkOW4Cv9u0IFuHcWn2oZ8z/aNkx+TzNNIPS5PuRdo9cm96KpOzUqm04vyPX1zYf/T UdC6bJ2uJQaZc9C4VLDoXWCA76x/jLx9OskaN4j/yFus0R9dCNRNehUeBc/BBi8+Q+1M6M+UVUJN H1wQCkO24Ddmoy2JQd52U0FzPmEpCU40B/WB+I12Ycais7yy21h9oxXe3HFTiyQCDCapUOFztQcb +8XQx+hQG3dnwTmAAIRq0bKztmOVuqWtPrXmuMBQ2aYlV0UKg5QIAO8EzK7YcP1fP8171WQxozCc e1p3awImApzpFw1zoerNfmsDe5iIWtvNKzRUYOA+2R6ENl7mjEMg8dddS3+QiJdHB/cnv7rCxeky oFJrbrIOG+HIYCkiJw5pgrq/MlyPthRiVrQSzc3YsJBciJMP/UP0jjX5lobcsdg1sEKQvmpnN5QQ gbkF7Df41AfuC/2Hzqx6dyGoKLnFT/sB5zwCzCNNjFmI34xWYNfprvReebMOUm9I3EHToF84ErNl bFo8JEWlW5bTgLnVi7RY5kmw2Jo8qq0FM+4rsWNcobB4AeJO17V+TgE5yEz1tCplZLwFy/Xf8B2N 8CICiR4uxFdm/PmcZVw1xFC2hWWcU6KFvvjfoywFHA30WEnIyv1iFlTfuA8cPn/GBr2Wev/Fw7pK HJVoC5Duw7ObvRHVcfhVs1Ttq1u44iE7xlM6mq7kk+0NzGS7n49kBaenhojtBQ5ytWFk+GwYpSjR pFsYmWetFwkCvy+LjTjFNVzRX37UUmiXtfaMPfC2c3kr1wkHh7+50iNb3+Yur3gs2xyi3dY1XfPe 0AdEqvOxK5a6+SkkClQwtaWdpBS4F4D0MjOhmnCbsy9HT9n71gIgnqqMCwPxHuwMvMJlDrEogZL8 whHyqTSQ1Tjp+8RHsGZj8j06BoBmTFFqbdQGDuCW7vQIRsrTrMgGND6wlwmZbMGyHoVO+EagECVk CF9YfQC68eWMnB0SK1y6Xmfv8K7GGMR40Uue2ZeUtgapZUNR6f51ZTZhRSe2a4yrmNBZyNluhyJ9 q9jruYGB73Mle2+4dgBs1kl9nFarUFFGvPNbpTBCxFaJTqoPX59b4HXqznbHsTxMQTDiTG4i42i+ iwhsXeZtA8WhzZcUUw6zyoD5QeNltchf6xcyX/2BIb6/+/U3VffAkRR//GLlQCSEBW6xf5CmMaKL OhnkydGwgsMYaGpQRH69GNP7uLAzO1ONcOZI2Wy2SvrNGcXTIFb3sUGWeHG2VH+ePRmfKo1PlyJ2 sO/fiA6+mRcdpbuaOksQMvEmggmHC+h3LWWVBJGkhjuTgAEBC11LThdusx2klcfN1JepA2htxmc4 jIGZ/XZ+Cg0OTrboTtQWNbAly6NY+6OTIZBmqAFckNGhpaovb3sVIrKhSVqqaeGLk6Dwe3Mx3cXY ZPb165XydS3EoCpdZaud7vh78LgBpwzIPb31+5X+15Fz+pcDUrZDrvF0O9TJxWbCI/lJR6ROtxx8 r/4mowNx+icPtj3UY83aGJnBqBmOibNOeCpMtAuW5WjBJ00c7gs64bcdOEL5Y6TJT28BZOLePmOR 2rnF34Y8RpeqYH2Fg8WTAra/HyU1twLUE/5Kqc0nTIXG71vrnS/KieIy7bQmx39I4dBCPW4zcU3z gRPNdVV90RMdQ2yyRoT6AhV3xO/QzL2iQ/d9wtq7hwRZa4qKuGE+d1QK598TWn7dqw/9LY9FMF1e SlQldXGokkxcxUw7mgwwLfZtmj1J+heCb0yNFHgFVO9zSWYTsANNabADvNjAyZySwDTZ9aV/LCvu DIT9vvUAu19mQfeHKmSD1EltsfH3wspyfGDlCCpT1b4Sf9tfYuQLH1Fu70SHvj941Hz0o9yMypqy i3VqpW83AJADEG1cf/oP3n2w3SmNYaGFt0tmQOIoWDdJV7/JXYSeBuKtNq9hZWYs+/nJYg2Hl+4F 28P7iu3/GJ/QB5b/S3gYu1Jsbv40lvEOfyve3yVe3nrk7JMaBvR4pVjMDEuUuCN09Aa7mUyIndoC gOhZ+mX6Ni9SkKm8tkkwjogE7RTgVDD4stFgKm8syQriJykFrYhmiRhfNv25+So85m6pzdzlTwtw ysopMTRTvqkN5yULhZv/pWwXosVlJTwgyfKzkksxoDxgp1lp6fihTirtbZP/TqMeITuqykcRrTjK q8+sXO3yTkeITzVq8ew8+3t6wlrzSnBnaLlWees2f1XdMSO1AMwZV0/Tj7/6FP75BodxgkNZ3t8X Rnp1coDuzqaFj7p//kHVZ0Q/ctZw2w+bumXk+3018rMs+OF+3HLvRkzkYRbrElJXBcPfoh/aDStn Qo7gkikqKTQrw65rqCNJxHNKOdPrlMFGbc2gJenrNPbHwLmF3ds9frgu8Ii4IX31qO6ETiDk2tYY ObISA8tCVOeKAWNy/OiwG1SMSS9+Ts1nikM8lrco/t6EtuD2e3xT/MunWfNpYM/LOtVuUaJkNCtA gxO2jPAJx641BerIXgJqKq/aDFijku59wwQF4AsTGM8N4+xdKOh7Y0AEoVqftLfB46HOAKmImCAr bY5MPZEclUQY7+LnGekUyFocoKqRXZOjzdIc7lKVzrAwhHTGSuhWZ+r/Qt0keOUth/G3jSk7mzAg jx0L8fbIMOcpboUfzDm/ccphbzg9cDISEoGxbgbNnSVmfuuHkGy7yrWtJXqSDenlbZE7YiQMocn8 c75k8QfSrx0MTx0F908kdqggEa6UoQGiYe79M2XifYvTQjwBTPZPD8QnnUuVuRnV5fKgW6iFLIUi c7aBDUK9vviqL4iLrBLDLZSXp8P6hOra3vwKFfxNBNKreNqH+7HR33lYdR5KWlVHeN8VrUPapjao K16XxvYpEB/oBt0einaluJBGDBlr6v7Rp/gOBO0ufEP0pBjLJ0sn39Gyuc1dZAlvh9+0kHFFca+L 4kqAZS9UoA8h+VzbdMJxsjTrHJ+ig5DbPEiM+MzZjdCxUt79abc0aWvl0V2tGLaKgsXFLA4cvB2T /kcxRb/24rTGHxHJuZZP8mu8TKkHItQXa0NsLUyoW1tP12CQbg8BjYmJFScShQFAcFuX4OBktZul xn0hsFRI/lXt2TwDTCp4MB5RUdF9xmQqgtTqn6mPFQTz+ZhmTLydh/6Kp3jwgED5J4ycLwSghyag md3+9f4uq1PmhijOFVepSr/rVOSlhpR+g+LnieDHD4gYcq2p1M8wc/9qYzblBmJv8MFjDTts93k9 kDJJAYl2UQCLjSgEz/12BbMc7TQOTwKnKoxS52h1YgP2EePelufUzNHjGxjM+v5CjzX1TwxoNOjo 5/MqRNJiKfooBx7gkRzrEBCMTQlkFPSEDXgmwmZPF8pitJB8t7QbGd3y65bSCb0ALVyGGGNQCr3A TWX9+8Z+inG3v8wBYP2J9jbJsDPXo4eNw5kbUUwbOoq08VbLX2IZN2Sp1AVUUoU3wkm1QobA+arn mZdM+hpWqBNY9AGo3CQZ2NMvvmY59Ix97++SNAsDOI7VBqXrl+bGyqUdZ/nWIxhX9MHUH0KWUcIw Y/qLN1ClhQOb7xMCRKNhswaU2B/eGhnpa1+gORNND3rbd99/MKcjlZIoKv0Bvx2Uc8dBOpt9YW4Q X6Gn+lXvRmoZv4RW8Fk3Kc544wH3jE6JpEFy/qOG9olo7tco/+rb0JSrjzygg2i3sjHAy1gtC9ZK jRsz9eE8ua0fJZvGuWEfzFdi6PFtZJa1TDAYJS9joJD6TF7i2M6Bn/zdO3fRTa4NeDksqC6viPYr q99/IkFy41yd/zq7yL8q3Px3BtDLsfsNmJsRO+0uDuzXbBEKQwtMC7BTzvJFXdNjH9zuI/naPUUe JR9mdr5cWpSC5HfzrS1o51+E7CMxnYZ4rKX+ND0rO/18HUIz1tdxDI65zdgfAkEgeHiqj08+M32H BeDzbpLEfwlkfErnt6dVTtBOZiB/1DHwuzc20u4q0u6ciVRMeHL22zkPULa3XxZumVF155pr71Z8 gcibQJNenpq2NixtNgTr3qbgiRHKfnpYrZxTmY/sbCLNCSsUZZH4dAKaWEckaWbuYgqxYCfv+vZ1 KmJIZ1P3gY8haTC6guc7csG6WHsCLaU0yRXpuhFva4luDrtVKKn35Udum0Ct4acjfyHYzhDqRMoq TNmgAmzhYFUA3zjq8ODqmevaEUFTpSy7kS9IaEO4rMToWrdO9j12bG1IvjJro3yBJsIBjgYE7lkh wngZlQw2ycfD4KlXqefU6/22qv5kG665nwEdarKQze6+3kNs6STdJOkJaNBp9X5XY+lsJcNgKh+z xWYr96bLgfq9Eo3CjtAAgjOC6ClpmQlUNncEK+wZulIqZVUY50a/qNG3u/pEShkOmCqriUorpXbU iXtnem9cFbXFIkzcsTBYBoFT5rFuLhDG9lohguhyfGmCl0+7HJ3txmCYyT76MAhbnLjQr3Zg53q5 98pmsIdEpBtWTjv3E+af3KZUYtA1basT50HPtE4U9LGaAvpa95MwKkR28fJNl+xWkdsw7RRoFlAY EE7ttnquT0vTioRUWv/fUArfqU1GI/wmpTbAsJEOx5sWE/SFjy2MLHHfsc5vmhBEt1vLuAPjSTiP hgQdpBTlEu1hBfg9M2JBEGN3W48F9udlq9oIXOxJYWWqCXI50kv5F7G/kY8OnX3gnO0fr0IVVrHN dWxlSeFy1oI5+tgc75mbJtlMzsMpR9wL6tguCL/jJInJbnqp1tL1xOKGZZ6l0T+ih2TOT3CiwRiD wvvpnFXgEYavArM6JU8bjABzdB9YwSrUNPz3/O6l1FmDRiks2h2kkzJy46BTyadESOh5G5Byfmbk 2V/vw9txX6PQ6Y/hRz5KKEV84g3mSvPv4kS7FmTWU8pypK+ENUEchlLGgTVkXDYZEri/QeIcmz6s fw2GM7/8LVZuDYaCidVphpyGp614Dy5OwbW0nEXdF5z1bNfITB4gwzcZunnCWnKZ3+nGH4okaLuw X7WEmOAYhcufZ/tB0RRA2yrg5EWnN7BZ5BvmGFl43C/QvkckOzE2CdF2Cw24ZAfHThNL8E7YJndd rktoVtaH4kgT8nk2jGOYiai3jEuQL8LoOSRNRjQ+6fOGocZsH70xrmauquWjEZL1TgJtHB8Lb2W9 fCO263aHPyuy2Xzd8iScdZzXc2W1eePvKLwiULoOD6K+9O5mfPAPguf0GMcl/ZaxupoVmB79DjzN muBuvpmmBLG7AZytVt3jA5SeDUSdAqasRDHijAQW9DEktG+kJszGewa/aoipZ6RNb1feLIgthPQ6 wbNmJ7aqqfrbrsVJv7k8F+Lco9TJWwYzcFiGBqHF6wAFHLg8v/O6JJQ8nPbME4BaULh44Bn0s9J5 sqf5VCEu8ft/5sEzFTKE9f1J1oWG++skJtnCIN/IUXcUmFOAFqdKVjFqFKnuY/U2pYYGM9kesDJ1 5Ou9OmwDDYOifZ9SagXFB2gxz2Qf70QgwbnnPUYDXWKNNA+hVsysBUDFveiwOUWcoxuUt4JvvTWO n+ftqFjdyVdLrUwl1aMwoF3xzVGjJwFEjWHj46XYnE5EO2+B7V93y0qwwtmP897dT4pMw+bA9CeZ hbVvL/2qWBdJw5UJgIJemTu11Kv8GyR+1Dx49kKLNpihUT5AIQtpA/G8ByPXQSot2iBq8t8RUDGu PPP1+BJ7awCZ9IojIaDraL5eV1l8VyeOs9StpmwbqkwYepAvKJ+7p5BNBBX2oyPUbNaZ8xWveTCm kFE6hTjCDFYh/HLijJYH2fdnre4pHHP7q4NP6UISiXreQMUvCLM4kuqectdgT3tk6CuvFhU0sdgk Hjo+7OBCBhW35sS/utt+uiYEiLdt8UYKYdUO4aRJW4j2/PsIWcCV8eNktUIeQyQcJ9aeACycn+VE YtHoNKqU7S6fxSEUaXs15s9t96cCR9dDiaPqT2jEcwFFvy6B2lxO+afNhycSDcTUcWWjLhcUi2OW MVlw50B3AJFHRgmkg5c93ci6mo6z40aWYAN8+XPG//BuojHBwDaPChKJ+eQHiwF1FpMkbSMKkzOo +HVLVxHtVOXysNHJ+ID6KO0bl2O5ezsTYoQ/eo7FP+kMkzcUOK9pBi2pbnVFUpXw15LMaXXaDs+V GfzlrRojbjXtj/a1rv2o8nYUx4jyCkj2TEz+iYP2rr/KtTnmIUPco0FvysPaz/9WYLwn9aPlYapf FMkN9R9ac44KS7MmUr7zsEpVnutpDbYZ5ZilcACD+0KiGCrOypKBLx0Frs96PbW2OrIhmJNkOopm 8uVh4cLLHs1OpkJQMkHWOBbtaVSA823Usy2KA/B8Hj4bPg1giSRAI6v9y6fjqOw5zMcb6hlBqZV0 E3AtbBzF5Qi52GRqY/ZugLW1XmLcigKQ9PeJDIE/GGtu/37bq8G/5k9AHjJegXbNV/7JxIELAFoE lHCSR0spSy48LNvWgi9ooTrGrNkjZXLtT81tOnAqsllnICn8E/aYsDqCYffuM6+5xIlNTksbvd9/ HPkHKfTHLYqirta4OpVVUerDGSm7arL15eMUS2w0qeFf46wobme3XKMvg4wzVTZ2wvWmFLfdftSo b0tlG1l6jewsLUvm3vuTa02ZBOgq0vOJ74a4q9iKS+RN988gDZgbAZK5A2/lSAPWIrWU+Tz8XrfO UvlL7YMiGda1LIKi7htsYFyQKF+tu/aUUSuTykJrLbSjbVdtA6DF8hFwWTlyZ4/smTEsPOam+dXr zt3ag5OOHt8lbwA/EWlWtAirDg3hRpst/XAn07ZNwE0IsyJrdl2mIDCxaqFlHRyFbg73gIhmS7MB JyigkDobCeEnREb3T+pQlVL30WaaU2IRaQhCyC4Vbk/H1aglXvKT533a3LI7e2lXthSHLJDmis++ d7Slxm/v50+GbtRcHTTYQ8tjHMzjbdnurKSRIu+tIgDw4YOMHPCXCuYgM3LQw00VPux0s7e0cwF3 LsQzqSk1hJoWfTXxdNZNuaPNYcSFOvAjI0mp0iEaga5l5oCeTLRRoV7S3NPMTPUws4QHyg+YY83i xx7YmBdGDwp31G3ROvOMiuhE+4qa78sWsY+6ABfuqleIn8CKrgVfEXCSbv5IiPE/8TLok5SVYNNO Syoji/C/y0Rwot1yopof2C2yHHH6NVZ7DwfuDeF7o2K4ltojj4Hl50PwYwXEnfnMaKD4v4sXZQf1 ftEXeCaXl9s1DsN2ixfNtjaHDD5/kWhOWjMX5YFITTB3VZWU5CZ5eD8msYpTM2jyjSX8x6RjffzV RPSJX9+rfjy5vVMZE0K9kxxU3efjyftUvPCLQBJrQQFqNlEz0Yz418z5BkhklGcRORGUyw+9a5Xf 9+CzKeSewWaRON04C24aNrdTeNhowbO/hPSxD58RsK9MStwcugoBX8ZCaJMX9qCp0GX34hSMKQQc Ew6fRrq8IGFPFk9Csz6q5ET9sAW0mbecCQy5vb1wJytz5MnDw5N9rbyMg6V2oj+ytkMSbLAm2xqa uqGdtB5ycEu59AYMXl+ms25dNmQLL2kS+lpJYyDnRVVP4N1wc2TSW4jTGGraxYY2hk1XjrmgVX4P UswaP9tEZb9bp7QQ+4Lh6lNJmjvxrQ25vIlsT0CyG8cLwQNy8dukpPuKxepHrD30lpjOPP4Jhi+C tEv93bkGMGR4frQNxbbUTMGWTq/uhVhmQ0Fq75bc7TIU+Rgw/ZPrFwZhcWNTwaDzw9igEYQo0iOL y4EX4pGnqvrPCvc/qRBlU0HHobBD8o3QEIaFgzwXH3VA8AwSLyhVLZQIbNQTHnZhjvXgaodGk3hb dvp28V00J/pCzsns/EX6bhf07jCDL/HmCH+LY0stHbcYLgaOC8q8BTuf+73wC4YOQu9//Z4tlieg yWJAZvXq8CPZzRBu50OyHpLXMKW5HWnSjHzTyyUw6OdNZrxmzyoo0T1IA0c66Je+n75QjecMnB3u rj8zHe0hweowYMvedj6bfqbSdJ9FzOU34OgnN7oUiaIyCAeqLosNAxhoA6gwQARtV6VUecyu+8UK FhN7FDeC+QSbXT0zJdFzkg9voJbbdvRA5G43rURl0Fu6sb/yzqdP/QVK358wLJB0Z6zITgtzoe94 qe2Xzd8A8T1OZJPIvWRW/bVZ3y0rqkc/N/y384vA5vs1KZ93h3rvv6dNGbX+0b9GNaNcH2SHxAZC iUQWmZTz8tmrFPsUagzrM2yNACQZXEMkE9t625zR1P33+ANjdSN6X0E65gob81h3WHoSgDi/agaD OHGwpNZNV20bSVGw4n8NSQ2FMBcb9RhcZUuUcMjsuIdI7CBko9qNCW6A55MIKsWAvyHHJVUdkuvh 4TkXO9a+R9efInJi8vsZG8n5VSZ3vW/uvDJ1Jy9jpCCoGr8nnqDZGIPiRWj574EqfFkabc9JGXB6 Q6BBEPBCeFNY4+wpADfmwBf7WPq5eS/DHcPGqQnBaGqNB0S9RNMKPaGQtVQVA4v4ReFh0HNmcocu 0RMMzI8mFT+//hNKFqkc1Gk/gk/kssSKppxAw/E0jKJ7lVvhu8NGoZL27W0Wzuo8COgqaUFlxizP IXanXaBsJe1RkwIasWt6glkn7uT02WwNCOEfumHDY7SIjS3uKNpMGPRkaEV3GfXAWtmWdLYOizji XHk7h/BxZSzCytdDMDD6MCoILf4WNifvXPw5K6OkQA/Ko0kCMyVhr7wRgAAfFvjWsCFOcbYt207P tZyZg9AFngjiuwI6DenqtbfxjmiCy7rFJOozQKlIcD0vvubzowlETo5XCGtwWfQdIUhJa8eA9X10 8bnQQ+aQDfv7FTlCugbM7G/7FbGhzD0V95WmCxICB4JuMDN9GCOCfiAyu01KZgort145997Ep632 5VetVJPYaVTUxIxWHaO6wcQ1K6gWl3BvzpiTq1RM0QmV0pBUOepv2+XfylBPpl/o0ByoGfEoM2hc dlEkhUYwBAgSQVQmI+HovP9qwMkPwSUyvRQkl21BPLuxEGHE4jYkIjK2mAWD0Ul4+a8x3Ay8UUq6 l0JLhlFz0DYAaolW6tAAhNNuXk6hLWh6kxmTT0NybmvpeJRdZuBFioHM08+hiJnGHR6kOiZ9VsCi CyJRs0xsA/9cUl+t/emwjQ/vGalQADoA+pxkzrmLQlcQvt0Af0H9OAiBZ6Lxi2FXovs5H+YaR+fk 2A5INjPhVFC3nyOY+dLah7NzZWljR+/tovLrS3J7GFLH3oKRY3RguBQt3aKCHOJGLDlS6p7W5/lB x+ro/SjyRq+FAVcoLa1GencSohvhtDdRa6qQH9CeCrj8JV9n1K/BXD4wDfYaUKPz6DoNsLUXvqjT t+Q9a6rn4WPplyV7tvsjVQAFcMOvnKOcBmhvA9opQL9lx8ebTQ5WaldZV9A8R8t6FTbjZ4lAfR/6 f3lUbV3Uv65jVccLDWM1/9b7jOZle2/Bzyju84VwqBH725Jcn/Lti0BMXk9jb8XRuskMeNSi+i3S ad0wkXrdO061W/5hwI+Ra8t9vge7DqX1Cqeo6fCJpmY60Q9GkzNLE3Lw4y69eeetgyH5Wp0nsHq2 ihFZBu4UYwCnXMbjQRWVs04iCgFoS2Y/kqGR5ay424EhvbGNvp51HYn9Mruu2CaaJi24c3XAjtVA s8AQRhyBb990loygKlhWUu2eQ/i+7N8AkKGMrmOgvKU4ImJ0+GTmbwn2yTqKVtQCUld1ihMBRXdk 0c25/cg2Q5PmFPCcRpLcP802Gko0R+PeT2W+xv2NYmM+OEfVuiD17XXaYAftO6SUN3l6FbE1eVvj /WaJvvRgJIZn9VUVy3djx7Pf7sdnp9chJ66i9xQYz7xMZYfmASaS7XZDKvhkYsTaAq4aQmvrTmQ1 Cg1JLKB82xnuDPMtToFPOfei/gzx7anq25mlkN6paGqc6K+IgYT5vLSxdhlg67w2O1/kbvSeFSmW gDcDR8e5A6oNR2dJllEp3gVkhqVbSyk/EENkp7VLrGfsepfup35zHLQSltEx8jjwrOU9Oe91x+vv Xto9ctNOapb5tkNRK0nK4AH/9O15B/JD7pt46acLcq4e1fjV8VyoNi6BpFRJkR+cRMcc8Wv/TkGs 97IEwXmEzVr4DJ5ycx75RjUkpWOsq6QKjgIauNcgJXb1S9BaYYUJOH68HD/pxJr2Kj3Bi/hi5q4s SA4Qm2exb3FChqgs3HMQHs0oaJq22goPOYwhuL3BVYal3uuz2voOVmQ4XtRxuVsgYzEa8jO9vpyL Ccf6BlKN7DE9szKFeGqWMDTWihOOhpYG85by0LfYVkCqWSt18QKnp4nDhySKR40xSjAAo7rstlNR 7WauhLkgQ1NTj5owqdwGUZelTexVuJMmnC4Fk66jGfXehA8c8bGEIPZLy4uEd73S9S/OB+3RCryq yGC1SI1fDRy5EdZorGSG+OtmbQfwLxhqe73Eq4uRi1V07ITQQS9/mSm7Md/Y1M5Uh0H+75rL/i7N 2U4DkGecphl2jNWednXXezODXu0Wd9KhXhP0K85qvRTx5E7WmxaMSIe5NlA5eBq7DxT0GCOAnrpA N/n6/SF0x/dhxVi5cQ54NJgDE77X2BKPtWguJSsjN0ijQI0mEITsJcFc3c8edR0w/YbEjygYTUaV IOQBmfLDQJh15M+jVKZiZTOnopjeQ+VDYC7I15CfodLrgz4ZsWO0OxvhwjRvW0OvZq5XeTl0uhC2 Bu7M92Oo5+Y0WLPUi84uNrzPItiQyeN6JEK1jpP9My/vwuOEinFVMDHHydGPaK7zfglCeX7JVbsL YcVzuYNaYK8KEZQFHDS+lLMwhlF33THBgWsoVDvRf6Rir3aYzMrg6OZpRAiAX3gVwMqUJhoSSrOa /w1H28dJsnXfxdTQm/p1uDRh8kcQkl4g4rdwvc3PC4F4GXQSta0EE27VbM/h3OUnsnX/k6TmWKcH WyCwVDPqDC/hiUMIGnNdlptjGZfLOdNSfrAZo45RLhhJGp0Z/RdiOnKesr7GtZoMcj8r9tWt+Cr7 NNh5ZqIDIiVzmx9qSJV1e0UlVW+XMqT0IxYvZtUjfjWPdDXE//y4vZb5aL6rsx1iK8vqtjRUsGxc WG1AZbZln6nbafDSM/NadRlvIUz3aZVQ2btLC4dBkHuXrRxGRi1up8STn4ZvXzHQkRSMG2tUSobc 3BdQP8XoYF2dR+xW49WjGC9D7gHU2O7Honpwpyaorw8vxpimUCSCqalr+Xau39Z/MmMI7poRhmJ/ U1hifOMYfy5gXa2jAQZ7t1S/FMhf835PUAGSo3AjvoNiajRo+D7IMMjz3pBmh5Yi7hJbG+H+mbdB gaW1eMPcAgvyyEvdKHneupa4g23KntpxEm3r5UQVhcJoW62KpEhoHgNrp/aZN9yLy3dOECMIHhO4 Xet3SrAhmlqwDHS2XspeGGRBEj20UOwDikWIcbN9nLQPdX7w7tpiSjxFteOfV1lkpHFxf8txk7B/ YsxIMjQdRX/9wtyY1xV5N+DtPB8sNyZlbZwQN4LaDnyH3kwbmzB9MY+5GzTvCPdw58Ra3v2gBJFH ZivrK9JgDQGUtO84ihPQ93AwJ3eKbK72KLpBCA9giug4Mt/xBoHC5A979tOPQYmEvW16eB1WyVs7 tBp9keaRYVRQIy2GsTj97mvrnZVqCXfsONzU2Xh62FanuTxhpYG/mSn/uAuSlye6tmCSKoW9JLPL +H+xkWGp3Bv5OXOdwmsDFE2JzNnVW6kAnxrUNdrCfYfZmdOz+/oqzHrIWGGQGhuAv1z6qOqqfbMf 02DOKWpwCzS9d4nwAPL2WiFavTmtS2aqc8MlaaTwkvjb/JfgSc+kTYI6qz7ytgbVNOJypreKp30X oMjhW6g7DcWpdWnO6I8PYeiDvYGURueUYLTBHZTFsQ+LqK+Vy22sVHYf/SwdCIWuCIr7o6xDbbJP fCYI/XBwDV0JUt8RUVO3/8Obtc3k0a7/KB5excTYsMov7q0VPCkwucre/TTtnkQjTZBMfku91Oh0 MP6bDnfHagP5Os4apRsuDa4V2PX3tlq1WWeSq/799xjCGr6HKU/9m6UyQYyJ6H+k8LzgSKNQAicm 2JkMxrZy0h/BPPQKMRCwM2k6CjbmI4eFqH011ID7DIc+55WfAB0tGGR45zmBpAziT4BzhgYl7Rfr vvJFTGYCJFRBHRNQzCig5TQosn3fAq2X9ZNxU89fXQ+seZ3uljGzAN/SABsBBwNVciCLEMSbxwN0 vA74c3BIz6BCsZffy1M+xDzi9N+i0D+T0hk8yxWhb64ALVZxy6/OFnmBiMWL0RrWQMX/jaTWmmPK wStpVP88KeHL+fXVC+WeLGxMreNn2uRtcPtvMwWacd2xT17kz3B+OIT1gQA2exntYDgn7U1HVK0C hMdrXS1ebLNyM1QaH7JDlqHJErCllkNYcU+xkbtduYl61HXG8jdm3Gh90ENS3CxBml/cWsJ8J12Y VDRE4mozLqU2eBisVA0QRiIvOPoXNWvUL9cY1N1c8fLVE8dupbRiSuWyLl0rRPGCt6sH4DrTZne9 8WNv+1t3C8GmUEGckFJfCh+k+9A+DaFCLK4GMPWoievn71OUlfylhLpNbp3VidBhzGwQzqsw/L7V 1NYDVr/RUdlByf1SLukelJmj4yBK+mYdLTJ5Px9gIs9uZ0ELSTxxkPgaRNuSaiZLOP4gPNTe2sXt rsRkgqT0fLNMJkh1uscruhaFscYn/JMJ9BC7P+HBtYNYICZPUv4rLAhts5neN+cdvQzNYHA/huLa qdyooSShUdI0/PjB572ekI5znsxJzGL8tOV5ZQ6Ta/iPSvqPMYbIZzESrEdt17ZRCN1slXhpl2+I 9kkupECdSaT+ssLl3IK+5q4QVQ0TW3LADdgXVthZwv4l2soQB4ygVgsp+c1GnXDgmVQwFlK1zMxk 4pB5xqQoNcXFgGkfMTSEgij35LNWBVp5VQGeOrEtKyJ8FjqokjX8Ym8FKcGYBqNTX8BMgAO4LKKu 6jS/o/qPYl6W3S9vb3kbtB8lOB5tBChtbGRCyXK0ffoKd1fYorcdNPRrY5IzEGLFZyCH/QJX+aNk Yx6YfFPltqUnj3I/e2YZ1xJ7qlSmnZA7d+O0TkPd/PHoUdRPJHqnadBYD7D1aMHhmrpxcLUHx0UB OFHCXg8hbCc/5ffPfGQy9dWKJG4zfxHKDUrraDm4k80PK0kIXpZPIUXgt6ja63hN63mASbkyXwVJ nuILRw3cci46Wnwo1+HSwP3A/ItdW0hB1aa5rS3A9SWidwneKG8E61p+TV/+Z8952fbj8FJFLx/I Ck5hbEszWz5A5hJD5tKF0Ye998aoS5Sm5g36/JcXGt1SbxecPXJ+R4h5Y+jyVa+I8ksw484R9jmZ FmGu9oRW5zu9ug9ZQk7a3ms+/HuiZQiKF5dPWRCON1dzUsS8PdkxTe21AIh1etTVs0joW8xjWuzx 6WSjvXKWHd/hCZsdoduDBhjWatb5MFdYP/fIcQNBmazeox4yA8fCbjsKyPuL7+V7sBF2PA7UiZIJ pWo+LsCd01CySrtRoCHvyQla7VVOoohm7oNIOGGEbBPibmha7O5GvrSNz0lM4KOFz+oBqa6wD/Yr z2rxpuPOmcFwWkei8tZjYYzMg5BnXFKkkCD01oEDuE0jVaKZgNB4uZkL3AqyaRKuFoFQHkzaL1kp oBzR6WbZ18PU4WHpxWRdXlKlSVRnYwo/sLVTkBE3xnyNAwTXDaM8+cOf15iXI5nAaclnqDS+T/3p XztLsn51wTXZrfubVpA3zSxclEtS+TPWAj1hYxy4WG+yHzI7r+qPilh62DBj6FNjCJ4NRfN//xGF qyUqk5ALQB7etEXwR38wNhyAmh5RQuqS/Kbb4R6TBA2hTiv+z5toi5NxTFKxxuPF1E857DPYlLIv 7+Wu4bVVK/T8bLZVH/CtZxiQCBCFVSWHmSoIoKZnkoOl6AgAC0QOEOFgGlBJbQu5sr3pKhhcRvRO 8Xz+8GPMLi1dQCku4c0fDzjPKWr5baMoFtaWfW01kwezGNBWddz1jJsqHwPlnRZ4VXQB3eySPnkl oqBvi9ryNsgLeTyEvOFE5mTcebzVS/vwJXiIvtKw0IqZk3Bp8HwxF6mYIZ0vkNBIfHoG2xZz18pw JroxQxJetrxlUrE0kn1nkCynkmG5yQq72i49Xvi5nddIGYCeCN1svh9u65iasxInzwnPdqslouP/ XAVRKYy9og8B3eZbOg2GvmKg1nsPwMmqgoO3UMLwDdMaJxgi4QvB1sPs8SDQ2KpSkc7aqAfMyV2u 0U/5eeNcQpE4uBU/1n/LrwFm9WtSMzIBLefiKlKUfh19rpwG3aYfg6//v3ZF2XbJx2XeKzbMma91 ikrEuF2DltcxEgUh4AAE2+zLj1AHgeQHwAKGpK8LSVld8OqCsXFaQnEhVJNMnprZJJKj1AJ8xFuR cuBHpk3B1fLLHlEnu7mrbP0hTmgO3PB8sj6LAp9TXDwbXKPrKg5u7stMrXr09zd9tqPvBlFXzjLz AzotZOLvRGOGzh1qIkPkLlXI/1sgJg1bIJ3QupSawCPDwPvnEjQPE65WOT9BkFfOmyHvcohf+sq3 NRUagjL4mGAGIQbUEIgXzQ6aRPrsg2NvjKghk7pOLcsLhZ+wfjijyfHZ4GsabclKFR7sG+dUZhVh 6cNUcI3obFi0DRZ9cRbUbvQ5uOn91ve79TzGL+LPYGa++O3wtOC+48azA11txaCRBeUPS16r1mkE 65118e0vjhoFudEfkrbTHlEeM+UPMa8hhnmxSjqoRRzr1VuSz0MAV1Fm96jkhWSRQY8cLJnYajj+ zcDo9L1LmcI4DCgWxk69pY4bfY6AxqLCB5g9MQzNaC0M7METxGfROnYJXPlA1nmrDoRdCYLG0cdu QD2wqnmttllh8YvNnj2SR+5SnF0cxrhqnZMxakES6XKrp0BHOgEwZ6M3b7CCAN6viFYGibxkpxAu 57d3NHI7/J84J1HEOMA6tyFpG4czxnAnBb+WMF8HpGkzyopHs+lSB7SCZfSogxyLBAlbzcSzKiyY hu26P4W0Hr9ZJyAUibEjWwWZYwOJ91sYjK1OnSV56ku5T02snDi6ptCxRob1afWmAz/TdFBrMp4Z LExZmOQsvLs3RsukmCnA29R4qkPqkiVWpVX8lhu53m+wNrrAPx8uMx3fNdPEpD9ENHnUJDhkG9z5 PHEYcYJbVm0RNsISs1cRj8/tq/sBi50M0G433cT8eZqP+So9ZeJ8z4ccGG1EKsZvPxm3TXnIxBTz tS0rdWN5ZskTmD5oZ4rbzyBXMQM3cy4CY0ty5tEPoDcFvnSZmHpLb6dFJ31fWbwQSecQfOgegCNZ VeJ4AjDlwmgP8TypX83Ia8fQjT8/qqs4ItWEL7PlfB5lgre+bJ/b8UUS2U/p405d5A4fuu7Sdc09 FGyc0+lLAbQGT2UijKGUUwlmvzlhj+8E4ozHnuodWlrJBYRGKtmBBRzJwQM2pWrHZC+Ag2alfHML e8Fj0Z38KovtiQeRB41hCOiNhkoreqTGN6faCta8o9fT/PWuh2qWWoKeyLXjWD/A7kqdijjp2rs9 CcWWB4b3hKpCcMxEWsVqDsS7CmPe/5jdQ/71HE/UVaePCWKQJCs7CiLv3jUHCsPBvAUJnnQDYhAt JX/SOzlhASYVgIDsErA1P5BAi9Xlm2XNOBJvhVAj3sQ+kYSqzy/wdq+W3hS8e7783HrQeEpPZfbV Iu6U9p16gRMRqv+ApqgB7IS7sgw2vp21DqMElb2N2jaIdSY88wGNpzuxSFoVdkJ2ZRgTf/7zJh1t PcPV49l4Wjelkl7udP3WPDmuWGn6o9glN8lTXUfuAHhMwe+MosuR0RrsDQto3UFB9SS4Xaq+AxiI B1n2mZse349XyCQrCQhvXcux9VCzhD3XPPEcIu+9WA/c1W76Hpt/zClN+c/pgw6G4VqLbgvzKQZg 01WOSJvkSW9rxF+nBsj6UisJGjqnoCTYxAc4vQ5kUG5qm1CASVP91hxYQzfy89GYgZphiyONWthe T597xm8um1SxxS381+OkPqp9EutKQqg2DsVD9T5Iw+JmgRimLu0P0F9zGHe3usrj+8Y5fjHwZ675 uzYuaAnSP4tNEdyvFaTisw/uER0SHLYUIFdghe26R3HwDN27ItHOi+DsXsjCf1IZ0uSHb9ncHpmB KjZI7ez4nptudEp6PU4O3N+sIGG7t8VgQ193ozXUjEbUbHvTaH0QxbIKMFzRicmcY/4a1l/pwNRi IplVVvkRj7Ru/awG7Yruda1td/uFiGgG7XRV00CuqthyDj6usXQi0juaOa1zbu7m+1D4yGxbI3on FzMB92u6XYIwYhlovKRfk3Dffx7eF3IwFphL1apnGW3Xj9wujspAELEdXHjYY5LdNQZKWdGbOmEZ 3zFdQjZe/Bo5xiri2YJofM+3XqafTAjqOyaw3rm1+p8ZtdI8kc8Zac/TFR1z0rYidxuNQfYIfzA2 Sx17gV4NSCQfzaVTGRkc1kBKG0ym0yBXV9MKZTCTyWddhaYf9QIWdUrI9KdLKHTCuj/NnAOjI974 4zrCIUxM9JgVkyVVsuilrKJ4haSJ8EyzynDiK6wF6sGHKOI6ot36vsX5b0Dd2PMuUhfBjKC18O/u OTvXYuwsRUA8AWwluNDxfZmYShGg+C2Xu8jz1YCiMBw9CmBYD6y/DwebNUcpjsh+FjHDii/fu1Ld ksX37g+piR8Rpg9wFDppYg1FJE6GO+tB7PjWngVVdt0YLRcx4LHFp650ubBX6G6GeLcrWXSXnSNB 8w2O01V1Z8iayhfZXY3SXBHCIL0UagxvPJiNUfylHMJFV9t2x+J8zC27WRilpREllw842kTHtb2E s2pmrXdDizdWlQL3tRgKurY2X+PVKaXEhulWFsA9jArF3xx33tBwdN7B8cm8gDcwArvQsuLjYsSY 0KL2PwZF+YjZ0Ah2vd3rQgZL9Eiq8qF2vp/yG3NPp3NQbH1LfBbrjGfMEl+hZTwtoxmJr0DSy1lP osZE/DAGgBUdHCcv59l2XGJtLIeEuUkPV9SpEo/JMKElfBNUUxiONIpAAevueQNuo18cDNCek0Qd Ze75LeLMnwX9rliO03aY1hF6sVaH4OQH3cR6iIHjPedn9P7T/QperekM+V0Hbi0J44CQyQyl0OBM Rpehk3iy8nosQcOsMRnQxqY4GlkWVq7tyJ3y0EY714FYqmAx+eMt91jS95zrokE0ULSC6LpJCmrG wmHj0nOChaI9x/XBJyqYjsxIUFvsGEcCYev+ervbqSzdxFTvfk/zlLl1CcE0DyLlj9ay9py26GMs bZ2SG1D5/Uh/pOKYKDLBiCahv+eUrfPhdF0HaHksXiM9pn5euLzO2X52kojh7AY/IBe1dcp+7aKI UqwM2kiAa9QlzRXQdCW8TrV6oqlEZjW0Nh7NNOD08CZuzZjlYHNjh6HpKVQvwSCmSdy6v/VmzHz1 o+S3HdwNiBCyfOxyr4ReFMpGLIMnIZwnt4ciei+7pYjS+MAcuNA5O9lpR1amKQCrQk4MvZ0KeOya ni3YJFyFsHiebT4Eo7V202twXJjACu32Bzxqj61pxBwNpADEAwaCZtREHwYUHAVKsA2YBcox2AYe p5ejYF7JFq1jaKssH5X/2PncEB3O29+FXffMAppD6mzw6ZPC0tvmouSFEaw6Vc40o2US2d1hV2XI U4mF1HHHi3/0007IhUmeakthycjVfM+L5z3dyMkNO80spmE+PYQ7MJxlfjyvi3F3zggj0cjl5xy4 uOd7vHvLQvjIZT2h4NMhi9DoREdSKO8Z8YF0PBIl1QwbD9/Dmx6L8yqcSyYndu5UrBe0T2HPCOtz 1ybIL/6cU8lUwYVNwGNz3IFSiwBoeIuRJAD5xUt6iTLnsdscj/mn++S+b3HS25UUfNZ8eCrQwjAV uX67gri/zqt/J9UXGBfvLirsP2hP4S0xQi1/eZ9CUgXdBToh8ZN87vsMlXdfPozNYpV5g/UmVqGw uSIh8Zez3DaIkJKc+m5tWrqaiC1lnWksZyJp5uA7vWCL8GuMqW9V/8z3MmFOPeEMq2phhOtytPZe WZ3Aj/5s1rBAxgT7G+UMsV+vgF+CbwRyzSz1TI1GgQMNK5A7NHJZVKVPa8nRXmIZ/X7DDIs0ExUe KuMbekDVsnHcIRmX0GubH8HDazMP75kXWmHfnzGL8Bh1c8rCt96pihwAM3ljH44LZYecR6RY63gY np3t0vPZXsW5VEINPblooSAwvyZp7/yDUX943FOuH8suXxcNhzglB+9mOXbLYizLeX2C8/fzLyJh Pvtb4Ysah0FS7trO5FfOEFN1W1jOoKAg56x6luJFUOvPPEaElXV4V+aKuQSANt6dVSMDdM5QcEjS aPdsSA0nSw3n8CvNFdV3XeM/IH4Dv7u1Ey3gmY9yu8uZJFoxmKEW6TWdT1Ulb1jauDC5b2x/X920 MGCpngfXjAbCDj1IIS/YT8GVrV3fCZzLB6g0Vv+6iYjXAfCWbpMriwx/f8Vd2PYZOLlWg/f+Oa8G 9vnN32cLWVhdpyTwlr5U0dunbo6DfQMEgfsrbhferE2mVwiuqVI6MLXWgFDIVQyL46mdpGT8u2fs 5HdqWBTMBtldICxM5PnNw1obNfAYmamHXTZeJ59WLNEcnb2lz+ref748v5cGuXnLfCzcSiO3OyxD 9SHNSd34q5hWRT5BIAmb63cbrUwmJ1Qn/JupM0tpzv/DTDVR0pJqNh0c+2M22r1WxMyNUJFD5WfI zv6tIE9lSu7MXubSoBAiah3SJPtfCGWxiPHAEN3gXuD9qF48oH5coxV/NTXOXUaNDkqXYqFE0iMT UVbBny91mDy6mM3PlaQ4giwaO/NZoWyKrw17cdg0Zec281EFMxqC2yj7GDetXjxK1AP1ODR8dmSz /AnH30NWZGrV7e5D+qpFVp3haca7WQ0YCQtpB1XGHtZaMGLp91pditAMdf7nMsMoISG4sStwio1Z Dv/qtZWGx9IMpdXiL9fQvfXp5e+y2OXDADDMf2Dl3Gm5AEguFEUzGyHa60EAvfX0TYoZ1PPB9a4x qD0jX3cPZg3W1rGc+eoNEK7EPEOS8LZ7yB1Sz9sUbsEoMMxZwdUuSLUmyEPs/99vSN24ErDM3W/q qeT9tq7Xi6DMgUBh5hG+cBIISmK/oJMybn5JB4IAC5sUiuAvux06Paos2yoSlqlRhFelxzeNyFAx 4+BVEuWO4/tTfG5A9Km5I+hgIEgpzMY11yjV6mQEHcSWO5pcT9qnL19yy41GzDdWu88+P7tDMFaq JmgxvjBYmN4Y9tciIMytedF4As6qrcdL+eio4fLp/kk8JB960EEZg71ftafZ2aXIcE9oIL1fMQHg AgvT1sIiV1j+zbepGTIVP7B9X+YU2x7PUO/LLcqxLNeRKB4td5rC6vrrnFZvnpGo6vDSHvihIs8H f9K5oinXF9Rau3Jr3PGezbNHW1H7/wnYdBRWfKODcsUWfwyk2hBmbRMXOQ9eNDuUl64sqDTpVzSj XGdDe8P296XsKWGhTlpl2A4OakEUP4uo11NXdho8WO9w/WtfveexbJPSPgNseCeefC40gw9uSRix DJR/PMCfqqhJDNMeRpZM59wkJ8kgBoUJI38phMJBNgYgxnLAU5VFN+lK42+CyKJb7sikcIVwKqIF IeCvdQK7uAPQ1mTbwQ0dLGqILPAKH6BKIIwojpiw4kDrDX9WmwATKgUvELYxWY5b0DYdArlaNWAV nsa+YuiIKz4DtSP3rTFQ8/8I89FCOSc/qZIsCb/GvfVfCX1qUMNsFXptF0nZIYSiETYZbwPVXXvc qZlK6ieN9SFZqcGp+4b5kp5e5cw5ROPrxOkAo3ouOjHEJS64XtjU0/V/slRSjokOzwh4OdkehiYb NpVoBedNTOwsywbHFiAe9KU6ndYRBIMaY+b4oSbpZroJXPRQrq0Mu6AoNh6n/QHrkx84wRZwzux2 ZcAKzZZt8vjhMoTsoUMNB5hpW3MMNrzApXlOWuTkQysaa4p9xaTd/M5+PGRlLKhTiFATUwH6nMvW bTKKmrKuHUynkv5PyvOltsa2JZQoA6N5p88POmvqu/uwqGwOQVZXDSGSeDStAnYd2Me2wdN8RE17 3zSsMPo1JH4TemGWZtsDvbKxlcHGFpQAwpF/y9w9g5qkqMyITWfr4oEEWLLDiB76OFgyv2gO/kVJ t2HOyVZLI+9BQJCoZEzIVS6oPcWhsih5ydSFQKjFVC7hkRi5GehHZPImYIqEdC4tjtoh24/OWDcB T8ZspSI936XCTaGlEpvgdgm3dwFYbt+kdfo/GDpFKERtxk7vyf+jNVCnExVfFbCqJCbOD4vsNOxT N/C8XISyj85ZChpyJYWAwLFpndFkWOiKMxSLAHdZcnJjy05abXCwDTBVC7kuhKomkrtuCCohsjWP Ph/w2AP6fSBV4v2Jv+L4mrFWJAvWte19fiuDpC1r03h33kXU5x+i2CTRYQ2+VlOPXfleNvXdw0Cj E28Je8aTeM6Deh//nH5GyVzHSDle9bUIwYP7QD8a5H4WcRflJY25h23QJz4rRdjjDaiYsieXiDgh xz9Jpvr+D1VyM0YLZx5a0yy7icZgJPPF8PVmHP+GodtvCcGVMvpgBRymZy+29GYFrbH2PK5lYTmr 0dPD0AZ36mzLsfapjjQgzJzzJ2H3gE0mKFj1iDubAj352UyYMcA3R38u9rMBFNFB1d4m1MrNPKS+ wMBo2/iXKsLo789omua0DIe0jOdAJyiVV8NiJrQFVg092yB7xJmS5LX33cgAiDhKDqB87y34Ju9f rEJC7dGyriddo9sZCIuxIB/uvaEfuxNADWTXgFEYy/Xu0Q3saNyHz9/yfw5eYqyEqYDDZhEv9a+u pns6O3t7jV3/ZQGu1nWe3uddulvkcnO6Dp5j5/838qswWTC04AnLQpDTvDgfFdyHH7DSDfXkf1hp DsqkD72l8VjKnrI37S2vyeu3GUhvRe5iXwLPWbXVcgmCe24zaINCZ/lJee8NIApC+nvk6ywhuq5c /GGoRLhY3OSTJ//fVHnv1S0EqFcLMJvtB7JEafn2NbSMrXv+RMv7TlT5NomExlaytqbQjqPG5u30 8YuYja0UwrDd3nae/o5tQxZQ0GKtgb9SjbGN1Khxj5H9sv+mrgYQy6mqv2lKpVomj2++c/MoKhuG Fv6F/Zzov/EHMXix2yN1LwiKILj8BvRHytHPKuDi7PGk3GyqNWYMDhDHIVJ8KS78TuGvtFPuxKNZ 7QvivISfiFtbEDERSE1NznMymFf+8tZ8musQ3z9ZlVXyxje9UPhf6egcyI3Y8MXB5cK4RKONSgCg QlAbeznQJjPtplB3Ht5hv9Xg90CSV2EpKFy7yD72IQwSWTUvrxPbAgA1EFbTLfkDMFsbIDDLoGU1 5XwuAxAXY9nS8tM6FsFlSI7/LLR0a2p5M7EICRuT4dlZqfZtgqUXuPXysKw3WLaHyTVmvL4tQSuE 730E5Q+rIlwE2hwn7aDRLwsrVRk495jpUDFDCrSDRNlJNMa61p0ttCNIyXsME0kx7OzpYH20AcgQ 3rlWvy5lTbKVGbYjd7p9Czac1OqenrIkFT1HmHh7x5h6XwFikzcF4m7xSljxZ/6aaqMQbnS0gBC8 IkldAdXyIl+RzEBe2G7hA3Yz31sq7++deQT/LAff1es74a+BaRxr9tjJ8Z3J8hTjmYYt19h26SuY 0VtG9mwqeOYJOHMFDiJpO8GNqdD2SZSnzuCuK1qQoxiO+2oRzSlc4pA+slldEi2wWO2ATffZDYEe Ishi546Tj7wTR1GASBeaDh46AJ8UIBLF8yYIgJkHx7+g5UQ2QMM/NILd5O03s9XTcssExoFX/AUx TZ0l5i22RXj62a1dxXBl61FHaff5bDEQY6l+xjpyvqV3RsB068Yw4RC3FDB8pIQr4uE6ogWxnug7 whH7VZTZJi7dfOba3FE4MuZ0yiN41Fs1ibrkVPm7eLO6WCP62FG2/49A7xjk2CK33DfvcwxXp22q z21JDH9VBe6+E8ifzZVRwgnP/O/S7ajVEXBdUb0uAky9JBRCNkP6kjBO2yu6NaCBlQy8udntjlb3 8yK9uGZDQeudkDVLakw/+kI8/I6FwCOLYHe9BLu35Au4jMYo5DEWXJjn++fv2/UcETq5rxUNRoA1 4AcVugb35QQArVuUEugeJHrM8qKOncKSt/RbNoKT9M9IEY12dvQbNhYNm3HXGP+cB9L36Vd1ikf3 M2qR1CSCw4N8a/TqSIiv+VMqJC30KfInpVkkBw2bX4M68NiQDPn1nkeUEpykSeqoULRNQBiOJb8+ wiZQVehdF3BCVgtS5lLAfZPK3BHj1vDYkn9Wc0/aSpiKoE4wpB0Flt6abudmtREa3n/3om7dWn79 BULgTV3DN65A6D10hAgos/VkSCCTD1CQ9KNngcHW0PamZKe1thUDpCBZIBpy4teAlMqmmaTje1H/ 6EixVmINF3QgYZ7/Ib5IM7U+M0zRzrmB9hrhsORblsCuW1eVJRCjte4FBYJyTgJFf9U2Y6rvuLjN jQBBOBbob+chrUkM4iZxCKAQWUtAZxsKp6UmzYVIRqkL9UrUaMzk8sUX5sR5MV/mYJvWc0nZvILc 4WjhWezlCLQQ+vxumId/qTvYdzivu1QXzkkCEOlRUQyKON+YUuEJ9qGvHAc1WBfUGRq5xeBTDeh1 6PG/rujZBGvj3K27wbvdvxE5hyfJgkcvv4YfT+u6uDIHanEZXLUBzo0oWKEbgWL8Qjh0v5GWxj6w o0NXJHq2ZuBT4OAAmaD7rYV9U6IC87LO3BVlEAw9PzW4m3Ll8zYKxBt/OBJ2Ysx9kBvFqjvbqz40 s7nfa/UUcQ16DWW6gHsmZB+cYcNa6n/dIrht1KRVtsTyPHpohPO0Srj3bowZopi3tMQIY00gUeRk KU9du56D/3Bx83RWFMuaBNABQJsyLWavUhClXiAOP5YGGkpPXJe8VtEY13Od9wpXqQ982xuPaVQp fxmTrW7dgOQ7ruYdOJ2B9OvO56aJOyAuRS0tllhL61rkKv67Z2EXa4+UBJmSR7Py28NJrFBmZitL c1JIRhcaxCruVth19s9rUmKMHGWgS4Kvfqmj+nyA7PS1WIc3JRLOvuhffCYbdn6+dSVdxCpHMcaj 3YbdoWpNEvN5N7tRRRxwc5wDn5//cupsDERAQgYjIqXVXzjYpwGPPvSsGEezu0S35UiK+/yadG2e KDdY1JEuh7jt7srl5pDrrV5ktqZIN9dlUzu69YYMHSAaV3PmxiKFPRAfe2fIqtw8Ktd1r2W+dzwl BW884HKwhp2vyF7HQsOXDpwKMY7/KAdePIR912UGQQc+kmQC5BA95Ra/Y+Jhnvf8qqJeHOxLSdyW 5TJLqfI6XfCilwN4XmJli2y9+dRVFGNwl8ZdUT9T5I387zhr5T3s6bbjtDwJHU3LWA9wRTR28957 ESiy7ah/uDei4gTdec+Ak3CuX2gThraPC7XVvqtmYU+RTNtQRrayel5imLSucQPjObFBbyAGIyMO LtWQdEfg/E5ZpleVw9FnseWof5WSKLiz6PQxboqDwbaGIOFob/wLILej8k0B0rCJGJVhLOgejG9y F0E7WzEQlLTn3aFTzVJb3HjkDoAo/I3yw23FAPHiLfzRbPJRIfBm3G1iB3Pl6wwEYmAe4scbCHs1 qeCp493Vn3sGPdOUVRumJroyrL+AC1R0zGOnzxCj24dFT71pI95T4pduMVwxSELpw5xP4Ow0zeix Uzg/9JCAN5/1S2oozvu8itorb0uR4KrG2zur07/8r/WuKYTx4voYsWRiS6SHv+bhbrgtBGmnD/uX O0SeXs4023Bu/C2l1QLQGLeQguJswRw364UQZLglBFoF46Jb+mVP2LS+B0bS4jJTW7d3wYp9+t+A J7Mhlw7TcQtFKbpp7oNr7HhhWonwgP7QuYkZoIiphoSRZEJz5kNUG1qHkVvBlXGs+qTXm1TQ/4v8 z0QS7uWgHSPHMCkGsXWRpzY5T/HvAk5Ub5wTLZB/ngX1aC8YN69wG3W/H+Ewbu2/jnw6g/s94Z3Y 0RHGbikTa8v3Wyl8B3IyCQKzwgmTKMh3cXL/NTsNosQttBydNLZqO78S3vW8+dlVI/1RhJQJ0IW2 GuA3qX/iT5P1qrFHsICDd6E64Up/lOcsrjspGIg7oKwuONLLNeYIhe5ijOzfMR+BXInDUUVdnn1/ zPsjLrV6KXL1MKy0+o1sA25UtqVx8qXIMLPQfhe9VefsQi3l1vPTU9ULnWMJVj2iuBZWD8Qzv8cV O101i+SPLuAJ7lyZoRPCp7TSWoeQh66R3ICcQT/O1I3yUwyc/DzK1zHkpkRRCffp3BDc+9/GpVtR BMIvQAB+PGGGg199mn4o13lH/mYqKFOTi1zYBjIdxfXQNk/XeSiGx8x4Q05JAzfOCE7mPD+C9/ob 99Tm6icNzDGgQ8P+kN+9+UgDcF4FBqF2Zwa0/4LOelMxSsr/gUpmj7gs+k74oN07d7+zZ6ZhWhQp nkyaRnfj4OvqHuOXR5XXXmCV3luI07c8+cQb4igwqGSuzLC7yXH6wM0Lxg/H1jzF1EJm1Ah9gGza xQ+NcAHDxZTDnOp9enkJzJJpy+GNZKPSYWk5faocoF8B7CIMkhrG6LWbtNLBUOiBHC+HT8gLtmhh gowhK4OPIf6CTm4876mGZhmgCSFX4qwouXjqyR+7vwqx9s7RIB0MWWeoieGjR+h3DiDNLvGqG2cE d9sWg4MiwgdO1StPMK38f3i1HPNPVPI1ukL2X3cD8PPFJLTY/oUbPtNZvEt0OhLFGFgqLZi2mH6w s3fKpL4dmDaqG1PpsXKleuP5lgFgGmMMBeHXYS+t+EYC1zOuz+PddDVeUVyymzTS+mn+2hFrMmTB MO1GZkFSsgTmOOORuPgizl0gnF/xrZdbEwLnoih36Ic6e+M1K3MOXj6HxQ6Ph2gBEE8tPnKxAFYo iM3k8hlpFuasBLOxnS7jqiFcHnHysn4TkO2msO8rA+tGGsAH2bbTcXW9ND8s8jwM00WoxsrudGxD +yHP4VQzHADnYZ7ntnNTMM6sN7d0UgWvwEiv6qBbpQRY9SGgJS983/NT8a1kWRs1wssep+4jTdUG ZsngoqJT8EGEgVicCDz3t/EB2xAJVzU6+F3lAWoXyQY7eWgueJsPHjZug3/IZYOOf30rSbu6RNdU dk2lNiVVrNFr4l1LCCUzVY1g9vnCmZ77pZAZv1/QQvxiuTiqg5vHJ+cxfloZLZ1CuLigW1LfDy6V Vr8rUwOMBW+2XsfpFv22xW5jkSgi8ExQ9yy/ILJjQ6p2RNu82w6KxOWwwu3zuiP5wME6lYUoj/n4 yVKky5cQLlpMSJTMl9AnjWeH9GHAYDsqXhvYRB0UIMiRZBU4onvXJ2nGj/zRXBh4ICWpGzgoxPe7 nntY/0FdBH/ZAc/YaxLvdpwXj2E2a5cqvrwg45xNTyOYqyk+4a3TPPTRn3VrGfmbXsvHmIUFmYPI VddLfuTDumHDkFJK1lZ85bHOtN2aMU3WUuzqN+qj2XNnfJdPlJ83oGqbaV8F9TD9nZ426zZUL94l aJ9Mnhr/4J/DtbdVWGXvcQJ5Iz0hMofiPRdVUAMQCVRwWpfW9U/UI2gb0AWhyXhltxfJyo0mdt5A qtuWx9fXRv9L9HZeMnZWqfI8e0RjNdNpzP72D7zkljnpY0O0y+3mrBb5nQN6wDRNtGHNGtrwRuqH r248HQxhyqRYNiVruT6b+hNv1RkyeiEfieXspvQkQx+wyTmSLKv8nIY8GNS5Jak7r0IEr91hmEEx gg3zJtd32OT6AKwNYBFevNFYmxQRuwO4bkg/jFmQtGkBDLI+vQKPmq5tCNha1VqCRANVsBezUYHf fLhGs9+YeBBI22WEW8ZlSVjjcsdMyZohnxMo/ANefQbFjcejFKz54zAndzoZmj6oY0S75ea0/NuO NpQMi/YBmiZ7P/8MbLyeGuBE0RbVoY2sdktROh9KW3UbVer6E2qo/prmSbpi9SJF3GhTplkAR0uz D8iMLsuXvEPjHWQmzSRqErDzKEuU8ot4YYEpTL0VUfvj7D5Mn2C2UWCgJbzRiAn3DNtg8YHpaBQC Ws3Qx3uFmTiRtvYRUDXaZa0u4p2QdNjHeoajNPMR1SWBgB4y/U3bqVkAa0+noUkqgA7vsnLMG48K n91pD0Lx8pGpfVUywWj+4qPHatjEnIjp/espe99F9RCw/5x7hNPtR4z3yXwJZlU3iSGh+DsawyHH L+E45enmVYmoWt0WfVOR1qCLVjwr7ewhVPimArehajYkHinsDmKV+WOlS+OWKr0mypG+SbZcyl0+ Ojq9OsnUrc6p14/RQjXAKt3qca1SOeSrADjv80pR0TWyf4+xCkpmfQq4zAXd7MA3Rz66m0bPA5kD flZB9SBTtWsQpeLpWN4RxbJ6Ce/Vv+PMjyNfNi2ef/GohDrsGgP2EK982vXorWDjgQ6iiRHUM51j umGWSEUBRYdRWLcqa1TfDSCLuqp1WIi+3lULhCWUDU74VlysFcI6zY04sai/dpIzYsRfm5S3vyoQ NIDG0eYhtHuaASxxaczf+tU9kl+Tb2mHa5ldUc8v4OAfzskmCecr8M8bK1qqxOIubju0Ez1C2ycf f8S22nKFwqkKRA0g2/PqJno5axfYrTI7KUd0nDrzeQoHZNwdnI8q7+leGOP0p8rRXYyc+CN7/oxy nr/hXOIxML3YsGNqkQ8y2tYaNbYEZbusrxU6LmtaLZqC9NWAR0EabrUuxtvfSm6VpJIwWvy6Sc8Q c51yqBZ5XnEw3tYxrY9plgxsopdMna4hvqn7GP7swdEOwT5BA3g5ZeetoLZrMHLT1HS9Mkr0yFP0 JhEk4FOKhl/L3gZfoFxXoq4E9y6G05FUGZk0aOcszHrLgRMXmMrNPanR0FBO3TgWowVPTCVmQtLo dcA1lSJyj0fauBgE23jp9qPAtIEVjdoxILO9wqDmjCp6Q//sevEOhwKbKUsEA12ZwgzvN3WveLmi DsmQepIHLVR9rn0XQnKNNqsGqSwufVqk3BSk8Z6eVt9Xqsl3xTyjMmMNLTT6nGfHcxJdWPrz6ml/ 9lWnHrXDoCQN21/EKPZNGLXcyOgzdIitm6ij5mRwUW4QTlVNNNrDV3CGi9YAPIpxNL4Nsaa3ThLy 01t82WSAo9WiPKx3F92p7b6Z+xDCOW1ck18+uHieOhnPyJ9B1IOx3oKtsj2ui6fXrSlKd0ZVldJv wjp/6fpPxhrl7eI0MdqQBBVNEqLRZZF7OUwhKYtThbOcLJsvzw5sYurhASh84kxPvgDhd+SfUxTN 8sQmUMhlWeasMmUliBdfXoXtMJyc1uAQ7u0U9uNLKFxDS7dDwkmYlzZOkXiSdJPcxyIFMXjGySmV xxKKQbbgz+c4yTI69VByVFWFrvN0XkBnGlX0dV8j5bKPgrxs1BlNSuhmbSdQOr+mmsLNZ2wHlAED I7Zj1tElqhPCAVgQN7U4ut6tbjtYeDUJvZMSTFZ0IkVnfbQ+BAxqbr4oKpUQ7D9h4O3qAYJnBYth 0X0qmTMXx9C7lhwhsFvqkUgmfWiLMICL81wuQdUbAd8BG7NgZRLActxJPhuVYEf0+YFeSklL0wwp zWsq66F//Ddload94MhWnOkVJ5xi0AoqzmufWGxmun1x9Wgnt2bJT3d8TLf7NopyhG9EPKdjLx4e H7z0blTAZg7MmvPKC+bfaFYJbfxL3630ufGJ03R4pVC5ugiIUbcm0C2H7Fs9v0SGmHqedDzphm4w IscecVufeL1Xoermxff6Xj8NkwHIwLWeP/sGzySAqMNzO4Xiv41CudI3acX1XBLCTVJ4sm9qSsB6 npCy9LOrDJDFOekiJXGy4tUuDFLHzjsApadWN/LfY8gafiNfPlq4OcrApbLxQnf4/1MK+pe/jML3 +G1n6i5XhOwSaXzrfiUiJKmdUg9jCbAcq7i3784c7KD2bqpccjNQqygnWUUEO6IJ2IYuCnR8BDHU Y05fBQHMOoSifZTpCcZsud3E3Ug6rpyjaKKseeXl7y7APaXMqdvqHLhUSe7TrHDwpWs3U8z9TobJ JRc/BBmGEM5d5RzyPu3VuizdOiaFIFgTXoP2Es69964Thj9L9IcBxuyVIXz8J1JFmer9gCA1vYdK Pqx3Vqjewv7z3jugqhLm8QfoLrG8MagPDQ2Qzrdgroaig0YsN8DZ6Yq1g5HPUaQs/FItxme++GPJ ErXeGqMmi4Q6mGAydFytxER2cVhh+zYE7isHyL8wly7KVvtRM7790mWKHPhuBT5hhmNfLTDr3Ywu m4joYttpVhhs4Nr+GD6aV8WHBhNkVoxKSl3mWcg7QXuOVm0bY1sbAMf27hpPehk/8TzqMCdQ7kW6 qKFd3IklFeCUg51Sh5EkWZi+dOVLTOYzhQJKBQKQPl2yw9a3aPKQRuiD5jWTSH97nuoSO/0ROLQY QbuLoK/Ft6hfR2yjVkJZgGXEVJbnTL2PzYsSEcghHIl4L8+wtA+WzFe5JJyCbGlgfp/7kPXj+0zk wrVkaZc6v4XJO6g1N4uqbnpFZxWA7DHSBN7PqeB+0fpprJbrEpJzkJW6UoHWUwON3BoGu9TY47L2 0SWSRGr5/FiBX7lGZr56Q34ZJ0kJ9O/4eKq5/g/ZxWEQCc82aui12s7Se2w8ZB5sFuNIKz7ShYEy jR4v/s661JbRS+NRPa73x8VeNzpyZhf5I9RazT4ysGpcovQlhX7X+3Jck3lEvZokzihjtveB5+yt EyWc/SmP4m/zNBGseaD192jrqg7C7hPGglAhwtoeLleuCP9knpIeYh0vTDvP0K0Bzz/RbDuwd7yF ab2zQAv7fFcEXGUU142IuLVkDYWvidz0iM3Wy0JLme64UozSKREsjAz+Ui9+Rrv5R8riUw1vSXaH IrI3p0JM7MeLBhXZGwCOw6MFmtMWKS67wGdaPpV2c6endOoR6PQV3LGRLpMFYwG8GbAntI8AksuN KRXzdlyCaFZ8ader/1xHt2VyThPhbGa/TDJuF5ZJo9YYrXZPn4IYEcBP7TC2tiKOKo7ozXND3kct nZClZdz1MPpdIWcB6MJTbyEwa6O2stWdbjbBjToHnIg4VPZRFekt9gxxy4e7INcfaYzK3OXW0fM9 0BaHeH5NGVuQoUqyWqud6qPLUVDYVFViAYx4pD+ouLL0ru/567UTxV/m4aw1KOb3KtBOHXffnJ3a 3wv2YxQzOELhtB63Hcmw8YuvZ/yR7Pi+nmWUkYrBy1jgBWmdy0Xeeibi2dfijWI4SKba58JmayVL hdS/COc8JSA/LW0v2tXr/825ZZJ5Z2+6hOJMVtC+KzCGfrULzQYpP5uEURk/tnBTLUQhOmLqD/9h POwvWMf/GihB5B4bIJ+nKtDVVXKiOqngzEr8I3Y9xlj5WG1BShL8TuJMKOpUvDK5OwUVWHwpsOIv CdbVjhnEDVP2aTX/RKNkdeuNqjrwT9Z904R4yjYwv4OE4iNnkeFZKsj5qNkfd+H6AmdwHoyabuWz DW5jZ+fFZhzdKZOZSKvxdvYIqZmz8UOa+uq5zLEqOLVcJkZ91TyRpQGgX9RfhGxdlavT5VeuCzJ1 03GGTlPRtbTX1aWXustHkIfT486nS1mDuJZE5fasJIV4GQB5ZB3bvqkrBsapzXF009zziVoEm1Cl 4gWOdF95lvLF1schkU8edKm2gdX3lYCOHx8+DT5zCjLWLiu8MYni9zRF6WfSS0RqLoAp04mrFHEg 5W9g4FKqm9PwEHg8LM9ldtsQEOdW8I/dCU0KiF94wHDh9g2JsWBYMRZBC6I5DNTVj+CKUtV2JdaB BzQ468M9mO24fFAVtoqeUGXCOTZnoLAQrCL4S6ynuJyLClyzFMqeONSQstPcvc+xk/TYDE27w/LS 7D6NKdLqcd5i0N1qrzJGtiP0ndDLsdN5JkdmfRyJOIOUVA+JZ9hDaoJUbyjZMI4nkw6m+DGsq/Un //Nif37Ts9/zZgtt6FB4IENKoeG5kA9ar0arttRitWEpOTvcOLPqXoWjWTej0nM17YjhVpFlNbLv Vt3yUvPniQgu3dPwTeF96uk3C4bVkhXN9SPrpVxJfK+rRpbfdU3dCuVl2cvB82e/+c9pLw27OiMG 6iIgVdpcZffJHlPaE33G9CMKcY3MqIRPgqHtstQ/RVDcrxNwymbgdsYm58dGsdQ+e7j3L0jGdI/g fpxF5dSR1l75FNp6WbdS1hjZoyq6+DxzFyMJPqnaQgRvW7EWZiylw+zE72oxm7uG041119bkf2SD C7ZhEvDzoYMKK3qww7gGylr96igrRlhD/NM1BSwHZmd9TFK8MSGpU+GofLAkmuDkEZJ8QqfsNOVB t1bVXY7m6VLJteirepl2L08UcQ6PP2waYpkZaZsPfTsa6s8u1lZK58uTS57WgT6LurpRgUninsXV IG+oa7z9zTSb12izGaJhgXne0ABBdCvzdleYNupxXsAmNKKL2l+jJDJ2Ph/LWJeua/zqPGWQi8Y0 QZpnoS0QVG6icj7yhXJdpbcCbWHVcOeMz+VyutcR3tf8B4gwmRlbOJq8RwdbY/wNVXd8DmYWy+qS zC4PhZbZTNMnLYSo3fxBiUQ4GGPmLOPSx/x/AEUJnJZJeSRZkd1fFG2YCSYVbhqhARE81NEOqCGf qp4L5r7gY6yoA2ZngfKFmxpqpoeO7+o748vIXA3rIsUJPkZx+LPxmS6GmZTypy36PMDAnWQ7tRSr DBZsbC5xAUYoziZ11pSz/tlvGVB/lvA1820+zkeKxzjQpG1Jpoz36BVgy3Sc8o8PUsU+2apqRqWh HIY0dvM3+qclIv27myK5TiRmeJ258ZCLdxoKv4HXlb7SSYFNPAd4kfrfQJ3h8SaJfQUqbE8kCX6N cs95WoTiVx9HU/bp/+cBMxI6YxmhwzR1+YfGCYqASVYi+xiexn5vS+2qUhmRJgD2JCzMNPCVlxco kHmfZHIA562a4XO4Z7FuOVj+Y0vBz6Mo5xUFC5B5AT8o/7naR9w+cr8Vs/R97oNlib1baLJXcfHa Z7DSv9sktyLp/Ae5loCS0aw1exCZcgPPHcTHuqY44hnpbsgOxy5ATpJT3Sai2vfW6yAnBBTybFTm BgrWl+GSjCopI+z/VUAsXBKuHHB46I47MP1cAWC+8kemL/LKiEbfGtTYJs1BuJ3Mu6si0Si0Duw7 te3pFrkSa7MNyEn/2zrH61QJqaUOiurItm3UHlBUvAntxSNJh3fukK84DKwfdZZIRtCDhqgmYjB1 Th+b19HUZPScn5y2e5KiImhdrgycmz0pT38AreoxPEaey5zr50HxiejucTca3ThmBDntFAc8ERi/ Hbamo7RgZEJ2naZKdTX5NXWPWulWcXKnz1jy3xLS1nexdNfksSyewmH38U31d4njQsRnt2dBLwj1 xlK3gJw44a5uXWuo77lHW/58hLed2OwLwZCo0HPKJfKOm721xmmbdhEtE7HFPFqjs8kir4N8StE2 jfwbVGlo0woffKOo8eZx8BbP0NEg6j8dU+H3LfxFRpKW4i5aoVb+C5R3ACmj+FiWV+QTTYQ3pDWp oY5K81t01qMv2BCoRGWbttY8ze/NYm3nWfp9FWa7xBoIANbRGNct90XomCFZHEoVqB0Nl9UVSdLs 4l2LCD9ryQFVwQ8OvpghOrvgofDhvyJd9Eqta4GFYCMk/H47myf8ZSF6FNcMvGtA5M1fruH52st5 KJpoqkOUu2a91kgeCsUqyGcf/baJoVcr++IkPbznnQQQ8ja9ZMarhEp7HOV0jYomwkJqYl6yZxzy 9IApniWqXiNnj0DGyMdvL5tRtHIaV2nyQw6UMWmIGTXSDi7vZrHYwkZYZt3q4QDlbQzmYzl7JhIF /YNVUpzrLhyK3u5Y5nZdRYO6846DD6JeFQHDWVe52KCwrf/UnyHN7MeJAH15fwCxIflYkFNxEyjq MsEgJIvT+6RLoBKsQ5W6QF0FYiNQ2QSyK4MZi0vTFGAuxxWInGKOjn/VSuk4XU/XDf4eQXcjdgra 8Ih1uKeaHL6blk8eDTEII66zGV0CFf8D1jtsYv9TXdzdB5gDod/mTWxzdtXd3xKKRxMSsb/gM7sC eH/l1t9Qv9U6OfOzktlW1sjpwZdL01uR1hBDdsuffzmXeKRDV5gP0bJn9BK+c7TULT7aIp7tcCVW whY/LBMpysiBiHeBYvLOvGWvHEAizMaQ+4kGg8+Ykg4cDgkrFoS8yYtuVova643em1+7a+zKNA8K FsrAitN02+kM4Jey6Z9s3NSHeptj+uzhUEbo4v7Z1EcPijlz745kNGETstHYuCqIThd3MQLgG3QL cxFXYLFNqmp1y/BE0hOwyi4ameSfNhtlkKO+X7RI19DxU+a8z68C1R20Pg6xN7kMcG11RUsZslKu AlNi3RK2CEK6ni6n9RM6PpME+d6z7r2FGGp7Yptro5RA09m7XPZqeoFSg+o9eCMUd000U2Eug+eC C0ujZ9aOoMg8IIuNR+PDJ6m+WG0Ub8su7A0k3jRzHYdInCkBrp7dvZu/gXNjQ2tINtYTzek3YL5P FZ8XAGlLcjg5o0N4azehraYpxwhLgR+5rUzFJ5mCMvIigNJVA+0WYyIXHPVcnfK3wEOqpNkLa2ZH Ar35w4UVRpWEATfyvtqERbOBwfiDbMa2s+6YTPvjmEIry2DT7OzJAMaW02zpgNpnQeHm1Qy7PAoj sh5qqbsp0w7yoiwJ8lEoJYmxYRCJkL3yqiwjjnjGd88hmul7JZuvHJ01TwNfYI0hyxahn0zeHByx 9HEdbHaQC6LmJpRYH2u1WWVXh+1mJ0kfBFLRTe5tYBbVdvQOd5K/hIDBHrkx7Ki/+iyGxaK0BIcv /9GaweWJ1M99t617laHFcnIdSUdykyNnyNf4jxQN6m4x3/V5XON5hC5MWpqWEsEwf/b+ICs7prIM v21Gqhbi1K4hW3YZMD/G+3+VKcxLcCkptmnNidEOs+Q1ofL1EzkZXdo0hqeml1jSeNRnm5pedCLe CKlH4BiTd8X6W9eEP4tYv72NFD1l7L32HhsgFGX5BTlWMFAfQVORApDXdlWbK/KIDLPDnt+FK+cn 3m91bQNM+TZm6JcfbMzro4PsveMvJjYT7yzV0gsfZepxRnGU8cJl8C0Xef2ATeNIYDQw4JdSGl8G aCamtGSXE3nDc28F9n1xgY4taSzyEmhY3suSOfdg/gvYCNluwh2iGTDLVHQqUmTHN/+RsyPLI980 JruU8rWne/flNdfYVXhKvyQWvrE5KDJpgNKeO5GtIDsu0+y/921MQziewRw4d7joujxva8J6GroO ywZZeGTAIALatxhcHX4AB/dFV+MNzzh3I+RVtkLlOADZjG/Nb+0n+BIaSjtOzGkHOxm2UXUuHclB CMxgIj6yJtcBFGIqSzU2kv4NWD3rTNvsNvJNW6RD2AQntWAYV3XO4S/CKamPVV7YC7mn1Xkjbcgb d6BCFGxcFpnaH3pjxjqNHy9oGnxpbzajshYkwpva2xRiASqMHawyMDbOviytCrLU9st/UZQzaq1q iJnvx1WiE7Jq7r8LKS170ZOx/jxuDmXa82pRetyPg4OpoZ3nYl4EWTLmavsYpg+oo/bO+IufyRGT tIgXBj50XqKf8VgkwR2YHPQsSYv+TiYNqfaPpPO3qXSVwmbCK3NI+ohbc26NcRFTgTx2izOYYKs+ YlsN3R32FU2vl8zpoOyQkGIDW1TFop7KwbrcMp4b/POjGq2BWbuj+XpGPyAh060FDeSAKt3ZAfYj HYZUR1PaeOhI8gJhLXYNPviXZAVgbxV6OUYc/Sz9v6JAbMWqrVlRZDKWMl8FMY/dft0McnSjjvVC lQAWDVIIXxPxQPOItZ4lRnDytJ0OphzuDsDXl3X8uMPLf7ttsJ84GUsxQcFjt5fZXpv33eUvadLv QascWHMIkhTKfYRhkNXhidpgt218/xfzJ2uBig3cJ7FblDSDoG5PES4ccc6fsu416MKsRjQYdnD9 TjHP/yJkzeJ1gQpVRQ/rJL2iUiGlovH+N58GD1ry6p/WLQfNpz68KXRvMYrxtOn6smXpN5MX0pKB In4kV/gPY6QxnZB1vttuZjDTstFFY7euJuL72EJhWTTBOxYgwU59++n0/n2ncuYjJ6K9P7UpH6N0 OjdzYUFNQpLMuNmTYHwZi6Qy1FEgrALeyrFW+ijHJMZ9LqEb/C36XqgktoYcWN0S98thLmzJcOO0 G8YEVOLrOMFNlkrjiFl2QFW0pyZDcGBmstKFM+V3KBDKXaEgYFSQ33j9Ka9BKeSH5Prw5BWPfYxH b6SU5T1sAx3x8rhOisXYbDIgxY3uz20BbO4DdAOTJ02EJ+cFFS5YGqM+TTEl3knlhPNpWPM0JFwg Spdy+wjfwtUK6yYikfytdxMwumQflc1N3pzvgJuzUrqux2L1UR4WIOsmr0xN48Xtvo70AAYv6x8G BA4roVakUZNGSQ5zd4NzLI1akP4uiz5e3vkE3TN6NQAPxZG44rGwi9/VvBUA6WeMjvtQUBg8htPs yVY02eAqZ0bf3LQAp7zOy9VJFqVMHssMzSGUbND75RhtRwg6Ms3S/gn3OAv9PLuwZ4aJOdCv+mMw 0kU2AGmNZ+9pFY827MBYXTeeNvuVYUhF5UKfQUXOBraWQBOlFBXsAkWBiKEUJZ4fTsXpbMJYz/AN krzfbrVN5mnERWEM3yLSuzlPOO6aiY004edc4T0iqq3DSwc92SA4gED8st4GVZh9hpvKK+qJfY9H 1AYMddo4VQjnShVFPd7PAO94ZmdOb5LoLgtjyBss8TVwtW4XLbYuR1BA1BqL0UmQ+niLaHNlbGjL HQmTQD00nng9RHloUz+tVq6mQY2thbPttFC/LyVQFPcWdpLaj4wlZgDU4iIiQbATf4+5YZ8T5ra0 YK8lEo3EHgVVC+WLKymb3gDHBoxHPvnMi0rcGP6erHNPGqzojICkg2CEyXTT/qJb6NuHLfj5wHc3 JEBrNVV9ObcGjZ/vo1ax2xwfpTKvfsoOuyRbAIxWqk5bqtXtUHoo63loPALkejFaAx6VIXYvV5gP TahsK0ze8/bAGBcmcEQernQUX53ZLODTa27d5lxdHpD9/tt2yoTIG1C9LuyRyGoN2SgzE2JNVUZZ cYNyVSCzYYIBzrIxq9k7Vb+EE30HJ3Cjfj6ibBaTWH/9A3gOHxFY1t7Vc96fHu85zMo4dxo4wAb1 7Thx+B+ap7HUWzhQW5oqhL/m4jHunJBQlYhFiDLk6YGwbcbY+o81hwTDpRfAEhz+aKuYqc/s4Beo YlhpQGkdxocA3b7eeFbIf5lSIbLABysTBXFz3rlPFuEwXrfOxxHIQRsvXJADI+i+FDvEqFQ/Eim2 swXzUhjIsEVfw8UpYuwoDpO3je6fRHsGEEstX6bPJEJchHdp596oFgZ9uOPkD02i2aTwx8pGWhR7 H5e8s5U8yxnfpCMmadPj72iKrPAO+N38+vwf/vsssI6P3FTIudqGbYYFHtXRCv4WveweeM3NEjfP QDa8pY+TPZ8G7tEN2piltKSen5lGf0V8WLKPJmFAieYxwoWn+RUgYc+zMGwewi150DC1ZsXOHYMZ fQ5KNiDdQK52ePOxeTHG0CsqTCp0NTUzetjOKNAQFadcbAc2sxccoYcXjX9Lt82LASnAsRvwyAqA GaKK8X7uGBWwhL/iH3TC5e5LrYK7ynpVT0iH7xGw3kyMfjc+AgKYWOv9EicDTsg8/U93ghoP1xAR C+6jQh1DMSw3Hu2ApZVSfOzc1ACPCWCGJsV7lYb4MZE9KPzAkjLx7hDB/x8r/HSKFVvkZwpz3Qkg f5mCyGl9sklnp8bncImI68MppP6/8FV/ZPs/rz5+dyrJwa3uKW58ZzMdHto0y/ux+IUIQUVyFG8i A6cdOHLF0L7bBFmEs54CX7LNCeZpLT/xUyod/VctHc1bmaPOjOMEIjk+RkJdwjzjcAtNhIdVQoHj WkYYZMcCoSPbrCpWdNt2PMNhlarodUZeHIlwWVAEljJN0ssj/zrXWt5kcRamvusQYbJWqT1AbdGO w6wPL/E6etVn6IxRA0TZnSlL7kAuLxLj8SS5UXt8q6WM4Q0Q1UlMZaE5xax7oQt7vUNbmlFuwyO6 +tnfPjbef3OATtkdNBwyVj3ioOvhefjIp/lpdSQLnEw4D8xZw5ib0rQgpugvp9TizalhFAPn1M4Z qJhFmYGxhIRchz0PuRyphZtGR74MSm/G8nwhf9F3kIRyU21MLZffRR9Mb6aFlI0NimBNC4p9ufc/ 8Up559+bDuR2GQ3+Zq0wRr05DAUjlN+dSzDfi7iABj3yuPhG4ukzDVkxIXsaKHQnm6gg9lnfohEU gd/tqaF0MYAWQxuUJOpJDmD0y85rvpdz9GuqZ1kS4ZHXajGOXnfCtxwimNNTrT0OZHnFk+96RMZT bBAf2e/r6b0cOJICr//cbOjSuoTUq06vK9dlIPC4ahXQ7f981RNppT50uSk6ycAgiuukUNiy8fmV HKVEScdaqdqzmyZmcWJTzTDdrQotKqNjTbeONjsFIb3Z+hQi9fae+GiJzWglPVbYOxcCTAedK08D u/wceajjtnl1o4xpouZBUV1gqQXI1XtveEA3eLIVpZtoiGxlBO1qHCk4eaCOoYg+sllymWzmecTg vBTsiyhdu5fqQ17IEKyCfyggrf9VjbPqyPjO/omwIyXyAEVKmiOtp1jpEwduKlVrBE0SSl5rLopE jrWHeL+GDo/jU6gA6vg7DHzjROVgI+Yp9POguVn0WaEB+BiEy00LjSp5CqTSFIRYThotydKfv3me eyvhc0sEHBbtRHukR4xiQRZGZ03Mg9UKw3iU8WFpybM+NWQ8XIsA443/ozRpPyQEx85eLHMH2Xeo gShO+QUigsAyuTcA9kGqd+GGp6mDpKpp2m9z+JyjNgHEfJDdiPZEVFNxQlv2/Phx4bwhbirnAqeR xel6wUdt7z5HIar8klnk0PNkWn2dhz+XtME9Jle0FtLlNP4Et81jNmKci8LU+la+bQHUtK9UnIPl HiuS6HNsPLg7QDRkDqm3vW9DRLOa96AlhUIw65Xc5C0PXEbX9WrSx8WAIWS3JAlPmBWm2Zu/CgTb UCyDBLzs2Vw7yoVLLs66wTlLrzJ175e9a9N6qaDcWwCM01OEyQkEF/eC4XbCcRLiDWq4Iy1MCWwL tdi0mkaUkiPK9ddEQ/ySSN2c+c4Q7psqIRb03hntCX7CwRrp9iIdhacr6LWUtWfZA/PRwRben0jO NNDanbDmtmb55n3Zrbxsg1VoqSox8aiu8IIJUBCn474uBNQLQ97atpOQeJvVP423mpBVnU7J9QO0 VMMpeepTH1d1ew0leN9+PoY88duPmKZqAAxS78B8Uqm9d1T5h/8vlLWTRAgb3ZsoD4abDAaySUba 44+uDBr6Gap3PpeVEU8f8/tcEbUbpUOhEQKPbImdq070jtkmpu3Pm4HflJIXtnFRAcrkivinAH3Q K7PQvyQzcm8SNjuEv9Ia6KLPgIagLlA83XfhNqDsmIqRVLKjer+H9o4cqrfqd8QmNAVmPL1tT5Xr bSfaFWVeYMCGpLjOjKm8HZH8M8zXskeZBvO2NoOm3ntudbWh2mg1WrKU6cPohmtvjlulmZf+fasP FiBuCys8nXFBp3V03BCHla5pOCZAlfSfdJWb0IZ9XMflDOYMSxUHG6AyMheNj4QZ8r65Ulpb2uaD Vph3L3aWXdrH+NKUxzm0KqyAqKtG27VFSdEGYJ4hPlkbotR/XMk6W1ag/e5l6ON8xH8H1GLmIuZc RMuG+yu9J1MpzQT0RKSC7p1Bg976KzKHVH3AtcYUuo3YJZOHFav3hkgMOnAMX2DobN33gzybwAD3 G2Kll0TH0oMzg3IwV7iuEw4mDgplf3hfjUS8heCWPgzfP1vWVD9rUNp0XreDvw4gU+K2er9nYfiO AOr+28kbwEZ89QBEZhVASdqqcz7FaEj990Eik/pwihyP55T08QAH0TSeMgKQNZuuBwL1ZOwI/sPV gaKQI1GxCu8Xg/KmCfG9mnaVpoFqMUK3HSINsZ3QrYuIgxBa5+6/xY5E6dSkMidfOkgaiztDNH8k W2gWo+NVznuEywUDzLxU9Wo8JDmzhXFPGKJGeursamvhgVV1KAKJ0AneutusqDhPXjDWR8CkYyN3 9Ayo2V6ivMPCwwqcKJ28P7sJxvh4zvrJlW6kjo+cIa13JSSD8NnABFtqJpgz1NT7x+8bYj+yJASe 4qow4QkLMyoylefQD8m0KtRYcXmo8JADU1l25WcdR8fLymAPOKvtKzwftrqKmjak5hvI+Lf1/Rm6 7tzW2SMZ99K+tqBmiwyh7+9Wl67FvAor1wYT+qjdGw12xEm9Kl/VFDhZaVf33/rR+79IQBBQkGrt NrNSTLGHTeig9dkQDEeVKb6CLxL+/HokobSkxSdcJISI6XZHrck0Ygc/5g80IPmNFek/6s+ukocg NZ56izuV7HyJxsEEThsKqmWsGR8F9IMaENrjRGuy7uojru6afi3yyV11j3/YrPUF1JEEFm1GDWWU Djw0yEQ1GDeu869ZjLkoZ45Gshm1uilL01ycdNa6qF02O1ldRyxYgmp0vcDAG2HwaYyD94/ctcim w9W2l9gTCOfj9Hv7FY9BfXM4VMGReZIYc++lSoPkC6fVrfS+sMrklpMh3gPbrb0hTG85KF7bbVeZ 5pbtEvRmziG1MijttUoueLcNenibhdj3/neCYoJsHyXmIhJYt/347QjDY3Kq8sPDsB13coSVdhBH b17/mlGRSgBL3DnAd1Dqj52hqUkxqfSAkaM3DvIk9DJH2ZE/jwGK+YhCeQG3L7sl0+WsSkd//KdT TVbP8dN3/8mdtacGc7YrV1p721CCgBRthrhvM7B8rX3rRShZsv+jTHSgloqnnFV+y78u/thwkc5N z+2YdAFsJY7eNx2eWTCGx6sRkNX0agDRwLG3NOn754hw2gNgUgTRXwNQpGY/Om5RXapP/3nTtcxj tjYGDnT5wz09vpvh5yqh2kwWj/MMGerOgD/ubu0UddCnRmGqQ3KGzgi+shC0n9t/fF/PzAOF0Em1 akGpDnUAwHlTXJgAhO2gYo1kwIzawZ7kp37mJq35vLk2vmMhVpwH/YN+qkCl/rIoFRsJiu97d7p2 RJjJejQT97RwQKnYYjfI1aq7LolhpMAvm5oI+P8mCSz9jqB95zWc5Pw7AX6GQiY0v0ts10X9UrYz Xyo4+2oVSZrSOI+5/HF1Z86uzYOOKwIGD6PCZt3oaCWio7zUMrLjRURPid+k0v/BGuA7fbFAKPub H2T8EbaWJG4dE0z8hVS7QVF/olY47HncacDHEdaUHHAMhpDa3kVGnMUspoMospbvCt797/M4J97/ PxSSQzsYv8I1UPbt0rOwGExdnhmnQyqUS04OiI4E6R7EgIqcYfuDn3ff5w0XCJ/X21wmBtJP2Kkz MLd6Gcvi0Jd7tvGzpOZf8Ckkcwp57fMXRNJyIkDkaZSJxyDkzTOWaQa+/VT5DOd+4IGsMYH2PXms 6x2qlmv6h91+ZNjuaYwiITmGCx1h/WcRt1NlWkdiVLyoaaMI010FucOhlIcFr4Xdal9nhG0jpRy1 fSU6VVlvNR1lQ2JnrsSz1xiD4D9jGXr50GI3lqsH1yFiyQEPmsrVbelTDatftLrS88pAzH4AbwU6 +3+psIBJjdJUivATxnx2gI93UN893z53mgXHzJ65VKKlw/9LPcA9DHR/dyBG/2LpJNvtqsO6lFWJ hXPPAohp0o3LLnL3ApsDfgCgHgtTX2UGirw0wxlRwoM8/gASgWSpusTgkEy7nF01VR1MvG4wVUY8 dZ2Ae1NBsCSckhTCPSdM/nma5miceqYuSjd+nWXe/IfIlssftI4OHzFqIbPe20CjjYaWfDLMgJUD 6CXX+dYwaPnljHqrXl+61LEf2h+A7uxjVEokfgvSUpDiXaoPqDTwa4AHNkFFf0q6FzUSNQjYpFLG 68utKvN5SAdTjGpskn6LaEa+MkflThJi1LmCkxbvpV8+D8prLn8AofF+JP/IqB5U4jEuhHmKDalq NNadMXoC6ZL0b6sPourRnA2ODYtYJbOqnmdVHHBZFUIbywBbqXn8AEz86OLuJyWsDoHdgUqT2ROB l9n3iZpYdxWBotuuCYqNyRwjLb4SpYdUGjv4JyejvyYM/DNkeTlkuVTJkB6+ZFPjvZftSaBrO3MT qb3qaj0CREEXzzmVnFh4Ie1YXKpHKxufJO11vK0+oTgJkDY5tx5bkwpEvKt3HuCDroz4yzxCVtOH FVaOeSmX/z/DL0WDhQEjX9SuYKJr2mX7mLVZGuzWWJEPfqjxHV/knGLMptBU3wqfvATI+CFjOWpi 1kInWPpev/WrrglWDxIXJODJR5AKkE3bUXWJ/yfcOMJYnhesLQ1+glg9ZJZaArDSt2Z0WXro8/Xx EG/RXtEMLZyIzcig7uIXRocd+GFyPZamWX2srzkwiqEOSENUhSI77ePqeRdyij88+HhyrEkoGY+s aX79+7GTQqZDi1mBMVT8EzqdrKBeZvUZNQ5NZi2WcOj6B2T45ajAIKt2Itfu7++JwCXb8hTYdPsr 5rF0nnSkgh/sbflRDO/7mkLuC5XIDc0Kbb+Caaraio9K78VA1nR8n/JFifx2ImNUnDzTcpaIJf8W OiqAbrpNSjRZf6EBoERc35mhRMvXlOtiXZqZTfHNoXFFBQvY3VL8pwifc4MuUBmsrW5YQNRsLfOY SE58ZItglErGZywzBqDaf6sjF55xVvfc16Hbi1hwprAXIgMqSRbcPfrDlRpjxZ/sdH1Lmkr90Jd3 wSr/qQYckrONUkDOdAQWKH9queHsdnY+Gt00Wi7WYJyFeVu/o54J2wSl6bZC9JdrngcWGrE3Nxrl /sSwvpx6lnF+4u9S2gQTU7FG4ADLL+IiUM2fKmINPCicbU84KZH+cfIOVC7KXs1UGIjnEVM16eCP sx7Z2XOysuwW00VrSA9nPvcfD5zqaaiaY28kjjetbui/ObK0tV2piExMfHMBUMEYl53M1jD6q6od dGjN1DQAF3KZzPQvzhyacNpq+HI41Tk4DKJnf5hv7MclOCrK4SN/HQu9+wHC+/stDluOJqV6Yj82 xiCZtMlTrvs5wDOJgLdi6WVkvVGQmo2p6iRTpdKZ5h8EDEicJA5J8aLn/0xO6HYvxsd2j59rfJX4 q9CeOkABbbhGbEg1wuC4lJIKKllZ4xQLYL/A7t3WKlW2q9sZlfQSRsbEYMqVCJ6kl9HtYSaT4044 SuOKWbAod3cXo9ZWoeDU2nIAQW5mSWGfwVRQwxVHsGNpYB/vtXPjYnKHT48xHebrdAnEHEKXa0Js mTLdkgFiIqRBLuedsauITS2RyGxRIiLIjO0UAxWOhsFg2kb1gGY7A6c8reiiREjU45eS5DD3qQtZ VV1l2v/xYbVdvhnQ+sfof5dQOvO+42ssPVgLwfdPopf5itNGkckjmFGF+doeJod1gJT0z5SCCL1b lAVFDBusF5wz7RjhPw7ahg83xdgkAolK/IM7+jItA0kV2L5rD/BNjsuh1yMAmMUxRmt0BGLwhP9w nGz5vFVAkhdusrtSmUTRe8O7x1hvhC0Jo/Lw2WxZQpKUjtNXa3Yl6mcejMx3Pr2Uza+sCNA1S7gS nQbo2aA3OwULCQyO6cHi5NCRrUHodV7SKwXhpeL1F/i6j3ZLaQ3SbiBLxOh/42qoqHV3tW4iUDt0 /r5ZD12VytH6SdkuN8E/Ubr/YTqi3wTOu73YhoY08F2eynJoNIaMH3vAaf57Ak/eCfMiszEzvW1V vOzN3ZZ/CqxymTkFL4enpY8N5+JwSsE/EgnOMFMQ3gFGr8dxXWfu5BD7MxR962iOLXaTcBStrwcF y2vV1g8MJ9Z8nh7CeXPlz3uMBtOMmBOHjtHuawwrgMTVuF5qEztNhgGZ8v/pceJ5LVrZuK7DeKMU 1epM33hsTCrtx9W0BXBvatGRFKvwK22G6ESjELX0f84Df1NddV6zzqrbZamcpapR8ad8g2h+y3aN nnupgOheBM/b0cg1zc+FJXxTvRJChZTo8B/JNhf4Bf05uvpxmLDEYg05k3q9/mkxclJXEpbA/fw6 ttbNbJMCCuc2IRpR/SftoimHmnMibNltrFva5YWiNzT2OZNqdp8NBBy2hXizPw1seSdLgif99lo7 3QBQIe4ApPXrbkcVnjIc2cBJeYvjiUFDBRO818aZpLviea9H8OSrCxxDXBLJ8B47N+VhXI9keIDp 9Q6U6S4O/N1bDnvEpUXTfHHMx8+9GRbjrEVeSLTEW9aQ1MgphJMNgPaX07GW+m21/WCBRDoI0OYs TH8z7Vwc9JQL4IbJ9fgdZLZMEKDuwKlkbaFJ5gOE3LQeLXFO+bmawdg8xQOk9VN/Jiszgl6/e6q0 /dmS+DCNtTovtkIh9U0TNiByAxzRZfPRYCrV2vhzicgcaEqTh+o1P6HpaTJRYiYBN54H2wLKzMfT oXZKceZNsP+7huJqcrgpi0Xf6M+PlMQ4yfviow3H/X6n1UBfrlnZ2N91zmSk2dzo9mYFCSg93bsh i57XvxLDE0hErOJswVA89oBl30cSm0NJYPmwtX20uiDa7cWnw8EdMS2lDG9fUyL4MKpbvOyw+jJS wxC8OsHJANeEJIbCGS21bDDlgL2qoi2Hy1byusGozhn37RPxrMsnRcSvQfu6RNbd1KGUD1rFLlvT 824fsENvFprh0b296Dk/gjPboRNuu4aAc44MEsGNzhOEE0e1dWxP2dRpGGHC3SZJXLsNjuLoBqx8 Ij+nitJh3ukiHVUKtFmbLC9+OLdTZyqwpbieRjsxlSHjGbbXZYkIbSBb1pm50l3kWmgA2aQd/MFj 7I7bwSYyNBbQlhymYjAD6O/6zV5Lr9F1Eql5nqIOVcVPp/wMfVl1177j9ji6XN/YX33gf0Ondr0G pIuqafl3Xd2A9FBPANn8kS9Cph+TkWzQNFBQJJSsIclop4XtPAon/NyZlWTfCQE4rA7GknVjniEu wUw/F075YxhoReJ7VLD/vXMkixtCnEZuFYm1rlMMPiBGoyKZnTEYcw9ueDHw6kf4ytGVX/p3+C2M /vsaw7fl+ibsfH7Y7rgnjt5Nyw09Uy/UJdcNnJuRb4enWs/QAkkaZBcwnsDMDB0RJlvrWYmC3EmR 3Ht5rrMVEYSmPEtALygMvNchKTgHvm881pbgpKy4QNBQj5ZmjKQu1NAYQu17c/ySkv2Vte5nWlXe msI+TEkIGUVCEWSqPMxr/xkeMsntfJ+OL4vAjxlXIwhwhvRUDxh0I1sLVq/DwHvXitXyjxE7IwUL qni4QNB1/JaaqEjePdXWZkU+2ljQO8GmKYu8GxyzlmriDY7A0SZa9Z60Hj+7B9RCXzT+B+PRBvfG dmu436skRu+S7+o3u4b/C7N4kzbp50iFHOKeuvwtI5dZCSB+fpJuI17LFWQHSOpu0gDSyRn+nKvx Cyhe6rjjwC2qLJSRDONLpUhF7ug2NrBcoNu0V4O3Je8fveh1tj9AcDmM9hh3mMxVQPwObXS+DOBU MZFAkAZi61dMgfaGtpOZzlouwFCXetgrjf+bkAH8akqzRbwfC0ZrXuuO8KKvFn9FOHtFVIySieeD MELgi7Pp+AS9LvbGgd03jluCGZYS8clPEFIc0r7udZmh2PqUwJtC8pPZSs1UofKxhWqZjZeoIwxA mhoHncKwcHOE1ALsvxpLk3KbL70dtjkhmCv3ulJNDSAieAG2ksMCd63eGXRN9wOQS0piAmW7OieN CCe+RhXrZXeP15HNjGdmzJ+b/01tA6DK34antypMMZCCLPuZGaEjh4L1QFwk1IGd6ifW62MPDCm/ TrKiu+iN2JGipuACjKpKAto8cy30wou/X1ysBIIGnYuD8yq+BEL6pTLF39tUeeNBD1k6gHez1m8v OofXm9lLuubazAWvU+EUC0e0AJY4tQEqFuBYcJb0cj07xCp2KGX2ETwSEUryNprMei7ceMmOmXA+ YrC1zkJckavzGiqiW32I2ptmlEmWGRidtYlQ7kH0GmtUe4UcUhZlLb6Xumg21s4KPxvxC2xeaCjm v3lHwvznrwrAMiXzOpi/2Fjqf/X1vsXT/4XcTW58HcTCRAPvpg0x+XVaxmhaPZ0N76qUCh3Hxlb9 c24CDILUx5DHKYbXvobwmhtVHjy0KhJ5bB0Qi+JbJ12/Xy9wok3t9MyBr5m+OSxtlVW3c2m9Gso9 EwQ27Xs3i4FIlEig669oGRE+UzjzBsTU2Bj1cdBhQDbFyEAfkZLh9dLETP3yi2cUduhDYogScIyD jb5WSlKaCAFEXFPKhQzTjoRBBXFmlw05zIzfcnRspvSSKrmskjyM94V3mYY+LMaBUdPF/JXtbJjh lHgR6nkzuJPRmkXao0e2NmsMuxCHF+kH0A3yrs16sfjOOKvI7FJDVFZClbXoSIrlW/pYbOV8nTYZ YX18S6RxLRac60++GsQtbI9E3tNZu/wcIncYmKW57mbpktk+GNYeJRh0Nixf3EepbhnXKAgFWuGv h0XwMZ0ybLiUlEqDbocV9dYUriLRpmvwv2HJ36hX50UvOABFirzoz2r8ghcbDAJRrlxyjvAvW+qV Lrlc5j4BxLXT+4JjQc1zPIC3DLEIuYV8R78uhdQ9R35tqX9U1sH5vkM7di0grCBzufxzZIitaJu3 gNY/R/rah2SczuiDK98TJJsADFBNb7pv7Z4zz+Ds9I/PJ+7vIb6VfL5McezaWCOqA2iudNOZrLMz 6JO1IU70CIzIA8YSwZ/VhrpUN3d/U1wXnuuO3FEF+BaITFn2w1RD++BbWk2+pjgB6/A5EECwSUpp 5lGHc5tseJFfUuQEjgk7YHHxaDc/8Q80H7i1x8rAVwB8DI3+PCkzZ5VebHAdqH1i3h635WvRw2r+ V0pE6OlJ7nONrIFuwCCf+Lj7U8MqJC2mYnZWpZiRmHdjC6EbNYR+7CWm0K2D9sSbdl40J8n0Ga/z ZsSeYXgvV0Xb6jwX8hUgzgDGdxL3nMF8lmJ/+JvLbspWsx48Df8TSl8OTynXgTT8iabThxQSlKYh GziCXMwUHi3ux7XpVj9qQ5uoMZB8TylDoWr0Q7xx4ftsVb0xetPSNn9SLD2Zd/RebTbO/ZfRDTOv YggLgH00Ym0fZt5ITUDRPdiasy2gvnLVhL66i1TflatzBZhbd1AHO7jMIMT8npCbKL+ch9qJxkwy M2cQzXdPi8XLT+5gBn+NQtTEKYfE7DNf+IeVuUwsKO0GvQHmGh3Ef/wakWdT3iF2EhmXyqg0WqbM 7gbb/H5qhWWrvcPVvumeNjFT/zUWF5IHBOPyXcr8MnwTIjCVibO8z/6IXxdt8c5RlzOvTHczSek/ bavHdgj5cKkn7HFaEh+mZMbVKbpbpE0PxVNewr5thvsuT2TbTsdAENNjLYEFDybBhc3d3p4PILPd D9tUCZgBSjH6+zvopE1orVw8dc5abjU6zEux9S5iYdcZCxqEzd4O+BEYnpmbabCPB5pmtH5e0k6F u2aWeL5HH+hjRkt1BnR5RVWbcxiUTO5Goove1/NUzhUasfKgpX2hH506USHMA4v96Y1Yxd7ZfjoH 4+tt7zCT48xFaMu+OahbijPKZ8gMPGAxdxqyDPg3KS8nZtQ966bCJ57mttaSVtkRuPz4uJUqcJjX oepSSodo2wvYB3EvIPZnyTcUC1XC2FVB6wrN+/GHozZX7rsGIKQ1OwbEJvW/bWy9iO3IW4A+ymuf gpykySlJ4+q4GOTlttDbpke6jgE1wqG01uOZJW4Kk3oq76UiCayfgnQQny77VcJ0hD21EtUyxu5/ pYKIPV3p0XgIpvsHiBNanqQ15ZobUnlrqykxwWQuxsmRHZOnUyTgZShooR3Ew6O4Tw+tV6d7bqSM IEEgTFBk/6t+rIQsmlLKKnzfF4CDn9+Xv9IKJKe5fKLQ0EeW9ca2NDySv7LPcJYpNnnq2UCE9ex/ dh26eFAPP15zpMAHA+GRp7WmkbxuUqVJJvt2ZQddJ5/3fcqxnREshROT2jAaXWvpXl+9srKJHpAH YZhrsEXkMhHanqaqsse1NOeh72m6NjkUBLlqkPFmNudBQx6jXNStoqzDe+DzeCzFM2AIFDo0mf3B XUrLuNFP8hotDC99oZranL0+x6Xeq6w7LXkhOHVviMXiX/E3TZ8c4MW1tYTI68nxzpYrOoAI+sJz NFT/8RqGcn+cmbliKk/zzaVCnUntY7bMncjD+cf+ygUdBwIPpD/QzvKv4pjizaEKJpv2ULMRBG2Y dusw6O/ngUE1kBOAAJMon/gBKGV6CE5+E7CuWTZcc5sAKaJQ1Qm7wsUWXOdR7oS+JnA98b6SI02W r5tFy2Ip8m89aF64EeC7FWdm0xLUrPMVCQRVperHYxt3QZHGhHTHyftbgeVdKDP86fw8bD/YoXHS PdKBiiBXI/NCXsysHnXU4iX+/puhwvkcWzYVEU3Tm3yI2XpabzxjH04hnZURR+M1upIU5i1CqENG mftIVSk/ReGiKq3D8MOE/PKFa3iY3tvpBiVw31xKqU6IbKTYUGE80SxgCPkz0+yysMsUELY72RxL bWhutNO3eRC+Zg1fw9/LNNngmr1uyhJRz9TTVmeucDCtaQhRehAx+HzAWk312UCWm3bytUBZNRhf IqHYLPoDYZKmWwPnmsAnbh2nhb8SPkQO94uH/IKMkQtl0Hy3w7tMQ850TOu6dS0euPPQAReTYEgs ppdTMkvaOZnqO4uuz2uMZhbP+f8O8A1K9wIp1Ky93lMi/m0JcWIVFfK0s93p/KkBbSwTUdPWBgF7 bkAdAOD9RSo4mBW/6yvKmI3uAVpIzWjd/I3If41+/FskdQ2PJEGOAnJgMKt92DrExHf1za8yXZ7v YgWwiyHn5qKoyJo3LK7Vmh654/qDKZ7U6iDy933hKDblHgOll9fqebjmUNb2CDYgh11SuilgRkJc dmNyGCvKMjz7w2fjx1gHPS384ddsqUU4YqHKsQEtln5n5KEMCRiYXMbd1JcGv4c8XS0o7tpIa2y9 EX9XpubU/17caOZAg10mUpC5tq0kJ6yiyfPhfa6IGi/0QCUxUvHpAqvPGLYaryA3mPyw8OjwIcNT CAwx92LG0K0TXhLHKnhf5mPr2YTmmri/b9t5eQ06dtyny5lsB6H3LzmIqssx02/RfDqvUsfKW222 w3czuRlZxdnwZm8BnHU6tY7BZ9KuCrA0fBDvsjbB4aP6HnPvfE/JxaVtuY7W8O4LXyZr9swL/X+y Jq+mwkymoanZ8q/hlBW1mpXcTjcRWunXGkZiQ4hff/A9JYAbmov+510/lOc15gyuNytLRCaUgVVd 2q6aAIwDrh7EJQA5m7vm07xA6Uy4WH/2F81wgz+ME8njV2r/yRpkSw7bVsAl+zu9r7MqpUtMOKz9 gYE6DhuY0uP05DarOzBpows/PfGi0iKAqgb82oRNzxEaV2zVNpZqkmFpVhsHitbn5tmBmqiCCfwd AzDnpnY6fK2OdCj2SjrJxbpKJ2V5SuiRuCNA/U3SwyQso1OP1b6JEPFMHW4UExUbV+ffMBzM49yN M86I0++PJ9sPsxNg383IfnCPGQhdH+xkb5jshU9UyUytpcN+yNwL86ZShqmLo4fqLkcLL0xZrYL2 zHoMu+hUTBKCQHGCiOgQG7Iz4JZnarC8fNXvtPKJHjGLRrmqEzyLIUOkDkgUjWnv8VRf12bOh1El DF3Rj6QrDvdnmMdPsKJtapIcjCk7xBLF49uOE1bOAZS6aH3TIRBuyFD2a1ciWJuZkDx36xJdgY5V qAsfa2hl6XuZwnuC/MKx+TUZTIkQW3z3QPqTWdWnQltFkGjpzyZEAnTSfM471fVv5PtQr2fg0HDR uh+qON5w+mV1lep1ft3FAyUs92W6UrfNpRQs5rGRs14gNDRLOkCFCWEt0b33yMmS3VkDAsc+rE46 8ILRpKO8ISf+heqXi8fr2d32NmNOVAHod2dAWorenD8EoLr0c8SkGN3frEmydvsJ0BiT/IM7nakl +MWEMu3Bc/nGt6XMKu1AxTgbMT910mOPNFX7nXywtGO+PLTVtwBkNWZr5j3FWTaMv+L8ckvnzi1s Gr2Qiis8u0X8OiyBn6JjCjlEvdDUg+LG8rSs+3A516r3oDW93LgF493qj6n1xZ9E3PNP9GLlOxcj pl3boh235Rlu0uNlej62bebblOWYgftFblXgkEs2DU5y2HDq7ksWzFayW2DmlepbLyICPybaxcgu HsRH1WrRwIZYgijxSJ8HqwHlsFyX1LUFp8reaQD6nXh3L0K80+qQAtrNH32X8MV09JtgvOKulvjF 6jISJ8yWHHw5Wdcedrc7ODlAx8QUrdL5adErzikq5ZnHPu+jsdGowO0r/BMX8YzuU11+hrUO/OxZ ZCO4T7LyXzsmn4anBA7IhvTzT7AsCX+j2ZlaXLE55zDYhTbXLt3kBegq1Hx6hmyTj7WT/Oe/VKl5 XQIRdLRs0PtBNLwHaBWhZOtrNY2Pbmvv+Z9B1ufbcRAhXRx4RfJ428HC5snfUbNmHgCnr1dE1vZi 22h9SA5AN7zS9nhQl1ga3naRdA77MDIKsRaCEAi0Pk9VxQF3hwEEOGva6MH/iYSSTY3bVIJAMk2+ lUGXcVe3z0vfPJPW78s7yW9F3xTvip+tR+d23MfCfIX+RMcCvj6/Fh96JFFqmvPlkyHDtyRg/xJU VewyQcEEZQs6yyA7XfnoK9Dv42pEE5LyDjOHRvuRRPTm2Kn2UpMVKNtW5/EzA9TKSY00zV+Y3AMe JlZOm8F+kBGAVaqDuerYtlBaGU2a2FlDxN1Sp+AyNQKS0fvkCr9+dz+ITy9u0OiA5AE/aAvKd7/Q +oAVZ01n+D6oxE6A6lSvtU7B7LRyZ9IXPV9zc7TIEq1Dxyp4bOukQrZhy8teg/EvcZFtsQW3OKAC QmNWHiAiyDIBtu8N9RtVEhwvMIRbRAL9MI4AMMczrL+ufKQG0QwV3annD8IPIffGpZo9dh/ROvQa M9rpCHGVZJwaBS83Vhn+5PKDUvplRnB5l+ZlAcZuo9LoiCyYJFZWdDYEr6Oqluhv2npYY8Q3GwvJ VzxfvTeCezWHIgk04k5ILWyYccwC1J5CPtGE6RrBCL/OLqP2W1C5Phmn6V1wGKdOkFrJt8fjfWKU wlqL3PJW60KHRkAkOjOXEefCHgsh01Igbgxv96FBP91NfnsBqRb1gp4j8vHu4ZGvov3r5+6bJIFw tsgZBzVFwbj3+wC3mkwqWpTDzJkQI3UDJ35bAgl5XgS3g+dD8B5SXn7xihEv0YktpWnvw0o626h5 Ezn/kkAsp4DSiyIlQL1p8EAqlP40v/OWbaZBgtp1F6vDil6xwyf+ueK9UhBL17u9iRT0j2Izc2VQ EvN+dT5NGNA7ZIO1ZAEje5tBVy8Ueup3dCJBm5V5oIF+PffubwbmrUpNW4UiHgbluw2FojSTFqw7 wtamKtJp51RUBPyvN7qi2e3DRZ8hzw8DBHrS6AWRrGevvRlGbu7T0qV9uQWFW3N2XsvkW4Fy2m6I oXr6KLry5lEAYTRANj+3QWn7qMOaBxB91bWsJbfVOtQGnJZmcFAx0w1tkpVj/aSBQfMCNGdhRBEX BmIiqCRT8RbUtBBCSwbA1B3p+oqIOEUSJXOtqHGFzFnsajGULBytwzetJlEhSZ9tw3j9SHDICy26 FOH8k5e8OFlep012QnbXUfb4KJB1Zp8xJY5oocZO5+q+G2tCrYzpOjnlr4hFvsRbmbdfu11DLdLJ eq0wJzHXJGNVqNcTx7vnPcI6uYc8s++jfAmP9HtIu14J7SINQu2aGoKT9Sp4aGCVDgCqneFyTZ7z +JgYdtCpzf3J8gXQm7FWejw4ZlZsJOwWJwGfxwqGJq2+Xkw2+xxFt/XV8NSBx4WgW7JwcYu+y0Al q16anFeJr6k2cLEpO0bO5LYr4HVOHAiwDbKkPUAfMJi33A5a9CJ46uqF1QjaRaXNohC3YSiDKlaO Wh9RcjDkTJpwhSJe32lWCiBBVlk0JlaRhRp1tRTyCBk+8K8Z7aWcjEb1o30nFAI82JjLvhp3tMUs PxnhAJyhCqJhmGUwcfkZeo1PwJ4GkK6DX3KmbX3MVOXgEtNmORlkk0wskHP3sb8tetqMW5pXvRY9 4SCVKWRnrGfovSmbnwz2tb0DpXXKjq8cmuIReBUBm8028QjU0T3bABOwca+WrENLxaPlbkwPD97+ /dg4AVdwd0m0kKfIdCbMb18jD5V5YrOArpjprP7qoyli9tIPb2HARoE11lI22pkHffynz0iZJBUO Bx0Jeencjnor9TbKzIq5s2GYNgWQ77MeZeBfTSsViWdaf3WQfyDmc+tqlqS0VE9nDeS0X5FBxYtf R3hlMCTDwPZ2+3ArPKJKYNO24NGuwg5ZcLAerWvu0y9It9m6n65Q+Ht2W1nd1YI8C/C29YHb1KIX I3aQVRhcGLzgURfqKZQDNrtpLq34E2fggOVMsOFrX9UveAGRodWdQubfbkEAgZPOqT1geBQFse96 uyPfUAXScgHcusGb6YpBU9HIh8Wx++e7fO1J2o41D0MKjBjYGB1Yt7ZZGHP0R3wZJqqp/yBQT8IJ 7FhKyRZvC1Q4OPNhWdLIC22XITFPbESom21NWg3R0XsZ4D06NoN3kYBTge6PF74lC8cPv7keZqET oSKXI/5FLZxn/FeHf/X2XPd70Lco7lZ2U8G7xn8wLQq9E1DgoR/URA9U3KuZ8SRMD7iCzgyj4bRm B/YEGt40xHyXGi8vuAXCSZX8Cxha3j2JsuyWrzBieNakPsx1I50YCYCWqQAaylBe3oGR+ROwDkgi 5qKMfzdBzHUw2gazRx8X/lve3w8Ass4VkaSAH4h5JgZr2PfckvL7dHT97cnoi11XsKMj9gsBvaqS Oy/6J9hfvJ5Fol37mIHTj2rrzBwPkHDhRHVBpGTpwZEZ91+4VU5inzGQqSayUuRrraaSzDqSezkh A4VGm019D4jNu8kGBXQ6d/FkAvymEIWvMgoZD8I+Jm/KefuLiWFhs+KOMTGGhkLpnujYUyib+Sra Gefjw0pZc4DVBQBhYNYVsHPua9myrSXJvVnzYnol/2Xk8jny9scTVHFItYl33/Lmjl302wEIJ7MQ iCvVB51ACxKwjwu1UeWjdMWYfszcVcxotSGmEjlIQegJ2m/MoaWfs8mK5sQldPQiENuu7QdVy3EH XCCyweEr6Zr6d2bUmkymN3Sq1mcb2YBovZs28/40ZthW7YEwOTWV+VeQK2CQjlAfd9VrKWMJlS40 ru9hSqc/JFdzpCgnmW+1zJEjBOkyahAdMLnds3tjD/kjJAhqQUFoCPR8N8aMDZX61sh9BpLzh0fR X9Aiu1CKdMnyd8H7VJGY53LnTIod1lpwBnKKeLujPr5RxWKz1NwGQP1RNJGk0c095ZGzPbF5wM5O 1c3TYhZxEiuIGMG00nSZNafEs93LfC7dcsGdAtlo4lSYuSyBq36NC4i39JdCyLpD++dlVT92dbiB ljDHg3IGbtQW5ZoI6vyHY3wT4tGVGbrUWLMHkHG8QAUtJNRL6Sio9uHSSzOHoSE1Iz20lBoiJX7c +vYiOgZIRXnSBF8Vhdcw4le6pq4xOGyaPvAA1d3FSWASAl/fq+Y6Ekp+YMVb1ZzVynvjJti2HNwq PzJwOUSOLiMXeJtkFF863TFPeUXfHZj5Rqn4q1VK4GpUPgjItoRgxAkyS2T6wYH8Qh0ViX5w05DZ DMGp4d82D+GuK6j7vcxs/CXRpYE74pCp0cc+o3xdCblGSur2uHe4bAOe99YaevnHh41fgmDLRO0o 63MFab6Ro9CkgPYftZDWpCcfkhwZGJYYA8D92oVE/TwehNGDmW6Nd6P18vzMSWsgOMgPpBq7VF5P 77OOzR3KQapMb6qVEMxXpV5ZSAf3I8srSeh5rORuGf5SUMtYhKz8uNVL1wCFZE0bPqv7lSoBzk1Q n6PgoD0E5ZcobB3eklnh0ErfEZoxe3HmovFvKVkguAn9GgHsk9Nwk/N6lwZTFdEJ8EYqecIYvHA7 DggkFJwF8YeUoZYbSgQU0Ykrl5RgS9YsDKLazmJoUMIFZHP6ftGfqnDpE/L/uBPdZe8/7q+Prz81 N0P1hhEqMgiwDPEuDk74P2Jc0yyKfFizDkGP7K8eCgEgEnYVn+VYaoeVMGsy2QzxdhxhNGmnL3bo CrMPEWiICcEoWYbgdReQSSHPvc9IeSV5NHVbsWHq8z2wnBE9Xfd5FQFYLBG5DJ78LWCtPwHaEc7+ SSOwj2KWMS8H9eo1dW7pYYwZWYS3KiHOZxtkI9/aqJn/Q2VlY71nPwbvnl90KN5C54fWWcRIKAkm 5xsyqwhxIYCobyQSA6oPy+YFFjLcSmHbZ834cuiQVQ2y3DajxnfrFnhyf1vz2jwLRDpYQGfuD2ZH ggESeX/7EPfZ2l2MmgsttlysSbR8pmaME9vFYRYKKbgf5iF3yo0eYvALnUD8oxYTGNal93d/y/xf +WI7CD3Zx4HAk2frdIpHPmo7A0gMh++HkJ32ymqNYsnAXlhsNxdSMnlj99jFQW8SV98P7/N1yZOf jc20Ouu4NifWiitU239JfJClAfqS19Fg72IhnfrYTzLnG4iq7oReKX74kpbbEK5AQQZFEhmMIeCm H57d8pqmJrm4pVKB20BEIWkNdg3xSLOYdETa/Si+qTh5UXypTKklHts08lgkDxYDiO0qHz3IKotK H9i9ufyeIrUF+zekCNM3JHbnmi7AU0jclQ+Dw43/lki7Saxd42MrDjAqgVI40wGO4zrShPMSKy7U ckAXCybtX8+f03S8Usl/2NDhVL6DXprUNPQvOpW/eC7R6f/wPe/sbeho1MfsgHmQ4fyhyB7tCuRK Mz3qxyerrM6PGhG1igHlILB8UrPR7dbf9RqFAy/TVbp9bM8NrBeFmINR5+K1D7GjeFDkN8eBsWM1 5/ZNxq6rYdF7zrUGmWq8QKIZcGjbpI+IFKoxSYCV7Z9wExaPqu36lSiZrG9N+4oFdohV5ZMfS0hT 0AvQf5gS6izzTiBcbYzSyAOPl4d47hv00A3kDB6ED8wPdqyyrUOqIOUgAa/XMsWCeEi3wsL9+iJx K85zHK5Nl3aX+FKxJAq8QpRV9izkAEya9eQyPsQaTWW+rrGj/2XFRBmhs31UxcZPIRqFU64WSr4P +K2AzLq5y7vgCGCQkXi9NZ+dfWirfiaZOqwWP/edIPCO0/IIP10l1GyNQzKTjNqvsjgzdGrRYA+Z 2ZMgnWZxI/LShhOkHNuNIYVhhwdNBjP9JmW9W7LiirzIa9S9DOq1l5tKaRAOt814Vvs7D4LJzGU0 loiA74HgzAVnDFi8f22L7FSRYsMjUCBrSUGT9qyvpn0BS8HzB1HPllBK+5nBd+gm0VLheDQs7MXi 1zSeAdnrC76vgkuWrArRIpXI1FCjYtg+6QVXV3qqcze6FWmNwVqGt5Q5lgQfLvtHuNrzjivhxK2m wnKuTc5KmKhWTSN40VY5qcBkuNpYQTIA1OZBtdjNw5vzX5PydrAAKZ20Hc4uLUoeHEM4us2bufH4 T1wpcLJCcdtZR0SJwgBSB36r4XPS0L6i683htZKfAqARcdJUPaOIeQXpG1b1t/9NCU2FMuvDXB/F dQtYYUrvUKqt6cWKZFoytzo3U8ZnMe4S9gvJ3S+U+wItcaKrQ4JHj38tfsKgqUOViKc9bpCUPjnM 1n6r2BH04Ywpl23qVlqO9LB8pzagj4PqS3XGtEanG7N7xPn/lJC8lnkaKKgbvH50wR0qrIemLhSW bZKzgFe0amlMbJhcYgdC7n+shBQn+wZrnq2TkT8OQcTnaYqVn0VTFV+j4AqUjW+8gvfSQ6xJbkXC eHW9nm1eZRwlOUKfMGNBS8+RbsGW+/Iep0Ba1ZaIGmY/DXqVf2tn3UsbTCka+0JbsHakV4kUEWUm JZkgsylLqDn1E4Fkx1q/YFIoEJbRsEWMOuHw8zDZ+TzY2H3m3fkPXghMd0TVywd/d8z6M6JVlToO WAv4xW1Vc5oHczRTMLAX0xlRAPShm+lOlbpA3vA8PXVclATub/Evs12WDQjlgYrVr4r9SGH8MaGN AHDpkotC5XSHec63FoUGE4qeSH4bR6clJL+W8zFEDgbI9Ekqj97FHktwksdt7DsT7k0e3uR4KT2h PfChOtF0aujvD3ni3PSHRhBZl2IBjEmlnqNRBKZH4ewk/3fYLch8pOKVFE+1fWmL9qhA6wPY4cS/ 4ie5IBwnU3iyTkoVOwy3/IOBwBAYEmP/jtWVqkFTaAH12VCIxOYvPFgxxXqLQlYIFRsNLRUayoqL Tf6OVVxfC4hq+c5rF0c7orOVOfNVliCJU01ZGYxAXUj0Qs6Smowh0BSFIfRMp0kOzSbyyoD1IaGJ z2lbs3UxCSjDn4LD88M1aQJl5U4Wwp1uzzuEjwNIVSkRFV1TpjW5LhtvjAE4krEptT+/w5rVAzSc neIN5BdHzruypR/F1EO2KjhSPUREC49pOoYks9iOnQlUKJwvT7c2EuO39OKYFazX9BYfIvFI/fun 1qQzTWCDjGCLTdo7MC7PC1U/VDGre4yLt38o+Y2xOMOVQYeEGIn9ieXRLsIZrXMKNDhOd9XSlHlr Z1eUvPWOhojXW4s5wPxf0oZZ1998lgTEyZdSYeoWGllByQ4mPfDaU5Q7iCmrtWiLsxGjkpDJEiFH oeNoLusNIkbJ48hzs4a86LkzDZoO9JYrBOrqQqX6jxtSW2adq/ev+MZTXR3aND84rANxljlP8Dxf J9xQ3gAkd0L2UQtv6eOzTT0nbnyNrdEZpLtJKmabWJo08dMKNPfQ5AjVfOvPbmYNx7+Q+bPDNqQz WIrO6G6cBEvFRsUJv9EOKanl+lq5JQrEoHVZLXkSEkvEVQjAhLSSm6r5bh4noysT8tbV/s/eJxLr y33JjeiHBY/guM5RIF8W3NmT6uvRf5C8J3soKJx4DT+xkfhQt4MuraZGVZnSx29c222qgen6Ci2a NZRhZNkuRAoe8fQ0XNUQnxssKQtoxCBqqNd882TNjd0+nBSIN/ceOhLyw/aNwnUT33Hw3MwJS5Vo ck9pU8o9wi9yZAnetOus+RZJLth7SN+cW/SEcQujQhnyjMJ/prnUVfy/1ElKCmp3S/VoGwv3A/jj j1a+WBGusmlAHtZ6DPdxc5Q34UzWBvnrUZxVpcdcy68jNPB8mYwgAw3EejtHCiQB5j0qt5/SYf1g JMbdYAZJ/34ubKpr1uG/YQIRrIZcibK/7dMnggk+if6zOBET7EDjGH5/hYUjCRziDoPXDs0Alh3k +Pk2+aKE5J8RpRaYJ621pernYR0c73OHZ+k1fky1n9tNto7ZDFtdp39c+xkhu7R/aucQonvNKWBS PnWjWtPSeHkmEhdOFJk1F7PUquZXcBpYiXcwZv/i5t3UIuEeQ3mQGn7j6oqA5VcpAo4H1bkcAMzL mT+86sew8HGetIWJJZrIq5OQSyYNFcOIch5tsh/nBw6ch2MtY6tzTntcQ0Yfr2xmgq1wrEvMZb8n t0uiAnrnbCTYBI0Oy9FBwJEPd9URl14WklE/+zR2pW38NrJ3DgFRkg18gIEE5K6JVTgKmAx24MdJ 6MdVrZ7UeWQnAsmAESK92BKRvSZlSepcVouqbZHrgDZX1H/MjjaWjC9ocSRxLISAQ/JlQvNfsFi4 bMBMVO7B33iECe+AMnySvpS3jtTuwCe2VlSxDYr8ft6q2xznX53atvktDm0DRKN6j+ZbTczklUJ+ 0VThOIJCZRCPW3eoxK8ust/za7yrD9bZi1EKBj3tlRsFZxRNCRLgUxL7LlJlySau+9MgZ4iQNIIy FBdxUObl1xdsN5gL8qkOUyYU6bWiGW687Wf61NxsvI7j0ibZ8h6k23IGdE3uxI05NKq5u/zBRC3G zrNrMpp0WUQqUZoMJeR4LBjSOFF85ZD5yQ1EVhF+Q60eZX8ruuHAuQYBuWbVOhN+YyNxZFwlPNpb PbJGbx6lebKfxvASVslwP5LDMBCrM9GcMPGRlLc7l7SKZNqqF+E7/EC+TzKE82HnWOuApsVnB1K1 lTolNCrTdNaWPTP+GxtVsulfdL1PDMgO4uRCn4rbI29YIe8FEpBFUZhn+WA7unLSojgHA8v6J8Ld 0t5YpvUj0ermZGLrvsYPZlh7hyricHGl0P1VbESsRMlaiLU5Yfn4PZPJyzUnTj0//Js2x7BxqM2k gY/cTtWVsTtEtbVuX7pPmzqr8BqlZmt60FFZ7LUSX4DJh/cNCq/sUiUHmFkjnoh3BdN3dEWherq+ HMqEB5Mr9m3Ig5i4Y9TkfJIhA3D9BmzHAE/dPzgquDS9Zzd7POVBwsoZhAEBwJIG8U7jF17glJC1 QtvVM/D2T+zCmM5yCdnyqkoKrmh0AmqqnBUGhwVWEpEz4iorhkB3IIh7VI4QtlPvHivoTJ7KvRdV XqZf6cajL/GzDbDxSVQThho1qDFZfSdhWHhsz+GC5rOeeytENAdag7TLNAkXb4SiWdJTYEFiJcqn MSt3E/8kDE413rn4ZgCmcX5f55HHkibi5aebXVIioEC1TVv8VKhXxQ8qCPA44mh1kM3iLACcPUGU pHW0PaKvDnr9+r4YNvdnK88vMbeeqkkq/HqzUjR0qN5pFlbok3htB3FiPczUT9olE8z/B3qT0ffR 9/5iyyP7l9qFt6O/QtUUHT/sjJWnlx3aP7244RNfBIfdWQn4yM2ZYqWKFmQzi0KNugd2HUurHV1V yv+UKwjiVYc1Jgm6lyI3YwspOOfr++LCohVafirCioZeMvh4FOr2fzMvKM3vAU3bsJZ3C01S9t2p vzmo4EsfyokuQOTvzfWuPLBTnWgPVg995H7WiBp5QZJo9i1qta20Kd50+AcT0SyrMBsrcjbID25V yjt3UaV4w12IxeH7o6hkEdxTEusR5n1Yh5hRxCzSbWzXBNqJ4YWq721Pxh64Zaei53CXZyrd0Hox L7CdTjcZwvIcFG31ZVP5qsDRIr3+7iTA7li5Gl28lyg/8rBd5+fInEdiCWPvuGgCUHvyvvo4TDWI IozQTeUOS2d+85jcqYX/Y68IfMFp/H3RGzeaxNVMUlgYI1qYS4rLWknQ5hugvnyKCmXky+iYGZXu bPHtBfb94fmqyEt6/3HaHN3OxWTRdLY89IRZdSXm4AHY0m92m9dAXsnffjAVCWlPPTw9go4bzk24 N983sNGEhGZ0IANB/TELSNYUzQhhR2s61Cqc0kMkde805JSG44+hNa25e+VSEZCWLHBoOI0el7mD tFPnUvhg5hQbJYozwgtqBuOo1kcEB6YKppXElMKONOkgFoPRZm2uRD/y+qu2rGSoTnnVlY/pG18K 85uXUe5T4wrBc0RpmEdlFBIVdDSNXEbh8vpTT3NBrpVqjSqTEqdecn3FmxrnHtyFbSvofWdGDZW8 Be/mNnioXUFTIbI/voI4XWxNnqssGxR0zxs6l5W/Zd2tD7fWnrIh/kDDCTG6miHf9WD7ewPHSt+D CTCsXPGqQFm7aGB6gtMqitv2bIDNbxfWFRXam5VTmkfRWRo6QCX+K7Mep+DUVg+JuJCmL9QyR9Nt sN6sM3UOTlsPYJGdUp78KX+KfVgByoCgrrlg7XfaSji6y05uKzAwi8TUUYYI8cmqm8a4gPKXI3O1 yEZz81we0twORlhB4wqE4tam232cQ9WPOAYQOCkUmH/a+4N4cFiOqq+TCVH5T7jErgEGeRDEAac8 Wx328pbWAe/BaGqK0Ak4Gg8oo2hINVs8dnkD+11lXMj+5TuQxMN3nfs/uxnkEB+UtWRn1kUrGs1C 1MiZTwaFpiJxIq/n5FIHz+/GE4fN0VLQL++m6aXMRw1PDJ4h15yw7Q2ge+amAyx27XoahG+Kwcu5 THf17C8YRUO5EJDigZm71Bft94FEv/NXw+w1RoNlXazSUUYNZ+XcCMhMU56A9d4TC7HzF8LNVlmz WxmqthvQSWUJWP9J6s/qOkhZPce6s61jhpgf8tBO5AywAjRLQ/J208eY7WsIanOfvGt7ub7yhKOa xOSmKJyvjZd/HTFu1u11o8AG/hO6IV5Q9pOpYt3UfYtxgcFln6nfnE41KnG7pPfZC3dmO8+ehCZV 15+xdT/6YpK+8AWk2GgBoL4zDFL3erCnM42xO2DokjIysC0KFib3Rwf0rHfTg0Vd8cKAFCJOVG1s D47Ya+D/Agxe1Eym2ktx1T5CHl6t4q8/UiOYmd2V5KLALONMi4Z9cS8K+aYav2Hcos5qRDaH7nFU HjVJUVEI4N88291AMlmZ5bIV3QZs4WjQ0wsRbitQddaH28D8zhMRFJkoILnmR9GbQaE25DAJIhT1 R8bfyGadIZKXOXHKBD1bHG3mAGpC7Q/hRw5UxX+FLluC33y3eaZmAb5uLx61i6YpwMSRkYWMVVno a3WT9J/bdR/vXpfeGB8uc3r4xhFelskqPj4C6VmwoLswha63cGBok9zV+e+V+qWnclXymsDtqd3H Pe0tTOhxBZDd6KCWMcKlnr7n9aN1KnRhYLTes//oQDKc6ouVBq1CRDf40Yx2N2HoLKWqsg0w4jt8 hVkB2p3KmJDevt4FUzK9j+GAR31xk2t8a4MstVoJMHrt4pHl0dkQ9V1nfizzVqZuZymBErSpdKmc CgzzwRyMvt4wzyUJbERS45mJYfRLQyr+ExVktZPqhtjMLT1EnJH01ngaGpibJSmKK1AFm4RnOLRk 1LXMpyUAP7hGRq1C9vkO6yhmShLuy9O6FF9wcj2xfjkUBm2fANHPr9N3TFbGFPg+t+gl1I5ih+Nu vLUnZ3NZnSUmMEQlqIwnhO1GmZ1LuZCCzfgdgl/kgEw71TPwC3ZB3DstcZGAjTQcf64gMgGozM0L g4liU9Mm2StoR5NTghOM4d2QDjAxDfA58c9jNF2ZIQyiZJjIq3vgrTDxEf9/Cz+Qu65PComqKJev nbfaIZut8uhpWVSTBxSz0O7o+Cz1sZfyWRSZJdh6YN9je3+BlH0+wxavo1J5jYaInprTRNtAUE+l 3yTaD7G3e2D2HK3TyWHJAbhHTsC8I01E7vPWdwIapSSOtiKHHSnmEYZmVVpcc+ThZYHy16a6O43L RFMjbCZ0FD9XqUCUV+UV9IX+PxZvwROLOIpsY5nO2uuOeofOCANR8scx7n5yH/Yn530kPOEzpmvB RdBdDr/vepauEOlLY6EPeA2R/oiOnwvr6mgy7ASbus01ergC2FGsEJrf55RGwrNRntEdg1ZIPXRs fz+EqcSLs44Qi/tfODeAfRjkySaLVu/uTWp98SuhyNNg8BGQ5HBmkq1d8y2DuOawlxmkirFvMZir eNNYYJ+YuCQ95Nr0eYFIHs19LKgGxGZhB5Natd6KHkRdb0hbmQy2/EuqpF+j/KB3F8Ecb/fV7ujR Eb8PBm6Lb8WcJKMW2gJbhzERiXvjSn1IvTjPIxEX2XxWQlm/0MbRkcJ7rrjv0EOocyVXlqW9o5vT 9Hb0X7FAWgNxPyzY3iTaFunR3rOuQofPr3pIwqV7U3ux+SHpvoRkUqF5PGU0tifaiBSOgy2OBq4r DfJiP+T+9aeTpj+kc5mQ7iubXL7N1WJq0olHlqrMrbqmfcDoiS1bvys6AwvAid+RpffQPV3ld7/V iXmQc1b2xo88GJYxrJTMZ0zXigrFExJGO+BW3HdlevWM3o5ZoSHKSYamtfbm/CYB+UM7jlFYLH5d 70kcavGsRQsctnSR2PgFRfl4rCgVhTg3LcC9vAdPSxHh2FjX4mxZa5RsIprex0zPYA9HdiVTPkH1 QBx9N14UxQk17zWPDHNQEXav2HBsdET5Sl2tg2NMW9AtmE4/smBzbrCifyzWdAMfsbUQNsDxUkxA NnO4RPK72jB3H58sN18bCqErIT4t2QJD1XQkncQ7f8QgIa6idlY/zurZhiNFu7PZlKhj/qH3aQkN 1YcVY8UvhISzSpwiJyp0XjFzmxyTRYXVhqAu+C6HEQX9sOQlTOswOxi4YmIPhfCSEqeMJM039Uzp 0Uj2xEM4a1sRdqmgb5jDGqclDPRv3WRr3X5m1K3QhlVzGzSMT5SF4DtC4XUbP+BM8DU9q920pyJp rCoaTR0ozpwNRa8Y2mANutoDBoAGbGLcow+QD+ctLEKSQlsSYj7dgk+1kCCu85fMY+dAhFi599Zu P+qFY22M8dW5pJBcGOvj7o1XZ4+nZxrQ2TjqkW1gCOX94mYPuTABLirm8qjw6by0yJu5REyf34FT rz32ml9NPOGYuuhHuKgkGFqDC9CA0A0ZVJ3XVJYOTmkUvLgRpLnhuwjV4muQk1gttjOI87rjb6kF eJyXySgsjKPETMOUaE4rQuCQpZEHNtiqyDwc+fQMcuodsAZB3cJF1wQjkpvIONjNThib0iUn4KHl ZoPSzg7HzBpLcdmwdnbQs8/aVMc/H2CeMCYx5SMB6g0QwE56gP8kqh1LHiJGqQKztkE2rW2JKh3C EqpgfsVgLC00TbLSlN/6mCdvtccxsnxSh40vk5H8yd45qF3zH2PY+f1Ivq38VWyMXZ12kngFB8mI pOcqZ8vShT+8+xldAckXXd1oCXw2+K4MsCmRiAVK7QzNlkDOM/oHSixYat++52dB9sUT8JVYTXlR aw9LfdOUU6GW+L9FTj2yafdhJ7SY9aJyvmEdo5gCI2jXHA6a/EX/NZDtnKJqe00s8Wp7CCaLADv/ 5gkLbtc6eruQPsjlFNIS9XQ43zo5xCApCEs9JKFs3Dt22GACjiEg22IPXnMq5KNcnq29HyBbnatU WjF6mtpLg7LbxSvygF1HSqGzIW2Y6qB8ohHpV6Ny7xEWi2kNomHgt5foNDUue77RfAfb1BbxAKw9 AX5jNyXEpqGjEH32iIyq1La89GBNqdjMxkUq6kouUY3jpr0XTqpU35yBiLVcJR2wJPnmhpG+QSHI oplFhq7+BEguOgq7cpGnnbppE9dtJUk+XmI47YSaonrOvrAUTdHtWpgAyvJtOv4HfT1sVJWHEWm/ ecDVdd49YeiIjk7V4MX2bR3H+KZVaI7lEQ8Bw0IhITjcvxCB7hS398MkaA/X/LpL2rE56Y7sFjTY Umg65XHKW0HpoyX0xemZzoe00rBsc+H5fpTVzQmja1PRqwaTHH1TfkBFvbkVk2Bb2gjrNXfNPuuG 3EDBTqlYoKZEO50gAD0RhK4HXaCaLZG32Jaz8TxZ2AxTxi3a6dIlLTyHk8mE0Tcl2Cbc8L5CyZZe jr6XgnkXvUfYydWAREOyrSNKyg4gXsy0AwCtwGex6UzK+UNBxehk7w0+R8m5u3GpV2W10RbCuCWb sus2+PgvnbELpBAFkrLQIywvKkX8LYpdkrVlNFkI+ZcN35t0I+rcC1ZgfGYu0nm6gR5hOu5XQiFc uGFnrGodE53Sx5lL7yzgVhgWgoYmRi5R0y4wPVdtmKBtoffADgS83qFrIbgwM/GEFhnQXsWBaKd6 XrgoqFHhi04ql/K+FiVIkgoj0dV29sMZ2dLqoEUx5pAdl+8IvcA70p9VlbMYCuMSFALX0bsHjiw4 6AlVm2S8dGzAc0ojYVNpzv5HKYj9Kz30F2lZGvEPdRXZVpNSWB7lmxRA7zS4aXXM4ABQ7Jr2WtC0 jsOvkOrZUjE5jwBRUBm1BqgaeuMIKTAI1TT7bFzfgV/wbJdGcktTzrec5M4J7VGnk3Cnas/WFK+A HyQMIdwqVdBKrYNBBSkV2oSBWlvI64VE95sF9N76CPhGlvXXftd0qxz9l+ORRIMI/LDUk/hiYy7o JblUTU2aOr4r/uLgVBhgro4cgJszvFTSmU378+NcRmOVkyYIkSa44Nz/n1+ORlH/vGFMVg+5y0xe un7wbn7k7YwYhmbzUjlL7nQm+KNDxx6OeBc64+JjZ1cPPqBalkeKksyQHQZwqljwRJBrgnlzDXHA owmnwwTbjUtQIhfnKJ7W1DkU/LOh/dJQalZRrV/ebyHYGG6I2XPQsN4uAjltxlBRHGi6TUGrbJmv reiBYWfNTb0epJkp/BQjsRhf61ZyyznDMOTtHGp6A0nYMsrERlqKJmhE4VDlbNf6jhre7ygK9E40 0MNk6HETeIMwQvZkCSMPhXCgCOple83rBJqyZrME4N2BgrY5JFj3wLgCcsRWFbN9VaQP8vrg8so0 JrmNeHIXh0/viuud8d6EYbGk2yOm97Fhcu2eh+JRioFxz6zAVHIQAgOaFQDwKP2YvsczxvpAbMIG 24RNM0+6IYyVk4TNHTtCFHPJK8wQYWg40iUBxL27+BkZlSb+1oqj6o6C2T/hsENNpzblAy+X77R0 /WBNXQ+NcuRxEKjt79TFfOfbS3L2ycJNCJ6IChShi/zRJkJFmQ6wleeWX8Exy31yoq5JyvgVJvf1 f4VZrd6He9EPynYcynvIQ4n2OP38UY0Y1Dt3GgybcrPmPSsv+GVGzLW4o+6Nuof6+mWdSgUbvJCJ 2c1S3y79wgZ9b7JI8KUyL4htS8x1pge+6bI9SCqv9ASFDEZpW0qh8hVRrtFfDeePmcIpxEHrifrF FxNRdGWOnbvVUOTl3UP8ouyzwqu31/IC8R8y7g6+0phNUGO5rR0HfNF5xbCZkrcGvyoYsocphOM9 Jtz1ouVCt7YLygYIfZMKWHBwMp1p6oQtKiiPDKlcqgaCKOnyP6noYsJ3XMYxPnhJTKBVJRv9PDmQ rL8fxfObOu6ACLRCo15IJnfkjJ2w8+wfJqzwAxxN0u75ZVwwGROptwEx51l12JAy4Qoo60Y62MDv 8PF37i9ZRA1xoLVkRZFMUjcaa1sBVaXGYqbxh9MU5eQApX7BnbfEmBiXKpBWb/ruoSvJDJLFL2T1 grOZHl1COF+5l8B4GD1RbsUm4y/AhEqtdfjPAVCnZv9TNyoSCiIqM1HvloBAiRHnjX+aeZGmrSRg 5yQrG+uyp+RjlxtBoDqzZxAE7KGf/gOtPvdkI0/fH2RUqz8AUIe03D/k0C0kI6tpOlEzzsxb9Ak8 Rew5i8mIfDHiylYFGAwD3ImSOBRu2iA1Q3W/uptIh18u69ycDukrGr0OuX4J+ME94KeILXg7DZh6 13z5VEsUUUFUpfOktNSc/EDrmwo3E1IECEbL3PaRkyJgOYtg+fYxWYfhY3//SlDShApSJ62RR5mG 1b4qOgDZQ1ITUOSjeNC6Y4YMTT8Z2xEigI617is4cI1Mfz/+1M3HfvRvAMzPB1LS7nDl/qiPTe0L m9ZEM8QM4f2J4T1xOnqPejnF3IgQXzG1h4pZPYEGb7La4ytIY1e+C5tP9MJg28fDi0G5kiEw0wQX 0Qlav+lqgHFoGMBo2ueJ2FCg2YiL9kkhqkTRQjn+7aRlA5Vl4Zl5XrV2MfjK2lTXOIrsxzeYH8YH dHfSEYypfsImhP2Ro44oDyvxPCa+VwDoUnMnJVChmY4BEay/3VQ9s/ygyehHlDi03RihWxcxpVME BpW35fROsGF+x+htxRfoWSplWgbyY1Ivw4R9uGPJQApddoU5RFtSf3VADQut+TKgAWW+uRQdF7Xw RDDZA903seJ8jYkpEqK1CmlS9SgKwmw3DY9917SW9NJ3Zshct70yoWydNplH16I1+44fk4iKFc5r MegozqpbTRNg1SxkJZ09MrrlfUmwBG+wFzEkj3hM3I71WMt7hmoOK6hxAMqIZlyGxImoDDXM6fU7 mNJf11TssVKvUpdMjhrXVOEp6PmnPH/WPp24HttCYb86L5EKeLw/lUg0vCeDq5VqfXHzg2PUTbgJ lApzkaT4DZrNv+3lgI/tSSx08y687E7i6UTC/UhhB5M0QZBG/tK5Q3D3Hnv905AiJbbr220OqWko 6+4DLd5yI2sENqwZsxvbkXHnbkqN3Gv1vCgHBg1wuO1WXjOZlyUdkfMQyHq4o6MqDk9P3jxDmb83 Z//vH7scHwBWAYHEqwFBqJqpLbUl2t1l2WR1Wqz/Z85x5g1MDtm6FKTW/QgUL0dL4iO5kdTxXzfi vtOSF9fae+//UQOztvi6g0oBrxdi5GaDov4X04bG2wHbXp4/iD2czZlCacI5+wht5QgBeQeE5j1C BJ/5rYtuaucriRz2SaWuUXnfgFAoGZQoOC3hNQZdkRJUvnNo2QIFmEAOOVCVes47CNC988EvyAXd y4Aovq8svFbgMKlcMUFiYwYitsUOtxhSjNf57egt8wBh9YY8HUBKxE3B7Jo3KpjjYVaSZqmJUZ4N bMyM41VKuuCOru69xSOvpsV84rYL0Bu1HJuH+nf65YBPS7ur85/DRxvJHmzZDxRooyQ7/lYqf4bi spCB5o7jZMJmQSyrs/GtSrNI9RLk05+d/RTHIRR5I/CQaPzdjTEBLjvGpc7ON3cmJSIr5gw2TqeT RIMvFWj2IiPb46WOJ2ssmZjzSyes1r2u7k4g7FsQR0feITx8ptW1PPp//uLboscICW4vOEbAAIEd iY8bMUwSWoYp3db9moyqHDqL6WK3ixPCSF0ZRw393NOrWJvFVqENb8vYuNRVuLWwKQW/00nbR8cN FpcTTKwlgIlTwsPQN0j2rHrX6rqGnCbdJzonWn1rt0+JMkJb5F5tZwxRqJqc4kqkc3p7H59Ftw3A DsHtKxSC3/kMU9/4Ul2+sNTvpPY/jAy9oW30C7nPGl7ROTWgXY6PV8Ib7kNKPir68J9V9nwVCp1G A1ImlZuEN1UYFmZpgtmqY+wdpvPF/TL5++QgxEKjO/+t+7a0pkLmyiHadJCbv43CfD40AccaGVv2 eMD5c2ZT9kkGmzMuF2WIyfldlpApz49oDPVqKlbL3JOYp3rslEBYCE8qDHKWQthJSyvflCFid31o 6biZsXqtK6jWe8HaTTCzop31ST1EYZK4NzYYlxMYYCe2Iv8aTNVs74hBtFY3sIcKpQ13SFhpyix/ WFGZjSvobbLVyF9AC2WOa7gio9NAiE8knc/eKVRnzRXen7+WnNgb++fII/7RlixEdoK3+YGDnyce RA+Hq4Bv9KgA2z5QJ5WS9IavCQTiOGaMiHIUPI92TJ2Pdv+0+jCP8BlLL+Ej1JfoaoskkF79lLyW Yyje8YoHRvtR742oaEmokc9gIF6ZXA56hViKVUwKmAq9S+2ptRHoWuiL3TCLrH5HRiew1mzI/VGj aNz1DU8zlSiid2LrYazKvf2buYd+8HTFsqJBwuXVm5KUu+z8NKVbsj7KlRIOSXKRLwkr3QagpdSY m9nAQqyTdNQtdfNELTN86oPk2M2cZ6sViuZLUzgdi2LbcTBS7LBO7biBBl4FZJ/MtJwDyUbynmrs RngeReP0M0gRhKejxW2fT3e3Ugf0XoaJKGPNv4tk60PPNwynhdG6bmYR1LoyOCQozOO3jYUzU5d2 PZQyMUfjvfJuPgKfpXOXHazhucRpmLjdCmAB02FhWKB7oLSKqTBSbOoSKc5z1vQoYfKsfnA+FGCA DmqEBuh8S27uPf3CM2AfeucYrn4iIBcnYEY/3UrH0s8/slk9j9vvagUp4haX1jGtAYaMJZFSo2GJ Xosj5b+FE4vfHQH1BnFyG2ewBz9SwHU8HAIJxOyCYaX/pFS/J5VKxTvD3T1eM9QTQQT8nEDrBRcj 0LykLgUXnW1b+Ce2+7+i3YP8XbJId/OPQjdOg4//76nt9Qd4X96JWpqU7brgt5oVETGsOjuMKZA9 rx9pkw684uG+kaiB+uotRgxE8od2rB2qA1z+J99f47vGrp76HJmygui+zZgn20Ry7sD8GkumBO2I ZQ7jHkMEJk2KCwhKl1wIP2Xl5/oFN4caEGObk/VxkhgJ5LUateM9Q0xFfFSMOGO+gb6OIlnnoTf1 271lCK9TfCE7erx0p++TV6X7H2C0a+rYEDTRm2Q/mcTJkMFMLoCnk2zHpCGAtPCGSIXHHhbg8glN HiIrA0C14rQyxSZbnDw6SWwNhrYNn+08aiTh+seZrsoqoqTvCle6j43cOF5ew3fhpkUqxPjRAFE6 ans/O56ExqJQkdSl/WX3noYR4iCFX0S+QvSvpq2EvoV2IpJ5xrc/IoBvjgJ4ksF1u3XWPQeL232y l0kC4ygtWdGInU5ua/dVE51IkaX0d55ERKnq5xUXJil1dr6aYHKkTeRNimd+XwO/Ae/Wf+cuNTo8 VbO5DL3LxPhpjmShJ9yI9/JRTwF9loOIRx2hlIenewuNBH/GIEZ7xQHf0Ke+s3O4BW0CB7LuTQEd q+7c92OvmHsV3YwtBMcnXex2QdUolHUDRGMRVFBJOZLp4xXejTxpFkBMFSjxPWMDNWFNenhDyLeZ n2u7skK/8z+XlLY+bl8SohX13PFIgEIDZTHSK1+d0DiToU1pCBNkvyOJqW6yH0/UZaZHzV5wKy+0 HngulOzBMZj7r4ZcKM8crwFZwN8Prz46Ra4iLYO/0zK1NntuW+bsaw8bsPOtyEsLssQoDyk6umR3 lt2XbBdchfGmRNRF+eaJmhTqM6gTYsitVDWuVE/6CbJB2iO/tEsO9xca2qmGNyJKy26gH0pwVY5C UZ75ykeZUkelnf75AhNI0I4JqOj+DZgxf5hqHSTWCUyjzauTfL/672H1gjXTHybIuwO9hOAYuWRs +1VPH7UcjpcPEuLKdu4owrMem9yFVWqzbFRZKVwXsOzZorr/YF4TmLbNwltb+tGjHyrFAxk5q5LC C6kyOZQ5noVMVDrVewEkXgQlYUi3/BY8xa9XwYIKqkr90lpqbVYYslkQQm5EphaRDxqrksMnaXQh xFQR08Sc4uOoenHavwA/YN3IK2gdJairbCSCXYJsGWLSIaazKibsfHQ1ZmEZD6XeFkxc0bZwasGA KWvzeWEmb3q+xEXlLd2pRRClb8yeLYh/GEoeqYPBlZJWEtb7qTmtG/iJGGBxaOp7wpVQlSAzHIeu 6Q7oJM5Ik5fQHnZ78DsqjB9YMcaNSaqJxNFH1WeIHeaQTcJeXEEdm3UUsedoSi5eRE6SPL86MBj6 ZSgSFz9p46qVTlMYYcFn6CAfAfTYNfSknzgV4Ny13s9v1nWpCVlFqsQM61SWZ499aRkY/wIOlwcw BV6ZwDCPb6ZQpeuJN/Jq8Lo54NkbHGd0yHmzkBXu8XCwmc+yC1tY3lRC8GcsQ+V6vPK0ZU92xS0W yrP+SYCHlDSjINrFxsNUPQ1trvT2wMde6VMyzDo+o0pAag2TRdOrtQ8QjQluFNPJj7n5cGCNLS9K kxgxAi4TjVtbGc1NRAinh78zv3Ha02AshCpSKWp6n5WCSGUZ5Ej3e8a9VYtzHNoaexUNjCkDKMbL +2WbL7D6oLJbG6qBsOAH2FoCtsTLMjNnVdEWo3JpjTwhnclVM3CjgE/Sq4dS1UH87zNq4gYd/x+D P3suBE0ClBnuVDgfi1UE+Vw7lSSEZV2LoFJCEal1c3eFSV9qmhESbJIXF4eoYL2+M2h4rwLB9boA mWVskYnn7k1h7H4IymZPipKzhHV+3t6rbqt/S55uuzNn3BG+NVnIbWakNyBvD/kzbDhiB+HQQlVu gWexKa0rirat1JnXGOv+8Q164KqZW6bq0sdTM8I6AGJQY2+Nf8R2s2YKI0gZkKwS5b0C2KDje7hP MueKHMZjXKTy1qcwsOdthCWGEp9AHVZeLcwYoSHmyUVlgJQ4ogTAv2+2UBzyFAbmrD28JU8CBkZR KgJzNj07d5Qah1H+Um8/7BYF1YOR4st1FvnysgSuVX1Lz9ufEtsZJmmue9RizfwH4y6taXmF5E0v 20rBrUfxijDlq4z021FFjLS3lTjl1cnhLL5dBiPnWc4YygYgTKrPNEDC6uwgQk+BfuWh8mcYc3PY Vs/UKd1BsmDcRLNdCvYDKzvxHsbwKjjBoO/caHKQdOUQ8YAMIrQTCDwRlCyAV1X2/wMijJK+pn1e UKtdjj3rvWgNj71cFkUDZlJkcYrhO7zNMbx/YcfyY7Q0jNJd3RyHK/O1xnPncfF7snKkQkGbv8s8 wl9syLC4DHnPyth0Dn+Gs+cnRMw9LatEeUdK27m75azlWdPgwp4CNseMm974bEcgqAx8TdJsNdzW NSCjdrl6TeqXJljrubZu1/q2zesHXWS6P3p72SFqpjdc7GfWU3R+CDPs61oqJYNweONxfz/u+adP gYNBogS6EtfSXh0tZe50+0yCAD+2gXNzX/6Z1/Z2HJ48DjeKsQFO3YEyBcBROxZg3FsG44JVou3X eHRHrU6NQxTp9BdkTfLTxfwvUIXBJ3rZXSI4f/ObpeDtM01JCnsB3eDeqZAwXNUS8pXUwMVyFwww kHVElfRDYpvTZy6fezRFcGTZIis+ruIqU7SJmuuVIABJAELfy2synqA5JgNHzd71UvHZ5OHXuuSV pIGA6FsHTZ6TidzZcFr2FzG7dRbDFQZ56MIjGwS91Ef0++cJVjRmSCS11PgMABevC6RhFDOMoaYy n1piHCeCWN4k7v4IAuFgUGX7p82zfnHPqv31OeMDhUW1gdrdb4zykxd5I5itMdc8v3k8Q4ysT/NY hRMT51P6hSG8J2XXQWN/iVTJxlObPRM1L8j5DTRJxiWTTQ2pKut5pNJlGm7fCmQPwnn4kwlPCF/6 eYfKK76NlZz4LkcUd+Mi4CT4lqmHFxemNBBdLb7w35G1iSAWdnav/Iobz8xVYyqmU7hrQeo8r5rY RqiWeNjk5Q6wlYyZ+jwALS4wNKO1H9BNe74EJ7MsXdFYXeZkujcCDX2Urq3GiFQAqhRm078fvxgv 5vwX5KZfbw6LuUTD1jtzuN2u6lrB5QeRmqE//MaOWfMlyJqNGATOQQZCUwkpGQE1jUmF+n1lhorr sK3oFnu22bwkvHUxmE6vsYqk1qmhQYvSSWlLujC7A9PezC7PlZpUr7osrLFrDx4gmRfyGZUOs9mn 8EJ3Gvhj5xcwGOSgPd3qbLgDchD887QRJ6eNQ3wKwh+9cGc5Wv57oEFauqbl99chKyxWGIp78Fat MZylJEqfjtxH/rk0rpCeWA1E3JmzOvEJFM6UPp6zhdVFq89KlotDdh8OP/Te5EMLQrdiEOcUW8PP 4eE49oO7WDpNtX+/UaFujV002w5S3F4RpaZf6Q+tEKssmcx+8DACPj/NJguXWuiYgo6BbFUlrz46 rz7ytXYR3RPLnajPtOYYxAVZhL9p77bnejZissWb9FfVH/9Slmw7qggILxj4kKm8DWjtKVjHORsY KQIUHA2OlGCugBQ1bSNabL2vBpatH26P/sJ5yUo3Pg5GprTQla8TcWwUeVBVnFmeEi5kQSxVfaCV edln5+PkVVyOwEExj0PjPA6W8w3cAm3aYCebxuqDx+owAvFPeFoC6NfwJDQ2ackOu7ruRRkLH/2S ZAYlE1RRDUlgLvyCVcbrBj/OwwtpZWw7IZN6eu+Dj/QX2+XkCgwA3pviKo8GKuiy731idzoU2+UM 1OCp+OJVQT4ZgatXbCYZB1LFG+xgVi2U6IykKcTtRvG4trm1xLvOccs0n8MMm9MSVSN3KfbjpcNJ SLkYgmholI0kR9ViP1KKKBXXAWuIIjYusMCvyYZciw+3KuJeT2dsNiIOIFkuYU0TlVEIaGt7URPK 50BmL5IBGelUY/UjZlYkest5oJfhJpxPuKSda6fjHyW5poVVcXkj40ABRJ/bPzdDmjfHvSCIR9FI RsWWpxw72qpULYafdA9ol7UXvXN78jYKYH0FIaORlsmfWsZmYAcWosJcZTBA12flCzGB2t/KB7zI gH/rnfShKoYA0styKWLTSvHZAqHSdHDLXyF653QYAWf4tQxCm/oazr8lIfXFPyT7SM2vzxe0VlOz QMyT8ntBBwF/l2nlEJD1Lu+LK2L6tLyRJGKDwQ6ofwxP53f5rRgEi73uNYwCyvK+1WEhalxfGPAc 2tCEB/wQkho69xoeEqv1pMEHFulgT1CQ8SO9ay31GFhh/Mh4tAO/dtMP3BVKRldyPlpqzYg9BglE 1+/IE8FlnuAADAPomSLlgA3dIcT84W7JIV0pt4l8ONp4czN5/oQClDTCuqD5iSqyU+sYZpt/cMXp XHeoSY756pkrW5BgGxjsfAyx2rEgrmbxKvlJYnw+EvzeRFhPGqTF/daGGdIPA/YmJRnA64vzFKIA x9iyL8JYJePY1lbuD0xV3IKq6SK4X+kGgaMgl5HcHGJj7wM165F41lzTtS91b0KXRk4sjL7EisVX al6HdtIlDnxrbaRFa+UsiJXfhUpIJdhHibPA6F/uSkEv7r+RHlBGS8r1nZW6GWNHd3Osgp7fCDli jqIi0HyICSY/B2+kulRYyzTgyKX/GPKpVEOHwI/8rQElufSQ6oSUXAmtZKll0RsPvG+U0b1y82aM rxvnu5jXFhOUED16YxYF7juElMBGiNPrp6Sj5qmd95yTR3QEwCbpACGrrWqktyBdjnG9wlE/XKWM B0dxeb2UxXuOTa/7y50pn0iUtyf3KFuC0yDCyWEvyyVCaU82dLEMg+gmq9jWIMt4SS3+QWupXH2R QAve1gGGDbzrEH4X8LcGNSLvzqkqgY6dO+VyB/DVsUV9AhGHCc3hM7AJ8B+6DWbgzz0++ScNfDZ+ iq7iXw4m855gjWCZGqVbSZSzRbiSogpbp/3qwJL630IWvRMh0geCjwHLqJXm02EGIED21hLtNC4c 0+eUYvn12R2lOo/xvc/Z5XpJNlGbqRvLCMZ948zSj0o0eimBpPyDdjM/jE4vC+v61cgHE7ZuTOcv 9OeHEOp7RKE6uecU79FGJcG0XePe+V0vGvrWWdaSRDj/fh+589ecGesx1l1P2jjCrdFqLayTm72i tFe/oM/5UYtweIPrbbF8zjiA2epJ0u/L3EOKsaVkGqX4rKSzkL/DPBp4/wkQc7mo+DXvsBIiBPO3 IUpVlNDOxDpgbp95kDkshHPlKXxF0hdIVPrQt3Jm7dLAZk4tuUksXDeBvCMQJw7BeU5TXuPjSA4X tWfcqb46dixzIoME87xQVyyt0bXIAHF1jMa/pYGopJN29kcESj1TXMKZrVgKcF59829IlYiWlams W6kpjPCC0zll451L1+FFJixHdDiDNu2zzgP5EWBObrpcCqBmZJXhHaF+dWDza9lPx49K1Smd5Oyu /W190SlpTPFXWW8T5ct1nB9uiteEymaJZcsSPacdHuAzKecAfjFOmY7b+oqUaIyRz/5ppGp8MCeS s88Oc1k598NTGHVE5Dit+SYNrsKTtEEFIPc31kOjQJbIvsTs/9flQ2ZGutsu7LflhFlo4cPWYJGg dcGjsehtvJH0ZFCU4dxvC9jeVC9Z7DuEbfWw9NSeMyxd/1JrQ02v0Ph/hglk3ziKKxPdFs1slTeY MsGebqIBgI2njV/3l1W9Cidsvb6jxfcEft66jYLD/3DNRVYo0UrZ8iTMrPUm6xpwpY3Mc2grGVhv 2MN8KS2PBGLuz/A4MMX05xWQmO32p4JiXuph2XGwmoKzK+4Gp8PUtnFI354WFeCS3Daf8VjSbvV8 YQF/bVSOLRNK3w0ARaKO2vPXiA1D5RCto4bCRTwZcNr5/1KBMqFV6RHqqJMR9vLdHAhe1n48jfp1 8qkYzgAN5bfS0xl7IxG93d39wv+2/pAUdOsecr9No9lpmiQyPyGN7M2pA6Clhvuib+BS3cHaQyjy zqv6tSQoCkfh/YATIqTseeYGEeTDxpHE3WdijbTNRSsCqyfhbq63obynuRWHQ3EFEtV90Kx0sZJH ll6c9XgtHzlnWU7pQhkUaT8cfPv808ivmZPQp2LCou/RasY46Op/NKF/MTXB8HKXDLBt5UeAeoQc tCxdwDfRLhPe5XMvvLqdwBfSAyx38kBl5ZwUuC9Izdp0T/hedBMywWdMRzCAPGajV0K2NaSE3FgB MdCiKuzrfHYeyloA1Z93vTgIPJ0PRhkzFm1vhA5QgoOc9a7KGN6spKltxLLbSMC8lHK5z9QPV2Yc fXISVtl9ZBeOK49LKdPYcAqtP6jQ3BEh9aHixCDxN9R92WIvFmzBd0nHnFem4R6QH3ylkFZKSvU8 Zmf6LqN/bp6PRJAOXx73S3rgDuhB46BAneL/0BGI8y/Q9nPuqIuhI6dcZqIE+re0+I5qpnuOJY2Z r54GorRYgIwgj58l6RFEc5KbOa0RCnBWUc/gUZ1UT1CEhKuSD+31AS4Gi+utZrdFJXZSTJTASgu3 5Zydk/FXGkRFuSvP3JBTyj521Q+3SMagzhcAzUSyDI3m4i1MxoJQ6XzNm5DtAlZzv3okBETvzv1V 7hOVWb5hB+1FjDjv8yzPsAcNm1c8+WLHfOlF+ndafG/rdlnNyZvZ54Mh8H8xM/VBAJm06vo6+NdQ HAZcabc8/8WNX9F4VCO2XH0Ozq4If8sZW9o/PmzBHCQDoFd95N8cG/YfwUkC2X8xhL8nySccqQX7 aNjpbOa7iWtX/Zntc0AU/6xWCkjG61Xdgv/Llw/9if8W+Q/sAIecD4AhQsSADBCJUty9m9dhZeZn RFXQ4loL9chnmV4ScSgNEC9kMbUO6JRH7BqPB+QuYa4dQVZ7BWJEg087y3FaiHjPMbRCXW+KITfW b42cbJIfEgc6PmaRbaYsXkX18nZzrgSHhh0xpnNPpor7uYm+SUNXWtLRnFT3ATY0Zj1W2/mMod5A nj6VYMXdMtB8KVyc3Zczf0JgWx4HFpTmPbSJrPkuSV7cH2/CaTeO1i7qvik/44zOvlncL+cMz0KH RJpeLts8HvEqHkuYYHVGJfCPr/g5nVXd2hLBhwRV6o/OwnHnngbESuOe8DlHeofx0+15gQw3l8tB 7Bw2Dk0R95LJyip6MlErZltQ0qxJ2QosIJJYcu/gJm3DftqYWsmxDj3L6yNBDkm7UfpXVmZKThRV 5y8FrCyJMutUIDOUR8BAGVJjFsejep1eO459i2rsj9Cfb2p8b7hjHQ74tssZNISRXcSv0JzjHEy/ ZrzYyirI6/g9PRMYYayzEE0PbHp9zPvZA6u2mk0ZKwugZw3FZTykzPppwdYeRNfhapU+uAtsmmdC XfhJo/W76vhSY4nUJvUKNfzdVHBU60RPbIeSf90RpJnuWjcwMOrA9aNEibzZW/Tfx/V3rwCCuPQS t8F8n+IUMwxEX7kS3Q6ZYandPa4JQL/JIy5uDmjUs+e19qQ+Nx+2nFXAsxa8XmTzaDgd6ztmAu+t EKdC3YXKZ9C6xYkgJdkfYdqKmrKTR4i9NP8oJMll/t1CwDIn43RKKP4i+83iuaM/UawF6ea95J16 it/hLFai/zm9G0xbCEqSFmyyCIkcTVuC78P/3im7mHtOevCXohuSqw8mkq5tl4jz6BO2+7CFzHig kj+vzgxZZsl1WIMF3K/ypMWDhB1rjn3uOoaJzvuD+sO0p5Fp+i8pAhjgDGJEVcv4jidUKFsm7iFt Oj09EkuQcv7NkVK4BGrVp9x3140U2Ob0sNknUeposu9xC0Ws0z6M9p4nf+tsnYMw1FBPYyg8Emu+ eBqKIW2g2THyQlouT6zQFmBZHEIHDTo25rns/EP1B8A1QsRVLIi+CK0z+GyV/PQ3zAlbO4vp8iy8 N1jWHzYefJrQI24q7QoYY5GkN9KXwSjCmNT0u6XrEKr3f7vJsh8lw6HKBryEDhh4AqLnKVPFpL4K 3XVs2xJhx0X76qtC2YA2pX0v6VYQr44dc7CiWN+lyp460iKv/KfkhWpAIFAjQiyLY0oZK7MiN7x1 ZJnncIs3Uwd3+YG+Pr2eIrvv0GTcKJDfnWuW0J9ZQtEJy5Z0SJz3BQfgnsnb8k6UOa9WsPRKFGgJ 7hJ5IQGxGi3YmNrUNq2I/UWUjd5CdpSltX4W2VFKwH16dJA2k9a5ZFuGd1NR2QW1xqrZEYzVTgzi XyupacKZCPiJzOULSuoDr+cxRFMegDcIVNO27lNHmX/PeBohWoUTt9vNWFP+2my6lsFAzSy9j0F6 Ag7NEJ/8UxstAl+A0HHPb7uU1Lz5HdzOjDBxh8py436rM39DkndmA7LRarXE2HFXTCyED1frM/Vx INjYEF+tX49WKtlLC8TT9OuyEPL1jfaFMasQX6tbkJ+GeopWAms8EjdRtEx59AKcC5ODe1TnevE0 uPvjsKzBNNPmggkA2ojmEr+i7xjhvPMYtJy2xxQBL7VsEyzxGv8t8P5DG+H+iZe42srvFeIZV6l4 h53EoaaarJCK5t3UQIQENJ4tusiyhRd+4WGKXof9NSxlOclACtJqxlX1A+AFN3K6EwHSelBrrvDM aC+JSQnrLSd3mnmOVGkQe3Wyta4Ps3conuWaF7FvBnQjyd6xCyPywBLoNJNOEz7dh0WX/JveQiFm BoDfzXHSdg7jnepUvWvVCvwRcmmATIhTwh/5A3UN3gQBvkNOIungLwhjnMYiDlQgT6YHecMddDun jz7IGW0E++so9y4pXJze5w+TXJw2fPkyVq4k7KDhRQyeflkKJZqbLUcQfMNr9XOlhEDqPQoFHmdt b5fbCdU4SuOCxGF8KWOkdhGBlqi22BSS7ZdUgqQA6jgqLU8qZAk2YM8SAJIqQrUar0AeYQMCQ6aM Uj7Z3m/VuldtJkQ49ZqrU+jBAHpaRI6ugrqvCJYc0W9GBRXYKyviGoMW69Vk53mT8ogSZvi6jFZD Abe+D6XXHGGkY5JD0MBePuGKJj45Y1PMlTKLCJbocgrExgim3RmvBjZNHE6NyP1mzqbItyBzvz/I 9p6lWyKaVyhZdL8AreWcgarMZjAcRKiS9ow55Krv1itOn5yJaDk5NItLhF4Nt+enfSrN94U5zZZ9 MKkhij4FVwjeDtYP+QJ/8g6aFB+qi+/A2wvekUkQbBRonLPriFn2o63QYy7nUB+HzzPVAm43T7lS Ps/iaRhnCtA4zvF/sLOQ6L4fO0Q0dujNUKitFhugTAlYVkMPJLsDYpHtQ8hoow1J9OblpD0rmUbx oP9wkMfn8NI5HsgVrRyk/hqvLbI+OPpkorKpz5LCokweaIBsASZHr055+cu00VES3OpjXg2vddl9 qGMIXiuK+8bvEAt+KWE0qQrPqdmA3CYQ/7tSoM/9Z1Y/afS+dOOw6YxeIGbAxVNt1Z6CVdgUBdqz BrClWxghQi4dCVxsFleZPled173IroAUp23JuDtmy39pFOQqfDoQjDPQofyNzpE8+TWa44X+gsHB 9e8A3uW9diR0lUFRaS7kKw6i+bp6ybLEUoJv8Z6AQn3MQaXy1SrJcbwtIDWb9HdHCrzfjaq/T051 Sli3QFgIGwoutAnqIu7r9kEgU3jG1S+ClDOPbKapMQ7ig7LYzrPpP3nq5M5am5TYw/GoSQiVkIea gYdfCm+mnGh2ucfEX1ahxiIFzP8kcHpM3u14eFKyk2ee7vELZgxpdE3/sgYCMsocBZBztxW5KiTs EZv2zEew9j+QT2P19FN6+J9vXXdO+53QYGiHWu5CcpasWErFgJfLO3P5IgvVToap12QDNOBMyX6W fY0P1/AA5/eLiR1YE8WQsy4LfZiVqnB8JRsH53CefuJOuKklu+7rhJlbMt9oR11uBmhlfZnGbAWm nKGnDC2N3yxGeKgg7Uch94KNd0tvIgAxkRp5IzC7n2KDwTu6qsmihSVyWHWoklvz+198yZZuTzh0 ELKK9MPEjac/V3FXsn9Y9PQrWECqHeC1geqtzRVsmRRmKWK9llxyAHkuzNRPQIkCq7Fb42Ta88YH Tp6b2KbzaqIAtr+kdL7a5CXNui6AQu1DspoDDlkkvJi+rOhe65S4bcYu0cyRwipU+WsUWHz4fqJ0 aGYDgH79RiZnwL+xHnKentadrkSbFJVZAjoqDv4Hs8RWTaqE3hX+7txVwNeRsyeyU9CLTY1Jeo3z GrwAOdtiVzMXbjUKmwrUEXJPGw2YmNT1vF+YCTlr2V22ECcrWbnMfO1PlTxI/gnpwDXbzR4RZZSU q4gquB/q1awpUhbSf7SBr9C84eY9op+BKlcTUO61KchCakozMfUtPAxb/MzpjrLqSby/C8ORr2Ky Az3M2AlDQwKm7cKMywBFBHs6YewqkZ0ltWKaSfXx8vyaHhuK0DEUx7ShY2J+5SVOTxnUUf96B1V/ Gc4rfIZvuz5sivWo+ileggKgGY3a4W4cIhjaqXupCRF1+a4X8JjuYhoYHdWzWTH2nnoR4af5eZU1 o1sMn2If+MdHX9tpYyXJaqQQpt26JaCsUsyWYYbWuPuDMgw+jgQz5v4aszeFzvFZx99Fru4f/MoK x3oVvCOCgQEQ/3oEPcmNe+rkHchD04IWDF84vaQ19hSx67UPfwbKnpDt5X8oOg+5Y4qCHc/KnFK/ IgQMi4VKPQSUBNvFRKydt99N9R+7eVeXNgCkGfeOHd1CHgdmtE9F4YbN4NvdsWZxfmpc1q2KBCyP B2/tmIozQTWUVndcd3M3r2EnEvreAEmwBUp1zc3Pduq+SpkhOrE2ixfXZZwoAxOsIQ7kWn4gAMLI w82u00p5k1kEYXWCjCjIgS5awPgIcJ+O3JBMl3jQNbnBIkY2tC3rrh2XRSzlPZWfLpBFGy7zTLXF 6bElE/9Ew1qoJLMix8LB4vNx/YEQi5QJb8gwl7nKVGxk+BoOaBUPwbCUTQOqnK7EpHAC/UwdR89y nbJzhdRc/9cr6C5FsfY2MDbkqaqUP3qbfFy8W9tuSS50OvieH42XPIdJKiglLaDf6jZ77CRCZWJG zKs3v7tyHvn4svAgRojvEIHjR/lnIrhjT0y0IFggaSvN2OCDOp7vE4ykZCUgRcWx16qUwOR2dRDm XzRt+mD2WA0Rjmlf4EUVL/z2jrCBG7/Ecr5kQP20SFot0Cw9lQp3l0TrMYUxL6MwiSoelKvlpVWb wKsknZbvbZI/lb3zAJrhOAdkE9fVL7tVxA5jsz+qr2ol4kIGiNzEcNiokn1R6EJrVOIAwz3qbGAb ZODpdWNyNNC23Pe7YFsNJlRlwp4NfhwgXUUXFLyQ6gdi2pJXe7NYOnhjLqKwrF0sM59S90jeawB6 /lTpwWQdP/vynRMJ8xcHuk7/xJ2TWxrXbzU8btJsPSd16pevjKSzPma8Lt5vWCFvyd9h8VwC6Rmo bn/GB1QJoHUzZLSxh/qTn/WsGZaBChXBFNLYAXcRlr0Z/ynD1jFhulzwxuVxQiDC7BqGc4zLnSou ilYTJfneul0mw/SdXOPgNYMRA4i41nWNeRXha13dPy0zZjg/w7sGNw0GszEA1THLkg7LfMeYSohc QXBTmp5PIlTUSdusqx7vCvgVPBfRxCtZU8d1NXu7Eb2Xf6zRdHSm/0+I+DxnrKHO8Ze7uC4di8y+ PUmahrlpP/G0Txp+IJ3lddbMzbtoopsYqLrax2gEjMutIz2cUEFsiygJZDLtKJx+3RvXbGKPKq6o uSLRWCCs7EaAKv2vtJ1O5lEGgWMxpNyu1zQEmIwFP2mBxdWw+L/atduSN5sPxNmed21XoJPyk57m 7wJALftYaQbeK+J84l0Dfw4NVaXNRuAEovKo1QyAhbJ2/X+Ek2HMH1As6YIBUUUOwd38SJTta0WS km81+wc/lTo2nN/aidQXo7G5EIT9orqzAoVLmcuJuswTmbT0FHDNuP2tgqwysrNr2MmFKdY8USlp TdtPWEZ01JWXGnzr289mptKrOchgxOuR7wTTHMrlmWB+SfxhmAbcf9DejAE+XS0nRg30bTT2m6W+ yxybXBhVOxaiAlf2IjjAZo0jKbYU/rzyE3QA7/AyR3ZpycpTMWHZOa0GTl9eM39BDogvg8x/q1Iq zdGVoIzaA2ackmiGwaI49IIS1t1bPkJkFyrTFTP9+Xc4ORaeHsHkHKmDAftJD14+zMz06v7Q7oH6 h20cV1xadf1QgUhKoDugBqEvwjxSGOI134t1q4DQynC2GfLw4Q+kHbkAYLYu63L5xWAyubi9DGVs 6mXmDp16gharWjI+nQCsz3SU4mOegKlb2C01h7v04YRIUu3o1l3HPNwRqs/4gVtEy4DrAB7Ik9Ws HqpsGLhb0mAg5tWgOTxRlGfTr6AW05xg+jTwh9WEwysR1KHQIT/0DicI/3ozFH96IQhK9nLI+4fW Jyo1WgJatEAxKrAnuDtgd4iFYqJd3tLyMYscjXLuqn/+aH0JA2xxuB0T2WMwe86V9g1C+NCJjrgl DHQDzrGn9AOkg5wJZitG9zO5slrB/lxXjNW1FVQH83SYNzfkTWOm3Sm0gwZRbQesdO6gjqkIzq1D BAwvaNqhl1Eq8cuu/pSSQhjcHA5l+aakTTasHRU4T0KABD422HLC2TGHxTDrAUA9TDAvvpWcanPM JatGxF0Q1dNQaM3ahwzwzWFMbzQCpC6dd57Yn+ntmpGgpihdZPZatinXi/bat+AWN7HUAIzbzx4C pGVVP/BwMv6iLtM58lxIUzx8ZReLTCQXNkgN7cexAOvodfI+BWbYCWqY7JBmmlonS+0DCovihHfu DC9OZerd8+U8a9ltmdXRGjh80sl+Y26SWL21OxS9rn651KjVM/u/JP95hyOCm3+SCp8qDrUpTSU0 D8FHQL8PdtXxi9tVaeaQgReUKiBvKb+RDj4Dh4vBq6s9nU6WPr/mbkSXL8mURHQ68LvZRqIVq2kX VBK8mUT1bBDNGMxdOKX6SDIWb4CnywjUKBeooCwBUEgZQibbkK3UUnX2I8n96O4/tUg/LSQ/rVPe uZ4MMC3KtYd1kDNps5omVU4ro8F2X6ibgm7W+LCQQVsKlLXChRLJEjeHI7HfdbL2/CT3oL+vESxs +LCxjN5dZ7SLlJ2lQC9RV7DyYMpIerRUSRFPwOWrymkMyssg+Qx7Lxy95RAbSDGUE3fyVLE6yIsW xn0rAsAd27iPc++N3XKTps7oIUKyYN2tcvTysk5x9RzJWHxLSoPG3vQSc30ryX9xEiBasb4El/WU A3p+upEAkfyx/szd0Jzv/IV7GtXPSlea2dZ55GgtZAqfw8jEjZgxONNipzS7yUgWgBxrA3Gt8AV5 uKw++2t2dmHhn+HTUCYhWnfEZABtKhk1m23U7eH/Jezyd6GWDFsicbRSKIl1+3dkGiPLShUhl+Wp XjqK1kaswqxK+F/J4rJWMvJHg1HHps7f4ToJYuRPXIkwg7aOz+qtoT0bmyVg9DsJwGpf3aYckSx4 cddwQp8lyAG/RatTigAlMoX5In+vdufpwucafiPh5QGqXTMWhmgR2vKWXW0w1RPP0EL1n6zjnvWT gcBsiNMDJ5KGoQBKwsCif/bfMzcNmYaxsPN4Up543/pWTNBDXbCjlVTdfTg/4v6+JvE1goWciALk Ij+AMLeurDQmnRGe6fk15QbHRzmnG1gavhQqSl2S2uvVpFT3kVy7WMvHw00J2dDIk3nOYeYCTWxz GIcvYLqfJvVI7KjbBouJ31NRIdKf2TlL0qwLdygqVz1no7Sz6Ifm5SOjg+QEOY0YA8OQ1Xn1zwfj UbIkN3E+kIwzRpZzq71CIDNfoXcph7niNsvUzXXR09V0A68avddUHOHL85/FnujeMABpo0f+iZm/ FUTCJZAPhzndqfMmwgT6QsQ/cA9rJtPjI7UBxeF90YRqfKdjqgYpTeU3auR6lMxLRDktXDVkA8F9 SgJlAimwvnzEQWpptwfTqc1gCF8JGHseREvqoJCW67P99U0fRD5OYT5Y/MiQH8FZd+59E2TeK16K U8xMkpXC75B6OEBP9DOqRL9xDsRU+bpZGVS/u/OrDCQApCp0kIwkrBGqpdxYdGOGVj/eXQk277aq HBfameEYvMYDhkvy/zv9MpmbXEFpJD0hNnM77JLNV6CPfx/vRDQpnB+shQLTkWVQS6Q9JnhmEXFU tWBqOHiJD1AcsiogEI01K2X2C6LP9YefJUemWsL3tBP6mdQtNT9S0iaKa75qx37jXw50gM5Dm4FL hDGsYMJYcRLaZz/TOJhp61V0RQr0yykbLdDDXx+xDhSgm44RldazY95RGbtVY/5HMX2N3WznWlxb mkNvj3XnnsejzpyNYwgm3JkQexJI+9V8/r9M1t7f0norofq4liqKL0SJ2nK4HMKeHxESP6rmp4Co kzLaAorY2e1daw1C0mp/FKj1Q8qPrZKaktVankP60g3vEek5nkjqfsSISzAg9fT2fF9JedWiAhAo yGWgvCAyvnFKPiZEbhN7CJKPMVXX/vbX8+I7lPKclLWUIA5lofB3urXyJ6UdHjgKMg4MsK3S9IET ZgjfJojvyjM/2mA61PenXmwbiiWIaTkAibgA+700AZXdeLrQa6IpUeMnfJ9fT7ILgB90PpUH6Pny sLXmeDLiBVjV8H3aQfBS4QUSQ/uPR0uXcUjIXt47kKlbSG57Jfzzzv6B7p2fpXgX98mJIKxnlZKu UVkeP0mE60HqJvI4CYUVKa3n7esqwCp5UpuDXyEoB3jgVsYV4hDN7qJxAWSP5UeSlYjXq6nPzs4x m7Dta4B/+tCZl+iRK+QZZ3VvZYz2EiElBtuz30m+ZBwugf0rEiXo7lBVxG88RFuJi7CAI9pwn2Gv +cvfP6z7xh6AV7iF48drjQJ47oYShJBw/sKe1nvQ0egHHhd1t0IHVmefJauKePfe9W21ueT78Mt3 VF+6PNmpCZVlEoiIei0FlgpyAnpr7SKwEltNRAUiIF7yvxJbHqMpQhZnG8gGCPzz4hsCEOvL3I2Q fLQLAptV5StFTtH9xSq1vVqsl0EfIkuaFbqpUKwkKkLCyr4FQLeCERdp5G8CfkXXLh0grsIHye/N D2LskTAm4LzHwdYfhbFwz7PtGF/lL604tuxtjsOjAoSSPTcgb6lIiMqUwKmiLpSRnByXFXNhmtcl 7SagOLbIThOhK0dau5xrEoomAgr1u0YG0HKFcZnIuiq27DgGGsYp6fetJVVBRf+yaKbhz+nUyrC5 tENmAXtgVU4aRXpu4BwHt7DXbhQMuFm+WG4oSIzUcfrT9ZJ5ZuLGTBdDi948ihadz252yrLtsFUP vmV6VAkFzNxdetV/1VYQf+R2789W8awUFAwert5caVuRBaC7iQlm3PxSLoWQUPoAJiouYNSaltZG qM/PgPs7QX5eovs/3iusIJ7moc+5XL8PMTEzz+sgTXwWA4mnu13QJxch+hQarGVrqPjmLVjRdzjP YA4pbyQHWqoH+LkWMdIyPM9xivVFwxqXHZySOtCO3yaPj8YkrGAbvgFb51lPqex9dv4VgU0OCThd IaP6dLs6aWLJYLM3M9IHLZA+ngcTqrOW9Zpzf8UZBDhKNAXISa7eLCrmrDYEW3OcsqNMtEGdJbIT 0MhGSlLXjo3YxAyIdhE54xUR7JEksMvSj8X0CnP8Gwl7IvNmV1YGn1yCfIHK40upph7HzWqhOcir uwWrQcZgPLwM1EF1ojxmUahxUDrr2HhyxTKGWEJf4apNIB1Qa31DuQPMR6Nv6HFGIa9v6guJsEGG 0SJr3ChTFWCIV7OZJi4hhBNRmSlbLG8JfR6r+jV06VWuBf4RRf8Tp/4jSUXdsVDPkVLmna/nVklX FcZ14OUD9Goqc3WaUqvz1ll/k7v2t6Ro272lUyPHmeteryptvyj+16ezHuIiyMqby0BMiS63Md0M kl57bYcwN0tTQc32ggSf5TH1yLCYUz5vqeRypojCuWaTRy6RQCKZjbzNZ+sy9R3eCNGyEXr2MhEI WcrQR5hkXDp8lOJldtKBHN6jojQZ017cP+mQ7ofBCvRca86CjixLslPtmTidKBgSn8Rq3RNMkeqi hnZy0OexBpuEIidFLcflNWQByWST8fMsKyXMf8BgnG3jK+7ZQFOBKYE3mAx1iiPqNsbpucJvnAHb EM0mRe1i3A5OeBDIOuT6QX/QanY7bkINdt2JAZml0n3yXg5VTaC7w2oesQoBLM7Q6d9O3sDTGNQT moS56U6FA3EwmkrcWyY2HG2R+rEYB1whk9lHuzvdunkztMDKzjTzyRSY24VTM6G38OZc0tG79lKS T/nGPQrW06uuYXjQywRDZXgQPHm7qkq6Mq81fzPXg/HAssRW2ICocDJK3mdtHCr/f5pjkBZMo820 mhW6+KvHE4c7ZbDmPf8Qhi1VDkMDdlswQ2hq3+bBIElhRDwFY41ai7Cgg38sPrPMbo8WlQL04E9w XRmctcuVsipA9NoSSFLHR+eTyn533pI9Uovx6R5sGhLRY3Glmfrt8+5YiZDndeY5km45k0F6SnDk 2SmcbiJ2EamLthDZDwslN7BlL/1qAGM1w5KWYJCQsotJ+DwNQh9xXCYt1dUwM4HOuXVpYZZOp8ZQ bLn4lM3Cm2aKlWAAbxa2ygZTA9WgrV/YwxTcqJxKpo2fklTeLdPfbQl44vpKkR34Y6SSogz1OquB TpLQMPg4VhO4F/0NQ9h3Y860xl40g61z3+9EcWHNiZAEx+GEbDu/fQ5hrBlwCHEVHub4ccZguNGQ BWIbCrWpv5zdRpO/7hvzdmiHv80DgwIIqHkJRmc4AkgcpHb634VN62eKb+MQzFEiSFcmeZjbAP5p 8eqtY/FyoClkYF6VcHU0NHGh81+jxUa5AS5yJ3rnsJfSVq2LvyLk17pCVFc/odrfgMrFzxI6uqzY d6BZlklIjIRQbFQi6xwZiMzffXVKURPz4W1WsxBf6091S6eByQmO2U7oiIVuTLZ7olMl79+0WCaL sd1+ZwdcRCRSXP8hiWIuzy6x0lgQa3C5FxS7plm6Gt7ddaTUG06z4SpARl8VegZIpO9k3JIby/Ak Pr5Kyu7E0PgvMqV943qE04zHQ3pGRcj0iASwwMZr77plBvkHCGDZqD0qvFt9ihbD0QSw2hZYTmG2 2tLSFVFRXfsHKnFyqyfcyFvFsaH9UQ1WWz+5Xwkz84LWnbB5aQbUqri+cyvkggMMYwei7sTBFCNy 3dBpmggzXerxwDdzeFGm6FHUzCYjgvFlJlN+Y9UVLgI1QdJl8k1Ok4j1cBaCupZc3aFmWZaxsEY6 TRyWnpTVRvXBduXAt0+4bF5VlxWq0lzW6I7AN6LgcXd9xT6LPHPxgjounTw9BrinC9xhjHEvqTLy LJ9S4HkgqrJDbYcgpXyfac/60ERUpFss5mnrJpZYlNwf6K/2THVeyixSChMog34rUMl7ULIeKmb6 3c4GpKg1n/hjQEvJCyOoya2vkPBtQaBRbRIlfa7eorUO89hhGRDiHGGhglfg97y/VPG13VMCCpUx EYG7TljadMb76cFrlNiMUZQwJbswktUvCEIAhIui4bzMSdDTHUQB+leRXdJdcwBOjxoDAyxuX6Lj Le07jq2Rf/MedY+xThYyMfBq0z6h3xHP7rxDrV6MJRWOZCDoNfTDYlZWhMv3RtvR9dCkjComCTWZ 21cU6pJHpgBvUfPmn11cYHFVnzD7jdUKajQ09T0cY3jhNn0+WTP549N/amiYmldyD5I5Vi0+s1v0 GCxWVpPomf3SJx6SC+TWwHzef+dgb1MGGO/SD5LgdZwDCwQ4thKczSMcbNtbdAVTL1rr5pv98E9U EZYvfC0u6QRhDgQWahl7wT3q5alUV/mEI4oTR4W4nakw/YCTbK0fjotvPx95M91HvKBaKsL9uYnY yw/6UIjogcDRBIgu0WlPvhfS+IiWoeQ/mGYxoQnO+G3rW2jm8ibm7CHFL6FNy85IfAaRTRG27a0p chu4QtrJAhei69/cdnH0zOX3A52qTpa9tlaO7Ny3yt9YoEmcg8j93mspQ1kzpUmA7BSUGbQAd2H5 hl9wIqOoolJ5LJkF36ACU/9yr3Hn9vnc5dBJy1QLgM28c63Z0GPAgifVF1qJmv6cDXpTrIjNDYbW AxTyVZRgPqRTM4+vdigJ/q62yWEamD4aeJjZ/OmhOuGCnBcvHKqPFwobaU2lYw6bjDy1JcHvw5K2 zoZmnXGKEhol+qHy1JJ0Gmd6gVs4Ysl/Gz1D7Thjw7W3BEq9tgTA41faRiutxn5ilaqgtUAmETmM P/8k6TWyFohQcCtFG4WJnlQ6nSBXGS7h0K/GY+GY7c4OFae+qT4d5sjf94zq+sVuefqFX69NFrwi mZNKfG7DUDjkBfoHecuCwsDrv4RXExJmIwz3DWw6Zpp6uOKPAcBlSDAhcgx0G9eyXw3lVEsWkf0B aAjCqSCM2TWg2oE2jCD79s9bOqHUgDOX3DjFmDc54HLLOfT2SWcOyYroZhsgVK/uT4D5EugBrFtB QYMQ+vPIw4f1uf/N2IdhDaJQLSLEITzFQldzcdC0PPA3MJrHjU4yD+BTE0cOua2f/nT8t0HZHa/N jM4jk8ehzEwpv7M384E2zd6GW9PdrqsmJEHKjLomRxvcfBUQ6vQyv9TQ1O1IvQRNGbrm1qJY1DoU wSmXV1a0uAXfDNcvMz9eDNcUH9VRu1uM5ycqb3OhH1Y3mHvxlprMI4bhVj0MYG1StO7/i8OiQ6RC Xz9PCjQ7EVATPTLGLI8i4Abl988wVC2szpeX8oBVopSWI/Xf7Ev/JISWlkz8d67M2y3KN04f/piB ra2YdRbEZyVXodn5tkcfxpDuPnswaRtWJreiQv0hk9YC6Go1nYDj4rWXfmCujeXseIUXPB+UN5Sm ryUZmMhxm7ZidJElrZ8vAXN2CPUaWM3Fy+1Y5mkTJHpkuNthxOMNAsm+sdyV2KbIr5F6Y17iw/O2 yDvqrYM260sunksL2R37AYCGyYlxkI5fwNdjGqaHBDI/3bPJrxorreDlBs/R3TQB+659TdT0IEGG 4GpgTJxKtvSViwljFwDeKgUzq+ngrCx2aKOtKbu/tOOLKMAzW3gscniP08W7beqWHTNEiopflB3h MdN8LFcHMnWinRDdgvpZTHDFBSm6tJtaZJvYKhpAnbyYJ01X6/+E8kVLiY0SYzUzxF3Jz/jtAu/q 1WGPIsEj+qFexFVIYqkopcNCvSfaYPdqGnGxjgVDwt2jnJeS+X8IdybfvcKTHmeI+jH0fhlGOUKv L1hcQA6TjoGFLwlWuNnvNKljTgtmROv2Xb8ygwPgJrNUUGv8WtNsZzrGo4Yqq4aHaiNOU75MKtFU c5V+eDcMPq/iCypW6qcvFraI2PAUkAyZsIJJfOOw2jpGbePuwxypU/H2WKbFNdLdsfGH09JVyLGG POG7aPwd2VogsWwrOBllgY+yN1vmQpXbFtBxl8ZC27ZBs1xa7wwvTdG72rgHDQ7AXEYp860kPN19 4R3ybXxyaWNqceFjKQ7yaAbVBsF/gZ8+8GragVYFaUe/iMdbL1NI1xJHk8lP8Z1lwsYAUk4/E9O6 QygKdmGRlcXupUQbsyCMswXjYIevimu6+JegHfzSoY16QDiujJ8koUt7Gs4uOjAFly2TKBMXIsNK QXwsF5KM29yU7FApKXCbp3Q6OMmIAxvhHfOtekNUKyU+bHoJx/bP2eocN3fiegaeDcGxMoLKXIHS JkQi1J8E22OniV9UsrRtwwtHVCvKcwoTt1uMrN3AuxEzYmm7dvGneN6okp/tX7KfYXZlN1sdiUL9 okEw1bl+rZlAv4lVT4dWaVdbtN8XCLZAGJ1M3M6S+1bxHABOVKQSC4gDl1+eAFP/OPvoob/ghfIx C6v1pJ99PPzCA33t5o4UOBP6t3YiLEO47eMGyCDCBMgzZauvhh1FqMM375C87ElKKL9QLgX1NiYa 5SBnvCTTeKD6ebboFOJGAN6oxeKUnqG+qJlCLYETan1vwFkXSfo3pVJ+o4AV0WN/2wZRm70iVlTE U9U9VEAKuMBBA3lUEIR1V8vSvWm0R/sHVC22SepHf+J2HdBGhM6D/DrIeNEexiqgPC8hHDF7eoiM WJrL9JsCfIbiYtaf25hnBAwTXW67yTNYwyM67m/lKxIIFQUM7uDriOr0Qs8QBPCwAbVd2JvmSRkP 570warxreAvo/IcA7QJVNJRNZ2aLVFawWgCc/sczCdiuE8CbGXaXfz0TeOdEzojvE8kiY1vVvOFQ wmIYL1cVP87iE1lg/wVQc289U4nyboTecMmh93jmqmn+8VYfOZRAHRAjUu2fkcRWPEIHgrzyj7iq DZ/oMM7DBLF1fhsPg4E/RJnRibFlc/VhXjmJRd5PZmQ/PJvXdL23eJ9UoChb+913nx5FkumVCX9o W/5x2asdMeQljTs07TzwoOtiHh9ldW43S2hoNHYfIzNdlyrejHHGRbR11AeNUDqMKQmy9Ryd0/aq WVnm2z0D3W0s+Lt7bhBIEIlWVrrgiY+f34dcEAVPHQrahrCsrsN6lipHfdVv8LW7LrtyEfwZETAC Wb8kNTb/wmxu+FUjZ0+FUD6gNa03LGnyVEs+CQM2fvj+arYFPGevdb8gkjKMdrnpNxjMnQTh0E3C WKhXzNzk7CZcd82e5diQXhQI+Zf0AoAGKWDhRwvnbO0H2JgeezHG0V/JHa69RmSxJDFW+T7l3OYp kTUCmnF+dfc2d73bZkcTA525nZem7vy1Y+5tb18HlZuiJXj8TS1kkPNt1E8C5TP798Cc7nbQcdIF ZsWGVKTTc4QFwW2pVoVZ+Ebpc25S1kwJm3Pk11Jn6koWznFB3hj0vvTlhJ+MlWmfd/p5SnW6IVAe lj+rm1O+1SVbTfVKGCajRkI1qlpBm93QR22aY61pXlpAnGo8uVMK+kfeURoGm/HZUT++O+pVMsZk Dzcn86JC6O5USzUUDY2In/TlrkYjP9jneBQ6OrhO9DSDTRYqBDw/6Vz7quBcSH16rpdfT6YTiuYa qCf/SBClKhHPqjJvVascMAfPzzkKXNKqEUboIHbIhWquF+G31Xl9W9JB4chM3jRucdWduJL3EoiP Y2wtn24qNCusar5oOqwgjKCfHNPssWnReb6BPd8xNrksALK1u4jd6iQYwR5MA9dB+Ds22scozpJq pf5ZBo2LC2DEBHYHBYXYVl5Gg+JK52eadfYqPiEB7kwCFTSCJft4lEnB1PfABhhTAwBoTmlMvERZ kM3TY+AO4M6TRuROLjfiIY7n1pOercRUoFYBoLAvRmEDMWAlQgfEpmpEQsqbCHUoHvZYEG2nJlJl oXyWuv20/txyoQ8pdJ5DtC3PO/LVUxkdDA+jZR5msigC8Cu6UQtyYTgKLTjuSt5BdQQ/vumgNqL/ v/EKSJanmrArZdINyKF8OjTX+idLuUaKrljNfEwLT/U0Dumaq6Ea3lcFlKKWM+SBON9a9ShUsPJe Cg+jJP5ZBZfNPStAB1Ecticu/pC3Pc0dO8u5XluD0LFUG5Vnnx/DCKCPSkHWBthweh9lEM/PfsNh CyM4tA5eWc+XW9S1i+MFYANu4sgfu7rBp9dMb46z7n4+8xHuiDcKSTne+mZ5O4nOjqvFd8M3Nr3A Uoq6l0VIFsNl/Yn69gRPUeueqewgk/NfwOoF+6CG36eHKv4mrXqFNurUvChVdKP7n4UC5b3msIZA OVIs91Yt6StWJE+ihVojJmJuuxNzSTEpOiKFcjXYgAby9EWKpbewdZh4aN0KbhA8HxoBZJqkW7YY qRWV44lu1I0Tlrt4Z8DY6pcECNSK4WhPyteDpluM69oHcTIZRywV2KWKPH1lzgwHu4pGPTJVgHql vOVo4Q3Id0+jPycO1YL8EfVxLQ/KEAgnCHma5eQtIw9a3+sYJ5UdIFTccChv//xBU4lNxf1SMhRE OqQ+SUg4KylzZ6SDV8IrE0UNHq2a8olnlNAnLR8tGNpsOOwUHM8zy5rqORPZHGpLvJOqNqsD3dmx A7DrqTEuprgRNIIdhvjCt3jg5prtYtjkYBtQ8Qtn5N2xeL+0H1oQE3taeQD/b5NSIWK1hftFW7Af rMQNBdeV3TNl++b3OgJhG+w4SHwnRpdEhccs0LnRT6/peIQNj5Pf0PZ3XBrh3uQQ23FVBBvAGQuw l05eSoA0iXUDj5hjuWl+70gp5M9g6kxOJsRWWdGn4NBuqdZmB+2ucl39HXC0T26qRcwsdm+NArcN ob9RE0Lbtl3+5mztz2D9B7RYbksxCwf75FATs4YL3dYXnWL4oZiMiwM2JPJf9pcGxSQkazhVLBYj eWDTHU7LEpy2eUaViaDFYRr83eNolvQ/LS8QHmz4p3GF1uaObj+RIExtLO9hlUx6OtdLlr7zlJHf pA+yOJLLhukchQyTessOsgjmyc1igsveLlD9i3c/UW1x36CwAyD/xY5sguOtoyokQYcNgZIzxeV5 kn7W1T26LFn73AMSUnnL6lCTtWNEX4zlRmcrhxmfYhWcJsUlzYi8u8LzMB3DYdNQyy5UdR8NHgyC EVeW44DLZnDFO16fFqHxRm442CqgmEiQsOQAbzI2GiriZLvlwwiWArOiI2zQ9s5Wpm92gK+Tsiw2 x5ql1CQDUtcfmryNceY4CkzCLB0/LlAtMnttQ8OlNCW0cxZ3wl7F95twMNxTLYMxDRMeRKYNTCEj np5jLT6c8arTWf0PUxG3L6fBbLVOIXho0apivxylURjzE6TvAsTGY2Fgg4uuYZMY32zAInDlf3D5 /ofzrouKnxGc6X9xhWjy9A3CPqMfNZLw4A2Fz+S4VVeJvapwf2UekTTCljJmeGVXqIqNZuuh68Jg k/FCR/Lcjf5phO1PpZQl7208VerkpF6qrFRrGmRRCc/O55Ke33hcoZCBrLFcVFF6fPqeRVKX+R9p 8z87kMCrLVqR/JZWb7hoWlbM7TdwcHvLSMPxD9ZJ+qZhnZEK6ZssatCAktM5SeHQUR9QsY1hd0zd eEeaNd8GVMULPaDhdBtXFynvMbPK+T1RcyIjePbFzUTwJ9SEY/2/6ez8QJXZf+cV0iwHGmfP+WmN bLqAOeWDHFK4tWD2AsUi1bPiPZYONi2gQzK99O+5/WejwoB8B3d6sbX4BOkYAxOO8TrP0w6wmpPP AoU+bALWjpmTnXB8xIgOC2ELT7ZvWdNolOVBas+kUeyPBsxuYbjFyAgR1Aqx+6objfpfbzSRUOV1 dXGv1KCR4DJWj9JX6bPyUEinhWi2Kbbz4Xp3CgjZvUbTNQzgppPxb1vRAqWBlNFvehWZo0FDXksa kYb8sHMiHU5NyA9WAE4Omemh06/QX6ueuBSUb/XKFw/TYO7wtP7ISSqrrFn5pT5O6SiMvVbqryc7 Sgg0hk62OKAaTk80k9P6HFtdCw0Xcax3q25c/kzz5H8Mi0r+EYxetRFl2821u6KJpl4w1kB2UEp1 PDYElXzU87rU4C1tfIT0vOmx0fGEArO8dexgsTN4LA5vjMWWuYlfrF/DNqx9p45kNBoQhMUoSowT +XBihmmj9gWlrutEqzhruV4RIZr/ckT7tsWUoxKDj/L18h+LLGD+4fAnZ8KX7IQdTyEzjI1iP02t xdOHSloCNb10xh+LEl2fH73VZi0H/Ip/gyJ4JQIjonFXDY6uS3wb7OfEm7+UvAL9xy0M8xeOoPVU l9lA+AfBnorOUvnoe9q6uiXk/1oaQZzPQihVaW7bmU8dLY+uU29tgMD8PT0zY+y51d9oWSPhPYln +qbaPMBvr1Yu2zeSg0+GIU2Hg7np8M+Ys/grMHIoo8f0yq7dZbkGUjH6DRSff+EBil3RqjFw9x+J rPLVKCHNgXZg88okvXTqH2RyPqlG+gJudDefTeDruPlNBPynINd+bWUOi0+ftFkXD0/FkMFaT5M1 kTWOCVCG3KItBqJF1fKNdtBYNqxFpL2L9JpTgE7C3ZF1+2OK40/Avm0Cr0ywLE5IbU7EgLh7tVyr 21SteF6fLnrH1eGyY+e/K4BouRtIjgexHhzWuDBqqPsLYPz3sH/u2Y4mvUj+drXL5BgnCr7MFsp+ wae/nUzd0KRSMLUPSgRinoCGKhHgN0pWC37qrb0uwJHYPFWRW5JLtmvbQJqzOWrA7UbFlNWqHRFB U6mfY3onOsRyt1ia/NnLzZt5CiE/jQ6kKYRK3hVoX2KYvdWRArJYfh+rBWBx6h+35K0ZDDgMIqqB W6EWTL8tMele+S6JuYcjkiOUcNCX8Mkb36hSKfmHg/zdH6mTSkD0TUKt4tiBoiI8lHCNi53Kfs+j 0mY66sa5t3Zs/rLYJKrzQfadcCII22HcO/W8pmIXy0PuaHQCrqkC4C16P1ON/P6QIaQoXjvp8b+H 6hrdvZHLkfD27LeHsoDtRZFuW6g3cZAWJ46hEilj37LGKdi5JTyMKURCKvpzyKm44YTcKm5qsmuA KEQRjKNcaL//20xUkZK5uLzE5qd0dwlsrroqsnftbtzJHAYLW8LA+s1cwIxnryj3W5II1JzOUVOK x2IL88FAYI2B8kwTO2ws6G58Y1Wy6mdN5Vdzq0+ur2iWo4vOGPI1jZ1v3PZojV5BMn4CyUFjA3qg eX3z2dwWRfTN4ARbIFBTrPctdsHOLON/Icbb0zN8aCfqNzYY0OIyqQr0JlFSyuhJZIArlu/8B1U4 Ke7f67of3gqlzz7HkPEAhkR7oCsHKv7uRoxlx4QOTw7X/qzcf34TI8Y0GxtNjzpIz3nAmFYNBzHm zt5GCMDr1bBlOwQ9nj1rect9lTkZrt53yfX97wGEZpcQ0vmxpX5Lwt26CxvtXBTkiz7h+ZbBzNYq BOypXG2b5ZIn3KhxPmFoOsf6+8tyB8H1sVoXZH+PJH1jD5u8gP6qtLfVERNxEeo1+WbSIo8AWc7w hmwrD0auH8SQmJg2E+n6p2w9lY+lPqdeCNrehgJ2HbloPzAHhJzCxEUHPJsYmFfNGdmJcHLFIWVB voljWAer7r2vPXFUW3QqyMeyAhW69DUmF3YbF5Rnfi4DzCwCupY4DGE0UOPpMtHPE21LXtuB70Mj 8bgNTlqaRCLs91Nh6fMgtS7n7WU8vUHhD+Yxh2Q566A0OeFVY/sz0bBr4vsFQm40V3sYW1JXkEdd 8PjplCUyJcwQfocoLSAw/viZEph8iXwm+yNetVDLObpsNgFCa475uckefJ++j0mhBBbHxStzpfQp tel6IWIiVkmxVSLJDIlFGsWvD+gNo/zI8KIvShKLq2ih6Sh3xStcC0j9XbUz8d5XV2u/NTf47Iuo uuJiyPe/xFrxcpThghha7Zqm8oxGb8q3sfWRqpSVbJKJbOMBapif2p6h4xVDvTBvB547wQCDK+m/ P2tXm+oRStr+Kf/femcXB/vrViPvFMgQtioTeXIcdURImqQ3m0ENi74Eld8FWJMQkLhEIfuahOKp CreMdmJgX7n9L3v9KzHOu2a0hpxfZsSju1Ljd2/3gPhQv2FQTx9zLJFf3KVxIyssZxcZpRl9+u6m jaIOAvAxeETz+tmM2P1moK2g96qP5owF0hYIcAmBBStM9SNksA6nH0RgvI1J36dvCMIjp7/iW8I+ Phl4R/S0ZL5V09QIL90h5Abdn0fafljSmVhIj2TmqV91v+B6DEP74SC8enDg4psuHp9rXkJUCMmn wqxxuzCNOWgC82881POeJYzdZnG5M1WWK35VuTzK0nSOdwiuRuPsd8aEDk2P+m2n6HcWGXUKMMA0 wGoBIR+5jdvvKOaWwtq8XHV2yDDgEX42vxNb7zzNYE93o2bIMlXjXDVgv3PRZ/k9JGicK4tkYmk+ 33mCaB2sV5zbE/EEPe36lnOWN+6qEBkQoR3l9WCg3u9UktsL7wUvH/kxtIavAq7Yq9Erx7vqe1IN vYtMrgZVfEKYfcxeU7Iz/RPYlA61O4XhaKFXG5chLLg9oLy//9um6EHAp56NDugWBWBVb6dKU2Bo 57lyvL3YnPjWRmElwsEAMNgU+X7GC9JuFqB6dUOPS6MQwdgNV0fSQ23NygwXdaflsaAjLdgVnHFm YnuWFL8oMwy0Kye/dQ2a+FqS46AIvTPggvGbbohlUvgOSSlU3TV1HQI/e9eZJ9gkmzmg7NCnVgum u90kDOdLM8r9maikV/4MO/VrXPpiDyXZK1j4pCOc1l7bX4P66CFnqI52gEQK/EaB/+FKgFYRSgu2 C62L5BfeoTeCCiOBIRXEtwwx796UGk+vTM85NDWr53vbVCMlXCNdppYR52hicZv9/+3J8o76vgf5 j2eX3YHA8wX5VEwuTvBMFciDB5hEGdAxBbPAOuxYMFhbSy6RHrALrsGT0HAnLDnKB1sxSJ0JHAMB PodIlP4e2PNraw+5MlQSMgasQgHnW3NYgn3ZcCJBXw1PcRsMVW0CUCRTQo3LPD11eCwH9M4+JYIb IYBFLnOrc2rzxrSarxEt3fbz9YOU3xNxaSDYozNk3pG8UmOnwGB4pfq/Y0YIVwi/RA7gHNJtno+I edMd1Gq4UH8L2Vcc/oFWsV8gHD0JIJMG5SRxHovWQVngsXfFQQsgXIkCQvVUQiyhPTavpmTAup/F +2DRRJzWefHfZ3bQP+vTVbsPkHgV2/RQ1WorTAamp4bsLdcG2SMLnhNJTwpdnZUXg8Qq7fyqtg77 vyv5e6DFVXEe1/TUYquClHr4LX0TfcCL4ehmeO0D26WsU0tzYHDtVXdVIPflIKdEepvMJD0R+I3w fPGsx4SUeH3D1IPjjRXf1RG10Fgn4wfFdiwbKSM7HrAx20ym38pV4TrfJBot1t3mt33Rk3hCtlKX sCL9VhhHnF87Ehgov1yEfVAi7426dOVVe3aivr0e51n1rY4WsLzeH3T163L3lPivvN5M71JOj9f9 LfYlLdpPHknZpqyijNdLqHZdQk/LSwxyI8hvNO35qXsLMS1NI3bNlsg/LF4I7NmgUctvAPIiLcTo b2qGvQbmLYqIGQ7YopJcDg0NQXkWoQTXebjJi9GhXL4g1OwAcKmhkQpUHhSdMs44mnLZIgtU0X+A p13fd9BsOPYLFC5jrdVplhU/Ic6ADCJ0tg4LL+WQLlHdaZ8rZFF3Npa52adRrk/DE0xZGxwHhWkc ua+QPVZeHkOIdYqLCShDK6gvI3br2bddlNAAQs4qccg+gKm7Ek2OApDT/N+5Dhbx9bX/6AvNc7AC xTYHRIpK3d7fJyhURsDj/zGeJKB3kc9LpF36PZ6FIlz/DyLLM7pu9Wbv6XE5D/MFmQ5cMA4j2sxA X6C4k4pHZkeC5FQhdgB1cJkxtzPAjNzAIiqEl5RgFg8mP6WiFk0DGnOcEsTqk3nTZ5c+uRDV5s6B +b79PzkL7cc+zDhjKTVN1w8QOxYG42WrZGzdh2AROMbPa2k/sAdSY7jpWdiL9hn83jiCQ9Ukiq5X l/97THRHYYmZ+Uae1lvWR818jTmAZsYysTgqjFWqybZ1zMWQb91durND/asj8g0toGe8H6nO1UP2 iZIO1uqHXtYqy16+xJI1wQpiEYETCN9JY1CFzkeVHrAqq0anzpLRocoXRUUwAeFiKNwhFUf/Q1wL dsuLO0PPmH4uJkrR41NKnf2t+mAujABrkJh3nPhUesTMg2BEnPrZ+QDb81i0+1gx+j4U5LLSlQ8H wrX4KzonqKlEP+/kl5IW+fZFzJqAzhgz9E06dbjOqMOf7evoE4GTDLYLjFowhWpt3LVBj1MJzEJr il9+rpquNd5w4OfoX/Kh+iD2v+aCbMVbed/IWGLPIz3si0FjUToxhZghhOhBLuT5wTLPnWRH3f8x BVTHKVESwYtZ9bgppyKjNYwph8JJoI+ns8UaWXivouuTLs/ENnX+nHqFuMMZnXQqVjf3Tdbqkqt2 G7KcD8FvPmRy/ikp9ly4mjWHHqnUX7bsO4cdeEVWxk8dUaVFsEyqeUkBy05+zTZseOxXlnzoOQcc iQuZGZXrxDkbQKmGucv1UAqifflKkZ+nG7p2zbTXqRDroLLbpimkaMZum8QB2fJSXkvtmENBzCzX HXMh8lBuz6ht4mVLOSWX9dmNL6KJrq0cuHjO4o06z5zLCtc8XhL6zlqSFtuwdaH5YW1PStjTM6IQ UGQBhqWrIVkpOEiJV/0tipsQWOB9B4NJTXhqp5iu4MjIw62FPj4LrjvE+ngSFq4Hd4sZoNKBnMGQ UhP2ggi5tS2Z5YFN7+ed5TEZuEYDQSfwwkzPnoHNMcC/QqjuCkYDmDNNOZXNul7FyOsYwXEE8Fk7 W6s8Y6/As7NxDlu0AtCmP4uLELFNNXb5SIAvSpBCRzN9/JLX2u2mvRgQpV3PYRapW+jOnrBBGpK+ cuBpvcRDJ6OZzLy+IMLHA/CqKMcfbBFV4y6H3zLCaNmI871AJKVSPjw8Vslw14RZJHwcGwKuwDSg wBvIFOYrQJ13L+c6Eg8rRh0GEt+8E2NeLwUyN0cgLRYFtxWOTUnYXpN30TGhUprzHaz5XZohN4hm mQwnVjrI1YegHxewD0eATgcvUe6QpC/cXxWGZaaGaviHvUlI3H4c7Q1ZRx72pPH/20R0nY9IwTjq OMoN0etgSEKE4XCpvzwOMFzqgImbvktZox9EY7m12tm9j6ogUpCXJ7nj6FHxxswyXbWvMEg3Z9g4 Ek/sAfc7rkNHnAe0hY7iEajAjHoQS8AD5DvDHlnd9uWZGsqr9SYNqfVdax2swJM7it83yiG99USo XgP7iWF10qj5qj5QtuwSylu7QfVezRU0lpitGeCgPHuSaDzw9ZkERPSp3bYkvmDryaWk4VdGbuHA N21/i53n4TEfsyZ1Z84hi5DHRgcCT5vXAxjaNOQboegnajHxg4fmNxNL2bFnlrQG2x8gymmtf2w5 GB5rBy8Y/rDHJWjDo2URz1F+LUiRpox+erWNFGKDXe6INhZlyQXCaJDchvDBYBMAAld7cT17Jp2l QYNBDZJTY2DMG74dbi/Ed7aWTYCjglsfzWqcQH3xOkxjXFSZjhrmuExcJi0XIVmtrYSrlAFBTItM fwTiPb/2i/Vqrx7fEkb2v7/veCKvE+1vJ4p5YK/a0MZ6OGxXbj6nmJOJy36ox0F6pV+7jS48iaCl 1BZeO/ime+z53VYiqWwCys5yafzcCaPehzuaXbchDASAytFbEbuGyBXv3X2EILBDrnslFOLA2tpw Sp7gBpi4eGgYX7PG1MvKNzs60lV6yZy/wIehy4RdhZ7wwkm26lzkEucm+0ALa0BWRbDsmWsVC15M QTIIMM5MRS9CMXoRnO0P0cIxBMWYbThj0uae1mPlmvtFniYPUyasDedrCUCkgE6VZmvvZDgA9Mz9 A7N4fo7ymsON6FBAcNXjPBMY2leVuv/6tqXPuXwyDNZmkVI2fySpBjnxEQo2nZSz8VuwoyIe/3UV iY4GStEIYmUcmzwjNZIozAKbDgUCygkQDL566Bli2D9O0RYj23mNBtqE15geOAjBUMwdEb/lfPkF o0YQ62HUXqSpHU+/BSfvb31FZyp9ExKo+CJULJ+HBcx/4byBaWpITDG7Cip5M1+1Zh1sZDHDXMmX wjm5lC6k1CvjvK+luW1P/S7h/AA01/rImuqS7DnvbtMo2gu43JA5HY4TpEZLKbcQbYwrpf2SyF3H IOyMxuIj2BW2t5WLPiS9LNlb8nzWWqHH0zc1iSsNH8Av+DQnWw/Nv6LT9zuMF2PAsWB5f74mR2PV 9xcYS1+f6Ze3Ej0wemrDAoyvxEtlk7MV1t12Hcykb+t+2yoLtXX6QwYgYXQzSOS5kZUD+IRObtIM 6tFtZrGxKiIHBl+nBOHaP0Gsi+fGF6bhR6kxwYkPqvTPyp8Bc0m1TorWEJ8eoT0ZUX5bmscIyeaQ AEZOk9OQnmpLOEadfc9XK6Gb1FeMGTsDxb2ZRaKpF8zRZ3bbjLMixaJQ8PYUEho8nA7e87brzyB/ X8b1xVDG/YU0jgnSMdAm2YxPi5sQDWHU1XuACqN3/Exs30pSErtkCHMR+9mi5uQ9wrlLGGHP03nx uguZHL16983Nexu//ron8mI0DIYqCsq+pmo5ecoR0iQf5bgsbJStX1XGgEnDKE/VPR4Y8BPP0PSE MadGckAXbe74kY++UF4bFJx9btrcbyB5kt4lixorCmCOSSYn17Ik/6IykHKOIYeQENr07dDZmgo6 zyFdQwReIM5vRxw+ogyx93aRZ3NUzjUYXziTnqp3iB2V2V4e5sLp+5XR4EJC/J/SBGt/Bns56Qy9 SzmAfl7N7f4CA2KUiSJ/VZWBhLWOt2I4wPP4uX4/E5S4+hDSPCzlXzgJq0oy7boo+1lmJPHmi4Di 4RaSf3r+ke1iDGCCOVIS2KuMfYJ88RMC8PIehx3XCmUuIaiGIgKNEYubWO6xFZQJ/x9gnblRdFpV JDrdAipbXpqv3kYdy3/ZZ7GKGrSe1FsMTcrFiePczbJTwVXYvDIs4kcnROTxDjWlsCQFoKJ1WX6U 4FmjXWbF47c45THZp1+uoROIRFWYYO1FdLtiPffmx4dvH4VsxGbtQpiaKG1RVwM1MTHRYGb82mFq SxwiKCjNEdyei+qAHiAhuV2Q6hMli9qza6WA6KCC9SwZgXzgUlzvxl5coOk+V7sLaz26JGFGcamV IKzQx8GzZZ54yf4PND35JQQVzaRVbH09Ad8KK/1LUkE+ZsSmWNr0Tdru+oiPZdFKIr1l6azlSG4d s7UmZJfb88HdX4G6kdrObvrfVxPqyuHhQPI6hGc6ADy3rTIqa5Ck3hgxA4l0looobzqZqJ/EHi/C Qu+5TKPABUcQ5PAhh1ItCW+yrwfQjDqgS5cmEfbj+g2eo+ZSit36mHSjp28Y01+rONDoHrHnNvbq usezf1IKtsxVngkOijvCdyDIHsNktxmNiF8osAMGXiiNiWu4/G/eohoE82ZHJ8xoOJh5ELlhLLAj sfMbT12otaNywnkP2QLiqvyLK3cC4qHa7GCXsWhqsk0nRuWAwDFgqXGNjKJDZlgNVTgHlSoHLpFB gnUBHF+ZgiHahsWNX/vOjoXsR3Ujlv7r2k6KCOZsReBU6pFH1f+i33Vw3a15L6zKc1+sBMkbSwmX M9LUMWMivpDb5Atr9N0zxUebFSYpW5S2sVSiKbKjXfoWQ4twbswEdBU57nVRD1L+EHbekzXTk/gx f0C1mnjiT3yIOulJrTu7dCkAqNB67x9DVRwUctVAW61woFHv4MFZxMT9npnXN1UpfUzUve7dzBuq TFOV337mYvacAfW+HTGvQaxNalu4Tuq8zjbKp+oATJUFrWQ46oEgGWnJaao0UGYFOEqyrC8s7sOZ NiyThO8LhHCPcXSvQILTyTlTSvY1swjCeMaGoXrCcFe7tfx8wT1vjjzBGmLQDvHydm2PPgYLJquf T2BX/y3fLRP/SYeWJ/uaD7mlJXpTFmbp8ME8qmiD5/1jMPVBviZOerhIwh/LY97JkVTXMhFhahQU 2tWPOTPo+2ES6zseP5olMY1xQq9HyN1ci/VJOc5T0j4eJJcLFNYx9t80V2OmrsHqpiYD6e/8al30 bnXy1ky77KeV5pxJXROYF1O2do/Dmw7TLdG68MVF5Drp//SSRDA6PiezpHWf+7pavts6Hez9nCq6 nJYnDn2Jn8wnH2AVOzhvPKAIEVFP4aooTcaZHOeineFdwdBYC036WilpoqSvhmMr+18K1nBtWfKQ t8fihy4dMnlxJz4dPZMsC2PJzBHAPsd1l/2wEfgBH5gAlZeKcIkoGgSmUnobfO6Xc0PNXVz7YmhL gdbdIEDB9praLncxMhqzGbsG4Utci5brs3KdOLjHt8nOoP6xklgsOy4rgPsQ8HbwCcj3Gig/0lj/ P6huazsKdlZkVBOPGEOnCIQfgip1SVDsyqVuDIaFL1tLOer/fuzeukXKCjSG2xG0oxBauMKE4izC cE2DndGY+N5J8fFW8fVY9ztRcGscTh4Sa60++Ug9eKWc5pVlQFkFhZOkkFc/kYIilP7/wfcUlyT5 Qb7ze3wpzb41u8exc9wiMS3xn+l7r0vaxxyEpMu9/lVZj7SPdNyPpv8HR/rN9lRZ6OHQgecxnBjy PkYSHkwK7HnfKawiZJ/jiRa46TUPOecS4n0DAQVtz/Xzhi05Wi3krhtWoN5WMyCMCe2ynR7rHlXL c4r4GjXfDEHqx7TsSWY+/yKKEPqR3pBt40Oy2t3HEl4lodU9UlbEXNSPVQBGNGgD4rlG3L4chenx XBDEYUyViU4GucIO6LDt5Yulnp+WVOQK27XDHm4mNZa0iOUYG9uXXFZbYMxYiESjlMZtdUyFOwGt F8Dng38ePglyYWkSwOENc3L8lkuxsMhBVeJoIZShNkZ4bBD4o/5TC2Xy2ugWv5JwWtd4mxkubfKa Y21sKWA5fbIQvQ3gucOEteLu4V8HGGzDQ7EoWdy1nY79fNXeS/5GS4ZYoSAo8zPpqffekGzE6nH1 kxtkAHoyH7je0HjL5d/hxEo/3HdD7nWyYt3ptk9gnO9/R1Tksrl+7E26L3K9IubLCwT8cHseE2Bk MWuJHQMdr4Aoq67oTRQWZm1VkgAK8/x7oeZpD0q5ELHH1d1Ie7Fy0MIV/bokJ9qnsj/+ltwK37Mn E8lYkOxNbu+gcNx+oPC72LT455Gat1RWIGTFNJ9kAM9i21MpiVztIzYzM8GNWUNaQkNaoluMz8t4 Ytb0XJV2wuvF/rbYwkixFjINuGPHtWXlY+uPBQ6A72G+01nch8gYYDLbciSHJHYp90O51KqRCBFn i6B97gk0OwJ3BxQGjHrb5gmEVR/Wovm5MjrQe6Egs4b8ChscaG8uCZQmRVBqT79YdqZFgxreokqX 6DrcE/cykDyudVhfb0MYNIz/4IrR3t2xb9d+ypPWq+ak0Hbq4p+cM3COJMnnU6G4QdzgAK7rH+fA U4ySbCv5VpjHFSVTirz7eMs9fNLIy2RS3NnbBGXlz1tI/PExeFeR/DmH58f834V48AA7e/3RJN0g QBwX+GWmNSBa1bDSkgnpgqmLS3J9ziQkoVI+aRzh03MLy40rJcoalSuBFPIc3QgWkUJlxWUUmZTe SdxOjMiackEmZloqiRm066uTRYci9wZl4oerio94sLTWT1hO1j4vsw3eRgtkcOBO2+wUIkjT2g+A tbsoZ2ND++96yCYUhtQJyG06RcuDreFxxMuVz3nBiPVdfmgs7YAa/5i22wQmgaVuNi0PbpzC3xbv yEhw9dHdfBBw3SoPzDnYofQ5N+99BbH+hXmUo40HjNvvjy+lJ6dPuR/0cVFwgwxpcGjPZwGgavgz hSXEEyiGfw4rl9Vk5uXKOYbq3muZl00zFBCn2Ukhf3Ot9Ey8c5jLBzRLnXD+A35+hZkVb3MKGdTw UXqWQ8pMkYE3r/G5wKTAtBcxGVaer7f8KD9KpZ70SQSeF0T4T5qkrl71l9w5dbfiKbMJNW8vRfEy V4VhNiJHQQD5NMXnmRpRUUSORo29HTos/422+KCCYX9Uqj+67/1ews47X32L5N5k/5EYDEc+JXEw sl3PPMZ8plkWZrbGhZc862ESGrgkFr7RVecxs948Q3ED9VIWg6DNemfhZqAnBunZmHs6DFKsyO4e Oekyreym8vL9LGrEwt4MACbAZTU2T+h7cL8P0/DPrdo72pjWKOWY/REuPbYRc7dmsmWaGlX75nQ2 AoXckBQpgRybo7BRr8Ix+ODHvNTp9ML9as+xKQQ6d13daHh6dta2nEpzjcPgKA9Rvz10/hZEoyzs C0VUZqB0fnjVf5OxY8C8DX5USTxldkCruGNj4l37dlL2FQff91d7X0zcai/VRZN87//A6tJ6gpk3 64ajhycCQClKJ8mFyWZAU1FhTnIc1B2n5hNKoGo/DpnMhxkLxlxnwSCyA8oekeYWwKCkOg2XCGMQ EQs08CUOSu1mN3AkjQKc1m1YzNSxc9fo6vx3JharBCU6ytUzGH/9Ffa0o3roaANXr00U0RiUlkHy hwker01sIeLzq3TDPAVeez78Y2x+YNhS27MVWbYeWzxIjGDYrGQDpBwynwSH/HzjHBpjyaEQKl7W awkPV9bQre2o1JRZ6SnIdh1c8qQPmIx2bVd0PyPtqZ2xyaja7aUxGShw5Hj6kAhm81jdqGbaE/ON KUHorg3B3bBDkcy30tuq+ampCwNx7BkOvJS+1VvLMVtBHcvQ0EHUELbB+sfN75cRCV1P53Hq/T3a QYyyaaEJiGI9Mf8A+P40V+fCmq9S3Jn+ghE7I9zJC9nmGNDRPgpUZaEoO1GSnYbkEWTNJN6YYSd8 fhCzWdrRd7xcV0lp3coCEX8DK0wM6C9Uhz+8P9LVVnuIemMdSsBUEY3dLs4KPeqqv/f+ciiEWS8V CuAQoO/Hv4y4osmgy1OECOSpt/TrN/FL6NWiuv26MpYrtstsJktvJT6Zx4nWAZOmKT8uXMPhK8NU 8Gw2cfkDliW7+TyDF4SdIBwvINGxTJ4I7znwINDLYc/853nUCH0OkUNjxUaBhlqyLWhkZeOakd4Q EHz7jgyAfVTEYSYr2i7Tl1cROLeegJ7sfc2/GOm5R16Au80+5zy5wrD1PgufehWmEjvJAvcaktLC oGxoSmkjyFGPMhmE3832SnDWR/3+CerCjxdvd9GTizTb+4BTYES3pjgUmnLgF1cjiEdDciqDbyvI yWyeMoW0pj7KG/7HwuKJ6EE1U5VIAYESOWQA0gD6mevoDeI+sr3pp1MSOGEaFTAxTGPcP4e3j0Xm nkrtyKb2IwSntwNwtnHed/GC6mdGySdeC6vqQYQZjpz7F6axea4v/4u0d8EtQgaVYQRYoflliO/g BSAfwNdVgBasg18KAZKKdUYOUhbeAraxuc4tilm03K2FxiRwdQcDhJ2ggwPF9jcoCCOuWqIRDCQn D4d6DZbP5IKfYE56Pm3lwBUonTWyeh1skp96Kxd/PDxWQFcGwHgahVAldjUuWDVB1PtmUgu6Blkb lFieR146JpLHfbcj9xiBCs+qVXMs7c6FiGHXs7Fsem4gepsdml/ALxGzs3Fj23yxjP0KXtNZCBkS Lnegcd1KkmGcI/yFfxUHh9HpW6YolvTB9Qbw3AGIX59+jibDUyzSuGDpd/wyuSBjh+kx+hYkOjpK BH7GCQ0va1oQi5LuVr8JsalW+/YMnIgB8myERVvsvPE5hwd4ZccBDMtet67Zt4bxouJBCV35IJE3 dMua6J4bYy3rG0udIvtf8CzRy1gNqY7tyf3Owtt2nMcXFKRkZ5i72C/F6h5UIaD9H5Ph/txwF5IX fuSvZS854eHgfesXc+pvM6xuvPcqxxF9NMY/D8O9TtMxm5Os5P2TuKJTXagRsNZm9ApcUZcVjbg6 qwcDd49q/CDFsDcQYD7t6z8bqivrM0VILXGYNBOJ/fO/NYHpGkakLP5Cw1idzFqV0BOgGXcKewFj Ad6JIdO8rK1U4w24eZ/BTdfMbBsB5fiHTonZGYB3goW8EJRrTpiyBUfBbi/wU+82z6/OXfk9M0XL U1IeY7Gq8LwWOhaF/5YFyafv/T1QGbrjIyWBgLiaGWaxhzBwB5JSSfrHtAoW1/sVHGPSvzGUOv3S Ub6WSdeY+rcJ2OaY25M/LmRAuCfIs9asbcINQylPZJcz2l2KNPm4fB4oHysaJZP5vbkeo/dHjdQm WvWRrfJP0veM5kBR573C+75wOr2ePLATEwtf9Xuue4dN3tMge0O61g11bH51XFIZr5nDTJj0pzKq NrLShLVT4406CrCyQZtW9GfdvrcaZwp2d6C1MVRn8QqkMLuiuA1mS3EsUZhD1D5KwxMxPI3IPIXv lPtRK5q8YsIDVBmCh74pIoj5SmISrxI/DEy5RRs4kzIiq1BBHRXN/wuRRknOkh6rlNMTwPADpJs+ 0HX6JZoBkr6hw2Cl1o2i1ik1bMk3e1f3xCW5DUqW8QuP30IqNj7X0xlEUa5dJMJK0wTEqC6HYmw0 sKN2YTju4Fhw/WsT9sMaNimv6ZbZIy54S/1bbB6N3Ux4ugUjz0YOANVVkWSV15dsOi24lHTcVwHV RYQVhPROcmT8DYaoO6HVvIc8mJWVzzbohFn/65XDm/zLA2gpAEJbk3HCOOB/m6KhL6yPye4jmaBB iGdhIdMdS0BNoTMLnO4Rj5VqtlrtEP/M4RgR8in8OC67DptykjttJOyngvS2Ilm5C7t3GHUe1h/6 /DKVydRPUAQP0WgI4t/QieZyzsK/jJPM1tT1Y9QjD9ERPf2HSqzrRjO7JkbJ3LUcv4l/H8yyYmWL w7ci2FTx9gmivba4Gv0Bhc1LFMzVhnfLL/Ayox5gO3FpxgGOp2+dReMJ3YjuMXdi4NqyHgOYIhNl ldKKiGsET6lTGpeWe6wugO8A0GbR2tFeV0/kPVt7znCSGXmrbDTVAk4amdSAYLb4Updl/YtGB+pu 1CX/qXhzs1SxMpnI4eM/VILV3Hdtr1qTNBIffSg42dW2O475/qWKEbrLyfexbFjQtOEXnofAVmYg KhV1Ny3A/LKJYMIjOhPrmRCIVD1s5DxFq+jrYdcbbwqBC/J+HMS2RD51zlvrCNbmD6LZjIUot6J1 q9VpNfC3VMz0hij2E9LAxMLBdlVmxm3ETHPjcb0ZnFZbXgtynE0l/6ClvxEcI/54rKHpDwJbxqQh U4Ex/0G11VqKcdtY8I1IHgIkUH9odVeoXZg9G6Nb+gb6y+l4y0kICLqLgPmLCZfc1j3GS8G+K7qy lF5TyMoM0SFYBv0qAXGs4/cc6GzFv8eboDg9RNoroPfs5V+2g78NR4Jio/ZhW7DHGqZrPBN/RLlX xw5wQeskBEU3GcB7hbB0xEHqN6wR+qjyYkCwf9QWuIg4vZxMMZg9+FOxdypGyIuucJov7y2z35nY ViyOTWvNz6W9gPgvg5R2CxXHRnAozLJhDurCuchE3acTS1UghF8w6yEztYYBtyKF5j23fB7anlaO MYbjpaBx/T5XHoHqyy5FAkIDmCO9O4gciz5vPv8oanRjlWFLsTSA/LVNkpPrhLxI+grMI4532rj8 IQq6KQnD3k/j78WkhxUdBf1nU7d85NOd8HsTWBZVSlNVV1qB3SGGqtTLLyXUmXi703kfcLhAgxQq phRnCLxtw9CwnFOe6bLk+Wt40XoyM9bJpF0sSg2mdNVQ7W1akuY3PlLvnzlsnPaph+MFDnTBOJci logUqr95K8p4Io8gwxIivR8uL49atWFKypIcFVoBHGtsf8oZ9Lr76XxWVzVI2v0fgCRDGJ+mthYr Y/kCYIF6fbHUplgv/5Hoy1PUZdm2VLNDnl2T7Hq1Elvh4tIOoYfYH4QYhXXfXhX0Q9nEyXZA66QE d2/cFkjXNs54+GFcht0aCJW42aZdw7lpl5MHUR+sOUJVSRvstASIcFn4SutUged4/DlPScZ82Iy3 SyRYAz6n2/dC0EEuhIjZiORdVFFxb2ssfnHD4V4exkCavwUP5YYhPBvSp039rcNpsgVsgUKvRi03 Ve0UZPI2DoJCNtD8IQi/rQtpw+jIFyF/FxJeXqhjK0aWJazDjf4TKlAFV4eC6iafLOy65BawtqvT J+pjcsVw3h6Y581KHlwJWrPLpqmJdRO/lLBF4zRq+WAassRuqpQ/yW0nN5t0hmQpnI9BiRWdHv9o 9pBO4/9MM2Fxvafz4nIPaKH4yLbvLtn+o4gN/J0MM6WYwaI9bnkyOWaWOLp6wn4YiArrWYp2iAcp 5lc7jAZDfsTyVxAVK0RjsHvkLm30ujLVqiDkQxCdMbSb0/kN6yYta7H3nksW6hBy9Dul9DwPQ54Q WWVn22zvW9o3/GDiHxjVjBnddCih/0KV/8/bAi4pHrZCdXdrYj1S/50KUGHADytT2ImRYCE8K4er l0IQXXjl6M+Ud8xuTKwevE1543hH03iW3/m421syMaJvgRwZUjDTmUFI/UqljSdxKeJ/XpLcWFbb u8gPIjKNE2fSemZK3nStq5tSUIT8VYh3XJXksMYzT90O/eAaBVlv+she5RAzlm8LKz7uMUsg0twa WN1hFZAsUePx8z3UahUn8x8R/TEyI9+v0BmS7ETahHBhl5ilRCpVo8wXXVADVIx1tDd75EUiRbMK bRQKWW6PO0JWO7pWbj7f9g1Vp2ctp6vCHKH4itk8P60fKNZdQNdkQ2rWkjLqvI9FENqFsySzh6uK 563SOwu81jbA8ydZq8MNLITu3wFL0ODHxfjU2rQ8ZA2fYXU5yq15fZ291dj+/Vg663mtqsF3+HD5 CfuELcjnRgGofNvU7APLdxggqV8AxLp6atOfYA/zlERotvW7+LVHuaYPDL7gt8wQ01Jv3F9o0VN2 uCdARj+rx8XZRH3iXilMFzTsq9l5nCuF/Ez+m7VPxoOO+SzieozexcaamVRqLDn0QuK17Sq/Q7Fx G21K0NEMWu+s8lNuGvrkfB7JXClsYUlBRMEa0VlfdsaBVj0LAj18glgIcPSRqOdRz1wzV+n921qU Kr5O/sOiXovfXzpOM2BoJ5GqCa/psJ0iiJd1qAKGgH2r1PTi2kmjQdiCRSfacSRHD+odRWKDXWxW TJi/FrL2QhbB6lxwAz9f2IDPMY15SdGY49dOlCTKKqu6hecBVPj3ev8XG32WL3GhdQeHvyQuYnRt DBagx6EKnevkIM2DqbhrwWvc7+SkzV2Ru51l/N3PIyPRMxY0S8G/jVOkIcs3/5/mA5zXTASzT2IH Zn4Wak2Ne5bwmMxfIuMIVorXyUGDOPYkOVXpzEjL1rAhPTFa7pHj0sv1mBT8cxUXODyh54+lNAj2 w2Z7RmHddcSzmI9jUa2W/FMabUES21vl87p8EcNjEyy9N0x7JnvTQ9AoD/umLf2UtZb6wq6bsY07 Bec05qwYwUZuSn8JlQz3mbfRUyYRBCMHP7/mCwmFgka3EBdLMqrRhNaWqjuS01KSspWuflyGA54t EpAlbU1UjKpbiDKIthg6obLYbHHTI3WSztdBVT3Qio/v5G3lqnsV2U/xWpaw4v7/rasNflgBHint byB3qc30xKn6kPviePt44QtYFNgmzLWwKENrDYApbCD5CmFFutGZvc/o2cE2/LsijbKbGZZW7qVp aj3FwGsB/VVA4ImDhNHT5Ka4B2YZIwPg+9BUPiSSLiNGM9wWeOOHwGmLjQ+3SYoxrGcu4ZVlClW5 ZvG+tpRvxlv9KLZjApd+kBFruQpNmlXGG5yK/6d4/twAmmWJNfVNUuTV+C0JtblJgATj7RC+iODK ich8XBBWqsG7I36qnYfiIRdQ0K3P5XEQ2oILTO996LbPpjRCBCdKLhknz/jB4+sb1zKz+qzpqhFF UA3kGqG/CU4LnpELbQAyWFzczmf1uB6RkH7prikk5oEcxUFrQnTMnXsucxd40Sam4KTz16evoEjw QHnqFxmklo9vyEjjmHyaTFuEQU07XTa0DBKOIWmI7hBO8rB1q2FyNVoOpVXXWVoPvAzP0FgIxQpa YOe3fFRYgyLkmF5Q5RcwMAPazb7yjpN1PIvA5E4hAzg5IGbT/1ePebpv6Y9T0YbJGp2uNRvjUcPl hdE37vl+++yiVBACfurjgh2IT3REcxUHfvzuY2/hq4DOkrDFF08nvi29oMYFZcm+m02dHvixEC9H 4GowEuYGQjh5adVyjxDi2EFOvAwfT8cj+FQ2+x7Ys/RFk2uiKYJHkVemX621Uka5gHuj9TC2+je5 xVAZGSBu9x4RFkQcaw/EFi724t8eFZhaFLidttYd9MCMTVhUP08TF4K8zY9yb2N+BsghUe9htQVE G8sf1X6X2q1hZx15X8dxsEviNGiD+8m2/6omecxDCGKGRSCK8JTJbEXLLjhJV3v/JARF9tf/M/Hh gRsTYobAA4hqdu2Xxnc10tXM9TFUemGNTTQu61iXWo0VOxJYQiOtdI61jhcZlBE+won6j6VvOF70 xgVwleoxN1P149cdf5dvpplPKg6YMEQ1m6IueM2UIjT/fvMd8y0WrL4tXD2T8lv9YeQ5Iwu5As+N Bd10gwgEvvJXjmleIMmF3piQTkBPj+njYfGqWj9srxH7T0oWpfJ6iIvWwHos56DVYOciUNmy1NIS H4wFyHDQtRXph7I4UiugfYPmePOe53GNHz5Tmfl4hxWn2/41MYvADxGTmo1yahJBOVR/sRYjAaS3 BHYPZJOt/Gx6Ag9FMzEG+3k/lovQXabN1SbCk3PPqIRIsVEnTfqa4GJYvIA7e9DYqj6t+O1y+h5h Ohn6nBYjYlrSr7+KE5J08lojsk/q9zcrnuv/pSHylQcunkdcAUdnNWgdy7UWX20bawIUYGAMm3sU CQz9Yxy1DoaV829xB11Z9W+FlXHkACcpicAczmxaNb+Pk6qSnz8h53SvZbOltlNwtx9p0umbv85v hYdKZPvJRfs2aZMgNGZjW4AjcI27NY0CKdIzK64CS6mAk2PJmu9NE4T0zXsNbb4yICAQI0jSuDhy jKL0W0CAuEZEb7GEY8rpZLp4yWrnrfKSkl2M4PcADSzPeSer5/Ve9Cbt7BDFtAg40yUa30G+fVu3 sZuYlpLa7yjFoA4eZIejVCYJ15PYFcSmGwZiZTFC5IckiUmwtpuNUDaPu0+DtIR08i0mUThdHGY7 hvZxONNi0JHVwDJxvVuS+hjmU48JnAtg07X3MikNtqdbL5fQ4qjG6kzVbiVyE5Ldr+01xPjuVGdV rx2CDjW4ZuJYAZCdDWdYM60pF6KD07heCjIAOG8rgF4TV/1b49w7maOo+QuJssWASMzTemf1VqoM 2OWxyAziiCWj7Vh0b3I3yFXGjc5HmGpR5ZFx6541bpoMWFBgTq9qU3VRnGN+nDcZ+3DdoMNOKfjU Enh8kKBAdRhrn3vxtCDee3sqFQuGwm/vOpXF7mGU+gViNSvQW2eQ7fvDzatXYVAtqTaKfEuKdI7K C7uKvdLgOh8+nYjO43qikV0cyV1FCLiMq+jKoe3Gw+TqNrPQvXhC0jljcU0yXF5DZdgx3GLRDk3+ fJjOzhGbtu6m3m3cqS7TFZhPhTn7WHwS1PL0Im1wUMVXWze4xQdFFdWPObpKlBN7ZjzjGJ6iy3Y0 ChqonDusP63XHyI3oND+Inh4iPbi110Pkyw4I0GMU22Asu+ZOMaZ3f0vGwkC5MVJaQYTh2rEVsw8 ftMJSryexP8DRO15I+H6Yjq3h7wocG9OJwa3ZMO+QFDPg6pEeV+md1qPd69WIGwVtm1cXE6N8C0w eUiVA0i90CEp/Q6Jst3Vz30o6zHBvlRj+GN8D3TaRszq79NU+S9PRnRfYMUVXsPHryRautzpSZfj NoVVa1PTgZdrNc6va5ENRcVS1WND884/Zljh1sA+gRvk3KnYJWiEjRfSAZtX7k22nzT10bOuyBXc 7UOjNNXpxdyFLH7Kjn6MsjgXCPFqGR58TByt1evnpneh6p5zx7a83F5VmCmjmAPaqnIZ0yLlkpaw 1XQvEbTrNfXmV98Wbqnem9fLcdyefG2HFDYZ7v6HMEt1SwnzFUVwKjqvCucSI0iq2N6uKPxjQ8gO Jr1D/5tRbxBakOspdVF/FLPCi+pKtrJUv1pZLES+mIANQOseZYTjIA7wTcebh3YrC2MCPnFhe+kJ xLw2++XITtw30Q/PVwLnZQnwGz2l9AzdDHf9zNgaM4644a0CMOEZ+1ByyIrs+kVxAoZS9+vcrYMA FtkkF8EBmoIvBVlSnr5ddKFjBp5WWgMndMJ8r/XBNaiWZ7NUjyaw/K/mE9wWpTAkU8CANBH3LzNK oD1xRbS20PgmqG3HZYq7t8Vhnn52lH0lcWBy8GsLrf/5uCm4uRs5btyiqO412rybqgY03JXyndWg JGQmWsvKT3tnLnspIZxWAmIo1CAvHyxE3ZGI+aFAp0zk+WzVWMiovlP9tHCE58OUbvyub1go8PAM gR42iRhvgd3LMgXG1qJCjVuNf3boOXaUJGJR8rppSaC00Cv74YKh5nreGnzsov/ypAeeOdeZtP/w 6Nl+d2Kr84umDKoCOIukzJtE37wVYPQ31kZZAoHrXebgj1T+OaxgUkshvzpAkSmKTXb/y6BofgUD T6H6QX94dknUs3YvXpdTAUGEGOM6fJsd22Re8SHff7XTrGYO8rDsOacOeBxEzArArU213SqJiH3Y QdBkwdYv6v0zHYA+Aath9foUDI+A24rGPq5IO/2c9bFKno8Pax6CRdcUBm4qzJc4nGIkz8pxU9Kd iSXIzABi4Tv43FCyGWwHdoBxTsc3XA2BM81MA640KnY1pshVp9BhByzi4EjnBkGnXlg/9j4Oi9kN RwruUbglSI685pBvKzbtQ08zn82yMJ2cLi32SCp+ihl8XQFeCuoNrrkGUt2XP+5dTLATAXEDnOd/ E80tm0DW37nImBmvaodhishb16G6e+5i9kDxmnm/DZwPzqMQk+9hRag5kE1QQdGGEsGCNHsStHK/ MEeLkjGbkP4Fut6ZwzNUsgnR/YeBCxfL9CoCwSQXab+hz3GCrzzbtnl3AXVJzdPRVynp2odd3dGN +o0y9sgI0WA2VZvUWgIQ6cYOmDBLYNgDU3dLWiIjvcbPutuMDn9hipmyTHg6cInasqzurh4l6Chw 1ZrsDyf5S9IzODcZy7NZb6bbSRCl2ikQyqwzU21S5oWtNdQLqZ7eT8sxTtRKcv8/nyA6GpmWFi8j /fF1RRBzVWgmI+H+4eIH5d6gpZd3Z2/Q5lZZVmNMpVu9/D3D2P6zx0mEbaNvrVZj5WLQeFXb0tNT xr98O82XiJkUxHPVFBbq4CkBYlFZt9wQnokY4A6/SMfrRg56JQeGeNGUNTaprutCuRVdPIutLG9+ IL6tDIPTAoqtoIjhGVGyDOfax93B4x171YqkwsRfLk/Nb94294VchWZoXlgmBykSQfEr4RdFe5sn NScdkKWkJUllpORxPUMhic5xPOvXabKjM5Mx0WFesP6S05pgiuvKAuL+LrlLH80MLSSVyIMSmgt9 /xW9wXGJMg8TL+KbSgjc3qZhl1vw5WFAchNFTxXZUKP6Hbw344656vwwsE5UnVAeBZ/ij48U4vmI ZorNSKJczeb15I+A79r+S0Iu1vmcOgr62RrTS5zKhrj2N+pxzHjVVfErYIjXk24XnHdG0lBmxm/y zE6Ya4Pc7qclIhgPDQydFz4kffL0PKarW3hBjTLqt1XYApg29sloifnbjpToGFYwiKnNqfEKwPd3 Mzb1hDraLGuD79XVA05mnno1JZ9dnpAex0Ig9RbEOY+NGRHR/U88e0U2mp2p+HUpQKb6ui8VsDM2 AkfxiZrmesktRfeHHEL81r+hXgTYmU3RbQ2beMHHTgRnkWEqKFUYmaxz4E82ksLW8E75Gk5767MC dPx5JtF+rgYdCdtUB3tVvu+BtsyK9p+3pCEkYu53tiOU+9hnIL1F3KnN4CAeWADAcgLUpIF6+WRC fBDJUtONGIbKPDAvq0kC4vuky2iR9cL5mhUSmZvUjOB8N0VV7L+Xq0+ouIdtswOcqZoUz04K26OQ YUHNCIRRqu4AEHb+jIwIB1dP7cz2bEJ8SVPM6lTQjJdjulHXdoBHSgznF+quswsK21DhDHlKP9T8 uqLM1rHumyyfxwTXt0EW+LrOw1hfNY832bXwFdKWujrYJVcPBhxx19m/D5zZed2zdtJKEqcg3MoC T9bOoGJha1QcMBWg5Mzr6dwkpn+3k/PTNAPnGag/6z3edSj1q9rjfSob8+1+zcyFCszuYVAhw7Vv PY1gQTohiEvjqSu7sNK0rfpm/DdJzHEHgt+TH0KTot7AUreH2YmwabQNLvpBtSczased0DDJuajc SfDf+ardMXivvmN3DVftPUxA4sdsq6jusEHRpWF4XDW1uA99u3QpeAT/JshVq2MSn2qojWLIavBq h7XKY52opxGz8InG7h+IkKViSzB98mdac6q1eNnEM5yDSJtUYrSLVQcJHJTyjG8p+2rUEm8V2dTA k1HBK/01cPFxpCY+Z5IrNkkXgbSsXDxvpit1SUQ8Q0qO8DSqCokLZD8ZGsJ0KxOMtoIFLkBnaR4U 9LSuiA8zfuh9yQeuLQ0+G9+OUZqvHP1rczkuObJkYMaqpS9Ucn3ykj8j1WMbVsshMMMYLKMWfZLg RNXmLTq78G7D0bR7YGE/hgfAA8deQw/+cog+hLHD17bREfWN3KVY+8O960jXXm0ih1kxe43hxvgl VHs1S8HAKIQobwYPqRrNiyIOETlUPlyQFPauniYelXRq5jRjLTCrjzGppuJ978cE8v1OQeQzVj3m A4ZF/DGf8No4vis2FHb6YNK6v+hsV5x2a3sYF1tMDOI/eyvfUgWGaNd/x40oULgEqqLOgsb9RIUS CoHtgENcoqVbtv6Olgkc7SpmcuINLL1i52eQwsqUB5929icma5GS+7aeWHSBICPFfE90DAV6+JuH sRgR+bYbILwUP0Y1/toKeFURXogjU5YO08cOFIkqWXNcsS8US1EovWKBB2PpbYYiaOdyoPk5tbRg gWAmXO2L2+978HNM3jbrclTfVVIC2DbAPM37AlljWiXnoE4skfmWL1wdsaFgoSOLEtMz742TGd23 Slmpe31FN00m8o3LOSWYvz/BmnM9Nu5FR07U9OQ3cutIrshFJvxdNXig91zDcysqqDDTCJInhRVa m0q2G2g6wP8OvZ+BXmAhxQgSIEF+OKU9qcWG0ijUgndXP+qDkFdrDP02GcxA2zhNBMQS63l8nuHZ MSoW1KTlhvZzBiXDbsDjI2GLE2IluUMGeCazmteryj77Ybm6OBY0mLdlYMWlDLFnnlXuQ6xnqXxI BoPTDXXzYJO3AaC1hY5fyjVhuB2ZqlXZOwckvkrr+LQsWWWC9ysTWANjDeEMwWrv+0WUusNm0Pwf X4G+xV32+dCe14HdsRv4FVtYez47HMJKMOcH5LwscdQ0Q+LKc35O+9EtsP3CGR+TuhwypUNXa2OA dpoEzlmN5R5YqP54FlkaE/6xC6fCR1qgr8DprAurjY35jQHbhAB5AEMXpa1kXSZGNbpOYeoufYFF ZMMchu4v1mteuQYl2yMfJ0YVwWu1l0GuPkVDMRX3ZQnYpjtyi1dnxakGFYR3YEEWZzvZ+xwX8/7J juj1F4p6BzIoYgzOylFaGFWlfdZacjauowrC4qqyQCeknae0FYo10PPnQQ0GqXmKJiUBrVv6jeQF OlFDvTB9r+6Elm84lMFFAOhtGo8KGozTKRX+MFiUm8GiSNvoxQGpzIz+pRvMGAcuGmsMPRtUOnfL S+b593EVWHE5/ZbL4KBMw/CPpqBn4k5q86F6a6e7oaaPMqYi++96ienDIf7G29kgOid6v8q7bh34 vf4HWC1SGLxtnM5cqU2tONO0xEBqVTbVhZcr1sxInDoY42chDMVfGBn6te0+z5NBZHlagGDGFufC 2fct1WqtLfyVpGzoa6YXT46OWkX7Z1M0zSir/Lu1Lar28ibryXlGObCWbs4gBa3QcDZ/2ME4N/8Q h+f1SiqTgXCUN/paIdrtghMEcYY5jiSiREdTMHbK6motOxEZJ6wz2w38SCTtpP+0iaq1hUSwP3ii 6brIdDwxGasSLz3fQnHKT6KAssG2cTt4anu8bVu4lTAbXSWS9zx5oV5TvFTyuBFUL2ysIX/0rjtc 0b1TIpa24MCmgs2gkBTmQ5HNhPblYQ23GCQrMjCK7Kf5o2SefxXK1OiyTbuSDfkgQnu+QRFCnerD YQB/3gGTxNjH6tM49RrhH+YxX2bq0sfoIPzESqYGELlGXX6ZGZAB1xkRuUWjsVnF+u6VkfisUbr2 QyuauN5Lm5jRCXuNnx0qB09NguHS+oWQR2Bn2g2dqnKWKVD8/XwhPW09YYzanGiAOAj1/KV7KWc2 zMoB51bZhFFhY1ZhZ3FjU3VGyBdwnSOdr0c3lNpLskS9clm87SR1K9tONgwjCpwSsPOSN9mmspu/ Jk0gRBJk6goIJQJdFTRLB+sEkZR9aqgeKdRA1Dz8+60Z602azmd2vz/7UvTcjrXLiKiF1Bj5xWKQ hn3s8KTFF1c+XKAf4DSc6FVapCGbmmvN0iSVdGnSPstWQlXOXX6++uGHp/BHu9pLeFS8sMPDhGfV vvb9rkguBBrtwgCMyuNI1n8hye/vuXe0LHcTPQfuOaf0nqXyYCEmIM6fLgw9ugm33zSqU2ozLWce 8uXiYCQvso+VlB46enH2wf7mVmajj8PT9v51J07DV8R0Py5il70Eal8lOi4gDoWVBUvLPoBFlf4o VaxefTrRBbPYHvE5wg1WOUysoDnnIYd5dnFam9pfBjlLRYk8dsDdO7P3CCdRn7OoCeNbdou0I8pC CIPXJHzoSu65z2VgkwE1OjyxsK2qjHheuPM0FADJ1tvElg3X6VLqljdRhw5ZL3juZHmH0VgrcxLm lwsnOo5cZ1e3G8o9QImLvzvFxRt66CKHb/10AI60HIWy26A1Yk29Wiml3T5Nc0IrHm0iRvp9XS3E Sy2v+t2FLyUAwABpLUQSVrP8wDk2hFsYUsk0WCaiMwSzPXCSp8uKyDsJUsFgtTnBIYd73XDT2fID gwcVk4qLIC3CxcpPufDHSdBXexroUO3+pMqg5Dxmq53d2zdCPoMd8N9Mj37BJ2ZsWY3m4Xf0vnzF 6ZWOrh8ag6qryVd4UP8fwP5mXerJ/TtSe2l+zTKBT7TwZfkQR4hOncnUbAqI6i5xfvd/x2/rZODF +JAHEKsMJcQwBsqcI7GN7n3aglA1nyJin1dS0/NKQIPONzkLNfQbTZmMtD501ra0jIoWRcL8bsG1 8LTPpxULXVNQy/H5BIp4vbjABwOgNf4lu2SRqlslcwW7U2nEe63tQr89UshWT2ismTYR/etR00Ao 0w72njUvty9vJC3e9K/as4lIphw2jyBkAY2yAGxKDhgfgkkoL9HBzZX6OCfCg/+QKu3VfqG2oUXZ bzpwmiHBqBsj2JhPL8Vz/pr/1NH+sfPpTVkuePHWCMjhzqTnoisdXw3dXJSA4mAjC5nMz3KpJbt+ J7kAorOaAHT4qHZ6l3RZBkCJQ9tho///zdt3LhbknXtL9jPhrj8Lur/lOl1jOmNt+e4pDdz2c4PK WnPRgZwGqD08izOpz4brRTStNQ4kIbFI1JHQlBz52287DpPrw1gku41IaK02XTLvroOsRKQo3/AR GyK5i0MT8RanbU+sF0+pziHn4r8Vnwx6gy2fnKFEOzuuIQe5Ulh9wC0Xk4OMEtBLg1zJkbct5D+D /aICHyV6+kM8Ad4bYzM6B2/WylSYXpVixGxsL0b8BSf1T7ZlgCsudwEtHuT0UusLu80/mq2KtLP1 o6YFnATMgvebhUNf04fD7FjjXkpGRHlOMCbmrB81hX5/cPMaejUEUAaMwBdR4kowFuVLBUbPGq/i H3uRuWfTUZ/qQ2JmB8f1LXlHQ2GMzoy6/04wiAa+oIoUH2+bqqfPbU/lM2aZCezvA7NtOHF8r2iF jiEcBpZxP8sxDT+x4BTYdew0C7rmc+0nRwmuLCe1rgDn1qDR3oX0qR3OQTpZe3Em73mp2WK/STBf 7AywOZ3rDrv6CCZge7muQbZ3UBzd/FT+O1Ylc//itjbgYDJl8z7BUAQWuGYdY2rpOVV5DTvgct9B u5m2Q1Wg+ghDQIsiPIxzC9ZNxC5ouUVzcToR5VZznuc5Ow2jxkmM0t96Uidg8m2kktLaqudhJsG3 kk2surXjKZwkX6f1bxzDkVzuyFsdngxKz5FyelTab5Av7H3dZQ1ZY15mj0KjoqCu3rDQguzpaU6a O25yjnh7JnQWiA5W0aeG2Mqxhj2vCwDyjBW9jvadcVR03Nv7rPXPtbhNh7D7Hqbm5stqxC6aswBq PO0JXOXVJ9MqV6DiIpj6eSJRRCDkKe04OUom4+ouPxsrW0SgP9jZlp1lg3Fm7p7aSrqgjDLZ7+lF qCX1XDobM/KrxaeU73gM7HVfCvkoOhZugJVm30G9Pg5WgJxFe6a8XAAj6ASDDZu70i1paq1sUe61 ZOfIM93mU6+DZdHKHh8NaeaWAcqrDESuJOJ4YUjtsjRbA8oJ0LvY2gTm8AUUcr89Xs2pI7jwJf9L PLLZeI40ijTxDNYDhyb6Nrw9h7pH7gDZR5qziZoJ4bXvDy8/X3nCSTL2Fypkfh6w7wifi8f5zWrc j3HP7q7hUdRp870LKBl8IuCYYqeb53VfMaRnKYAwIT1praMXFELRCNVC//t2qzFKtNBT36URum5X rNbI3grgDIEm/Cf+G78UKnJMN5sgiexRp1a8em0n7h4lfyGiZxTC/LnTC08s0+GrO2Cgo1dWBap2 /EIVncKx8v4U/Oz0iqI5ytG6ttTXkRZgpjADFjPWl6BeYBxZ2rBToGXwyrb7gan0xONJMPRn9O2u LcavRlWcIczEmi6jEHYY4jdBuUvSY6ckMrlkaj76BGpC9pB2lumXeFWK7MyFLLnjrHNNbhBNy2YQ 3Wht1JTJdrURl5vGYr7Z5C43s3qTXyoR7NAJ9mNwjLNXcm5KSZyJ5vrrW9qxzxbNQ7t6TZb2joWp NyGB9O0reO89fZK64+7rKihQPZh/+RXFekELqP0kLsWL4xBkQZPhf1JaEzJi8efKHIbwhf2DuieM t0DMRa+VDSbcCFAVSzHL1aWgWBF9Wkw+dy0YLV0A77gz6znWprGunHcPpMZ2ATG/wSDHtlnNEzZU t6tmCbiABzOioeNyCP42nEPfNxeDAVW7sq3we/rf1WVSekmIdRk8nnxdCeCs8Xxhfu2oqSMZzK+b mAJBbnKH7+LlNhq2RcZ/lEX/aqBq0wcxNbV8dzV42dFa1VuZzp/tDulZN2aXh0IhS1C0vgdIiqzH itWshDPt+D+fxdhBQwj3U9p8vOZuS+h03u+TkMhpPzQ7DPOpJOMvNLhzg5lpL4Vxpzf1dZ/LwWx5 ezoWStcnauXWVQNFLq5AkrMBe0vcwsNbUdWlu1XivUUjohHN7jR7c8kK0TGtKwqBVH/zTam0eExl yGRJpm2pAU+vJNMjqjbQzJC70Bc1U92t+/KBeKtoEqbVQmMGBzLZEgq19bIZEXYQBk9Ydb7aG2xY 9CHY6/xTLDfjE1YLOyBe4Xs6VkSVwMNCKSprERsdfKz74QMtDbwVkQ+0bbokRLb66JWarqSFXvjV LaspyZSVeCuvvvfTWFVLn5kWGpamLqwlQEvVcrVMhqVnrWX85nhkp4e3l/mtQ+V4l1GakAjHK5xW o1WPLQRubJawHH7Xc485FXND3X7oj4Fv83p8cn0VAldsksxf6P5oEHHpC2xK61aEv1Kz+0qWUPiU 63vY/u3xU+venQd0DKMdWlkU+OalRNfLdc34OEQc5ayQl6fR/rhgb3vQ5kyw04So2/wWljF8AZ9F B+tZVui3jO+/ZlaF/hnXxh08kg+QDUwNJsdchPBeMr7HZLuhemX40Db+3Loyuy8LG3d1LKLJftRb 3AFQNFPuBy6fz47yolqPHReAVQ2IiNB/R7EcVUOAmP2ZhyLt3V1EUG71SeoJbPaS2LLo9Iz6xOxN F08l0FvXDg8VYKm7soRADIlPEjW4hpr4h4eLzWWo5+5ImQrFdk8YwkXsyHuSMCdOpR4pepn7Ic2B kOlcZAQmycg0R6/1K089BDLmkdM+RaKAj3Qxmfjv1oPvrpUKH+mjIIdKfv+s0xGNWB2twKvFlyPr 2y6hXMcc+manVAaVYJTenEEJMst6MkGenjeQEDkgSnoICOR22HM594F3OnKK7IftkNoeVbBsArfN Iw9OciHP2O24sGXKg4bp2+6lAnaWfwrbJS9fmfSSLxsv87QICky+O8i4Mja05YdaxNWxkipkpWaS 9h0dcTZm55P5ngkeWLDsqBKxez2u0woEsyPl5ObKiWUQz7boK043oX6VHc2mRDiBVh5Yrh4Y8WT1 ieiMnfIYXo03hu81geYZWO1p4VGnM/tjVJ2nQ/52D6JwHMt9PnUibqK5l+hV4zufMA6kdCtUAEMV /vANh9hfo8tNbY6r7UaJMk6giyJ62rvqOcKVEKUEvswISYoJm4xnJv3T34cmZkya58RtlmdVRB/p V3QqgOzuRpSojkVvWZ0GO8VbgN86sPHYN/CfU901rpw1EtIY3qwKVXp+7xDl/sHLB92OVRz7qBy3 RXJhUv+hyhjVDh4Vxt36qzpFmHzFnyCGuPbEzPM03MRB1B2jAgjfzhIl5YZ9MPBU46mJCCCAnPd7 u+viH8wgeRP6oPEVGiEjOps5VwCAEg0z7dLrGY4l9blNAWflyDjIsEo+Zd1tiqW2KblSNXnDiLsm r7J+EMtEMeltGa4dC6kTl6D4eCjg9yJmbZt3QdkTuw3yW1sVgjke7faCS4uvsMtVYWhB2QAxmXxo Wx612BAQgl9PJkuYuv30YNpKy4PTrarIo5kHm9IQgx10koDvZ6HvUvJz0SLnfpeDqfYI1PfzdCyQ ghFAf1X0IsYMgp+GtmsFWHrfRAn3saB36eXKm2LQoN6aKnKOqF3m4Ofc3fRGYX8lvnotNpt0LJtO f78H5vw7MD7Yl8JiXTa8g2NyZgSmLVW812AQ9DsJkvTRDpzh/P2KA49yHHSZgi/27Nh2v3W53vsh hHL1pl0aj8uu84wnA1b8IYp4z5vwr6EfBrctPrfTlCKl1HuuNTorEqW+v5FZckpDyPiD5yg6Jl/o YwDGJ+Rnynk9aBmMzOFdgzRWSIn5IiXtoM/Umrxzp6MfPL+n0u5zcZlL/nLv9oWxUg1EsYmPbwD9 PA0pOzlABp/yyN9uUKRfHzUuFchwKDwXjRdu6NL1tupLQ7BleA12QUokjeSX47LKLAr/WtLaeOZq g2I9SvbeQU3hBL1bbQmYjZW6ZFL1elU6JZEZT1ovGEfuIh6fdZl1+AH3gqtrk3QdOEcUDl2x+lNe DyvbAKn0zENn1n12uw6P9oohjp5gFpqaf3JEQlGjF6YIlXbvxz2gAk33Y3lEfZj7gOJFZ9pyQcPg cGEh5u+y9zOSdB9VPjzrAcw0EYG07cxHOUrv3FTNvI5daOpqrtsd0/7fQtLwlDzKQVF7niugldnV 4BeqN2CG1U/NyY6b7czXmer4cIbtrCinfjS1pjSsqGocrrj1bBnWeciNaalhpG3eP4WqLd2/t3ZL ELATbOTj6APzBdcnmejbq3+zbZdNjcbX15Uhpu7eZtyYwGk1MeeFPJ+db1cNnZPcgK3RUAXLVVbT ilDNv4ab/cdAWIJRlczC4ugeYJjLObgyQ7ySUiQxvB1kOrqxoSQQ692+jkIteDnx3U/kukwaA1e+ 6Lq6N58Kk6m7X8vdBa0IdyF0GZDuT9vSy6b7G6KDM3GGeOgTwY6rtB9xQIfT9sE29m6GcVaRPsfj F6KoX5WzdocDidXbwC0JwiIDlmhf7nhlS/gqLzDAoVkjgP04DxKQyQD1sQOoWPViTM9o6Tq/oZce aZ/zXSUHkq305EFnf4XU9yvW4nsLxKJYi1sEVdWz2xrk2hWuaPfyw/PygBeKQWCvhf9lLQpMmVDg fGFF+KXpA0pyg7OXfzwiOjyE7blKBtRRmC1TTpYymZC2E6mKVIms7LqeWpLNebQ3RIdUEEyrRH8y fHppyPF5vXpjUs6SqopZ9/g0d7ZRC1+OrvbEzGcmBJpjLgBqYg06rKo3Mb8kUHOWdAqw+chxZPXZ BDQCzNrpr+b6Pqvb0/5EC2oIefBVRkDHkV0UKb6M+C2hQNCPsR8O+71iNrgWrIPjgQasN+mqTMSJ sg+SQjq2ZmTGk3L1A7rJ1N066TmZnuihX7P6ON8cXHw7d1edl8k92juzXWyBOwRYYgEQJpTEIend Yj7pGxYXyqPqvVZ0VyNjoChwdbIA3NnrDWFeC9tp/XbG4DzR+8nCRbGHxO5JwlZiszW9ey8/6Eu2 piN9+Nu1KvY0asgHUoEs8l1ScZ/4BLwYF7aFAtxwB/nyfbKsPyQxEQW05bG2xyDumCvYrul+Q1po wmEGZlNoiyRSKqSdYNvuIWzPqpCYeyfeJ7l8X5zxPOJQsOBppfTJ7NXmnUcF24jlE8852c28DgTA kBuuE1WUhCJODMSgqLAOR+wmw4kKyofnWyM067L32XYY03Qlw8Odk2pkXBnDhNp0vWZdQK8e3E5m Xh/sQUoFwu/ruNifrT/quxK6SRxqguXqwefwbgcevSP58EYIRmx1Y73RxRJYyw0FSNZYivkrLuQL 2uG05cJaVA9WgPVED2oPcliFvi4Em6N2EKOR+Cs1INSDMYFJuSNISnhZfwC5lxkkeDEBE+ucEbrQ NAM9z8hr8BOm4Dy+j4fv6oTrNvsVrN/Wuk41+cSzltct+YjuQay1YzBz4UPA/SjKAIPWzt+IuOOu 1fCZaUPMcpp6i+5c36Yq0m5z54N+rdSlEh3/pS0hifST6jFs6irQxyCl/zPTFutIavcgLQtDlQp8 Sf15P9yVmOmRkEvYd2u4tmJvvjoQbLTseJFE9NI37SOZBF9Gd0RUVBQRskO9jVeSsHq1I12cRtCh n+YEOlRpXGOlPod5KzInsGlvnm94dnCBzzW8WiIqKZlmkCwa/A7zSrnq0mQVAGdQOGs7hUJMv/n3 tYqHBf9bp37Le7V8ND4+bLWpp7QOCZ4ne3vJoDgfQyelLdswuRjqC0nSRPW1AbySc/EYVqch7CkR tvcXRBLkMYQb32ruq3HxilnGRCknjqauhL+nhXEuFuXpfOUmTE855m1wVh+EN1oWyBCVMCAEyNsx nKyLTE8HZHndky7IWScZHcmfBsztDyz9gMMePzQADDB6J7Qf5Lfg/FYw4iEFsQBfap3zFdXTZ2b6 DD0BWsFmJM5Z6P800TCQjwJ6+v5wveyRHZjGvNywil2oeID2iQOP3ENo5vNsFBg8yvHuJV9cAMxz 6J3aP4mIxazr4N/7rD75CraSuY+CT5xLpx2NpfgjWefmw2zRooq8D+OsMfMaaWPHN+jWmruL2qxj Ybb/QNQFAkJeGs2u2deXp9B/TOKdQ7EPOtLDjwMtQM5GpB5tbAMoGgWJ/UF7Wr8+Vu8qjIRn/PAk Vrw9079jv6BZFgb2uekXDo6Ovyd2t42ijtEVKKfyFMFRRw/7VTOMjjJHCWMoEynuxFEYqsDg2Zwh QlUvNnOlq5SUoM4ktP02wh2qWS3T2BIYfY3gSj+Jblyf4ysm/6syHfKfPRMlYZbHc28mBB7qrk46 cJyf/B32pGCUboQjjpBDGjaE/B80rp5lfmE7WSiWdTsvDCQ4scnhR2Yi2HCIOuztYKmdolX6vu9a UxSXo8ikpCeGT584dzl5GH5hxJEUoUayKoiZ/cs+M5yPHSHqg5D6ky5hUKp/XPbBTC4IzyoPXnNW zmQCIuGtDLX792O9h5yV1o7LdcsWn+uEMObchNc39ZMH5kqWlUYQfEwlqq+ecSGkVdgbpYTlqB5l drYtp6KTma6NXwl+jRt96hMnCSJMmu5lstZDURNCHt1j0Hn9/mS1Z9kjmF1XyyHk51gBbnV6mCeD OkG3B6cEkR7ySW/K5xBZUoY0xOvBFUW/DzzqbZgdVnOxYehdrIgeW6rwYLAnyMuJbyxoTJtXmNmm rhS0bM9fXrCc3aFO8MUKoN4iJwWGBVn854gPP8x6lnrmeNJTVh76y12WUmivvl7ww9cycYETIsTU r+V1mZbdFg2kevEJH4BznTExWOzA7zSva/swJa3MEQJF+XWelIIomPVIoEc9PnbnEZxbIjtWNSmv fhHmB4G1I2N9sNES3EEqaFbiH2tzaT2tZRiH2FmIG4FH1do8eGXGKEeDcUpQXeC586w9+5CWWAVl /+Us9vxzQeTkLMeAAj2OcT5q0TosrRRlCVJLpbRvWLP2Lf5R+0mCQIAFLkk8HlgrAIrEyKVq3Mni ivQ1gJHe+15i4taiiHUoUo129USLNa3O/Dz4N1x9BBBx0yq3rNfzSf8QEBflP0gaq3ylWrz6tDz5 bUybP/oksK9y29DAcUn3Mb1/PyjWF/dg0iUa5vZnPM9HJnriml7EfxIviR8T7p8+GgYAbnZ8uxLX 6wpT8+5E/9YgA3y0wupXNnNoRNn2sMJLDVWaqU//kJ00QvaAOxJ8W00AdOchseFcHHyefUBE3V4w /JjivC5V8TPw68z3fpze1oAtuzDyQfiai2OW1ZFNGxjgKDtOZgL0aqRzVNZTNNFIZODLLDOlHAOd x74Cf+/c3fSKTAUEyPmkek2v5dm5jsX8GKU9DK77xIdR2F2kAUI4ke7W8zu1nUBolRGzykwkYOnd z2lCg19gEV4PZAaZWc/yGoLqiIEZIL3F1/iRKzs710rKd1NKvHtfLYYtpORRWNW3p+c0Zqrvm7VQ FB/QLdMLCkjeUAAWrrRx1RDeDr7tg5DC8hRndw8oZduBsZ1bBLJ6IlLpO7CFF3RFRn8Rn6PULcFm 6Rhsh0mIfoixOe3Yv+aBWp9YE/+TMWNNLwNNEfiPUpuhFZ3hDjHUz7Lur89Hmfrl26L4dv5wt/iQ sM0f2awNRDTl4X8lYFakcIDHCiaB/VnomhJqkNGA3uyNAPdHT+7/FkA9h0mODN/DRhbH1/Qx0lZu ciZAe6zN85ests50MI0ApUXVoe8rMNN+cOTddiB4Fn5PSeuF+YBvOwvpp95fGK6nI9N3yysOrv+G 2oMGcNq4Qer+yZfmrG8tnRBz4TTNdjG0b60fdg8ThfdWsHPravdK3A8WW3YUI4ZsfpUtA5aEHR6s mYNl3+Y+MhSszcU98midP0v80iTmRGVmwdm+8aDG8VIFBJzTHLXqB/GsGiyf5b30GEp91Ti3/Ui+ nEVfTaE15fg8bDzOPt7zYTQ7BF8Sx0zSZ65GDV9n1q4lSqlhLp0w4+PXyFS5fq1FDv+VVdxAG4uZ pEFpSsTSbsWvdSuugjQfAguCwzh07sDs+j2cxpR9xIFocQLOMapwPQIX2WVKYStbXwy29iBOWTnS 4pT0VktDakSJXmQr2/lOo6QtOXK27MpOUpXloLyBY9/UMh1SlsEyhDm8OZ03xejIFTdLze4qdNtA vwUMHWP80sHlwO9o2QEd2WQbiUWBYht/6aioXyLU3/0FIaeTOqtEWjhjxKr39AjfJS7fT+0Rhdnr ba9sIwpn4iLDLx5egyKumP3PrjXbzkxpmW8AhVbhh4+odmp2f9X83BfTiM3xBeBILtUSmWWsCgLn gPSCmFjj8Ux6p2tUom/k6V8mKnAmZNduBuoXOxOnMZ0w695qpxQdJ4b4AHBJrJmlWcWqLiT2cjdh ky25XxBPeA8AhvKRMRKUSF3MWCOAw8BNTmsJWAQ4GwMs/CvLoPYNVU1KOgDF3eBaf3U/WOvBc4h5 ZENWqDLiOzVR6W8XsPuWRXlseEnEaD5oLt/FRGrqBf8Hf0cNzrCBNuT4BCg7WwtiqQb0MHXwTdAc RrcCz3wmzK3vl59wJd4M6S7mwSo5WEa+2d6Lb0oPS75BcMnYYNGID1KZ2a8ubfnsjQ/l8lY1iuEZ FOyH9maw9Oic8FEAn+zc5ZVZ8YPp82VMihizDuno18UpQ4v1qvBqJyRP2CtdqIVSv8HP1uxKwS2n Rx6E81rkU6C9/aCjic2p9Bo6ZQqhwJAmswUWtVCfB/JwkJ52l29VIvgz4tWxzBpcp3aDtvmtDXfz Dxf25A3xhoHhP/0cIv3H80iwktXQRIqkwnxgzvouCvfRuyVZ7SrSRVq2MgRIiXEPt9uMt6/+k4Hg ceNtkBg1nGjabmavjdAE4raUq1f1BKzgVvzajo5kW5Pl8ZlmRS7aQyPEoG04emoZpbbGEPjxVhKw S995UI09hT7v99rBirXi4LejcaLXsAhZGaRsuUC9bbDK7iKkIZWBgPyHjsyFvXzgGbbazyxaAL0W R3tgQjXqfPOZ1UyfV3GfvfWSnX5m9IxM98cuy68p5O1WHrAhwsc4twtsCHVwVo774XByouqGBKDL WZ6yAvz9OdeNz8PT1BK78d9kcmHqytfZJgWYX9RLFxDtLZZfKC8GOltjrAwjU4GbC1bVvcEMVLYf DukuDBDfnADGEuKAYC3aTfiJx5/UD/OCEw7NNcQA78sh/jd5SlwjMVXOLfFylyMIbwAF87vIDNtR ULzbA3XLKq78Lkhpow2QOJDgWdA0a5Z/meclJa8eg5dDZVvHmwL896tTLS7A1//08+G636VCr8uS 6ANeoJDJ/eQvOOH8R2npXXwsO4fo9I4ZXGTJwzwxpDUR/vLww9rtljuRJelQsTYFwpLmS3RrDwPK hgMoPo92wKaXWDmbaD3BwepHpQY0buWCgjGxho1kn3OlaNdV9AFUJzayBXZPgpH0uT4SKyWzszjw AF6IPIvqgn4cPVqeVwrhcsASZvNNBcCmyCNTUR8mA2D1xHmmooI4Ih9W1rgQi3/efL6fRi2GE6TK hVdc8PR+fS8V0iqTvEk7HCFlxutLcIrMhmL7dqkwtbU7KoJxc8n5MaA0JaWdhsrqNjS+QJ0zfpRg Rln835XW+GcMVIQaakvjv/DGRutay1B3pHeEjITMdwPfzAq1NEK9lvJFMvU4QraE5zht2dgz8W71 liB2RLGV5s+PFLtdEDSYasvij7na3WUWvmvtvs42l/r8++ryHh8F5NPZkFRWOp28RWhI2qE62YGP bNUUqqdgRqZos9G7+lmZ2N29WDLtGt+E260q157ZFbCrYCVYGwX9jWAB+eW5PtRaB2InfdtHIkuV PuzzmgsD+GerMq9E6tesL2hYcd76JGJyT7VDtUifiTlcbU8f+jnxSL5Q0ifIJ0VYp5UEZ0EI2/jB Bd54kbIXE4mkETAdMCflxAlIB7EQRpz3PW1uHjL2gN4dsPocZVvIxzw20l4vs3Z/HrPHBZeU99W6 kXaR9rbaiPjHDAHOkVzUIZCeiCpmhbhRW5T6+Y+P1uO2otDs4dvFvGeRT36w7k/Mn+OJ7duF3Wvg s0o4sd5/qiXgSnWtTC1526KU841WhVo9EKt8Bu2zkTnc3vXDlRdGDzD6iJtjEVwzbT3lHlR+CYGv YCvtUBAuHvBt452V9llKmligekZHOFkMHG7GhwpOgjyXOcWQMVOeMC4oVrMUe/19XPx77ELViU69 jmK8clXgfUzyhaiA6JOgCvYS/SCGw+Xv8uL2qtxPpSqCr/jH5nsKsni4jB1qmkMFIEODTAghRi9K mu+SQNKH9HRzcQvOUOM5sOHdJ7ebK6LvsSF6xFwACGOZb7J9Wt8jw/Q7/46qYmAxe/d3rWxm2XL/ /lLWE/+T7Vwz81nnIgoA6WStp8Pgz8S511uwcYVPJE01pfD8WXGpr7tBoPUpPA9l09KpZVaBqt88 5JbQXPWDINLm0EW7p0Lp1Axthaiip1OcYuXTRKo87z+hZaAG/1+F7ii/+VGWmrnqpimd324x6f2V TRjNSszECwP5lB93sX5bTWh8uwBhdIU85FApBULr4qHPy+fN/7toTb67ddTzR8jmnSiWsZWwrjCu IpeUPnM2MBui05PLpQezTeTJ0BLe8Rpoqhry710hKQjlU3pB2jVjEYDzDgUj7o0yWqpEuUymAyEG U8kFcz5Djr/hvVnM8tIpAK60fJnNdm2Zn0ukYPzpclLJXguX5uC/GLz78EqEVMsTH+k6U4kK0n4/ 6RIVUCL0NQoAVfxg+ZZX4gItNWKevPYglnZ6akUar0XboRDta1yHfJ904hwOjJ7//E9V2lbuO3nJ j8iEn3gPMC5WTlVjHvyHNxmBC1VhF0+X2t4SPK7jKEvyudnBHd7BUtcolHPfrMI5DXGpW+KEwR9D LnSYOkgz79dVUCjI6r/rwHah3Y9ko41Bb+qFhnkKlhivhct2Z4Kw0re9IFz38zEuGtyU+/Rw8q0H XuUNSF9aV1m2UZ3zhy6zESb32dJ2GB93/jBcJLsggjEescv2YkO4UoiYj9nMAUjTi/owqaM6oe4b 5PttKD5Tsg9XObFUDhUqTNm4vwWs3e39LQ6AGMxV3up6CqJE86MqZkyDqxeAtQmAAwRjw28KmIL6 ET0ah6NBs5z9jC9iEOoovJGdUqsyjLBXm7l/6qRNn6fWZILLzxrSQVeCsyzqqPRNEeyOHCVqybWH qVKezfFwQeUY5rerQ4XlbIByMkui0ArPabHXF5V+jSSJP3mHSOnLr4tgYj1s13pAExpTBaHPN/cf kKT+VxmAqJWyf4EKeIWsz5etEuqbIh0CB0FlIBlx4gaSEDxlbqLsXi0ryjfezSGEqzBz1gMSKE5D Sl2Z9sL1WYUwWwD3pwnd2Q+AMdiJpREQWErWTbmCSRmw1ocP0H3sRA9vdPvslrN6YuqjBs3iQCRQ nF3JO3v02S5jONRukK2qCeQBJGmsTwVtjm8NIChMXqNbMYIfXg5VmBRKk568kcUhWL0bdiIsc+kB 3V+AQBIjDSEpQ9zvgzaiN005NtN8J7ihAXNsn8Ri+BNq/ysABnmvnurZ1KK/HQ7+XgnB0b3Jn3QK GQY8o7PZ8MoHUmsdReIzRPZqNimIxnmtp7gXLsY0HUGckZMVw8zlGhY9DWsc/NNZUre4Zy7BY4W0 atVYFAnix3Xf+dI091Yo/dvbM2/kiWVH2KYJVzyQoVQ/P8hHMdF2bVNsemI7/9l1eTcYo/83VVlX XLJERTsllYjUYRNdYLWUxtXk1pDg/exRvFuQzy8VXcbzTalH6KQGhqN+z7rI9PkQ/MBCgQTTuPDN G61jAL2IUryx0skzPeWHpl5yWjrMxdcCALOj6LhOWYcFO+fOUmfNjAgyg0znASwzFftY3ip4uuIj O4lc6I0tp4G/IbP1wF2WKKLYEBvx2iGQ0sGJ+P7Yy3HS5SJa5GIHCsbsMcQ298btkJPEX2D4CM8I oNgFFgeAaVT5lWP4Y51bTqh3l1ZKHKdB1uMPVjsXR202cPO3kqwn5IRlp2XbS3/Ny0+mrnPUBUxm jxWaTL9DkN5T4DnQdZBiQcMiHj9/X/bcVdF4uTYsaH9B5H2/PVTToEdahUsJKsXE6SoW6qlC+pZv 6cH1vhBhu7CJxsO9yMyyQTI09bOgofJb1fkhEuea8+mR1K8UArV2+OwqqspDuXEn2hBLOVDgoTVj zgwXIozQv/kab+ZzSuTEL87qv4/8V/GLcjj4Ruz2Atub4oFFFOD5QiD7VbszJgcicMQprypm/+2w PKY83HnibpTJ/CosOFhzKTMLZZBdOM2A6SBjcGYaReUmwiGe/qIzOaU6LPmhJshsIsa7P70O1Cd+ onYp+uBhkR6FgWIpOgpHkHyw+tDH4du1vGfdh7857Rib4xe4cxLKTHDDNEKb4yJCUxI6U97YjIor 5/tJJumBF0lH5GY4bcOnA2pRwB3L8zMSVJesKLh8zviS5J30c8HdkPt1pthi9iCFGpe+zk2w3nru xp1nEsKBWdf9dwtuv4kZGE3i97ZlF851MKAAHVVB485SZYIPYb1I2j6hR+7XR6EXQjN9+UQhsqMw 1xDA5gV0uS/HSg/2QM16U5sQKEfHKWwvOSKqc0A2vBBIot3n5c4c/FKvaCidLBWp4BZMXUz0xiBt 0jVvM03x7ei3Yu7nxvYqgE+KIhPljDPl4OYeGXrgDjr/bwnJ8ltXzhyqepRqXxXFdULm5LY5PfPq m20tPwK1Te8xpxj305gkmlF0T4jM90V+BNrPw7Zljb6rICVx9Kq+cc6ZM4YTmUkFI5Q3zI9mJddb YsRw3k/P4RA1ui3tqrWgdDHDRvoxZ8Luf058vDLgctH401uRUsEgmREwGHa5G8087xjuQbbW6L8K s3mgwvKiekxRKSOLzF1++N5nMgOoqH4oOiiAsvmjr6SIyl5uFgj9uwFBIFsQfqnKOlpFZbuKuMHV 2V5dsmrcAVMtqoltCIRyVu+I0gHwEYnE6em3PDmMK11bbslPg1a1SPTaDXFjrYaTmst76PZ8dZFb mVkW20eNv6bqH0j3YkYGceuJTQ7AmYgS++LtAgtpWH16uzrmp6GN7wtJaGhVkGA/oTa7eXlOtxrs sScyIglORAl/yrufqTdjp/3wCNnOKx/urc/rD1Gd7VzkHb4tTVI9a/PLH2Axgwd7Pz2AZPw4emB3 /jj+KehZ4xt/tnoityazWoGzGEIZlyOGOLTrY6/BpZ1feMeC53hDtvapSV1Un+eqZizgh5vjpRLV sVdJPWTKF22tJ7IPRa1YfG5c4aZLVfbBGIsaVDf0VBoqnfs5udhuk8SAZQI0FqkOdvQiHjmYfMGE tVDkdOJkg6i/i5yURqv44sLzRLoruqDXL0Wi6d9B12dLZEupDAWTnyPHUilPGmx5FZ3i5i/JE+dI rClXNc60fi9Cl01k2ufwgesfVF+rSxflhlOvtNxbts76LHZgKKusNOeON+z0AXiw2LY0FuY+noXY LGjDN1s1gGIccqIg7t3+YF+FB4Fz9IAucIyQoLORnpnCo0MGRXPB/Q9EoLFku0UgM7DQajSyMo3Q WclGDnowJRfnrEoijQ0U9lyARuNFmG6k7lBwwiAERW31LK0h0/ofZFeQOOX2ejv3B/d+o8VL4hQ5 3Xuqh32K3YKhgaoRNqK5/qsQlGfD6jljkab+7qJR55aVTwDCdydaHEi+a0j+nlYEYmoUd+vIX42m LpIK/mSGdPW9beNTsa4dMNE+fP/vXa2PJIHkrr7AAqYo25pkXV8qRv51Y7/wwoQ3LUB0IXy6C6r8 OqjrJTQAU3ITyGC4OtPaHCUK6zEDXHiDZmsa5nHZWrp25iGkFrY3Vl/FZjtEoCuCfGyThl8ema7C yN9765qlKryBsak31bgIiaSl7l/o6qP+vWWkvBTJlZ4JJhpADsFu6CUOswCc50bNl0nGEuKqg1w0 aDqr1HvZdarXelIt3miwB7s7NacG1BeMGkx/+ikDf6FfPZLlIb98TDCVzqOWzk6zV3EpERZV9z3s ccpZcbdvrp4dd+TrjyguKYcEa1NLSBEX0Whpd91uXbt3g8pDrXjbQR6hSNUPgKChPeKF3Buo8QMC iVrbz9jlCv68/qlvpEqBFm9FELN9gIyMCHCC1S75EqwjDQe15cIwVvVrm02V03ex1wa98dKCdtjy Mn4ng1cR+BCspx+RC5VXVidWqz72cjAYtPTFG7s6Gz0wiJgSNLkCsCvTVhENgGQc+DjfFcJ5XyDP 5oSV8oZtXyhgLAU0ygLV9uDyXzztHXHWvKf3g88Auil+YzDYevVb8mBQYViiJHAVdBtBc/aiCMzp 6PwEYf7+c6gnJwaduGrf8pfxWq7ApOtLvyU6cxB4dQVd/EU0LPOV+bByyVHrBGVThxfM/tnWTovz s714M86GWhJA+kK4E256MF13hQc5hXIKBbp/l/22y51v1+2lWQVjB/fuxOGgYtlhebhncXKVdDXC PFiIdABsmQPKPUCXSblzPXs9etlGFImAMFzB835LR+NVdS2wyaljzBr+BCCtr2pl7YKqZfDnrsTm 2gAthHhsFn9JLAY/9SEi8z6UdmYoBHDTE3HU6IyOjPDcOFtTNTxIZdAgz0Nc5FgPCE9XQvEbV1KZ FI2hFW0F/z1DEujYDDbsQWBgWrqG91iKsoHOXeOw3Ak1T+U8Gs2oThW1OOS5qar8oCrwxahGxATU fQWy2S9wW9gj8Acp59cS4o5KCObRljx50TA6Qcy3glS7bWL8NJau1cS1eQ+q9TuWqF41QnCkMHmB 20xsZrcLztg6Tw4GnBagGV7CAa6B9TD+CqMJCRqwNXN3kbrGuqCZuduA7vhw1nPyXhRTj6/AJP89 cpTnzJs+MmibTFfl7RWOsSTuSCW0VV85oa2gslh6JMFbMQDUfebvZ1Z1YqLzVtE2x3PfBC6cyidm 1SeqPB8NxDN8c9JbhPvE5tSDwO7+WqDOeANMGtW4QhR7H07IyhyqRLJXxRuyyeAn4sG4wjNfug9w fQkGPixAOcqUJT7AKnaHCtgWlrkHWXCC1Nczhb6CNPSIGZvXY5QmZtJZggqS7J7RStsfoBe+vjJa 4/nmypYeTYv7CXz63k2raX5PEKdq3pBVI7F9ZZO9yl0bDcYeLQZFHf6VTP6GAs2ZaByHK7QaouPP 2sOs+7GRB5Ky6Hyd4Wi58b+jAwWC8mVRaQ0KllhL/NMz7EHgpYM62S0CMpDdYmBqWE6DJpjWmpN+ Hcu/ZCFPuw/Wb6PhjyUy0UmyDoo8IN43dbwgr5pH4lAaahiVYTTaE2qKcDJj0j1iwzNdgsFnBJvu UEcGugYGaCI6FibaJfPGyZsOWrAHKzH/cLNx30orl4FM2h9zIHP10BRGXxY1V8AjEJesYWHwipRD R5xgKxYeCEf+SIrPuNJWzhMXmt9n3PmKyKw3KIqPO9ziCTEaOkOdnrrjXqWIArgwRrIFLqK3dVwE K5tXqyQFs2KB+oz5YpQ7fnDS3ZFNwAdLSLfhcSeq1hWiqijrMmFLZm4mQEf0SrGQNdEuvxcKGE6L Rb6DfDI+nm07n3yoxklZzOaaKIMVWiYYe6u2s/ghGUSHBxN+NVMGyBsPwesK2P9Bjq3BR2Wli+v0 NUyqt34+RLhdrl41c7QsDL9pntSXoqc8+n2Uq/mjGFMmvc1qGE4eFAvFMWcrxsQ/GQ1Xx/iB1UNY 1lXls3aEiGTcooWlcTEATah+xgbbCM4Le9xMeUS5b/6VdoqH5vFllPoPyTPuSNq08G6Iu2Tmb4wA hjbZY8dJ7NOmmMcTI/9GhSGMV+nDQzh2w8Aw8jJJGObvgTD64Ue4DN1F2W+g+c1OsFaDMcv4c5qV J5rvV7WETYlNRJAEcC8h0MXMBVPa2qKklzqLY5Lw5h/YYXJ7SqwgZOGCXFpgc4JSlo46KrPjQNga 6DWz5OSwvEE7aL7ykmcLXv/1oGzvX5Ey3KUD0YZtwIt44Gljr0oE+0PnwyiAssOUUxdhawI3SRCI Z0ayqjwDf/J7rRfVsebHK5lPegQHLd7FJMAHz3fBStLstKIQQQiZ0DyR+0o6BzouHtMlZ1Ye8njj XERPfvvks+lFhWR6rep1py1D2oK50kIzm35DmclrpUR7nv+YqqOtzgihxv1wdIglmqeJZjXMqs8A V384nk17zn3qBXse/oGQda9jO1KplemM5Q7zffbcL30APPXq3sRIiNuiaLD3VnsotvlXFw8QnmEb bvUc5kaSyrlmtwuPJQRgGR38dx7aCXA5wptJfSW5xEJD664f3Dmuj63Y+kMH9dgKDgR9uK1A20Ll 3dUyIekKAu25M3leu/iQXLwvWs5ZOTzJn4qG7EcCLq6r7KhXxU9locCbJwMi+xE8DcBcaNdMuQV6 w2aSIf1EzWJsdILRkee3qzK63yB6Dcg5fyEB/SXQyyvDip1LDWx3RfgrAOX7d8TMgGx3FlG17hfv w5A2sXFLL+RBvh4MoF0+ApzJDFBtj/hUFxnDepUczv9TBiVQ2XXp4ggnkdhxK9+K/JeXWfZt+ZLo mbl/yvczmYv2V24T7C0su1L719XLxhoGttjxN//ROwKUh0W7Bjx8Ymf7aRi43vnLqQLGg8ZO1AWZ tu3jt63lDg3eQTFXnOgh1Ol8FVU3H9PWNUwVXamf3NSrHtSs6ypZ3nDy5gL1t/Rt8sAplIfiL2rW a7aEXRR/F/MnIG8vZ7WwMFYDV7tl8OKnquFEwnp9dEJHuGuDi/k9DX1djRbqJ7b+8ye9pn75+Zep FlFIGvxX8Jesv8zZ8X78dFI5gSZ/0KpwQKbQpVEDifBgqs3L+9SSvb5SME7XZwsax+Vw5ju6Z5EP 0iXnNmpEtMtOU8vfEjz+BQuk4G00SpWKxf+6ICWJp2KWRvSrPcZG+PakTsSogRLo5TdfnC7KHinv f5sXTyaHXMy7OamAbi81da9e6rIvwt/D/6NS53jKmtULdWGuZ/xypAUHeZ61BL/Ps36Hf27Wtl2x uU7ipaZSZZwAC51rzIkWjP/NnekE4IpFhRILzpho3siiX8qJUcCjY/H+foAV3LnbrhEK6fkQCSvh qqyUCZRG0usK0Mjvt8LxbSB3lqOFEc3VK0cW8MM2TGroEtUtfHEiqaHOe9FG5KCA7CxvZGA3KGYD hAlgOR+vsSQE5TJDVjvao3f3U8j6NiCBWhQ0ANhCu0NGAgHn9zzdO0ynScn2EABypZdJ7WuY88KR M+YlcoHkSpyKkDHYpfWLyPm79cuz0NJ299ch4unOHNERlNisXALB4t6vKDF+92ind02/Os3JQs1h Jvm5xxWr/rkUB10jDCtrqDCajkr5da6tZhFUGikqIm8P4dgA+QVMvZpbZQaeaAAQ18yAo+cGn68o ODK/4m7dD8vGXswpMucRrnXJkE2JAFbI80L0cZt8WrRTuZ9Sdxo+o18QzMjsNgPcun88KBqVUum0 Rhy75I7R32kSnoAcxAmg3M+c54pTZiR+WdEK5sMVj/iQKdDPSErb9RZ0/BxgiCAUVhmd9g81itDg GfjUrKYtHFD4qDFxk7ufyz8/+2WhiHDBAfsbutLge5+HqfhwtO/qL+0P1nHEFDfh5Odesusp8RQH t/zNGfp0Fi2Wc6MI+LhC65qKZKB3mDhQ/WTxr1G5VwhT6gkt31jb/+kMSg7lS3khuCRIYSEeWhyn RzZrvBDF2EAm0/4jpKCKLD9l4Uaeb7vYS44zV40Jgw+SjKcWoZW3dEOeoFBVNo64uZmh0y+653SK +T8HNCa2PBU2v5SWmy3plzjmzIfJ3douwi+DuxnEqNuvdpQLwvz5ghdicD5v+upn7941Pusf+F7x CNmtr2bGPmEt2wO09TE5Fv4tMsoTveh4RktHn5yUYLZkKp9Ga6tfe/KSk9wAH8eXcYqSuNX27GF7 y0BABB+A1lvnBtO3JwxgXRHQHK6+M3SbLISNaMspkadhEiOwB1n5Uc7FX/D+YmJdJAsrfEm2gabT PvVjKONScwtg9PNkUhNU9bIjgsqIB+orldYR+a6jUq3OAchAotFCQazZHqhYSO//QEK68HaENQcQ 8ncmW8vNQRBlkRFuaK7lqUdXkO3E8b4Ku5smBpa23ee5j3T7HH+oH7K7VOxOLiVco89mWiMW5tax wvju69dghf/oo2aIDpvNYbJb91RgiLxnAcOVIE2olJv0wCBdbDxKGiky2Z8II/EkCoaHc71Rdq+k b503I23ZNwajMvueVlYoOwy3rHd16frMkRqxzgG++cTMd6AECoTDqrwzTQqbO2Q28sS99wVftMGR AJK9NuCRZKauqm1K9EATkVM3XMWcZ2pw+3OQ/d9tLlQ/CsFC9ZyjRxS+K+sZ1X/Ku1ox1qq+YaGC v+nVAZNcIJFCR0xOXWJlIQjGq+PrOoypS04bPWGjHiEM6VOT9NJ3TkBfgaQwKsdkhlPKuAkEJvZC LnY5IRCfEOQEra8J8Ov+Ao+CvxzDZ5Wqjl4O3V9T1BHrF+6ZtQnrQAcDHikDa299nk+vCiIzkeB1 +3XOqvSTTNXng1KTmdE9b8TJfxhb5f4OkrLoaIVQlz9KuJgc6S8Yc2SnLL7lwnRgPqprMpA/P4UQ Wewy80v/kcUa1tBEJodo/yDFEChwwNcxj82mLKhoMrgQjpuum2jb61jPLtFdocVQamPB8QdaLTP1 BrmwJB4ugjx5adTFwMYQf0/5Dg5jrG62XkKfnkhalS9qmRl6yU+daFXiGzXbJ9x4ctkpjq3UUUOv eqid7GA4Of/rHnO83cUiUAG89PnPyumqW0+kaKZxGBjUmjx1e9YYzMlfHIS6mvf0Zpjjx7+dKOsa Tt9jXCwxO/FkxbnV8DI3Cs8u0EIr9nF+bOcgto8Edr7ZkqDNNjX5/EzPIqyNAdzSELDuZt97nPwj pKyMTj1vHCasLitUUoO0X0KPflpAO0HHbJ9zdDqQQvD/JRPP28lD1uPOtVcLvPr2HOdwdt2iPDKj 0WcxgxsGHGYVlb8GylaEWA2YZKQkD9mXQ6IfM2YhSivgXNLdZZRDqAjQtVFR4NyfHFgVoKXJzD5w fNXYxoCvXMf+2O3+oA2/O5bOEVOC0mt+4I8WNOUe26GnfKWxBFKxo/fdSTGsVedhKWoWpJfX/RSE FbGB24u8CvLj8Y9Z73Bj/zW4Gi0YCIay74CqeIc5qQ1Xd/PEs+XOOfYBRhagGTISf4udxGUvxtxw YdLGeEYsdbLOxRG1WbcXgqb/ol4MqaYFo8SJ2SFyFt5GNfWaMNioxb1v1I2Rp186jiDwe0fgA6SN yl5pZwSMY/Zq1s8qjPSl2yOLT6PLekMtmieOHuGeoidTkgMjIkly1ttLOhekL1lTwiYKGO0WT1ei ee9xc65GYJO6HIJRqGMKhjmmN5FKDlFgXWhUuVpIpGRX05VtGCSz6c/KU3obT9j7FRv2HXPdh9By RhTKeG/dZWwqKmL2A3+kKjlJghhchkAw5pXjz9hDARbESd6uIr1g/uFcb8ISATbPfGHcvAnQmevQ DP8fhltCoRXwfmVFIwuxe6H1MZnbL/pL+gpUyhkYESlQi+YDTryYMVi95MbxuHDJprZlaYzPsTxD MGkdUN0KArfpObx0/OzUXpXyzmu9JHLEaXtbnfekiBnjD/DnwPHu5uLA4oDaX7s6lkh2BIf/xg0W tRkXmVSadEoqNwV3z+31rBaEAIXaOSIb69tK5j7BukPOImG7ejf5kMqzCp8YPIewgfl0+wJPMvst pV9HRzsvg3TdS11caaWIYxpbAkz/PuYhdX3CfhZPA69WZ84giU0CCY7Y5w0j5jiA67RzFI8lxGVs fvs7kldxIrwaXTUi3YCzoAGQbNZSeEux2t9704tU3o5dMLgnmWqs6YiWdREN2G1N1SyLlCFXpgzM QYklul3L4lOX2KahSlyrDWy9H8kx3GJkQy65HV7PBrXuo88r5k1FhWMurdG1UryS6iqDiOLSrcCn 5oc8aPzofgKpyjKxO5KNG6nLWzgGuRPrqllOadOFiUIn5l+D6dfiwkg/NreqpVLFSSKgnootJzET NP+vP172iimgNtmKZQe/KX5hnx5WkKa3lP2YdMg4NnCRfDNC6VDwMh4Yo3M/t7S6nBW0Pmg8PJLF vkBELNJAJfKgsVFuYCtlCce4I0AIRbjwwwHTvvpiJZloFeKe8xERAvVOZia2jBDSxnA5t+f1LItw t94hTo8kqJQeUHyymkMBC+KPFyWoB/tBszrk9pPn0VP2rMRhwS21lwXfSOa2lA7aeqrvqwEDJkKI Mqv+OvR3phSCD2EaKXKgS+HrG6C5HT5XtOuf1mOccuN9IWZrmDi/4qMj+4FexaEMOOfv7H9lHVo6 gfyXuSTwMUSlbv+pZahdJqQqxhvt9ohoFbycveVb0EL+skhHYzCWap6SJVjHgVumqMudC6Hf9B+m KQrfHdMMmM7k18lN5uyAsz/TDUig7dpFJFBLVUkygwSSHi05QZSgp5HbT9On8Ri4KvJS1sz+sDZ9 IOdIlCiGAe7/s9vxo9z3Esw/ODDnEAmThfI2hksIsuYPIPRpH7onfC1ssA0k6flo9+0/FcjuSC5R ZK24e7Q2nHF+W5orVkgibYi0Cz5n4Cr9ayJpoSQEwPy03iQpiY7fsu12s1P+A0yEqu+VkvIdoc0m ja5aoM9bm/eTgAdrfDd9V69B21YD5/bAGr0rpOXn5YBQbzM8SYtSTNJM6X6wgBjIsgHOBFHllcKO 01qtFe9uLHPAGvw+3oMR5/WTMKPh/POTtJzzGL/3cE3Zga9vI954y2SivCiq6mhHfK6w6/7bkht6 vOkSdnIhLjDAuhzu/wHwG0p5Wl/esMIronppz9WYRQQRCxQ5GZcgm01n1lzN+jinubYnrbDyNLhe IWz0JXoChzLPrCDbEHJxGvXt3zITgIJtQZ/O62GIAF2PW8du67TRIn8x2ChESkkXZa6evUc8svIn 6FP5dR0eoVlhs2inG9EdzkSgQk8JV/rumvcfYnFtdQIm82+OpfQWDWgzGB2T3yWMhZZnPf7Ovh7R 8bJ4UxGo/0CKzBZ+0NJLWIpx0Z1t1AiOCfpEDIb5wTWFe0JNN0lXzQhJ4gu9M6YRjvRpsLREeWQ+ vtM4+772FHmpP9JYji+jcO4ZNJnHzdCR8j3fConefuApUOszgPc2w9cGCZyg20aqu0HhTF8vvFYD W/MmBsrvn8ZRTL+soV5ArrSyh0vCoZSGUgnCc4SxFBIapR6XCBHEZhjnw+bnUXQJx5sB5jUtp3Cf OpidceV71jJazLZIZQOZq0b9Mh1JvWmNRhVOLGh31etXGk7UeWCT7tN6mD0xXnhgC1xEYWYVlIfA v3h7PqLHU+9jXpsWDpYSp8A1BKzxxfpYJtvgKmv+3nTILMQEZfch5G0DTlYo68qhbipLrB33DTtm UVhZ1NFneKDQylzIWiTv/v1hPxjKc0OukWbQw9lFe25Gq7twZQje5eMe6j0ElxSImuZPYHxRjyEv EbjF/A25KHTqgCXKM3YSyH18vBp1KsXyqwcEVUlXUBBg7crsgt9FxceQYKVciUZfIlLUXfDB5zc5 lYQ0xr1vu81SfCo5QvQZyurInCF7XmfRyhDfqWapOjr+PG8/kFiXHWaXmfkjtfaNBdLUK313gmdt i7fuIDaID8ZjjuEzWNC6Dy8mrpTvGsn9GxFTyVQH7pDAOs86cDf69FnbgPWvCHvwNfNGOScuSz5c PcDaBjHgGkP8g5zlawupy6e32wFvtz4V2SJeUsSsoldrXm5fwQnCJNq2QWop8KhtY9m18xit03PJ 3pJB7oGHdaCnMQ5+ndX2Bp8n0YNJx6mR1SYIGiS44hC1MjFCXvIsnNncP8/D8jG18PaG7z+FtmLy 5+cC3MCp7SxpDevq8aqdBuoxL+o5qfVVKv3kWn6oRuIf15cubSxEA2SklJxnrWk6c+55YAhQJQF3 +qV29m0hjUd8VD9NigvtGn+55QavzT6rBWsyhH0VgVKdwOEWWHn1A4NS+PSeeMeYd61FN5Ad7P// wUwJHm55bB56i/0I/HfgzUDKfEoA0lAwwySGj/tOiaYnRqBUkihsXT/Q8l0Q3f118+cQHHcFPbCf Maty9jZwNDIQcq2CQ/bMP024xTgZfQFfPYVVqYCoS07lk7Wq0AWivh+nQRjq3Dr7X+1LS8UjR+BL hf918E9PC9yCeHAfuUbDLvLUJXUuXETZEfrXvp8fE+MxC37cua8ICd8pHMA/nZnBhqLpceJjxdBM Q7oEnE5cAf1X6EGV1N56bd7eGSGDxhYC+Lo8vMu8lwP9WNAQ+XIkQFt0BN4azSD+sOXVq01WlsjB tyTy2MyI8MdMMaaQygrXhB5KGz5cf3xbYuzcvGvXm6VVAhbW2KwRisXbclIwxa0uiYJitZB1a54N GtH+YAh3Dk88Tg8at4u4LRmuV5mnNyVtd5EIEkO4SGca0LlzQuCkiHLSXwvvAEhdRl5GZn3gXbTl uCJJwTl1KSkSP083xFTciKy938KAuWACBWV8AiGqlfUrehXcVSPPgOmiWJISgFJiTxY3hJVISaN1 kh4V+8ynrG91g8u9uG5/W/T5V2bRkTBpWJ0Ha3cr+oiGtDXigzdBdn8Bt/qilJJjaGj8bxHNae7+ PFF9mN66ubitfFiTFJwPVCf2FJG7lWWC0Fly1etpHhcU6nQJju6Us0xglL6mXE/Q0b/cTZad44Sj LPZrQgviHTvDpsJEYPtfTYQRLHpZ4hcsijOfhX3Cfaz14wScfY4Pw0a7662joqHBI811AhTkCpBq FzAKVD4aSFTfUIQ8Amx30iISV01Ixh50wg4GGH7+8y0LLp3UDDrsBZRoRh906KEGxD3TLI/2acN1 XWnfr8ZINupAxW+uSDNKZAdGxIzeSpQ9sbJACmdKVIS6NvyHkjo+DVXihHl+Da/+wNEUeRgjSl5R AHNniBLQtEHASZogARZCIOmzqlIRuot3Bx9Brd3jv8Z+3wCHuW+IeHDTwSDHIch3GYoFpzoWdKch OWvza/rSSb1+tb2QLpIZfUkejBPR6UIhCh50QzWoqFZM7nthry2Hp2ig7E//fpeDCsqhmUOEcRF5 TC+27EanwRFIbBCRH7goqhbg/JcKU9wzmqjSheGKICiOGxUsZrRtR+DyGpH4+fEXOAYKZrrDDXiz kLEWjphW0sU7tWSXiFaUtcAYEHxlA95mye0LwJvdIMio+z+oTw3ZBJ7WakjOh3K6ITH4fV4SgaD1 pBaHl/jdtE7ssrqzbkz6eVVNNNIZVnfI0/xltANTa4FQWe6xZD0c/gjvj8sDPgVOnRESefEut/3e 5Yz56n1m5QCbnsQlHtTDZxL11v71sMgklmr/OdlqnW4WfXG3qLcj0wP7lBHpqQJoRrNTrFTMM7DX OujzvGxTePCSXHInGRHHWnj98mNUa3SdxAIE+36zZfm5IJjcWj2XI/QAzOvfA25uD8TjJILMUnl8 2wZj2+yjKuT4XRsVsDudSnJGqqxOCMR48JFMS20GLtqybOSdc2hkmRCtZpxUrDXwRQSsYhZe8QDe 4EoWpAzb/1crvaHGLmrZZW7+BFnPAysY3PysQUkdXEhyRb3r2UKwl/iZ8+igYlVM7EHxXnEegH2q /ip4BLRuBiDuhTrBnCP9oop+wGwynbHwMTp6gFEuuk173mn3Eei3OkaizEfP2Dxq9W3wrLozmGZj 9sWjqVIci6TIVTFFr8938C4QghD6ELYfcZDacdPxfAGMRAICdfasGmhJKNHZSqa/sjkkXLdIGB4Y pA/qZmdmWNKHnkxoaBwQ9sv1jQVAubVGGsyd3ykNZv+fiDtC9Yqh8y8nRxassiOKJQeFe2o3GSQZ eNMbzWhXeewhJgndKE41JAk+pv++J323fMxpEhmcRiAoBkm+SL42aBjXW04cITuWkzpzpQzj+Bba NwBSvPZnydQrsW1Widbqu0s2e/tkFuRdazNrV8KdC2CCVBHBbSzIWmKWf52thQMjaNfZ4o1Z3aGX V2QLSolEVPxL8Lo6lvSTxTZco1+3084uMaBle7YbON0xflJx1oXT7/ymo503pQmwLuq2a+2VzFDo hUXTrvqIEeYqU0mb6BAzT7rojiCWQGKvDYjHwMmyVwcouAwSMLEA1dSmb6a2cQMRESvPSOMXWXet 5tJhSGoVVQzX83EEhDe6IBT3/Fp46Q/TekYbmdccBUdCIAsVqA0f48ZMPPNHjXUjdYgaXipr2iyS vNNzWWQK4fzR6LhXoLkuj0fk+FCnC23UhMo8++wD7Ir02/YV9Bkpdjm1ddShbXL9uGsPi1B3Ttd0 3b54482IC2Crzehhcd/BU0c7DRWZ4HUkeIs+9c5G/R1/SRgVwv9eq2+x8dFV9379YYtdHImhQ5bt ybF+lrrrxJXo6RhJTyBCF9QQbix2vjBG2+dyLpIj5UW2mAMW5y48oGOspiG0vmWKwrdtBcf/zDT5 FIHfAVsQl7E8i16q6FkBhnYu+Veiq6WhCeW4HyZHGKlUJYt3zdVUqz5RpgLGU95S88JOiMSCoKe7 pDlbwUSbMHRi0BV6kovdBuPN9WIcwGCHzxgTkpbAUoFUl65WvUvvmkuIAhedG3I0oWFPxb/ZvpAf jCBTka2VVfD98rsl4Errg9hIftfqBZjYXxOCJAxJSnvOSUhm2okZI3utX2Axrou5LjQ4/nTT5umx m+X4gOHD1Eap5lqOecOASu8+CiLOlvN2uQ41pgjYoT3mkXv1+lgVWGmlD81A2uEdDspnquGtR85q xa23zv5uKwLN2ltxu2OqI0//Kf0LWZAxojD8gpzUJ2B7OIF2JM5fCAkKvZvS9wnFaCcrEE2YjUY5 h7JU/ATFXOfDe9vTESfGySCjnGNyQ9Gw+G6kjCJuhRUdiJZzmYwSnsdUcG/lP9myWuQDF10PcYz8 SuoJ1RxW2GoCEfLkf75Dkl6m1iH3k3u7ob7XcRadgGXhUFIzwqV9gHMFDghyPaRdYe8pbrkAAiRu 11mY0XnuP6/RJ7v5DUgSLA5RfIIKs0GuFWUwNz1PwFJKY4oAeip827Ufy7uPgJDjol4t3aUhAbvH QjkX74Q6iDLN9nQn3LzjTdQ6/5grR54xnTZIEf7LA2lIS5de2QX7M/v+glIEodTxEYVQvoikRHZP ZXk9iMCX1GH47MVYUEUoSqcRsXqyt/ApPkoJLEFkcXuPn/kYeBxw4djc7oVKUXhVdofe34hktB1W m4KOpJ1VKN7PM1NzwI8JmmUwX6DkcpcV+mlo6x4vr8ym7gHvK2rWsdijt0NJEqsGAODXLGCnTx7z 3JzL2lMjPEcRswJV5Ofp5IJszGNtg3mYDoKusJ6myVo0QE9zKpYZYPBADJzMCnt4xg3FilywCR5D Ih9QiF43XhfE8Y6xDD5SXVTMj3yjFDiOzSNtYQxJXYCiXdjs8/xj7At0f9ifJRaBhFgRjk0x6WUl rhIZ9lUmpY8BYMKJX5dYHhCFw7nDOgULPIkqCZWlgySp21M0ps3vNuDWDLUrQi7EvKZU+QsGjqKe FfZD3CZfV6w9pkPHiERVeP68Us6PNbLL7HC8boTSTCUGgNShM/Cq8KjxLRxKGRvXrX51JswkjFCW LNYPP9Z8b2is5NhlKAUWdHOe+x4XhDkzP5toIPZcDOTHrq0ZZ4WIab1zVD1OXwLZ11nbfA/j4I9j JPDGsQOVa8LhWJgFhqse3o3JXmQ5IT5jR/aPGkG+sRiNeXBeZmi2Jm3QYpSw98uAnQYIZzH1hEq3 KT1cvQogVprvebo+8YV33/ARwUoK9/7wiYaL8A69of+jycqUqZI1dBQQnoBuwfUHWWJnzfJwBKyG 6P5mqI0/FXWCzb4DmuN/tDbNx3Ral4TAEWFcJsZQaSkBr6qCU7FkbYEjn3YLqeLjpwtBZaZO2A3k ZTJTQd2F5bCnZsOydREEw2ar5XOQwX+RqljqVHbjPo6tVy5VGASj6YE3zOc9wPdMYhkoy6SCwHxA 6cIRS8bcTgomlE014Lmv/WA6+OM/cc5kF2ocZtdSDeOBKAJ3xhqKTpSUvRc2RWH0nNMqr+gg22Fw pHcs/fLMuI9jHzrftEBlOfwhG5FSU1ooo0gxeDTddY8s3k5dEOhqy6Fk2IgrG3hohU20Pmbqj33E E2EXjiHNw8O5WaieCYc7bJ/Y3VqnfBJYE6D61wah5UFL2crvEwwiuK9m4KuYwVoCx6t6sXE6epTn 1UG7u209FLhtN5U8+6pQhKZt0wWv2MtBWiJ2t1wR5Ib6WwHGHW3Gok08IfFXriV/esBT4YrbZXDg vRlWJPuEfJczAIera09w7XqgDwJsgB4t+HLehEqM3gwy8SS3xlIbWbr+GWMz4ClOrw6VIlzohooc 7vxW97wVYenGmo8EyaFFsyi1RCl9i+PNSRf7ZaxiyiS8muFjF9iMgG+EYijzxE4uHcUqUQzZmxRe Oh+TfUfkkuGYyLKZZgNNHZvaU/1Ovb96D+9o7FKPYaCCQQZpgq0b3GDybD3aUHg/gKXHQ4xFzYMb peqVDJfaA8IlpCx8UhgkxWFYgGXZy+LRCkGi/kxc5jpmBh1wYjS5X8hEPa7PgFvb4MREkCxmmTi0 Xj1r2w4+WoTyM2/FDWztaSsTs+LIh6IQsytt8EAZ4g0JF35PVTTuw1EzyA/ojjJbKsiXpYVWTLdL deqPP6YiVDcHC6CAyfWK8HFMJvWCgWbvHphujdQtIyBFff9k7sEqNMB0fO1jmQTZREt5yJtoJMhD LyKMNNcvSGbd+v1SNaycLklZsUSkUNPXp2Nu6a60yvEWoqXqzE7YCJgeRKm4fyY+rtrMfZENWVV+ fzjK345yl1hgV8To2fppJZSA6Kw0ERHn+jss015+CX7JI8y68fNUSBnELllbTxwopURW28ZYYsHk hnHnpOa+y1+3yTTtibx2Lg0D40zB8GvGmEtqFdUyddA6O9z2tL7HuIyEvvYCFNecEnu83hXqcvci FpTow3JgY8/SPjDvYih8Dc9IV6MzELTSHh65rhhvDsQNHgXaHjxQEALBx2dslZjZHbpVpQTelDTH 8bvkqv2w9xppXrlA97r9ygAhs1zz/0jFwo1hKUEG59ap/qXupatD/NjlrGoCkS1UjdZcdN2HTT7K rssQq2Qa3GQXTFq+3Ul940Ww9x54Khyf0BO/AVXyw91PP4UPlJUaGPcbsuMEF+jPq3zW3uFnl5DZ sapHxkCEM4NqqyvbQIicuy75/0+A7hnuL+ifvByfnYOlkLap0rNMSLtLlB+2ikDSX9tI6skiFrQp fAtQTPBDHLRK9g5tzAOtag4dixUlYKaJhJXPz09FlphoJ0sP6gyMUWZQUbR4ZHgZKSCpYScA6Cxq Gpg3hi8vFCgVKuChMU61IeCXv14A2jqXs4rqOA1QOe9h/QbwpGocSVMjzCQEGM+2w3Xmu20pYzEB 18vBPQ2ne7/TzuuT63MLd4fco5aRUjtXuL8yCdaob6ObUd/Fe1IPecvzWw38l8COIn2oby5yX6G4 8MaNnPzTx+/GWvKH8LMljclxJL0qeAtk21eOLiXmss7oBts70lbdxWK5+Ge0OhPKNyPmBQS+lxx4 BrHSRRkmubrocoyFh/bdCvJEWZaWqz1vt16ImVle+t2PEMq9xbs5fS/s9ipCHCdr3TPOMnI1N+1G rAkrp80T+eCJp9pU9zpw6VyxIZqQhlBEy1kuMR7aNU6Btqx6jhVfOnx4/n9AGP006Zr7UHnIH4FJ w50/FGZkwE6W9MWZKpcLz26m0tbHvTu77dDlSZB4/UvirlnXiCes09PjDDk0fhGnvVWZs/9M/uPu c2XsBt5ceu6GEXe31R+2z98k1+4WMt4nyrxkkaOtxww7G2gC2Tw3V8Bg72Jh99F9lVgWSxT6lIJV soUNqABXy//jM6s+sd1WuT/AdzpmJbdecuIxFODFH6WqS0LM0g2D9g441XHCGlX3NgOtqdtKpY+h OeksHm/qrIDe33oLjhbHEhMEr9KGEv2eTwNLnpMi5Qnw+cnpXj3EzjMyuCJuR0s0RhrFesIi71p/ 322zo6KuP7X5i38nETc0NE3xgpk5W0/9Y71rGHvmXllXeuxQHj0uFj+sQiTVJFUw2EldzwvoVpN2 Xf1iktTCzsAVZaSNTJhJ7F9HrbL3gVh8ROGf5kB1MV58f7LHVLcsnMxZ17AQmkKDMiatNvhDAWNa zBvele9lZp7NXYGAFVhqC+R2rtAlVNLx87tDkg1vDE3xdsrNYa0E4lp76ipwaafkErLvdxdgo65i slKY0nArlsW+4rcQv/A589ZdZmHT6udbGAo1AKzH26Qc8g6QJ4UPI3GR6Wjc954qUN0NdDYZZCqz g4UyGag+KHBEbBdvQ2/iJ9i2KxkTFs6U+59xxCMdyJpmbc54vJEgbCqcc8Q+kahF+ilS3UKojqXg y/vYvxudYYAS+V/VgfiDnOCvwgGNAsjEbxg8ni07ZrtjAXHNOavS3VI8+Z7ZGZC+mcXWjtYMuXkZ P3i1Rf4kQgEadSC2nefSPmYZEaOGNcge/oo7sC8KcrGTwKDC5a1hCSvYpXuGhi3u+ucxiJ7ZN+PF a7wgGY2/jB7fb/VSirRfl1jfMOarnxjFz/eDUfaZpfDIfszVmc+tsffKdglCbtFKOOviD2MyXeR6 vgpBSIcSUrim0L6xwqnjmKzwG/gazBiLGeScSuuK3VOzAtA5rMGyapxunxweBaWMOygbYf3LGMSl 3ob9MxuiNA3+zRnkabFjsxWU0o0y4msT+vOfYqIuPLnYojWgReXpplVzuLdw6DOvajurg0gtGjuX 3/iJtszrIxHq21i1CKxOI43ZfqZfGoeGWNSM981GDF/YsfLYysy37/3c82jKGrjR2e3itkDEiElG JkUBuCiXTiB7SVGfUH0ajcUP0dh2GgEhQ1rjkYIOxS9jy3n86D5xflR+xSVBO2R69yOtaDWLdxBR UMhQLppBEgc4PYFm6AYf4yvfUJcfzxlScuvtpmMN4Q8iogwtstAr5M2zIyZ1uAxCYpZhtK4e5ChT fCjlqQp+z6tQyklvxnrZim/Nuh7KFPiVUsw7XKKLmXv8tLcaP5kJhp8QspXr/QfpBsMNSaWPGa5Z rwkWhspwYaLN31cIFUTtzerMc3w8s5g8sfU72HBWZ59c+2kycVF5aPRot+zc/aTcXON3bnoE1TCk feyH3y6mNG+AsvUpK6lpNWdwI9iM/e0IynsQj2BKRLzinhe4aG1Bij16U6J6MG3hGxKyErGci3ID RprOMXZ5n0MpEcOdzzNTqdVoAAlACXkBWD8azww71jYuVsxhKipwIlKvVb5p4Rn9WCDd+Vt2ufA6 5v92gZq9iCxLKLePu5yqaazmoz0S4vij0S6mZ38MdMNfAxHrNTrLjpXFebC+oxYTr0BA7gZQ2aVi 4uPKaLjFDOpRzah7isK5kE1M6dPCgyISJKK0Ek2YPaOTOWPVINOOhWPMB/sWNWr/BpR26Eul0qpz bU2Qzqfl0tyNdcB3PB/1H4xhy+vrOUnnmRmEJjXAu20NBsKYnWnbSgTKlZVmF1vqrqpcim8qdPuw nYUDEBOlRf2JR4bVYlKu64bJhrDI0oF1JBEwCvTHwqpn75HkMbPOfYegnginCYar2xLBhLrnL51z YEBCMN7ah+xJW4dJwlKXXy+VJRmV2tb5goV7Wwc3yJAlpgI+CH+cydYUABMDsiQ1AeuMEAeR379z E00d6XnOEnMdqBRcNkLGvauBoczpiNhBDgH6m9rwPtNfMlG8Gx3j7LjXe4GG0slVwCZ+XHgXTjvK zrgjAlQdKMs7z+by3vqKpS11i49X+Tbrn2ZjYKTC1mr2uWfcIJhKinxGnbRY6Y1WIaKGKGABge97 ji1oFQQ13LkC6Qi2W+Vrfm8vhhjGcNBq+PeEg8Zb62ZwmqUPmXwZkvpTDfdaTGVXdm5N66xDNuhX MpVSzIMJvq+TLgQmbFm7xCfSSFRjT0Mxr7KzCL6vqTK18rUhcyiD0GF91/Nzf71r8xCFDwF5Qr3K vHw3Sa8ap+Q7W5MsUhzLTpWEzK2meaQCXYjRHB6F2TzNH23gee0cTJMFJe8ppUwqYz8giz01ySEk Lni/+Moz/sJdL93PMPYu+O0vAmZ76Vghod9YgWfcYxx4f+dnY9BRlzW7q3HB3G4IQGkZL2YAAW7o f4j6pzHCcTXCYYh/82Rs6qnLLqM/4HCbZBAGIMhsF3N4W7oIspg9PauJwXrK3ZuTmu36kXLtYxLv XtWlUbZ4RiDyiSFijCVc9ulptM6ZRYNAAn5FtmVNQWiGFaJDznBZiv1QtTdOyuxkSWvZ2xygO/gm Az6R09jB+8gRoX1Qov0KcjMRJkZeKwWVaqrBniGsL85BjQhYjkqjnPpk1qcmuhna8Zrc9vWNWa7f rVlgbNKcrB8Pfyz25v03q6xwqFeqbbwCeQc20f+RlrMA89D2icG+kIwIgnJIVx9hBM+363wo8d27 DMDE8Aqe5BVsrqjIkwYixtUvN1YYkN0rmJDRLgy0YtcD6eZr7euZPdvNaiv4e/ihIu+/ntkxh8fz sYNPFfErKnYQBCgre2RhgM1tBmp2fvkMcNivddNDd/CXOX7BOnkhkFGZ+yzpSNv76vHonibaW803 mi6n5M82csz+eI0JV7JvHvnbF/Y32ZNatOCmscwB1JPZTjhnWu6RFRphTY46sEKVnyBHrmgILBMq eW81SA0f4GmYuSAodNHO716Z1etbMAGzslI3n/90b4vUwJYqnGOwsoaqh9YMH0qc9Z0dlKBOneqF jO6gRURAgwsQt6S6S0NULRsRHIGn7BzjQZoo2GYxfZ8YXPbCPAVsvS3+qeN6dCsWF9Rv0aGpurgC /kEB1N8s5uH0cAo/5P08oQwdKoaxWSXWkvZf7G7yvhkStttoyWuuS3tZg4ofLQgGYeW4zbttd95i ONSKTlH79h+H755JRca/W3PudSBYGc9UnuBsRlZ8MQQvtpE4Hgg64/Howhm3x6m8G3oYeP9zY315 vwVN6+x2YeWvjocNdZ4sz3EqLp6iIUusLsQ/zylhw4hSNdqrHsbveSJnbCmZEFsyZQmcxQZabrdk 1tLtqApETMmKS69XWGUt28N4VmzQ5cKObAlz+YlbM/WggxqH4NE/6KLLeIkjVb6M4l4nMRCrWYFP rfTA7IQk0RiLvU7xK7A45QC4fOW+LdmFUVbslGlIBJ2xzW2w+QJ8hIrW40yYIe+6D07smu63z49y EuWraWpAaHeslCl7CUwF9yscckUxIgIxxOZ2GyZpNdNsk/7920XOj1MUwGcIVUU44Q4tkle920R9 JaNI4hR5lGz/cMj6H9tAVOV7cameiEsqtITxm0SNZPjSHp5cJTrgwT4Kpw0xxryryPOMs4xPxSl2 9hvkbL4GqeI1vAkX0hVg7tdh5mvzPkOOv+TVB/F/GSbgaxFEc+RfWwMP0XXld2LOq7oEwblKEwvm ktbDd+L08R/jgPT7bBc1qYEFWxg+4g4igFtxH16q0w8C3+yTt2P7t78Imj9eAxUoNRX+VNMtu+SR gFwpBv0imZz4bfwD23K4retl/yS1k0fPvLqZtmEv/PMmAdjccVDY0Ku9WBiJvIPlUC3wLLN785DW aJsV709NAH+7OTN5A0Z9Famcjn4niHCDg5vy82Y1I+/B61NZtXsljPUOuDUVsiRCUBh16cDmSfkD HLtyXBJgYdcCZaT1UkMa+kMYy+aIek0XCzvRfCyM0GPLWOvCr4dzk+qLl0uu9cnk87kVRbl2xx5L mBaiEN39g6ymQOebQskKV3M3kU4y640xJq1sVnY+lrr/0okXtfbAGCF+fE+jQWtmrmqtEmBJ4mZ0 +jEqOciG9GcwvCvIr6q/vCcRN+/FapQgm/qjt0Y1PLq3dQHggspmIaxaaYadQiBUnpQfbYvFey87 1rue97JObk19Vig7x8zLeXvNOGPyquUDfTHDiJIRkMIlO9Z3XWhbbcEcE70DqMT4c/f6FSIbX6Z1 vrhyVjUnwjfqIaP4FwfzJmuJx9AgVbDVrOzMnxKRqsJ/lilSQHAFKAafEqZCub0gvvpWrlmpUFZ6 hG0T3lkM5wua96RT50p5hEu/IFHXrYQNfbTbpkE149jzpPdf4YOEW1vE9FY6IsZgiA2Vd68AZsRD 3XNPYHndSqblGthOiMg9WkaksCMcdnSBHWgW8BpElB99hrKgyFa+5Q6KZwzEolUfZJFzYg+dkhqT jM7XjvhznsvRo+49O5CT/m9iCMxKgafPB88fwCiI8GhvoiGar+vtXf6Gs49EO3d04z3Nfg3MVgEG gj2emo9lM04Z3ghGYecKGRacPSPmI7j1KaOb6+ROWwuBczmR71Dy46KSbQgCcfDr6i6bjyH7+Fla YViHynd0ayL+ZB0VXMWA3ZpgfbWhyZkaDkh4tf95fDEECN/LIHD2YrrFqToheMgq8lqeizg2qAwz lxT52ZIOHmRlxMgF4tS6+Gta69uT1voTI9VeP1NnLkLHiaI5ZfcmVcPH8DXTw85vSl5lsabrgYux SllBHIGBvQS4CX98yv6rdP4kBO2h52CQ9SICKoe4w691wE7OHYvsJv+Xj1y5rk7+d6n6cvR9MJF4 ZF9wDQQ8H/+fLPKt8wrN6g9bChaeLkgjFbb4x1RH+h//Hg2aDL4erISOA3J1fAr4tTZaedc5lZ+U zRCwiW1qthn2T29PC5pJcZyOc6MhMNDdFHzwf55UgtulmSTH9yOhwocMtMRWROHXdAKVKPVM8c6R Zwuc9N1UABvEZNL/+AxxFwGQ0teGn7emppOZDUuFEF2kNas1pACRhk4S1Iq9OC4HgSsNLpUFd3wK kpX0gjx25ycn2RPXdOp7Ud5qBjTtHI+uvm+ne1GCQkMhaYW8etIbVzZtzLj+WzB9YiU5yLWYoViY ROlC6FR2ZiVij1I5r7JEwSpFnt6vgZOdniCIwkhk3dm6hKDMSY1KC6PD9eTVhZVqTuhPacemMbDO IYKEM5d8tDon5bbcw1ktSMouYuwJfPgzlFuD/03ln6VrPkbJ7xkrXCIpXXbTItGk668myH1qwGya +je+pfxpURTn0LpdOlHlLJ1KCQckI0XSFCyDovPH53kAzQEEtHq8gmWZYAdhg+N61ridAKTDqJgB 34PhwMtpjFpG5ZOmFWSyT414f5u1llOMmv11/p1Jyco7ypbB8teJtSq3hevBRod4f/uRgik0C7Ad Ctd04RIs1OUHrWSSpvqKYwR6G/ZLJwBklPZykL2TmX51+AdCEj0RrUyt577LIVzFrOK9gNyIINaC zLZkmFRsC2FTGJuONyTMjT1e48s6PpVI/uaa7965ORgQtqCDTWRPak+uNfnTYfJDEoqJwuWiTwqR kx6SnYu/IG9XpiZdEz9IX95639vDJloiAE9ipWHF13mQGKMw0pKKo4DjSjnsX8XVSP7qdsXGlm7D +D4rNKeIMJe+QWOQE97+6cIMjXcvA1n5mOEBdVdq6SDgb4yPCh5qXB5+Fd3tbWO6ZJVT5QD9tDXf JJ71/JMamT4ug57XKkB6LrpSQyF2zqE1H9whdeE0gVsJdhyHOB6qy/WtCauLiJ2Pro9DXS6hB0Ml tNto75J0Tttidzr4HQj46NR4BcPhb06TgSCrHHBJAJiAxKSS4E1G1Ei/4zMACmK4J+Tkc0Ob6+iI c0hN/AYcAa5Qd9+KX4w9nCOWj5tvSahdu5NBHwVOrfbHvK4VLYh3corp4DSOHhjjszIvMa6KoM2U m9Vg6WEA+8bE0NzXBoh4R67nw5Egvlr8NiRmOG8ZgbVJjSeaBPUa+OYcArr4iAuDkK0rPz/wyTXU Vgb8k1PqWUw6z8/L8d/k5EQodGv378cs1r5D8AEclC0QT8iTsZiUWgbyfTrLPmgmL+ocPjmo2QRH 8EqPrlqtbqFFk8c9N4NkSaaBGYExMMe4aGJ9+ZohkVw4EHsOvBRUtfIcxzlZVIIhr3qX3zB5J+qm d8oAOs3Yi5GKYpcDyjrD88Uu+OYqiXMfatzaAmyyiOVM2YZeekx8MYv20heCsQxku2TXaLiUzEQn wpv3GfkQFUhyXfPDvoVskdZ1kQynA6OA59BriHJcK94UjohvCS+0T+mSTNnjDbGWKlXhLBMzIT7c EzC/CQEdAdr+O7NwyWlQk6Xogh/BR0x8leMSSIAuNiEiJQ7Vw73yozSeT3xv+9lLoTS2ZK/FBa1l sMc/qYvCLoYBPViHNqX3wG6VsjK+Az9mAHeziqY6rW5Q4q5/ppfT4cn+hkqcedM6VX9flJy3ZBee Cut2rAQjL3ruSVsqX/s/R5fPdnDtDkKWVHJmqXN8IsHzAVOSTKi8odsAhWeOlEok0vUzTu+jEmsV 9RXfDBQHvPuCpmWt/1DOe+F25iLoyA7ywqpgHGp/EtkQzWlPwT/weqGKAsswY0VCja1PF5N80wnW 7FMnK1TaPovl4xDIhHJd9vJn2QazsInAcwxv8k2bbSE3x/8BrHgFan2US/belO/zRaDYdvt0Cd7D Ev0tyNekp4JdPsN5Q0R7AT9NKsS1pIhMpLe6LMNHT7yC6MXMyttn7ZMhsG7Cjn5AAZ7mponKDHQ3 Igl+BF+Azx/Usd/TTfqq+R88I2OVimNPFS9P+7vgGtC9jdvoqD+jSrrNaClS6vQhnFuMUmyZRZM0 n61ZQrxpHyWBqsq3wLIeMYOgnnubAh0Y63C9EdM+pRyHywATUPWbvUZLg4SdOVYyTp1ZxPbAC7u9 JL5Zi3hIdoU95GuA898aAy/waSB0OIL276F7hSe+KUEYkrqBMM8L3O+gQkO71jxAjq76z2OVcBiX tsdxFB7j7LywOZBbukfCKxi358sUTBHpFldPrBC9VpIkUp6wxF+gguA3ORHgiVy8j011UkMKjcET xx35bU1lJcZWEnCEBFS81JQ42Tfy1huFA0hAO7Hc2UuK7el+TKRat3zAIkSjzmS2HSBa2BczyvE6 /288Km1akGhNbivh/RmFS5QivxOvYsWIVVfhVSEcTAPiZBBJjIr2uWvzN9ZIotOqsA0ixtv750Dt ptd+98RjuhJS63XkVZztG3DeaO4SEkf7IPbq4Kdt77M3/20kCdF2EBgmCuoPasy3feGv5asKbOQa LFWDqQxS+cKZ5+hZ9Vq9EeWPiifYQ4vYak2DlNb80vU/JeIXACcErQE1y3Fy+MiaLGFj3eSpma/N kMiO7h94cMyoxO6hWWrfb4WEQvXppuuFzmvZX4f9TOXpv9hMVGdL4TRo3MFFUhI0DDFIEAnm1tLr MJRye4KqukHnCH6CNXn/PivZg4VTepjX4iLUqMgC2rehAoVOMr8806QgvYcUoR+7eBjutJQB1cZM XZR4YuLgKOv/G4DT8K0iY0qJkB1HKe8XSmU8peJnNCCJISJ8EA71Rf6KYWdTvnVzNiwpzZA697qj ZGvScV6qBB7VXalYoUX+6S/0tVjKSlbhGrJQaxSNbl+RuY5h8ovMKboPzjokXjPyZhmzU3SHFRPv Udj1zmWdZucSPDrDSQQuJ42UMec5gQo4ASSt/+mmmDCEPi3C0cbzWfpL80P+09LeBbZtziTqaSO7 IJmeOCvdOr+UUqPOaEnKg+MUHL3GRJqJVvFdQHZ4dRXWhdbyzsbJpJBwWlu1ny/n6d/17NjRC8Hm B3c7P3jB5EAUwJrknKljtOfnvo/Z5KyXnaTVPxsvf9MCFXEvSer5gVV0QcQnPShNbYuVuDhw4TF9 4Z3kH+MfICI3cHNrigrL+akOKfFvPgsTiO8eZ5lSAYYUdp15gB6uxRFvUCf7dIfioUXfgnCMR4zR X1SV2TmF9tBNueiiHEcf3asJbMBM5vK6b81FFn35KAwJD4iWeUh5xJLY8t3XYjvP7eZS7J/LxM0y S3NyQqwcp7Xil5m1ipRiJ/CHJRIR4Ei+75VPTquPFBWydOy2JTNLm+k5Efr2a4lLLToUCY6O0MX4 u38HfuhJkWpCQmiYwWjAVLc9qeMv62rER7vF2L/gqvZvrgbJTaTD1PzXwhQWVxlUmtdkMVZeORBd VNA70ekoSR4Azj3WwsjqrkhwJ0BvnpaL/wfFnrhybjm9QicJ2Pza+MYuGrGbO3dYmmOJ5+Xeew1T 0IvXhtkpQRw7b1abddT9J+VRd0lT46MjWcoSrplxruS63ZI5qdR3Wo3e7CJipj7PaHyyLH48PDYe LhuRTg5z7TJG1OYMDOCK5fN49dAs/HvZKeYmshcsUHBqxoU7o3wblF084xKqGZ+3IhNA4bIc7qww RfF7VwSjsrzDn4Knc67JSWrkh2APmmRgzWZkgN7K9fXOcvR3IystuZMrdEZnRMfMeBtNurHKkMmX hoVCTTmbDCzh6j8wHZVH7wg/Mi8Zd5uaRyGtZyUwrXq9/Tt9pBFB6lUI/wz4qiDigPRNaqMCnvEM t/8eowa4CWH4zjoMJfXtllpHhoYtFIJYZ459kQn6ro2AktnaCr3edpVWGWo/gQ5CiJf8Mc1RYikA bzqbxgyrATurcASRO3c/CxWZq4/+bISMraX4VpT22CxatsrvajOE0xfQhfsjRVhiKL4SVD525Yp4 oeVOFGFUM2oI/xRrYTF/wuDXJag5zv2uxhMwGfP5yW/JUW+JTDTT4g5n5nBRkIYlMuEPvg0jrn3q I7HwatCyvyhASi1jhsJFKwWPS/xRKarprUIqv/YVu7l68StxmZ9T4vt0Oya7eAvHCLgbw95lG4my O6zYRP7ixdrAZepXEU26oZAWwNADrv6SQ8nyWOBcU/Gq905nmyXVfJ54JEt9IsQEOR/SB1mf0Fz3 3m707U9qs2eBG2Vq0OA4jlCCCluSqLej+MgLGP1P2HDUheAvrn6Lm/jE2YZoWuVA89+hqc1XII8h /abXjjojUr1ZVJZvd4iW9CGrICO4KHa9CwAP9dhYoylEwBWPfjA6/sfGAQuEA19JJZHLNrgJEtFl 7IoWRCkbgcpoY6Ed5rlUZ1t6NxFIr7V9xnRw7PDQXTz+cYEMET5KKWKjQBxLkO45+jDC1P6z/xXh BP7OTCcaJ42JG8OF6uCJ7Mm4HI4KiNK0jRSC0B84qYFTx1K7vHcFhfAh+hm8mkbnqdo3erOAeIQW VGtNNMrsT3vwpS8crqq7cV4eQTxkHQY68sjF7XnO3HbEC/gf4CU/KrgLR5lODFhmk3fsvHL1RwhD kjWlUbb5Wi9VCG/BMeomap4fhTW1SPeWwEy6nfRop+J8MqKPuZaPLYzL7v0ufJ/ULaLpipW2tVli m0ACixa9QV/jBL67tmMTKsZ22Bd+JTy8u7929vrSJeASkPhGWAIfpsmVDM0lvlu22MIP60RDL6ES QUQlSPhY3HJnp5o9RxiHzR+tsQrjIlm+G7N6jZtBCzBTERhn0dqNORFNzx18ShGBhcd8qeFeCM1l OLLncFicacW6y4cIBSc7L1u0hfq+yS1DdvwYKknmCEWYHjl1AOua8xcbUVw/5erNXkWFdjLsSnd8 BIWOtOnauOYeuiQgc5qrOlyHqVefKQs1wkXKBA1DWkYBqUXx3ZT1mGUcVFavYR8bBIA7h/uYWPGc 3FAseITp7wzNsvesuIY/Vu0LkAqnrG83lmMN9XZ7G/W8rvzFCi8oj8t7Bp8sDed1QJSEaO7Q5ou8 kxmHqN2tPQ+ZpmCt8Lj3Zc9qc/xS0WKkk1GiJDe88MniDMxxpn0ehO/g3z5f6Gw3liJ/4aQP3WXI +tpvt4Rvu3hrMX6m8Kc3YDHQBRZkYtB8XFw/5YKceafIcat/WK5K+DnnQStuw6BuNFZ+yNzPkB2d sSAPHp0mfglnFMQdKtiDeSKCNo132WuX3dcmlQ0wRsoba65nY+JhDiPGOpI47ePzjBsUxv3OckSh 2XQh0DKXDKQ4bw0+BTIO+UVAu+UWHgpPK/7ZK9wF/ze8eUNFJ+D9Ijz7eKxfdrygi/pxmENgMCyG Z1SexXRRNLnQdGya9pNB5opxCWsmn1TnRPFqK9uBMA63IY38hyOxteAV5FHnuDFUriygje/Gs7x6 iA+5Hi8KcY+FygEPrtOB5QyWP+BYy4dp/yD6MRc0kjKmIYeF+YB2KNxKXh9yevqGQp9LLiYqDGMo 9HY96tZgikV+hg6UjA8kQIA+J7paKyIzm1mTHDUl65ApmP08pA+OIv8rR+7wV5xGLmTR6cjp6mQO 0aDnR9dHaIXOEOBRCWL1ymZPTRmpAq/q3jEtWHua/zTx51sXopiSuIXEnnNoNnhbyjY0VMTpZEsi Ot/2DUrdZwe6s4132KvS+JBzTgwCbLByjxmf2lUkDNZdBx6hKCL7ykq2PAJpjEabk2ODRfbVrp8i yYotKShnUAVQFqogVEvPNC6a/VwU1OQ3a7bnnbEIEMHcxjVLKrmKkY82JiA8VTVPNVVNFh8CplB9 /MvJjfk0ZARfrgnavNKkCyTk/qnsM+7UJQb7Vp68IUZhEUIroi95ulMdf0RFZ1BAGj0jph+5XLpr lwr7fzAEhlYnVAlUM59N4Zotg0au4Rposajg1G41JmqgVkfVVtV54VoCdhf/Nkb1baqEs5S+QkoR Zyq28mvbT0PxxMjp6YeaoqsszNhs1JNfKfUHBQP9l+c8hAtNT86eZSHDbkKNlaIXrAD3kwK1MNj6 G8vsuJWRNTf70oMEuJkExzXRp6slQflUvEidd6VDNi2x4TGikSMa1sCfJjmA3roBrtwFvf9wtcb3 lBI2SEHf8I7iuYrh38h/nbsu0l8MyUatYO/uYJ9/zHaecYOQeRkSx9kJiKuPKfF0lEkkUDrmP0H3 IZV9FPfmK0eGdRia1dqPiZJs/1lHfbHGhLiBu6XmiwYspdjhLyM1kqb4fiKaMuOln3yCo77yPdq3 AuN/thdLR9Ib+ZxNDlImE2yicTBVPUPl1dVCwZFN+qapY2JzIW7iqi4cqC0j35ykw6twoPp7bAwO autDkz3R4gn1vj26SN0YP2PRdvW/3D7pxiBEVX4r4tcU+QJNtmM76HdLjQnB8VZogxfVZuCAurU7 mLb4nsR7l9N0LEYOBTpmgCasePlB3sc53IGeVOUtVzW1GX5WY0pXp+ynm083GoG0bZ8UUYBkPb66 i9ctJMdKw7OYlHzSQTyuD2YYM5yWC104Ar9x4c0NbkwnfSuPkeTLu3iycc+UXr+rMzMowAEtUCcC lHgmmVVOxrLDVJ79W9j9CU7d+YvUGy/JN3qvZn8/DvfBq8Jp1OmzwXOXb+MT+HS0aa5qHEsVbWkO haFKsN3uvnfTkLj/IEJSgBVLnf/eWxTX9DEJL0GuK3iRhtkbpHUp5ocUNbjiWlYYqLk3yxeFpc0F UVfyeP3a7XdzTMVjDQ2UyDkdkq/Fj0nZYDVLmN7qXp+5ByHuYjl5MRU+LQl3MgBOvJnrirONPfL/ mWfAOr12rOJBIyK6O9edry23FbLRv0HczaGF97qwarwRiTg7trcwUMlasuZKbPoUuLy/P7rsdh+S Yz2SgSJiLtx46/kPfCQD4ML1B8XKuj5Y8j8l/yVqG9RgVWrSqu7+WGFO29cjyratyGVoYSMWPuBS O/sKPH0S8mVKRrpo3gGa1yh7O9WTbkxm53ed+/w+846wOToorq+iOxmx4H+Yn0PcgbzD3BfQg30q OZxnjnYaO6W3kd1lVmkI/2tEb2fHBkiWVKW+sxtzQhj5uxkdXWT86lKzKlcw2Qa3PtDWCqFKu3Hv TTWc30eIJrgSIKuCISlpXYZsYKqH50V6jPNrxBO6IJK1LByBOW9D2yjRNptul+1s+1ZKF9MoK4IZ 8jnlebTh9tvMBK6e1lbDKIbepx55y+1rycoWs5KazS5CyCHnaFSL/avelE0t8ltNbiNVBueALmBF LLKcPG0HhcO0bZbFnFgX4s6qYS8W8mmt4p6u2Eomcwb49pN5xhDXRCuZbBd81c5GcZaq/lYiVbUe y2dIHlFZMIYKtX09fs5n02rogC718WueM6X9umGp3WE7kWWKxTcmKYzObGV1qv05A8inffd7Q6dc yxHzFdf9+qGV89Ln34cvmmL2FqXlY0D3wkShxCSU20zjx7fbtfO9QkWICCbUAUa+g7rOmZGi0f/+ sO+ygLnqUb1cHnc1R9oHsCp22L4gBKsxZulDfw0sSlG/JE9HIojJHG/xxSdH+Ir6vgJ7bsMJWwZ1 9Y0bGsCXxocVjT6MyuW3urSTdZ4Me94nnlcJ5f4KXyLpzqdTCVUdoxFQuUj7zQLjBmLbaWsKxEk1 FK+5u61yKxPrsoi4UX5ACL03pPpg49wk6DjiGpiKiLdQQHdOxMXDAtV3bUX/Y/1sb0egRrMXnf3f xxgQu6cqMm39blbqrwGPTSHjfsDmB5tqQkeCM37i/MXLQqNxLPdwGG5sSG0UKprmUUsMDpT+MxGU niWzM0+TQksuWdvFsjcORMVsXJjkF9FZqdhleXeuG08AWbf3ZY6r9zLnFyOQPjrM6wERNFV1XcbD JfEE7xqHBfamCoZFAteuLhPPndp1ZAE1vv6FjqFhIDoA+c6p3rGvXNyyjIB1zsOSQxp9dPnthNdo d573A9exZLFUMA3qQfQM6DuNkCCGkFN00qx3KIoxfxEqRKjCZa9aChqxbuD6vM41KfD4Wu0K9FQi 6vYIjtf1zwaEDOg+/xVULABrcMAEnlaLaC833TTy4VLPjRmMf28UBJ7n8UqNQNW6ZiwHWf7fBcEK DNB8Yde4rKsYk3y680cAHfvUvmrXI/TpMYwP1dej0bGofWNKL1yqQ1aDi5Re61jF5s/lH6Z5j1kk E9IWDIhTW6KWFUZZ16gMXJJdJ2OR7ebVgPhTHf9QK1geJYE/d47VGJDbUvZ+Z8baPBNIwSDwF6jD vVMpb/HuvtV9sNi0mVQeu6Js2mGBA7yPj+cSnUhJ6Kd5hEZKrThY0vlk4u8zm9qRvUu7fppLpCG8 tr6mS9yUGG0kY/AuaI36b9suZ2EkgKHTVQZISuRDJ42N4pkB/xNLwuz1G9C2ny/NtNqEgy+GhUFW YSxIZh3/tGTYJGyT4+z1stGKZjPXBQapwpH9ta6QQ9CQsk3R6NjgBgPPzsOLM9BoAC2+vdY220HN q/TLkXd3fCB4jnDqvae9uu552tDBTadkGoKKXFreu4rtRIlH1OLzF6aTvxgDsszeYzVRI3EWcUkM ob5npqwvZChiGjkV1BNSWtrV8u7tnZp8UjP5D1A9ia6W0Dqyn5XgcWgX/X4vMdYQCixKiuxkAyIe v8t+n2a5V2wcil91IZD6C5sDGidA1WM6NAZTICiR1APHp1zD4maZa83L96RrtTta6zYIF6Af0s3t f+E+gpo5Bc8hT0Lbyai+aG7XG3bawYcc3SI/QUMYlznh/hxaQaD35osYW0XFLopN2ibtIHJhfy+m 9VcsLD0MpJgJWpM4WmNK//5Lq79noZS7cPnQ7mAhcEa2zC6buWUiZCznMBFn8Sal1ez24uiJJDDG C08ZvlCtLITZN/y/7jnmZvdTtPqD7HIsAewdXDNIUMea3+QcPs8x/uJIqzU/zUDb0dXy1sCMFR0m Ni9r9JZTfeQULk2FbkNzGzmIWXj8/pK5xMhZ0xHYoc+JHDOLYS6vGMDwjxNc1sV1IqwTpcEXNjMD foo6r7BjGYurA0+jNXW1NiJ2hd1Lx0RRbKBOGZyJbezst/XdvQNlUMV+atk4h72ScrriX0QxRWM3 1L3eE072pgfscfo5mX0gUusAoY8bV/GTOiZzILg35Iul72yoP0Lhab4UHc1Te1AIbqw3zFFn/qVf 7q3wvDzSUKKxxH4B15Y3h8Sh7e1G9EB7jHrsd5wSVsZ9LotqL9psk72ZqKavbZeLVyiUMHv8j0My 25yQUmQ837BH/w/31VfrAc6v7aqkLM8rw+b3VAR1cTgRhv35o2XnN7ICJ8mhZtEl5fmJFXjZv+mz hoST91WCFTBtJqQTxrW/A75gmynzj8qYeWvqj+OrcrYZaiRQ8Wu7Hl/FNTLIGdOCQxcHU0PZTF20 cWEYFWNgOKRGDPmQKw0pLLy1f8Uzo3M5Atc4KEDNeIVRAL11amCzTvyVGPXkfm+C672+JNmsonTp kXVhUiOVFvWJKaPxoOMtydBo+lqy531UnRbEgNL416guqK02Apg7wVc/nER3Gv1hp34vflLYdSdh ID6DeGLvxZIQ9hk26MbdPBwFGzCLtXr+YNd9+Vyrp3PLIDoU63MNyjncjg7tcXoz5mVpcebnG5hv qoAVp7LE8CijZZS4/IVUSHw3euXrvTLZeV6gsxllKjtZkBxx5S24mAJDopwsp5fSiiAD819LUOkO IVqWdzF3g6WqfQc4UReYPgbyPLkpoX8N9cDsFLTf9CJjNB8QXKIZsE1LZm6dPmgLL7lrzU6HCMYS pfGRsQYrfI6XIMahlPy98K7jOmR+UWeS4nQQTSCGp9cf1r0Q4UtArOk6FPOOwphjhvxzwRMsKyUZ LJ9SVGQod7IrHtsTTjmr4wvUa/m43goGvhM/czEM+We1e+uAeZYAXIChMehdZpARhKEOPQeE6iOE sDm0RGoQc2+duG8+kaImfk2E6UxNnhTMrjscv9XGveJSETzNPQz39gqUZKIksg6ZkjssOR6jo+6N w5k5tM/l1akAwej1Smg8zk28ef+lGp79VwSbUw2Olz/L2iSrN73oaKQCFPgRTj9qQWrsmvOU6ZOy D08Menfp1NDGRK2qd7EycBXTQJUPhR4qKYERJqfLBH+DV5QzJeULPzVNDkvFnoLLOKX8XxYKpEvt /oYhDJfzzezDWGE9vu2TtpknS57JR5sGRoSvSxrcwf0BeOMlr3sljGE+POnQwldXb12K8rXbNghP z7+SJJFW5p7Bt02ifBOcWOOaxUaTCfJnkgUxH9edOfoSm5/NVDMqrXXg+D6UUT1qna+vtaHGh2ut alz+Gu/NL3BTi5Ormu8Lss2G2M5yZ0IiH0wVUsxuItUBuVeH92bwWpWVX4P+h2IT88wGH8syWwmi 6wTXfGid/saMTH/st3WK4CPTr7HPIM5YMqIeu7y1h2whN2rG7ms5Hp8Kmr4xnjKBC6Lc+P7NS+Sw eMavHirz4br+GD97rVRrjLYnb1cgd97uHn81Q/aA17kIvvRiiv5u+Qgwa+VKrRmr5/59lV94aJFG LPhjcM0PbKPhJTqIyuDFD7JDCijzqXTMJQVEBsCA1qZlznTIvN60YbZoKCcEiaQcY/cHbw5bj6h9 cqsHQokcpMgUSfS8d6Cpe7o6RNBtVhnTUON4nkVdOQrcUOhjgWlOvBe0PTbFnv1Gr3Y9BJ1oNnax ZBulx//Y6u7KWuHidMptiTMAZL+p3rBxyUeaepLjRNwXcoboHv6j8Pui5AhSNRvxMJ9OXoSmf5zq r6/roA+mUlqUChOAeScOMSkdKO2UhjbkHT7fJCmeYxiKs5TkjTMSrLVdvg9OKpeOkFoEtk/GUgnl ey4A21PNrt1nmjzwo4gOqJfdPwbpnSJBc8HZV1RMFH3xasTy8utB2TX0A8CN3zwhFZZ8n5BHAiEB cP3bKQu/MShoz30xB73aLRJX7TUnZ3Iyv1ypGdxpCcQ0pG5kmvbn1iM7zMP7MS/tE4LcAm+p7wyd 4q5mSeQSHBRpifIFh5Og5ojAXzG/XBOAATiCGP5leC2p5DgREgeIxVZ0FsovM42ucWUkrGcAp1FI 3PPMmC8gEVmIFJxKVBhK0gRLo7N18C9rfZIaK6SAcDxwCkMHgxkdN7tFLgE0tsN5Oee+bAgyHSh+ Ru+4GKN6ickzgbaOuttGb4Je/QKRfBQYjrnG15FXixzKI8nO60HJBTWRwJ4w7GK6v5h4I/aU87k+ /B8I7yzaFan0kzWUMgAYPdZ5yt4yXViDX+D3CyFDslD1/Jtb3SL95kdxmLkJvyTtLDyJPLM0RGRA mspvUKjKYW7E2e85tYEan8dVLX9YMEeB7nYdHLRfbMoO+HDs/wI31tHsn/ib1uy0yo6R8w2oYOyw j+UHgMW76UtETjKcACQ2F4RsWW/uLpWty/dRkOOJzJodmpa+C71H/u5NRgUFYPKw2opNbYWHebRm GWhcLCCam5E0hlDXIZr4oHJIMdMMRthnmKYRELYghbU41g5Q0dy04RfXeZwL2vj1iQLhdLWgKCIT suR9chon/NiJWnUy+jG3iTcFOmSt29lRDdNJHozPNs4n/cbc6xBIYlv3ZEkiWipvr3KuCdBmwhqI MMhvWO29O1STvMgm8ihkzXqyuDqSZipfJmuvGuGwdavxVG2/GaNVRpCynbPRtEdZYSOwOhKGp5qj kXXMu0/2YrLuEDJjWYuSpsyh9ynmCupqyhWkFFTsQ7Ko1WLWmtmyXJpTYbwdH1878UnHGkEfWGVE EluDzGBMRSnz519L7s7Va9Xknpq4rsf9yCcifdZ59+cFzDmtHDtT+TYE7Ay2NywZa1CDbVmHGqOx uprRO3Yq0bx1+HHPnaUBOrknVt5baVhHtKs5I9FV9/mJxpxMk0bdofYFeHaTNwtnEW9p08dv5N2D Wd1JR3H1MjD8L3qbSXBXLEDWpQSs3Il+QqnIiutaPGXvrYkux5dlyqXanewO5iJgVG9Yq693Y5B/ 4l080QrW5vyqBMWbO42U8rU1jW5iFQa2W69lctMCT87EaaTHMDJnz3trURnDNtTfjaLOk4NrLGxU a6CiwtEOmd8THvA2yVgKX+F/1UoNGLc/yMmks+DU672qqbARN3jZmCoIFfCN2UpbrWUXQlNcXqTN 14RjOyK38+pBafGIYHxPMxv86l054ReLERn/3AU/Yh8XnJZTbmZCNLnmHQrIaiCHuJeBt/xWnMco hwaKlIp3kJgtdPF5NG0xZn5Ua92kQ5W3e+ETiY4pCr7sxlJLXep1bmn6TbZQM7lrv/8E8NkmOFr5 uNnJKi/4stHhhz0veHSPPh8WvK0tCKyXbEerMZIZ4GTfj4ULAcvPUioNU/WCYLB8cV+jaLJAfwGA 45xAkRD0U7b+FafEMDGlQt0XA8uM3l0ejfsnG0TVQ7H+adOZBGkDHevV02nrdhlVjurJTKA8bKBL XOXSt8hBAFYuzE368Sfk86gsc4utUz6nRu1nQUn/4DNU8QezkcZQ64h2dOAfK60/EbtdUpsZ9Y2V ZV5L/0rQhUJXaFdaNMPxMsF5QIQcDApDjlBQe6p75FTjaV9/dTFUDR4wHyY8UfibfDXYSlXow+CC cr63tVj3oMb0bzlEfHLxqzPiYZo9iIPZex6FYFJYHJqddQ830ny0opo1enVdTXYyDq8wB2papp02 jTr4A6u6CmMAqMOMblJ+F82Ximz/E6lyy6AtlgNv8zTfk/JDa1trFR28i+VjEIxeqqm46TSDuskG NFvdatfDN4F/4r/EpazMe+V8hLtSInOyzLSMhJJb2WxxVQVGjPUMhn+yvtrZvAAN/LuuAHPHMReT xho3TjaVmnaTOXZRI96DXQZuJi+QsD4FBbzIcNTW73ob8Ma9KrC/RURMBua49jOHrCYRGrEKlUhN 3OnLeRKPEyhOWNXu0+vpAi28kdMdlxCAZN3Oh5RyC8x9SXi7y5ZuyiGkkPQq1hbpCEOxvZli3W83 1rWfskQD62aPVsm+llt77MI2FFimrH6tb5Q+Sr7dpcU0A5CTof3LhDYIr8IYZuTTAWC9QoA8sir8 FpdLZxdGkOQ7IKmPPQzgATDFcO8eftCli2n5bTIFcfJ0mUqjQNcaqJTOPuU4L/RJdCn2ECsRvI0S /VSxg3TTYAEAv4wIcxoPXcKQ5UlG9iL62CS1tyBoqe0yczuKFBthu544SmA3jFthBN1pM2vWrdk3 Z9MNV3SR+yEjSIvlGk0vcknOSpudHKtQyut1GRajLY8iCRrCTKL5NtWaEScA3Dlo2u9Z0VTbjl3a ZB1ik7ABnin83A4/6Cu5JHWwRVsTsRAVBKT7IvL9Yp6w8sVXzDIV2AIl3ObksND+G1tt7EjjRo8X 2cPoIgI54yH6seiRUXMjiztCB9ko4mE3RZPBQhvKkHeP0nrYY0kwXO5L0CdxG9PIK8cAVfcozFEM m5D29UInQrjYcWsff7WUFmgp2+MIARdjhY8U5yeQ1qxkpU26YHRlCWypN+dRh5pLqpt33rBXdtwh RibJx5WGr5XZKUEQw0n27Vjy+OVky+SxuuMXyn0bKdEPo09I/tePaihRVIKgU9sg6xVxc3PNbXDZ qLKG7tr0qnUDLzfRy7uZMe3VRl7SFZdMglNZzSefKTEb8mXlFFJc307hSJoBqawh98wH9t9lCstI nFuf0oWsoQut1tPNt3jp56fSveP/g6N25RjnDy6H8HtTkXK3uGgA/gw/BVEQToNnNI8Gzvks9OY4 /oDaLD7kAWzMmZucqAZi+j7+B2a0I1RfGXQaEFar3F5rokwt6Vputg3c5b6oWEMBq+vagsyq/LaL qh8Jo0BDHhUvobiIoqZOR/lOAhGDaLBjBQEUevqjp5W0K1VzxwQLKji6Sj6Dsxd4isEP1IKIUMgf MCMn38ghmJvWovC6hfyBKK/zmf4LkOt8DwWLbrPY92Bwt1H+P91MbVL2neT8zJt+tQsfccXT32+A +lKwaOdYVYniCFWmlcbEGW6cFOVnTw2+nKgue7RapLlkV9YKTTmwDnIL9bu84ZT9lVb7B8GBEQWO bUsw5Upk2cz5f9HwfR2dQkXaOjB1L1KbEfhgm9niSD9rArdO3fRjnL1MJVz1qKbZldacsEgETxr1 /FGtxl4U9utT25zqglX252a7dErB8/hZmkRIVa16f9vUYEmlH7yX8pOyAciRTlFbKm4mOSV5YKbF 3dDtXlClpSyRNtL1PBKQO5looLDu/NiZK+HaZt9SkS8Obe75mOy8zcekOhz1RZA0b77g/s8ShBks ZTsJpPeE8e0OgBCk3GnHGswSDLOpL17wZ0aCPAPcvRHHMW/ObwsUS/t3sCTv8HVSTjVS+ADdw9xo eW6PeKul0JdXa/RuBj04w3I7hQJJvyXbWxZOqG/pDLS0iOvzsXTIE8M6yJuaTOuIrguMZvnHny6b Fq2fGInqt1s4E7RgOlzeZVMUw+nWcPFvARtGA1q83SeB1t7jKWXyA7rxdTGTh6YHHqWOLB+NGNLx ey4B+mZQC1tsFHbgkU6AWwyg9sapRDF8zBJLNJBNZkcPlgvfSUyql0N50C295uNrVMQzzKulkIM9 wrehXPtJX0hZcn3iwFzIZwFwH6X29SWrxnoSJ0/sAh+xlOGRvq9AxCB+/j1NmsIJ9G86peKeSxgE o1quVrdXKGN5uDnQzgIzljIwdgu3/UKXq+DWXohj3BGrJ68jBaN0SZKGrvR1jGEkolLYdlLmYXR0 qs1kU4UCr4t0CUgDjFI7BRBj5rF/Jy4lfaQnLh9w7C/39XeGRlk3D+nDSLcbilcHTNVo/nC8w1IZ hw559fFCJ23JIDhALW15gNzFLmZ6XBPc0NKu5nP0SSqn+THn+fbMuK6f8Q4Vl2ZdmL2IJRdyO0xd KVetSrVvkU8EkOU6yQ7rLjuYwYDrrL06aNwVf6wS+uh/CyjqEFDiS9Ty1h78OiXqd9IwEmPFGlkC TNnsvL1EP9WmVXn5MzetAwYNpR8oIe7rZMrRz7Jfq5BAlymj7OWaU0tMbWM1ApFaaYSeLSHzoEUt GKQzViq1q44Fr2Bchgu8tb1tooPts13gH1CW0268bkH3HUIAeS0M/+0ydfZBqWMBEahxYcmvHgfx nCyQd1T7XhPurZFCe63crQ67C7UJ68oRx4/8hap4IHk3biMoeWi3DIDrcDBYndv90ga9wcrv7j5v /B8jX2tNGq/RouRNSD1smIgCTLKyu5AKtCVOjPZ4PfKMYbiuZbT/BvtPl5Pa8nt9/d7R6NR6aNZb 248FvGgCeIm1vEWn0DZhC9kYfiAl13rSRpvggmGxyIn2fkJcekCzpQFqT+RBBnVE9f/or7fYPLDO GkHavlXLzVIL/quCs/VzpBdEna+o2S3ZeYgbP2kahJNm6BQMJyPOhMmEKgdB55lRyWwutj0A8rWu 9Je8725FX7LKjMiZFKVJ1Zg5CqY/nAYrTNAKhW2mIEV/REb9Mbradw+xy+BF9fE/f4UslM2l2A5Y 5UpBh+nfqnN81qh5aLlTJjotLWmfFmKFLYeqXnVj0KMElIyZQH1v8HqKFVFo9NcspUAa9EfEEccx /p2Yeub2Yd4VvhDBe+/Txoj2AqA0Shr6FatA0la5loGY3Q0qsF+Rqw6rFk8boNxIiKcd05O88oIO YghA0VXpPr3fGz8XnRCqGFqtYY7wUptbZooVRd2mJOzSiHIt7JJsXtef+Vfc4wj5i7wEkGjBmYrF frZLqPxpipgMmCW1+UVwPSxMNOwsIOblz/Z6bSwdWzcMxN3gthn+0KBSjEYKArBabgE8y/gHPlMa NPe1nn6ySlkLinssRGqqMR2cTmaFlBjD6NTjjie2u4yfohbC9kpi+GO3JJeQneD78p+DTtGeNFvc 33cKyjLrJBb5HYY0864xACW/2caih5NVr8MAYr283pdp2mK347JzElEsQJYHrydBqpPsQV/OayG2 ZfIs25/sebkZHgehDq/AK3zQAH/P06CsFe85QHuITJ04/IbolVEmZRVi4aADltHf978ONf8VWEZQ a9KQlY/YwBye34od0EzwLQdK6S1gj00zIGeOF5sZYQxYlKBCooOkqDqRptWSVcKNYpHeoKk0oTzd ZZ5xSo3cmGQrHgmxj4uJq3CEffgYiqVFpXsJQaohn/lb1hzkS0GrLW9AUiZPW+94QdxophiaJUnI 1KCqaErvEDhOTFD/2NTUMQ4/moQy7yPagLUEHeWMQbu9dRHXy1lH1fm0xK1/J+kry+qgJbIfsgOQ E58A05B9GaPsWyjLDHgXKUlIyx5zf6vPM9sb3JjYi+vxTB57wI+H9xLSufHU+2hNMCzEH1KzKGLY enYCFItJqm8G0LUOUrixfkzga6nemJFXqHGkVS2wZA82Dgu58xZxYm+6I3vw/w7dWyPiC46xE+M1 sL+Twj9FEYDdDcPpVKJ8iW1Q9duDpU4byL4A7kG8hv57MkaT+me+ndwO0QZ7HOMhknMHAUrXRgxu sMHCi05kA9TXU4lPsutU7YccZ3KiuKkfdeX9TsSf7BEc7Y5MmYAaKVfFhXErG0btbkIUPc312NbW 3wl/+apmM6R9fa81xPlvnCQLTYsiNu44aT9r590hZuyLpGjMqx7NP7taXnfIxkRX9A5i/hNUdWA1 lxv5VuZMUnosnCzZKa4ktrF+sVKCAm1t7oKpi1CmuiYt6U/IAB4YhMXuWFgqDGC/YxrVs+pK6pxl FMCDHLVFOjKZQPBdX69exPdwXQsMdUjXt0WoR3GeShQTZfgP4C+bzdTuYFNXzg4bFp2l7RNyvXmQ JhodOfaXy/L8dALD4eDmGToBQiSgGh/dqiN9s5Xtop7F+hkdEEinzcMHx017nXBPPbXCUNjuMnhd q/YUqYf3tB8qbXCDa2BZnv/jIRB50tZVOAmHsSIHCXYSqbI+w+/g+xR6av4uIJ6/buJl34jOeunJ cWtmW4c+ud+csH+lclkdzHvE1Ne0PbC/HoFhIlrbpRAAlKl1tExwS907cc/WfDSFgMPap+XKwHYh C0Rc++iUXriwHl08G+ZM/dK1u6YsOkNaLkFb59OC6DDkus68L0bAowiJ1+PBgXqA1MblTHuxRORe Wle6EIqAe0vZGXOTbMJjwYd/sA01IRc+VKMZ/QlHBgcyEZdt7OOE5g7rcwOgAwp3dDOyGqGmuabZ g2aZ3ljY1xkOefSJ8HNMgslF0Jh1Oi597aLHh8WhR0g95jHMl5I2A29Mb05gUYJCvgYpqHDNfrHw 9e/A+DvLFkz5s5jNtCFJQiJMWQIW1kbSFbFwjLEsUwNW9lWkJrjkwS2DZMkg0cpOvPuUutezC/WY EFkUgb2OoO8kIiKB4G9ze9wDilq3vvDdxnPZrB3EAGfp2gorc3dixuEp6XnNGvTW6pTB+XuLbaHn MFzCzRrLksvxKw0iT/VNpuwf3J5ZAR+6QhMWxwzKTIrFSQXsXMvVn63/6AgnzOZ+FlkuDIhVPEMT EMlnPtj09JwzmR1Q3tpSM/kNtRZaxV8f7oIk6F5rO03ODSGkyRstgQ7YztqHJS3LFsjvkiDZ0Olf eTSUdGLyitpTqBvUooJDuugPz4lBum4sLyQzjA3DQSSN8vxb0ystLa1AltLm8e/xcfl4LIXAcQmK xcfOGCAYLLqEsVAwtXiWra4LRejxh03YIuKtuR7NIz/tv2S1GlKzHEytDX6r+8F40acT3PtJ4Ikv n0xvhOwqku6RP0BQ/QIK93jyQnLh4c34HbgjH3KBYma0/ilpSNCRYVL6AzDB42OVv6/afY45pZY7 DldQaGXCmp2WQ3NH46yJ1RXBuWSkrOggmGYjE+qEqQ7fAT6+x3YEOn4kz8WO2/Z7ZyweJo2xhRNH Yw++1InZ/S1iEXu5Z4qC5z6GjVvyhBO+8xb+hvL70ZHex4x6B2tGj/QXGHZ384gw8OENL5VUuJHe MJ+pdq8yyI0/QzYplii+rH/TBQbCOYlgCZbMQd+3FsxO5vSzetf5NFhy3fl57jH770EZlU1T50TU K6W9D3CNHiqq1sCmJPOiTM8xQhWBMa9fh6+WwLHBYk0ikD1llheMTRyZ2jjXg1c5MXW3uNlRAtzS SqNsAobI+OfqY0EyCdXlkQHFidESANEP00Vg+XnJKJUjw3di11o88eAtOW0TaYXyQp95CFolYtU0 2G7vThwJzEwLzNJnVjTIM2ZEmsNOtnGQksDoj6CJv4XgnIcDH8pXdvTMrAME0Od0F1tLB+gef4g+ 3KO1sBic48pnrqjt8YYJERBvf/QiuqjRruNzCIBMc0r094CHOdG+TNVLRPQlcjWfFpuTKI2zZBEd fYrOOiWpzHMYPOAnxe/TPa4Jrpx8I79ma9S1coAziDY8PvAVVeaGeDuGDBQkfC0mYnHzHSSHIQzF 9NENIyT4CMh+CZUruS8QmL2DdBmhNyPvHVKVUC48cCRC5qgHubqHlWas7jinZZjEPPtQSFnSOeiA 28moshtz9FOcZSJ4jCD7jc6StEb5/uS82Ex9zQjQvcf6ZiKzdUsnzpxeqLadW9cBmy17gXvsoW1f 8kk6FXX3pyb83HhuDUxxmdaZKleXThxCoGZo/X4pbzK/TElJs51krZ65eJ33m2LgLWYBP1yT/4TW CqdhpcD+HEZb+CqAjZYXdqnt/VtDCSo6CrLFg6CM+SvHh3Z898rPHvch6sB7ec2kUnTZWsEO+JQy wELxmxLc/NUNg7gXFGwFFmymhKxgYSHx6pKUlijlcyMi0kZcxybFyV+OMP6+njUIP2I01ICL4ohr G997tDbg43Mh1INvK9i3IHNImHw+m1Z689hCEpRQtnTXClI3OuZNY/l9EPHorB9Ps2QuqoVUogY6 4xxsiArAw+rBUrrjY/WZH+2JHGtdqKfdIsojmwYhVLGzAySSagZ22vzQ3gesS3VsCje65ofQu936 g9Qqh10ZtYOSidiOmtVwBoHODPAqt9J5msb/idKhFVZAHt6epV7POjnsuHsiYROsWbWgN52RNdmj 9WZza2/BiIyWpCE7KsyJ5rM4KoTKotAk6Acda3lrJnytMhrgQQcfOoq1j73BX7A88r2dFqz9tLvj b3PJGfipxht8jczwNpx21EsOYljW4D6mOZjWVXDB6OpPZ4M5R6w7W5RNOmPx8rR2M1dkl+ssmo1M jOmzRTybsttMQ+ESw9ONz7SMyonvRCEPPdUolDiSZLWtDbYFLk3jZriD/ECoJoOAnivZ9o9MOlNz XkolkERYE7p0cr+vtPOtL34dD28U82DCskp15yWiC02odrvs8h1WXovnef/u8Zw8QUXtN7DzDEvZ nwtpyWLDBACuoDIlTM9iyD3jWqqoEniIuQWUe+qYllD0tdxZ3aQeibdinPROSh1h+qGUaOF7aBen KXVzh8Z/1pmL77KZzriTSLOQPbWzlXSAB8lELNquYog0eb+v0H54vSRJg+70RykKatTPHPyCiqps OwZaNKEy9/G2vsTPrC3aznTIPm81l5DYA8LKl8hiQem3cSMoOZwPDdLnL2e/VPeNHRpKoe6imfh0 TdfeopkoLWkGrLqEuXFoyp2PEuZC9h6npQQ5I+qIlu8EIxjQbf6eLuCZ1IfKYkXCVG80Y40KP6hu ruvr2FaMJaO8ZAmSFXdpjb12mtw8g6ibyS5QY6Fz6sgUfwpJ1t/5EaoTNzUiK71FZpO6DNXyv3tf 0ctQ2pYxzH/HPAP2KhOP9kmo7/MtTBhghv+A3rTmFRFT1otrlWm7a6BfnB4+kE3Lm2JLL8YaYFxT AIq9GL74wmihgyRMVFfVMUSQkaMyJ1hXWd3FBpkSbCiH5Vw1Eet0CqL+C+N37h5LELH/Sw0/o+9i CbCvB5tnUU9HQlt3skpH2LusOHNFFx0mP1jp8lGZPI6uSj0AlCR3FE9lemQMeS9CQ5X86ZZN9RQ8 rFovTHHcxz9g3B2YZabelt95/iQpx58RiX+h9h7Ya9IaJdsmItneKftBIJDExMEl8Gly5Sv+btfx TbaYbL5AzQ6565UiarsqQZmZHBQi1MCZE4Vszf/VXcUU2W7mGleR444ofIsyoHLffr6PnTxtL5Le W5uISU2Ng6jfkhZNFz8rDyKCUJRBobq474uTUj6E1f1Fl4EhWz9iNQiwlfAEE7c/93vzfUWuZbum Sj+6YivMeYwc6oLj3+8M2uAAR/yO4opKEpjZreCKPsG4jjM2Icu7JSYhK4aJliE4Ax9vnjKDZ2pW BO0brA6qVRARveNccd3ZGmxsLiYrpPpSYn4Wr2Z9ldmHqh2d1+csKXa13iIRxK9jf2L3QU2CxbJw s9vJBhbihu/Oqk/iM3UQzjbzB77J1TSJm4aVDQbg8oPYu67RlahD5hTtoV2QoKQGsRSlI8GyUSxd bdcrNhsdgJRU+A/Q7z5DVs6lwpXc07LYeUeePKNn/KOwpGtm37D2dga1mqIAsyjNt7BOtIBGwxW6 y+SSMQ/JbaAZO4VwWTwuR8vLPNH9XhH4AM31mP4zieFxJK1kNkVkSSzqbuDA4xpI6js0OlFQ52Ac 0F7ND1CrhyIkV00xCPgKU6cI76XbSah45lJVsm97kqvmL3EmL6VwKZOB05TEKm5WylfI1oZIWWQa vqUz9gjS8oc+/0jxV4r0WlGZtYECxFqjTEwj/XUF9Sd4fFFlc27K1bwkntq4gqLABpS9VL4BAvlu Inuy+jr1f1za39AsNlZHjr0m4N//gSXU3BCKYjTz3iP9TGhjXx44INkjupCh5DrA+0DCpyT+DvNC x5A9aIvgm9NMu359P7adDcq92yRxGS0tzURL76vekwwkB1q4QKl1vibVALW0vHLn0Vuo+BHlnWsy jmMVuw9nar2XG1X5lYYm4GTdZE7H3/PHIF4Gh1+Q+YTXpLvOyzbheVTwfXvre1Onz7xYRm8eCPdY 8uXMsyixmlCVLpWON4XmdiXZqLaF+TZOxFk9qKeJ/v2aWTUJLyE7A0brQC7RpDuIZaQ046MuL4vZ kV28ESzVzED4Yi6mld2cjoihwGeRAEhQZPwd9szx/Bu6hng2Hd55DHLv0Dm7H6cBy0N90WUlqO9T VzZyz6NON84202FGRF9kyAHY8Z1+wwyvCQCSw3J9FakRubPtE4ZDyyaWK3Vsf4yZ0oas1PczeU0u HdwtMsW4sx1XODvM1KnOfznFUlbjfJozz2DgMdzd1PF69Dpgrh2+Tmt3F/KVL1ygOcEYearDExXd SzK8GhtrsUSHQegSwUFIL0bekaN60IsTicr1Tnu1oO4evIQoVyXpzwBgTn5KHDoLb5ff+pQy9uwr Z8wITI1UN1o0oxtG8mSvjza6BdYyY8FYqP7yu3jhE/wFtFhtb7eMQtcJ399PxG0SvsKJoWbImcU2 8hZwtHEJLU/qIjAA+xg8eXdulVF3pnL60s+mr+uHvmyYHeWVspYxtH/vbbC5YmUF7BAylFsIakul 5lNmlbtwCYrDNXYQPjIKyCKwgWzfpn1RWnilu3P2B40JRb5+HcEUWM8EO2UbOzFAakY/fzGz6bWl 9UA/zjXT5UD79u1BwKdhJh0kDLaevxpLeAGZceBF7I45R6soKf7SUdnQvxBOA4DGhr0VsphGU+1U DNsmqKxjRFMl7zOBYM+ycRHXqnxjPG45Dh8Dk2HZy8kDoRyJ4ERHOk2zWGuLh67zVFha4zcNq7Oh LIlZamg4LGEcCJfkhPuxazgPBpOnHNSXtEWFUi1IE+YZfwURpSQBdYg9uJtBWAl4886LSVwuZsnB ryPW8iPC57X6y7c4r+zEvkFuqL60w1192RLbbucTF0weXBocQP2qnNR9pGmV5axVGiMrGiJs+FBM fOXgdEEiO6TofOcQv2ZyR2t/2yfV0iT2fhZyFmJO96Jy+9vkFc/+aygVSdmRx6TlHt9FYdJPlF/v jGo+qjAs8AguoRP0yThDmWC2xuFPQuHAzlmC6A4AVPID1u/21hNy8RlMCFK/Pi06h3ITZroqzDQ5 pWppbMp65kHT7XXT/MUkge9eF+PH+usu3b5tgvAHYiZtc1drC7FEBO2y0xhIredGiWo7DdmD7Ks9 VONbb3mVpQoMYeFqdk2YecD5ouLySQtrxIHi80czUum2kgaArXvDOFXpu2MAu6Fu+NnmfW83YVoi cIBrGYV1UMn4cu2+3mFO8UvCmfJ9xfi2Y5AsVw/Kf8g8kQpQ5vv55+MX/b9V8JlFfBQx7HnIb9B9 ch9t0uE6hYd0rocofS9I5ypWKpWoJ5NqQQixRkvQoEF1rypNll4bes28YoI/geYIuAgRIwWoZMaX y3CAkJP8mVfFH3LcKSumwHJEgXuQPem0qVBvGFoGpEFos2brGbGeDQqyBbzZIZnNJS+OKVNe2euG VUQAd+rniPCrPG63CUlvX68Xunf70DvCNBCBC5TI5EBuuq14E3x2dqXbObVRp01IJkG12tsL9Rci dLO2qccePlYXrynQK59jw3JxbzUdKKGXB4lUaHmlmgI9KHa/Xy1H47U77ov7TdQ9LwV6ivWmse2X i1Ah0JdnGwBjCl5qQ5eRoBHmMbKn17dav/+5VIg110ZYWVlABsRj7coUQdfowq/m+Z5P70UZtFUn wTzFPegarwTGzX/0q9M5AtgXZQHNkuNXzZrsiPYGkSTzVf6N2EgTsavDz5CtUx9RBJkc341ga6yv gv9TuW4SK5Bd7Bl2uN+c9FVJR4ZORFt80uxUHBp3oRLXSipGAdCCLsjBWhkzRb84jlFaCeGDCb/X DGxmwNKOYcTLwbhA2a5PErgDLRda3JncVoYBJx1sVGpgM4lmIcs1YSPEj/2eC6t1nxxRRmDekH6Q 3xGZcpE/O5wiI4JgdZOiHGop6DnLMtVEIRrjSyVuRDeKxLw8VmhZj13iXJ+OjtoJCyfPdzDA9IPJ qZlBCC/qp3FNVGcC0kux2Dfm+lX6I2rQyBxHLW2IofctUJT/HSAm9D/CJyLPRfr75EN7M1SvmQIy 7uok3IvQu7gSXPQyVLd2+QvM+PmoOglY8TQnhnGFhiYVdoQG3/Lok1FfCTmc2ksbFb9TOndORX1n LCTlaJFgAFSU0wCZpGWNh1iisx6ThKyojGz9NsyNxJHU6LwcspB+M0lnQDvCbEtXBEl5S5TtDW2J My/D3s10ROb93W/8rcgcVe6Ir1UhWHt7pdZ7PYjl/94l9jErJGZoJ/VgkWc8Uzol7XYLIpFAB0Pk doZ2YULg9fB5Qw2I+TVCn2uqEyAql0gZj4IRRsgz13JY6+PM0GoPaL1d32d3gqkchpRHvAxKHllp Brsn5UvbTVhLXw3Dk1QgQP0PeccS9RgtuwAQ2XnJ7HXWM8BvCvFObEMtIzp52/IAzBC9471nH0d+ bMjfSHwkETPwHE8/O1uN0x8HQySPb2piKv68ndbMujCbFeCB419lHRva38NAI2SxrUxL1WFTmQ5/ rN++kvClhIKASsvk4Rjd/45WWT6GCUPYoQPT/x51QanpDrsJsirIS8imOWAv/d2kog8WoKYHscRR +kveM7rNbpJi6SmceRxR72x4HOME2gJblRnnSZSZx1hANC8l0qdy/ptnmc7+Lit8miSnOidTe/h7 /UjPNy7R4LdlTZZ/hFjPuWzsjbYFoVrPtsgOKa8DBWpN1Hm+6VvjS3Nx13Y/RGnsPJ9xd6CUwwee i0qtUx+6x6VxQ/ZsORjhXND1lX4351ikOOMZmA5aGxCF/kF9S5723ksSufrxzlVcYgHqi7qMy/e1 vL/sq/gHhO7g62OAWyaLzIUWL+khUVBvOdrRqDzHw/PTK0m4E+P5eziScL9d53zb3qAOumCpuwc8 HVRmJ41Rz0OGIv8TCTJHX69leOSsgSmjqD3qePhHgRzqll7QW9AgDnlZ1S2IL44uiDA2t1Qo0KfX A20vDW6qEwU+StH5OwsU5BHnMNrCDwzind8kTU7AsxYKESec0IqGCOKj0jT+BfkjieDcoaTv7btl k/nZab0GqNBR9pIYFlgF7ekOIBQ94RsyLpBDS4k04tLkDQlBbnkuRIaOCd1ZDNc1gFZfPw8FNp99 XRaPrFgFVzMDWSLU38VLrQzQYj0h6y3pJ6wkwgHsF8pQrw7DxRYUFdY2vxRMRVGDzdI/1iHJnGjB 62YtCOaltwgCNDoCavwI7hHBNFzKLkSTxoQsOTtiELiKW0zuyQrLeqR47+dIvii+9Bdiw0d7bhTe oUeBIjyxUjp6xva/WCeah1tpR7Eb5GmY67weKCaqxQy84M7lWbdQPTjPIa0l3X7GOa16kAmknf3t 4lWRKCKpm0Qv0kJxfC6OwZV1AHESov0Q24zpXfabgnMUvwsob+PWpFam0zbVmuUxoFRt3ZiNXINi LY2wU+0MBS9LHrHSBlPvjk+LwLqLvXuQ54YGghOvm5Z1kkuoYS8ufWK5qh3jX9zMttC1LvqwQIaw iwVYIq4L0sX5jpE1GenMY3xPMBaiinydtO1GWRFDnsgY/3eLNQlmgnnF8/s0sCk8Y8SozCPRHONk 55zfEkLM7lIcp5mm4Ou5SFsCqSJsa66CSENdo06Akg0uzLhdDGeUvVudsDU20ZbywDHPvni4JMc3 kgtglwU960PfyU/AgG11FufAb2gIAh6EX6H8ii3vaMX6EX81jeFB60gonMqzzntwDSIXEuI5rqmA SzQQNZJnH23FN1ZwE/m7Emm/i1OEwTDqqEj4yN4XFrb5X0xeHElJeFXQX0KvdaZAzNtYAAMYytOp 80Bv30QCeZQHytERxNPxsLXo4jHUe0LxIKFXtON1irkAyP2Le/nqEI6l3yFPlkLDBrh4ubelP0j/ A0SA4KIDL+/TTuMwWVV1qSnvc5H6yz+lxBHdqb1fS5C+SrQ7KtQhC6zX5qjZP3ttXI0N4D7kEiRa dJCAd8nuHpdPzq7q7BiWUTbkDcBw0W5yR9xGDfhA/hkHAf5FfWXC9LM09IvHUU8IIlFUImjIIxjb JiWRgrzQ3qWGslHU0woFfAFRZmNLPu1AjBBkOEUcPJ/YPcVqK822nOqZH5fMy78CDAA5KoD546bK XCCZwWej4STpt8ofMMJAYmA7Q/+XCmyhRxrJ4+TMpdrk21OrMNp8rjKW1fU2P7jK7PuFtPlsPxJV 5hELF5bodWHLxZm45W3JQIfml5eI6IXZGBrFaNfbcSlUYVcoT7QvrqufGeK7Hc5nt7NRIEK6WiVl q6ZG9Li8PrmAYRYf4+JMFkWTUoUYer/KEExI6Zxt4T2CskNNf056Wj3im9daNo1AZg+zCXqztVWQ /0+AqXK6HEMZa6esc6ZZuP0GWfDtX9q8JFL2wJrTHVHE14d2o9dKNJGOzLnmf0Zju7u3rwvU7cOb B2KnSnD2hJ0PXYpQiEEe6boLgSOcC70ZFgH4WE/nnb3hBDR0cxw/yAkHrAeMT9peY8RscdMaPiWp joD9BiM6r2jBMrhE4uyo8UXzMYXfm3qgIkWKhmgW2qV50lFNYsJBfbktcUBCG3JKhygpXQI05xQs oSoxUux4q56IzbsKYdfroU+76b6CMAKepiWsfFkbzmQXQ/LKYt3l4UR2Z3ix0saiBWpzNnljYqx1 fXUx7vmtF/eP+T2Ixk6NFtgUYKxtKSD/1WSAddTYlLzVilKNV5MEzb+QxQ/s+aJWb3EyPbszIOQa vSJTMzp9dVdfueFfOVU7sQoTdEgl1GYihGvHQUjxA+D3pTTGfHipsuH7s17VdgRVu1bbKiYv0w9J 1yNi9rQSyfagQUrZhu8JASVif6AzriTiSOlkDzQRoscyalKIO9CQmREiEoPkGOauztQ7dli/RlmR QiIx/wp3yNI1tXtlyuhzA/7mEsv7QEI/9cedc4zYaIOsfo8Hb3VTZSiB99BbBAa1Jg4CigcBDERk IYB//YYHERZcOxPUj9XhWct4pPiVB8S1HwxHdkaClXSdr+wv2EaKyZmxkWaiZJzs2MyH7rdZF+Fz 2JMlwnfH8KALvLWqHL+Why0seBU9o2bGYmz/xrxES2c2a9mU/8UnSflowJxa/Hxsjwq4ipzLtpAl 2gfSR2oIrkGj4OXxNvibX1TwrL4+4w0ySjkzCLi7/Si9y5nCRvAcf603IpcAkn5i2lLvWJUBjPBn f/brkY/UkhM9UiuB9fqq3KrwMnpFk3dvpUvB7rB/k+boUE0+QeThvWcfoq/7R0kLybd+WC/43nYB nosnqIcnLZtAt+ykYPsc2OhaiVhKvkkWiUv6LogJQHHcm/fla6fkFQJJQN+cPDtJ3/eYtFvJHPv1 XmyM8nSMfqAX9G0ZCO8ZMxMKvLUorIAuudPhroLriu8J7Xff1a3Fial6Ux7yvBbuSRt5dAQQ0K1e U+EEOQqFMuBwGJXbsbTwmATJJC9WgDhxP7jVLs2/0owW3PWJx9zGdnPMEpQZLKhKwtmAcAChCIcJ tw6Dkl9jsuCKznG2/idZRvS97joCLI3J37cmakDV2LaX24gWUIuv5Ou5xHjP8P9go5Pd3OXucYra FbPzxM89N9nCjQbh47WRQO3GVByzGvM7sMGMHP5iLKtuDQxAUlKIIvAfiw8/DDLNY8+UGWXyC8AG HcAeUFefk5G5qBwMmU/DhlzcogERJKdSJCLFaMcaZorRtk67bHg4bohzJ2wfe2G9O1lk2My1VCfP M1o4JRam9JALMTl9gyYSih9io0NXXnRWR4z+ZzgnGUKzEWa/QJdqwiz4cSrRmVYn7uDueINrpG9M p0SPRxqGRwYdFgsqu9m7criRSq+Giiwrp6hco/HqLE/QTuLPmAtOQ7nrGfS95Vn5PljYM9dInE6r DwCE8t7o3Oxesc3ho1rGqe+JEkTqfd13jn+vHiRk0fTSpS7jPiBe/ETWrsBp4zwGBXJ1bfbnaQY5 fIXvdvqHm/iHdxwDa22pKe5GTl7GKEWfqhhh3lEdA3HPl2Teiw6MsXSFso1b8Y34CAYJzbXc2VFZ 2H904QzEVPZfkYmGuG4Lp7V5BcrTZ6cl5zTizjnqWg0k3cc3q85cEXIOCw37qahO5TGCSjmHPV94 NW6n0gLH1NxqkeWrpuWL5kNRJt8jq2L6v8TBEBvuejYAD53Rv6jElnfu+3x+1+A86NH72HVTX84h SZdW9gFZaJVMzDPNV6s5yMgJoP7fYXz5b5S56mZEWCn13E8Vyeic94h0TEecO8hkAd6L7v5uOEVY RlxGhM8V5KA7bQxPB/FsM/HBrOQZ+f+SQ5eLf4HyK6hH5qQXHWb215ekBEWMehsoXzU4kdZUKqKf YtN8HuASzQOdlAvZ+MS42PnHPj5xWZ3vxAb4c4CzApJ554TMevefde27Xx6w4S7yvR7V7840QLZt nZdRXrPVsrY1SpOeQXaqA13p7eW45AmBcENWyBDdNBEHg6HIMoWKX33ku8tKnEpoRAZMDNTgV31B JYFIZrHFc/wvYF3hEHFEN+ZnTPRH5GJuwLKklJsUEDjG1EJndcQjoI0hMLh7Wv+Loyzd0s0qg6r3 IMY04sCBwyiNwSDN+CyxYwQ3oCJfBcUuo6LgR6iEb9DsbTVNk7H3ERUBng1O0iOSxSlmisS2GQGT 9kNvW8IweTH9Xt3krHmpB4ZgR50WgWICMctx95fuFO/+I8rbGsfaAVzfFQcsKnkqGzkmjyyzmEXt pqICvwJ3vdveSHjogbTNBK1fxhX3tGikPlF7ohMkhYUQ9oAixLu4X2asDzpfmRKm3RCYapP9SCpp j994xTHbQLXl4zHXgw56t70fxpc01HWOA4O3BqlBhmPc3Hr7dvfnhfVDvzw0X2F5g3ErL8QLHc+Y ONA9HDUXak5CMsMRH68glDXn4a/rlomXlutiSbdkLl5K0jpQ09fUfMa57DVQTt/B1ZaFEMjKJe26 uWvyCxOpoR9LDEqgDIzqLyRN6N20AIpJgW/b3eZMSimM7tcyVeV6xvbPOiINiKRCzQ8faVAw1N3s xyNxQW9p7b9NKOiB5/6zzcp2Hh1Oxg9HTgS2QWzOJxm36Kk6h3mJd61dKvAHzqRbCGR3gxLY1pgU wIwUbLiaYU/OuZiO2L/Ks3Q4d8HK422yGQmKXnHB3YOXqLIMXLfo/lmyrdL5Mds3jY4sb5uvj17u DIjq3mzhHOARMY7MCWVbgnogUyKfIUOGH9AzWxn6imrgECmjtTyA3rCZSlq92b67csQOlEgl+YuM OJluc57ecC2nlRnxqN6KMqXMh6qaW1Kx0mibGiGn4ekkgimGqhbgmKeVhnWkqsbuN1+GM0vfvElc MTE8FXMExbgiF7uyw15ocpsPYnGeuL0jPbWetXyvp9ONQQy1q3hFpKaFwWOecixC7NwAhr0wTesM sA8+d1UI9Ys1cFlGH2TbXinwLbKENCIXBMEo6OcLx8d9GQLALq3NhtFMug6mSb+t5N4JzDR+lv6I TQhd/GMJ435AhdrTITaFCWoSq3XOmUnK4kO15xqNPvTXbosWn49SkUnLiQ96P8cmgpmylnFlep64 76VQv5RNjxLK5Ajp+/047+jAbZHbOumSuCib30F+3jfK3AbXf8OoJ16A2qz5Zube+Wuy0S0jJICz AvwRBtday5WUJ8hxrs1QAV/LMMk5qCKpbNEXDVhRckbbYPQhca8uZvXH5mnQhKEKKzOOc4oe1B0P lurUfI6IasIC07HhiPCFn4fJ4tiv2G4J86lO487GOp9JE/SwYn5CJfQANHdgUo9jaMPC1omSKFkQ vaFfD2xdJYjPv/B6/rD9orYeMageZl/wrdvLocmsRhjkUfSCuneQv466RDYkqY3owabe1FAJCxyf Nk5upz+eGHrbjCn1/bOgu/cL06dFc2l2s6KoAtMLleUNJwmC4z1gTcKJgqAzaZREccYorUqgC9cR OgNrmFjbxH1i1+fSU9Me0+R9hcH1NLmKeic1I+oF0Jc1ZrSwNWraBrWUotYJwGVhp9OXp1/yZjDL doXF2McdXBzRqb+fO5fb0IdT5HwQTmQIHy/ayTbIyGq/AJoZ3DbxmpaRCpuKFEGEMfsQFr2YCmXZ igNw5Tjve0UpD3aVCYVAX+0LHoS9OlJlPBzGwoEt2UggKMOyFGZCMqQ+gp0l6gm2MJpQqqZUmO3K XFMfNeQSqksJTJR9iSpM0LHz1pM1bymps5Rhmzp01k/5v8gSXKs0F1FJjKjO3Floz68aoUrwnz3u CraG3zbcI+3MLYGXQrhVlyCiB6C5Bd91AuRv9NtPBGg6V8D0nU7G8cG2xiZPeflkPrXZRI59TeUB sLJT+CmnxyMjLCDy51xoRlJ3rGfJWlDmkKwZKJ7lwOsXyK/0wcBlC3ae0mDGHwOJ/P07h8b6gHcF 862MhEQi7wDdLv4KR4xCr5nB1V21E6jLNKDwrn84FKdWJoqdENudNs31KeJQ6g/hNUr5nJQcoduJ bLt8IYkcbHmDIDaR+o3O6hjb7HdTbJmhvBzbjtatpeoQwyVa1C0AKQraBdYfdISmPQOrbTtTKN/B CEacPS75EkVugvYttKJhlLjjWItshOWZDoFX3L+/1bgims8Km4Jjlyg89+4dezh/TDGTBbEMm+Sc 7wyWGC8h3Q1KuwXeUeiESza9ggnAj6aQWxsjg3UuvXplshootGFK4jaEG4L6LXSujJB5VrLsQMH1 OKwrapuuQpPLWMl/h1NK6IUtLapzKbd8ue3duOiwv+xap+g5hixyE5Q6B6HFHsi0EafivFFmtjkr GeE3cxcMI+Rs4yU4NTZZCUD8+7Gu+wLRBvOSB1sSeRnoaKaCdkXsH0e5r4G53XKCflT5CLRMp4Bf VTv+eOf5OpRQbxV3ojGbnOrcm4e3mWHC+BEjNHZ+WXyJNPafzJcZ8mkQQrpbCJnEp5aUuHQa5pTh mfBgNNNXN2BgUrCNSfue1nx7Zd+P8pjpgiK317MHEDEGJi4YRiZqV76TdoZXwlr5gtQQcxvTHs6i tGfrWBM/OO0TJWaLHlywz8weEobby/Mh307g2QsFyyqXZr7H8AXCrxGLrfoki62H9qrxLgc+Azic hWd3EMlohXnQbBWkG4r07RhLgQ55DKsw3dVDrHRtofQntKFdclV7ih1xzkh5x7RT2jIDKY2w5HC9 IebaKNFBoSNoyP5dPv4EOrHuCMXxsS1ThStrKjBfGDOPqsC4z3OKNbhnvV78R1uwghQh/+lfM9cI IndKx697imutr1sxj78OeqaB24BSJlIhjjzsDLcIMvyqqqcVxF8SFRJv5zG6Mp+S2i8R0LKvKWzf kRnshD+/qi2TXhuKxWGWqpVTjySqUKPcc/jdVyeA/58BBWLXQWiiI+zIr9ea8Gok5N2y9aXBwMpz hKk/oAUXs8UG2jPCjeIW/3ZH6E2cjFdUI3amYRcTgCjxfwBroXEFjeR5E+bFa15ELUWc13mAtmwc 9CoCaOjS49vSOjJeufEgR5CGFl9yNInZyaF6eLJ6S4vv6r3hi4VUYrLSQ58T76k34v3FdJ7DfYSw G5ntPl96ZoXEHGsB/UKdd30PXj8oe6vODmMia4ZXLsT6mN1NwNFEsr5aEdBgMLUXehocF7KQ5v+0 y39MFFYxJU5b/Au24QkM/bMEDwSLPoUBvx0BNkKuyj7LAx03Ep/kqs0Rjjpqfas+FAm9NOKBI4LI esu4ZWDXDQvQWFlHnhfzU7JejQDQ1A6ivblKRJhOuDrcgSOheA5F/V1xYaMcqagLvqw/ZU47shwA aa/IeDV6W9miYo/PwEHpw2X8MDgkY+wfEE9LD7n7a97tr4iZpk6c4slakEeeOIBZKDWmiWhczLhH lhAZNWmF0GSC87cglcK/lo2XIq3SBFPAAUR4i2GEeeKi/TnlNdjWwwVXp0PkgcMPuH5U4CdB/M2Q oOZaiiFQ0qphYHCF753rnK6fRTfCFueddjc+Uye0A7yAzIwFeJbW60Pkt5ByIOPwJPTfMsApX8DQ OsjYrNouOjqLrosrdu0Mfj/EnktQwjaQM5aibohOcL7pyfxfYT51GXzARmUFb+SdVd8Kza3bLNSn DXa6FxvAKSArT3vO1HNnW7IYicINZlDLwMO5O2gBJ61BrDhjfOmbHUJp3S1oH7CwerbDimzT/n8F QuZA29H8u4lc5qAM//yJP8CzCGTDfOsk7tH3z1Dfctv+5lyn7O7naMBvRK0FmiGvplBltyYzF6iG bJuxHyazD5lInHY9KP5uZ/66d3C2hhLZ0ooi5oNEZqgORcOrYR6i3KSgMGjP/t9XSdmiRdC5JVSE cAdJUmhBoIJ8VEoYbum48myoZwxZqbVCOtUsxl/8/4nzgS/QrG1DbKrQGSbfkicdT0G8kelmAgsE KqXjHRrMDur1yrIN4kezlKDO5ap08wPDvjsz2jlwSsQOxOIsPFVlWeRxq1VvEzAVL1kJHXojc2Hp VlFvwxFxdRSkEuKaDFN1o7ZSsFOxolQvrfeMOWuUfZXlHkqa3zGf0bocEgad4lvLauuNtVU7W15F TlLlF6GbHaZuZxFL8gJREGi+64dAebu41XYpFy2kdNGwd9VbkSo3bYa43ugF50t/Q06qHVweielR +iEbrOHkueAx4sChKZE15Rm9p0cV9zXTZefNZgFxDdGHd4IEcp6qATgF5N5SD6q8QDm+0vNVdom3 yg22KPnnVmDekIFXLWoXuKGhJs78FPRKrnV9/VemHI55+7KUMDmwufcmguJNz+e1awvjr89BOBpM 5elp3HgL/9YOgebrYhwon5HK7enu6AoUu/lPeXWkTGyuIu3qWXRaofbSPG1K4ySj+A+6UCp7kgMS LC164PGxZWOMvVCb1QWdCxay+2Xv8UFpf3wXcm2TlDjoZJixvXVephsFnQ1a660K4Xej0pCfhXtY HAt3NKf88Qe10sPYL5kMr4dcKUlYa4QMLP8CDhT2XL/HpWIc9LLVekl2wd4sXtvAmKPHc3rRPaT9 rUURy/SvB7/yjXVvBXXdFcaLTmUkO2YlhMOUjUZ5XBPFuOpb0RA/glxrnMLpGovkvcxv7iEgA9Qk iwXGGCuhqXLUuUHpGc4u42mEbFIgQOPri7hI1xs5h+P2II5CZdjuRNZ/sR8S4qpADHO+saJZBEOf NoTm89Z1pXZx3MctSZeAWlOG/5ZRMtVNymFkwZySZD2DXpxbQcbAaeGiXfq8LQW+yEdkl2h7xWYJ AFPJ+FafWU6uRutz8IXVbxyn4emXNxU+ppxi2R4qWVZwgYkgIxEGXI7koxuwWC0lb7C3179lkIdi 8nd17aMPPO2FCS2PBfkaxCa9si0QrFjyjOgpeEH3GDQr1SHJCcLI5yyN4z5q8AnlA7GGjOtbPiFS /e0+ZgEuRzee4VC6OZe8B/Ex6fMLpfzW5aiiKTR79oFhYtyZVac8unilphPfy9EZBMVznojhsSWx CRiJob2+hGaupRAE9KjZPe+yY172Wr+hirGLUGs4E3nsoP+AM4gDk4OH2tihfhsrNxoX4UpUsu4j ZNCt/f7hOW+Ivap/L/6zgQLHgJTDeSVn10funfB/1V7pvigpJKgdLXAF2/2XSZckxc7DeNW+X+5P 2SQexLhBTTbyRua53bn97LbmFFgJWiYj4vEStVgCxtYF88YMHB9QRniytRQo+l790Ss1gY4nHFL2 fyyHf5kOAwNtdJy1UvUYC9P8OWWJDN3o4NcWuuyyFChDOxlzLTyHzz6uYE9lgwIO8rETI4btOGpG siX5yhfEOeRF2bW21D3otx1XkSusnfyghxX7IShChEJXm1f/IHNk4cBWUqu+77d8AUx60nM7/7J4 UNY7DzcsbbanUDzpF9DefcidQgOB3LZ4IogED8frvAfV8zIE1PJkLQI2U98i2+4PZgvcQ3yAM67B 2ylkQqOBSoeOygi+GD8UlZThQwTARpr07zMA73AQWpX+qza9g07J75BcRfQ9qgah+4C5UJHVXEfC UhIIQWnqqTdFg80tlA3mqxkTDwFQi9tFzpLW8C9duAxDPRLpE2WtU8S+Am8ineDYxe1fvOaDvl1a 0xlQCmzeRal2pGes2tVwEHv0ySz+pECMtNhvulbe07azVb9Mh2I7z2XBGwpAe0ttotqMT8m23qUo xwHwM/vlMfFQknv93/q7rfJH0elfHm/4jrZcbtUWlpfXlubKswPmTU+xXg2X+k+Fs5gMlh3mkVCv Rak8Z/B0CdEiYX/25jwjIQQA/ckvdP22a4zyaTPDn+BrGFP+vo6BqZQksGNucwbl9HOY+RGkKuGa Ev//kVMEPnqbF4rm5GjwiQBoivsRt/C1EDRllqWu/tCnJicF2KK/r13txvunnlCyi3IGq8NlFFTs P8Qd9aTsyUoQMcCl2vijRDmj8WW8QK0ZJspKw2vsdaoHnvuJ+grVEF6AeetZzVmkllKMze0fG0GG 6mAsSLCFv+dgeJzb7TWKe4gClxqhmF93NM/xKtT9eNogpwi9qBqnPZRAuZj74xEZM+99YoKHctQL HGvEZoU9qR13nF/BzXE4Bwks3iAzK8qtCZ/Y0zxS1483OpY1TPm5TYR5C0k0OFf4jv/4eJAevZTU h6WazqkzBMt7l7zwdoR9aWj8UpfLrAe2bQeiZnwNQ6h47kAndS/3vEART/zqx+EL9clG4pB6UrbF dqvOuURF2dQxOMkw+ps5TUE4y3BwMYBM0G9gUfyT0TtDn1nR1LG8jMv9RRtSZDLKyVB3RwJ1vFgy Uq+HQhUkh81ee/8/RwnXXGi2437jRp9m1gmbjx2SpIOGUxysAvVcsi5lMp9tO4Q0rJmk4TAtUdPs /ShQ7Q1VYJHL7OBvNRKj8szu+tTHqQrISu7xdmjeut+5H1bDhPUXqmzEWcabTZJKfookWu/yeWnZ BaAz7YcZu4La9dKoMS3CwVil3CEZA8JHT/LNavFXerxYDDIcCSMOE/+NvH4rRAiSGuBJjt/o21WF n24bYprwsKl2GzS5ddV9dNwGIpFKKJwm3A3yZAljJn/xNFsK1gV/Vv7qv26S+QWkMwSXDxOL//PQ Qey9v/NLFPhSYOWWQc3MzcTCgHBg43L454u+12ArtV3QGydbSdCpMg/Kec0UqPKbsfLhGNL6jeq/ Du89IDvhddZ4x+xPgJfl2qe7HGbcFPjFeAqlX1YThPcSUxXQsYRiiB5nJy+bfS20FTnFLOgZbQfj Uwa57sLQHzqhbYLopKOpOngxhhSg5HgT1Y1lGHc7XjApMVwKJ9QvojMrW2jcWV99TbBfZtpFjG88 U4GgCDHTkDvXbA7DUynKIw/rZ6RwNNP1XOIJsZJJspM7uUOm6VeQ4mxC/WbdvHUfktWnV1PxWQVv D17DciX/4PK6LlzgEMcVFvVegRMlWtLb+4Y3E3C7Wdpq9t7NeyI5oTfOdETub4lQhCIRl14Awxg4 L5i3WiKQDzC+Dorm4zbBEKqBIoJf2HNoqPR5m3SbsV3gwnKPs1tkxD2WQyVMmTxX1rRRYH0C7M0A RnTG+O76Y+zkRZ6OXpPm+38dxTr7ip3vwnq+SqEOBgpFWn9rco+c97avB39HbIeCNbvyRK8CV1hZ Zo1f3GBNIu/zRhSrjTUoDCc46gnT11569v9h8onotaJGG4vtSWXfaWyx79+s2JDBpoBex1WLx7mX fq1g//HsLyJlleI3TlL5LQG7awU1EfFYS3qJxDoL/kNyLLpZvR3VfBpCSabOcQMIi1Q5J3OrgWrI wVF7l+UHtPiXaSHoETPHHoxeOoiHL+HFtKbHiAwMuphPJiFtwsvEp/ItVm+/FjvFvinTHicWFpCE khL0s+ki0Rj+FUtMfiV1OPMQvanouFOfAALdYPW5fLS7IrlfQxHRJSvv0mkxX1Re/40qiOLv5ssS 2s+RTb9rPC0maIjpkH+cI6vLzMQcPmypicKVD9t20Pb5aMHj49Qzw3HmiFcSJqybVTtoeGCbv/qB ix6zlu+WJwvn+5z8xaqFGx6jHNfdRGDQSznfDAHi9AwNiuGCkHgxQL8henMvL7mXcqwg47IWV+gG SFGRZ0I26kjtz99G1LLPoytlos8RYAbqjw1BNIOfUR8NSSlXQllYxSy4EK6+ZlOv7C7uxJYiFQ0P mK1UB0p4zUUYC6wFMsUaSSRLvwAKUnI5skfNzRHPRosa/p1skb/SnDnAJDqNiMDR/uoWZz4CHk5R 41cpGdB9NFruXWF4yNEC87mv2AVPjusdFxmvBFwywNdv7Ufxrj6KfV5QAIfLCYXFVJbWBDUhg2HP 4WWmwboEwhOv+Z3L5EEHaqcYjbgP/V4blRF821C27Ls18aHBCRSm85AtB0gPAZdhm9JTCJCK1yxR fMCPOVGI6YWnVicXRhl5BOw0Kxt7ebQH379IJGQQ5UkVTZgJlun9llei6F+dHGkV4ZykSJsb55c9 h1yf9KBAjSdq8LnnUbX9yrXlb2/StGjUaE6hTUiuZInuzu8espCI0F6PHlaau+ZHsehkFnSkLyPT sCt1ZlQsNWhVDlqSgsQmRjwu4yLZ5zFGg8PXS26NOfpZOlVoHxnyO26mwQ5dUCzsf77t2enw99gP ywtoh1oAUIqH6NBdT8C+DJ+1ELK1ywxMVmQdiU1yRyGHt7dIV+V4bqpDr1f76MI+4Lz6fyz1qxpy KEFM6HE74kCAiY6eyCasM5lba9RYw/IQGYCkZOdbO+2y9eRIZj2X/Pu4Hk/JCdsbBXG3ltlAKHqQ P3yiNbNBdulQbRbFNOjoewrZTWt1aKmS0oCMkNhQI6hCl4c4Qje7O3+WDBPzzgcaFbb8lStRQ1MR Hbt81gfq+bHxxQR3DnIhKkkH20LnpXJfUQ74BGH2aJbhAlRuVl26f7FNcM6GzYr+V15MDvhzMYzD JX5vdevX6aTycEEyGruDBKfQ6xFaizu7QzZwAnbZ2CyfFcO2jR4J3G9LMg2Jh2l6+xPlogvOLxJq IknTvIhgbuHge6RvPnnSFP8EuW7RFkp6T3445nD9KkcH/lR3TH9fTdqg3O7y9d9yFsB+zHvIDEqL AYbQqYzND524OKsm0zCZhDFOJEsp+N/2uyeOslqsw3tsgndrLIYA1wEgBYx7r1ad/0nWI6/HNd7i YoEUsIpRdcTugfwXdSl9FFvRWGSQSxk4RjSDU/bpe8Kt/OgKWZydYv/YdS6I8p/N5J1ycHdkgn5u RWHlolHuH82/Ydx+da/S118D4YfYemTNElTEVaRb2FeCnr2ykk/ROlUisxm+2CGkN3mxyv+4stVw 29vn+B2xHtk9WAQJzPed3riSE2Oj692Qj7LX4UgM/6K8B9NRDi72oLvSBGJCrm450L2H4ACcT87H Eez5l+BgMPvzVAd612wGdj6chcvuLiCKeuqiXX23/03jjRGFVTrnhZhyqT749IDzdnT+ZuxbvY0f eae3jwfTLWwbT5fHJzWfWgTbt3D3iZdEP5JLAykvcLS6yXwovQlEEhaBOj/rvg1UuGw6npbGd4+d UpmBpmILALBjtM3YSGBzfGL4SJI/w6STI76EDHMUYnd+zecQgNfB50z7nLMqQE9ue1VRVk4kNW+b J7lYsDvPVZ/4iB9y+Wx7rs+TfdWxX/U6lioV7O7EA4WdqKh/nEJJLksLIKxMZJCr5PfiwC2m4OhO O8dc9JCMLPNNypLQUKxv4zd2nBWnHuZg3WXrrYpEjNZqbVGEzbkSbz7Cb1TNrWbpzCFK2FOto7yW CyC3fDMRStddyPFOE94dbca4/3jPGaAwIIigBOyuLHdvWzKCuNS71wbmasxID7DQ6hk7Avu+pVwR L+MoMKIo670lLSK+nIN8qS1hmTXjmYjMr43FE9I5BJ+MUOJpwZkaW3J9rzsx4nwwNN3/ivaDPnQ1 onQ4Tg3+WTbrehq3777a56XIeeRMsj8p0szx8BRPJIDXgPFLcpExTqJsxXyNzHTMpTNYb2F89In2 OqSuHuY9AWXBmn3mV9LoJ0eSvrzGQqZAB2SqRUYFIEYpXpbIxbEIMLwnVfivWiZsu+Fqhn8Pw2I2 09SWCJiXGDp3JoWaKdKLyCeCzDav/hPUsdUCf6w5+tEupRIvrMIZ94mWiSYOtu7K+RbR35Leuoa+ 952VWYFavOQmtwLNYo+xsHMOaN+s6EQOeeq3kCCykZG1PH1aMsoxJMZf8ltaabMFVSSIHyhW4Uqq ghM8GUnm7LWjQ+lDXhvTHc/3cd6698nb2nWiySDmjTeC9MKXNCC5ANla6IhBB4WpnWnNn8RrotKJ C50zx2jo4eOAqkP6Z0Ente43iUlpzZ+j1W14GATm6bZ6DlztwzqEO/IlaK56SKJsA2QRepvI6ELr Z2enXjUapWwr2B5CZ+Ai9K2sg1+dyd0HiYNwo53KVAv7WUnVe0lrPtqiZhGwI7hGZeFwkWMv874k javk9IyKAKeCStuFIY6rKv0DR6NGxYjW0Y+bZmZHdQlk4nQGhLR/h1z18YLPew0YMIrCwGNUKkJ1 JNyZ6F3gSk8eB1lqE8pSo/60M96bABpbhJb8cAXHXpBfTi/fXOv50hwJYSUC+MmhVNqgMG/SmgJk lc3PAOSwLLIfv0E2jt5gVibDk0dl9eDNa0GQjW3J+rpnseYnAT+qqmoOzSCM8o5EtSP6eHe/YYKF q8WtGvcq2L/MVBWlozWv8EMkff7KHgaT64LQq9o02rO2oTD6FwdDzwdKuRa4Lg/STE7pOaUEsbZa eUldpskfJx3qffHTaMq7wZ58t783YYegzyFN3YKDiAFN/PrZ93Gp8ibz8LBVHjK5SCa2RWV5c9mi viFyqr/19GZBrgszc0bo58V0ZZDMhrBzdVROtwCf3yLNehDGqdTbtY+VBCaX53d2F5xDSrQz8oWT G2j3Pq2qmvD6XlaM3mYVVDma5aWXLtkT966epkVSv3Ed5WrBn2lPVsgC/PFWuo8OXCc0zDk6D97M Krs8DngygjtPoUtEeSpWw2ilceWU783IcBWHr+f8yhQE7zEyEM5YvtDL6sGtAxzugJwWP8nDfn/M Y2gAZddn6rHOScWG6aR/QWqwpNR+yE8+4UZCyDs01jcS2WbMdYcZFY4efq/54/5xceOrTe1tlRxS ybOM5Cub1CcDfiGwp7h+tujZ43N4Fn8REKMChdj0RaGSpTdZqlnds145WOaKUV0oMfM///7QYkHj xk/Kua4dLOlpiRBlHFMMy4Ub70RGj9NnC58N2nfWmUuRgPM6aWBeR0Gl5DjOhmYT/kUDUp2UbdIW 1NHj9ocFhsFFwkbGFZEc8bBcOAQ08IrHJG0XjVvQ2yezPrK5qXTeS1DV/fqhvedVRp0JgP4VLI4b D0/BxNSJpd+Yd8YRuXjGPpOBgpVyji//DbYhA/xl0BcqqlbGCC7LmPT7XHc8N3ZrhTVxRnFG69wX ZwtDYWZy9sCcUCkScbt7xvdrJMxrMbi9hAzJhUjqK95ur04RzuUz8YNIqL8QAZMd4qoOnt6AFz/P dFlYO37eYDI+lsaRZP2v57jaC2g+JHxppNV1D7gepxGoL7ziox+tiZ8ofejo4gaNEeh4AfD5tWzQ HEBMpKfRKWa343ehMVqMFvM1cOl2l75TLLXCYTqMLkGm24Mrz957Yo5LKeBHzRY9QV/nYwMCshuU nRTNhUY+hnRdGgFYzp4Ja3NwgdreTJqK4/UF7Tr6ZzVtQIQlGUiSOyf3Rnn3ARwo4QhUKmyQo/Az ezF1nIJE9dWC57ytzIAfxAqlZbcdnL2FWYkcNXEvUg2Q1J3xiOUd1tmtA9+HzAo2NmkmPEYFaJUf OnKIxjZSZotZKaG+skHNgwKt1hFEAED6rhn2LHOMzgsw+9/KDnEnM8d7lsReIO47iGhYvsuHsszT dIZSBOEXJuUBvDQj5MQQs2CVdg0hGgFYR2VupWZDA8Jp72yLwdHxfdj2rj1nrSueDWnYvevFklL/ qtbxnzKL1NouJ+SBfimdle5wpPFxdQcstSNOCuRTKTh1hXeoFR2Li0WSMllifs3gTFmO24BR6xeJ yVbbuBIV+Z80DI608OfcUAyDrR8dT3Z2cUe2HLl6kxdY3j4B3TxFwjxUrBKrzAW7P9F73vLZD/t7 f/t+3ekMRfS1WRWMkbv0mIW4X47MWofnbP6RZI/ymyKpnxjNFRfPTYU0sAEcMqAy20hrQYphMZos 9Ml6LT7GvyMaEvOH5PSs/6KzaR+vEdr3uuuX1fxBDN2s0cgpvJ9J3+VsFqeCYxUxi1bUkMOFh0HK U99CGN8VgOfuNzoxOO0cPydMh+rIHH6kM+HzOBrZYsCoYtiYIXwG2JJHE+UV/9m5yvHHASN4WhCF 3nn02rc2141Zhmsx5yeEsvVGyUquzNVIqP5BAWKn4m4SoLYTPyXi1iV++fibbzUFgLeruK0tifSJ IwAwIs9FpOaQS1NScTAtFdFCCG44AL8g5xfIg7x+efkrUvaTU9He4pUkiOfLv/V4jpanYdb5emQv cU+7OZ8pPR5YZC0pkqyVxoCeGIv14BmTc8hRXXhlyYFe/k2kWz9YbWqKHL/u5SAN0n7R7hOZYCoH YGFXFRXrMnRMLWelvezkt9+0tOKnfpt/CpoAPMfsNnqpYPkhL8bjAov2JpyzyzClHyLNNG84juO3 5sp1CckYn9F3uWH+L9lqBnuPnz47v8VWOZTW+ucdLvH3+21pcwaoZBmi3nt89y0Y76zVq4J0v3mB xFQEgNhDPdFDp9iWouftMVpTB8SV9jNuUTblA15nsy1DpM6zAaDoogQXvuz+rFDvl0JTzn7+HVQE qbFfrb13zZ+iTunbk8WV3jKTpXFXc6P0OXcNO3D1HtHrI4ygm15pHx6yRJkZkup59BT5glu17lvx 97rGL97CxCCk8M0o7FJj1K5gdxFjecn9jvrYnGlpCEWbyvjrTiW952/XcD51eIMgcL2Rjmu1FuWy V4ENQ89c2N5dZEx3RZDdQ17GdkXONVs1g/f2ceVlm2Ot/roKaGFee9ynS1yGmez94D556ZqFk/m7 VIJXLGrHEHJTReHWeovAhXhqPsIBiCA5ef2NDIqMA5XYSbhOZF9jCmdDW5cKPAX8D799HhmeEPia 14IMkipQQYx5mlgY22L/AU/mvEYo5SRnQy7k6g3BSaZrj8xXR7Ecf6v1KU2yRZXyv+nU2zn/PcLc LH3PGkZbNQVbHPhPsliHX6ik/ZVKEyhnBQZL05Gq20pTalQP/Eymqw4aXk9xM3f8FfmRb5HnaoZ5 2QdWiKNLFDqYUFhWSIF8QJeb1WMxZBUemB2KJH9Z30t22S66ciRA+EC875c/0hG3yy0fXxHM2ZIG ZfJtoasfikpdkO/YdUvoOEJsiAZnxgPKVHVNe6mDJAzWCJylFcvpcVebsbi4OM6A/86OAclXLquN 6qdsDlAt2wFegq4xvtcUreLu5PBCBv5Xy10Sd6cUlXbRkM+VTeZuCmp19XlppHqh21Er3VILWHOQ 3Se4l6Yzn7YZWKEb7WuDdr4ctFCX6CW5yQeSnIkDUtQg6zuRiotJzLkQm5WT9MmTPC3WBa4wy0tT t3tHLzDvj0ROF8k5auRb+5MKI+jSw0e/SlywqHv6CschQY8xFs2wMJkUqVJnuVb65K2cn9E94FtJ E935EC/kLMcuQVtyO5+IUUI31rBmKccWHsRR+j2kMBwc0WFt26/NOBVdM+5kK/rYqaxkQtyaFbCQ xt0Y0tWS7Bi7XRZBPzWs9I0vRWgWQ8dINloXlFrJR39Ax5tWlYclte8rz2O4TmOBzgIo8D5+x0Ph jJ8lMT2TasSaWOoxozlCDObLxtVJCqSGsFlzYscBr74ZaCf6cfxQCOEmEFsDpzOfIn2sqDV9cRjG T7reZR8+Yj6t6y2YGGUUP8n7saToQIuRBMGQTlzHTSlClrwWaQyVDqdGJMQTlwzffwSPS5fiYlGQ Cv7vOSVXxjSYP1oak9q4KRqRfCPavORcXh20H7q4v4DNEDc7XJ8eKerT1tVDS0wmFiR18hpq2hR6 T8YE28llwJazhpyP2ggo/5QwjhzqQ0qiGU9a7Qt+zm0k5bcOiP0yF0nEWscuMvm59Mn4eJSQYXe5 1OKQEifDYDWnu5GJew19za9VFonP2hz6mfbnC/7MFaIFQcTc2qCcTE4rmM7cuNUthnrlbUafpphy AP1GOFxlgjS1nVhET+RiWfIUx8Bk9Yp/B6gmGJ8yrhQB+QlKq96CT5L2+33J2J8sm2MULUPbSM74 dcQWAtHcltjKSb6SP95/7J9YD56FR+iMxSFXMR/cxjCSXy0VQiOi/oAmnLu5WPd6HcTa8/y+cAS0 dbPynNcxsB7gejfuwXm0KgwUkDGajch7ENTBka7/KhUq1GNBMrvnjPLDugExB5ji9r7TpFgvAFS2 H0MYMtTTThc3QpcqDcK1xcozcz9VTM64TUM7BcE7dveLNPYbOnsy+0G6UmG0SYFMaqwk5F0G6KNb SWgVE4g+4+SEICHCR4RvTTfNexeYpolImoGhxDwhih3QBmYXO9hS0CBrY8EZREefDKBHrCmZeR1p 1f97ifloI3EIUFGxtvuKpF/hkzxx+nNpMjrajMiZF8uBbHxTGUFe54UPC5LKfVNX1AAzxWkv2pfx POqFLPlkJGtekLowWB0M6YIIX9eK7fs9j6f7ZifnGJmyTzykHx90tJZzpzmp1qOQK8cWRZcRhw6I GEaWe6XT1CT5JF3HobHltipD9HpfLoaPMT254GrFusiPXfMLtb4kRbaeMFzW6IFBZhnTa1ANRV3o 5CsYpSCsnLkAw5SOZ+cVlo2eKvpPYDUfNGocpT4XLP1DiI8ty/06YcnQSIq9Ts0q3bmaubpkKLo5 KkIIb/eVCeIG5DOqvYYVZe4AAGNOKKji4/lTnTmc0/VI9tOCS/YwRpss5CLyvtGvMXVsdZW29+xH MtOEx8eQqKUK5pIaqBXcGQ26n/5MT9xkUmu+uzK/PSGBXQD2kCIvziGVUXivD46BVYXZ2V8FKpaO yMOltT1X4/VUUtuiym3MinRaDzv+jnBuMI1P46fBEye6AKwPSz9ho4y5VGlPZEbGBD/I6hh2uG4t lhxIIiCH80x2up8yop64N/mpTycxtqfm82jE5c+GLpSahTNcpKXt5ccVLbtcBgVkmyg7meN9fWpY mdN0Nd8RPQ0e6h/6+Y+xDRB7fk16sU79NIi86+YxdzNig2uuCRTahKwK8EhpiHw0QyMo4EBWb+vB Tse+pHP7gKYmrOPLY8R+CDrfEiJSyC8jwuYEp0g03I/juhSzStqDPmjgds/4htZrl38eCQLqv1dM Llb0RX0+495snylR4mNMS20H41ZoyHLl7UFhbaJwA1g5za3CIzvSHzkTVPwYyzLr3Oa800H/QWjZ KzshlsCww+wRxqbqlt+c9F9Kz12te5MU07cLYsi95mgY2Qwtx66Xw6vaZLMXbJOS6iSISG+2oZJ7 BUrhmocQKiWmAI8Gj6rz0ptuVWtwi3c3t9TH1E9o6IOTHA6RW1qRxxLP4OwHmAPjrDadkGR4Njyc QnCuNU8I1SseevpcqsZoo09edh4WT6fVA63f0/7WnwNa9pjIQ67OTQywzYnPmwgOkxOzJj7EJwCp XzZHqr0jsJHc8GM9Euw8jshFRDfyY2Fd79LHKEPpHiy51XdituHllDisvPlSxqYO8rZYhUUSxxox sWPAxn3FBuFmJ//ZnLrR5pZ9ujDrhXaf36IhduLXo5NKCASs0e0/yGbobVSqklALxisGb4/WtYZN fSyaUgWC+l/IROAtmAvW7WVMwzucvBSSgjsZUQEGx6957bzVQa6A4tDgbEzj1e7MJVf++N/Us6mb fY9IL02O6WYSB8H/MTGQa2hZSxrwmfS7XxaqERvevo1ySLVGnQgsvW6/vD92EVtZXtf2ODru58WN f9kK/Pm4Ulj8jibR7fr+QrAbYuBXvOHRfAVo4xPceahJrIBJMVo8C0QVvMiQymaBHFEpr2sS8lXx leGRDD8Zugs/nrKQJzINFfz9SA0T5oQB8orOj40iscLVa3n2FFu+murNv4N3Fd7MGtm0tY7XADMb TYpxWwDWG/o8oA5pm1gQcE9Gi+w6brccO2UKjEQt5GxSouZx11ThO8D9Yhk7Cf+c3v3r5cycJJdk 80KFPlXWuOFMX3/oleZp4XhQBg0bQJDRpeikkDdg3vJseb/cuRyp9dFJ/50D1rkBgQXIIiBDmj2s vyFj5AK5riuxBOkr2lBKOSZ9X2qxUYCIy6ZexrY0iemh7PZKqc5L6YLVSy5nys7d+cd103vOCDSi +W3F3crUZqPzdZ8qz23VsAxNL7xseveCTNhuPQC0cUuWbmgOpo7LkmEY77iBTZwPIWPIwFA636FR 8SRLyZ0UZKYR1+Y41BY7U+v9hFIgSxjugPC0Poe/m5oiwYqIo0npALJOtvG0vZexttRq0OrtPFNq dkCCTYkb9yJnQPbD25OMlfUR06lFmX1drgqr05n9cMGaWpxYfp0FU/v5FoCw0/eioOSXpyWeLSHZ mFTzupRGekURYMMIQD9WKRxQtlzw+ujw82mw1xjiXwiB1CqY9jaRXp4PWxnkgQkNiVHTeWgH+cSI 0Mp2wnpxBtTgTQyIIj/7MmkpYqpZXJmWEyeYhLprr9Td+iFUYLi3E6AgFhyC9UKEw8x1cjkebnPC KD8sm2ek+niL/fzljS5/bUrT/HeIMIDOdqdNGYR7uDlUWb+T7hXUexUYvvJDiP+tCYz+Us2LzT4b zy+4Z52hQlsD+2Luo11cRdDeOOvc5YgJcoOThMJH8EYZXWzToOPVF6ZsmBuCbwsPWJ5RrvnMM8fF uSY3rBIRMvQJf+9xOyYIGwwEVds3APZ1fC2IeDMvQgVY82eQtu0chD9J1kEGNScDffkhavNGPvXX dkmj5bNeImTRV/+0gDf7sq2BVTDkB3ZBQGVe9CpOaNqd1dumojT9IfaMkmasn29fYcCeGxYi/OQy b/AAjhWoVH2Q4DuaNeK1i71fVHqIICXz3Mh8hhIp4f1+DJtrc56rLDXVe5m8VgOY2oaMWSBOAyOt IHai+VBejmWHxln6DfZfb/+WbIoMDA8aPvX2MJZT1kMadzOtIbuIZhoyECfx86Xbnw4wjtCrbp0s 41NdFoLlEnIRD1VDZuNhOqqpfzTnBq0sRUyYqSOmFUfLNClDVks+aC5RCVpAJCtDz4bbxh8bV/dM 9RvwNQ5Pvenvw/CiRXLplMI3B7opeY++f/Zh7KokG26TXy+yLq5OjyGvYewPb9NeuhshqeJxAiu2 EH6kruRiFUmtVjYuHo0Zl9SXoY2RJzfi+YcVEDxIMHqagJgLUcpER7dU9fOhj5e7Tb0GY+3WPUvb IczN4z6b/gVv82pSrpEniVoEtLLO5gCsYO5569s+sA2I9VWYUjZU72IGHuq4CYF9DDeb3wfdR8zy sYZDsfEzpyEbLyrpAGap+3ufv09z7Qt50iufi7o41hmZaJ9MNgF2MGmUcDHkflabtZBxCfRCjrt1 IrrzdJ/aBuM8ptvJqVW+gvqscVcM17+ak4R7C7DUEDHzsv/IDB/OP8LOu7FCernBaM+/mUwFtchm SshX/GiYv/iH+FcvTAWjUBgxKY+n4LLJY5bfuLn/qhcXhYBrCmxNhpgRwHTV1AeKTWQUHF7COS7A tzfPBPhJ6a14SputmL9esIyLU+XCs/ukYF77foy6FCVakPbCy9+HzrumXgTnelRlItsAImEJZ1r6 1EavW6P6DK9FMJAbmPzmlnAPhPbjXCWbdRLFVeTPI6Fzr8FTAY8l5qTWIz1iTapJJFMvpUdbnTLx huKJVmxbHvvdtRPg+/R0x3Iigg6CEDMhJZXIrGu0NXvIrQGxBuiykaXKychLDedtbnjJ8uYVt7nw 9Jk9T63jhIxKj333msHoeXBB02MeouOIjHlgxc4PbnF1R9RbQYlgj5+EibSrxhPGJY7KGIB4qCP/ HuuUNbF0RmLsJG2Zw8qAJPCtL+zer3I7ipRRIG1tXi5rWg0BwKl5eQ5tryFn+TtXwUlDaZZ+w+CJ xzb7Ae8ulLV7m8jcHl9r6l57GQ/B3G9boqX1aWJLD6W6WETPtSfgPCXwq3qY0nvmsgdg549Oyvki hEb2YoDQhBonFQwyPCheATDPG7ksz5uUD40byA4o3I+EnG4r3p90RssicvixdQppNmbNGJ8NScR8 PMGEkT+n28CBLItFyRj5UssDEldQWGB/XiUqHhj0dLunQbP9UXHBjm3GgrQw3x4V3aRfL7/9aTHv CQ6rituVeovnkxC10S8p95m1yXZ8evvbnxteXOLEsVbN5KltkUNebWxD9AtrOwUXdV4zLgr5kl5O PGiEtEO7GjmGxakOUMniHjj57P+TT92KMsrpMVtlDPK2QliUaLJhzd/oaw+83IYPmeFkkXtyr8+N 4VPmVCcSfcCRAB77wQy3TxIIFzjzxEE9mRP2USmcW7smK2u5P3MhvzmCD7mvQiqOIVw22iziLh8F krm0axkofQywQvhVyI0HuT4mrzqUVD42Z/+wCM4Ay3j6Yvjmc3b+dU1RycMCqrgMEpAo+o31ZVY8 yIEpE88GYm7RVdJZ9h2LWoI9E/0StmKic9dTpAKFg3YtUWH0uQCQPH5MMW8DfbrjkigS6Qt9t7H4 LFCVZKvgxIHPa38JuCEEG0JCH0NPttXsiKMTHzTVm9KcJ4sKMlnZP4YbafgWJGaywu5TRczhX6v+ oVt0QnJqSa5LWEv0T5nJBhoC9FfIYnp2rDfMLIKPTJ533e34dm1y8JF7ToQXUlRIlt4D2jvecEQX Wro4PW23la0yPJPA/MSvDZxKerMKZe3M+qtUqwJfCxamn2Y8XnLhKUIYnFvt92hqQrlXNwA5BMSU Eo8FK56ygmihmCJ3hxGII7xIClaWMu1n67SlZg0B2NBkmUnWskv84pl7fM+gmE4L0wZVYVlGKCeG N5rv9BFFXfBaYqXOoLDrNGeKAiETguPgfzVayn8PqELE7qbA0J3ZzlrJA8t8OT8KQoc8zyQzy6c3 UdQAifRz419FMzLl3qFvZNDZ6dFCeBAkjcfPLItCw600iqXiBWhPYE732Y/7O0OJ6wbIX5pem5eR bO3trrBsLfZWp505lfMqdRp8JTk5pr/h+MHid9Q7wgJvuzKJTjllIksMzeCHhjyq4uBTwEdgoN9a mbT2lMdylOHOVRSl2RZmcBsKajfMhyhdrEwEfrIW8zaWSCQ9hsMd5j3Oe/rTxIlVoKTviesyVnIt JYJgZRWXUnvTa+nJ0AT5ZMDPf6G8DNxKM+LezTUWa2ZeEcMy5D0PEEK/6QXKgxZ5KwFc1owwrQBG XCNf0UT+mPArZ8SxVRN9L/B7GY/biNnZEZOeQsVj2FyjBrbLaGrWdeE2Q71/k2QZM8nlvTK8HGfU y+fd+fDJNZXDjwZPF/Gvh8BdQZnD5dgQEKGgOdwT881psYHQUCUfcOC/karKfOBV3+9DgdB7+DUF 1mGq9qn3lRFWmZVKD+17Ltv7PFBcJY4VETQXZIlFfean0G0kfZl33BSyPL1rPsp+5OAmUFXxqpiv SrvXrvI9HaDS/zuz1w7Y5MlQVuzwG1FAtURnT3pDDBtodmiqeE9BNv8EotceFTjir1KLATiXgizR 5xvbtV+nZCNc5KyudXxrpMj49Q2Xgsv/kuvzIN8dadgiZcteHrptOcvnxjG8/RMtJuER31jAccUg cqPdpuZA0OTINnA1tCz4VfJ7vx3S/UCAWeb37k8tkZEJFe5h8yiXYfFDF1IVSZXOvvg4pStVaQYE VE0BqVESjsA4X2NpuHPHcn1Bu1AlryimHJuHfKFJDXoXPO6/pmxpadmpY1IL8imd2HKqkGh+tjDU wSZObBH2667PZYH1F07GAWjcdi8lgAjr2D++aOquGZujExhkr7Ti81VKXUyeghmY67F/Z3Fl181e kovsyB4h33iZ5FbRM7IH3dTJ0+ZvEpujpKPb7QT4sJpx+t7oywcSwFC8Jvz7+PtRY7P+dN0XZDS3 qWIJIut3GP7Ht8qVeFIMjMpMctBOD8tsJcfHC9Dskcni260JUX7VVPQXDFX4Ix1uYvaMczu32BWe CW/aT02fSD9x8W4qOkxCN+Ex7dpsCc7naChk3Rv8+89p30XWOCUdmgwRj/DQLqxKhU1o/a9jRYVB 880tRmst46s3zlfDBUJPtYomc+4YXtbidb0ggjIlKdCj151mtepKMAigXsawepsVNB5SAr9sgKR+ zbFZc/kkX1D8znjZWgLDj4gEeOJ7M/2yic/oRjxphTw1/UL9tMxX0/p8rMdWGpQc9yZOaziFLnq3 dDtKMR4ejYxdNhPjqEMX2pOruyS3NtWqOmR9J5tQ7srA8DheXVeEPVvuhpK6j8PGLCJlPlo25Kdu gEM70DMplaFdNxXiwaz9qMwLkvYy34rqKACklFN6XOvr3vO5VTwpbi+36RW/htx0xQVJfPOQ805G KtaB5G/mlm+F51ha9soSMFt5FXchRuigKSgjYSe84vKqhAsK9f7bXyj4QlhTbBFBKjOuldXcm4NJ TIlr/RLq3HJyILbsJKmXo7wlcP2ANPFFnB6WkKZ05WvamGEkLsxx+F+7TR10bOcm5uc/T+EylTPb 3mtwUjnBs9YVFrJbNcQmCO4SIFhRiulQ4/1qGpyg9u+XxLVTKZ3UdBH7Wb2YI3/nJVT1M8WILhpY h0dTekMe/wd2OGYf7b8Hw3QH9rm6MV+0ilJBaiD7nBc4hQzDKNtlpYYJC2bDYmVXkJfksZu5zQdT POFdhDAyn6jDSuZHUmKqS3m2675NtHxDreQi5T62/2qXB2DEzfKTXKjk56FjoWJ0GD7NHusP9S2z VRR5ieqgik79zRBPWIb5Xdwta9XC/eFzPkl87mA4z06QX6qs9Vt2GnLwfR5EaD8HHfwOUfu2INMg kD6iYCX9TEN7cQeuP/9JCin7jxcyetbzJKPhZfIWoaSjs7Twv/iORz3ZKwpPvkHfOvaaELviPXK4 M4HyOAOKnSTpfDpv7+wohCKTugkQbadF7cJwzlH72qHyBhUnvj53HOONHafJJMuWqGeWCG6GK8xL McTqOK18/pEzlWRzaaQYGOurEGo4wJcF4T/Nx875y2wiop6mMhAXRjFn9K1KXBsTCZBlW0su64Bf IAb4AzO1fA1G8qNtG7eL/Ig1JsSTS4Mq6NdC/ApfuFbb/ekgEIbPrgN/8o6QBWYJAj7viK9rMIPM LAMDvX2qKAMLyM8AyrXPm+eqsOL162Lf4Oe1fhLTSiarTiHCUBHG3jj/rFqgfnA3r+SIYhUS39iD 9gQp5VVWAvOIGML6NXNa3zpov1dU8f1vq+x/jMZrVpnfuYX8pW3mzz3/oyl0k6exLEzbEUOpXFKf XQIcR2Lxfd5MElPwJqTiKdneoYpuTOC2b4twY//6kres/bo0m36xr88lcGAnVlpJcx8Pq7T1rWxE 5zXFZ15NowTWrULZWoCODM4Yp8r6NI5lagdvlLFvDLNV1fp3z8bMx0jIsIOTsWa+Bct0GehfktZQ Z5jtcNiQIWzW0i0TYyIBf83F0hq8h35hwzEkXDxEXEVfC4sAswz2x4CYS7e4G2w6Xjlc+sdIAhuj TwG/HBJmpZlOycsFy08oVvNlpdZYE+cupGbkw2r3mnFdevpQwXUA2K8KZIuRSNkeLMofMg4iyR0b 9OvU0w2t2J2gAoTfL1zN0Rvo9TS4xREP3tOrngJCyhjDAM4gKE9hdUbudDpixQtfyRut7L9YRHRF QGxQdN8LMjz3gtzFndiD23LP2Td+28KnxdsQOcdKzGcxfoG2YUVji0ceSclo9j6AKy257dMYr+Q7 M9zDGMcUHsYQMJyBe3frhE6pc+3/K8ct6MIQUP/s9LltlAuVATPUeoWwtRB9eX6f7v9ZVUd7nZ2B u1ehlfWMSIHZGedhBe30LpkXiaNNpYJOEHeysyvLh4/9dL4CZFuGd+I9+vRS/nUBkFaOcG2bv1Qg b5EMAiIeCAioNVjkeQPkkBRxCEdP10s5Hu8Ny927rfxEqQIfvsmqh2eW+HUl7kTbJPrQYuPIJ+0X DmvsusZ5oVLnmMKqjFK0caO3JXTtaRBT/enbiRUx9QNTWx3Z5sFvJJaYgmUWoVsBAU668heGM7Wa BbR08FkOzBjan7ZEzzAay0BoEDacdeZj1qzLSeUG1nIUY5tVXtVXu1u5cJpAjojXiLP2uTHBjYsy jf6HcONzFn8qoTqHxFGZyEOW/Gqgyyw+BYjoHrSpFQj30mO1h08rp4c1LcdCVKopPzbfK8VBylGJ AuGT0VUauIdiaECcLY1CV26YcnZ0+hh4gZLYhiKdErPuYCwErjaj69uL7QZDFgagxvnL1KdySZJB 835ObWBi4Wgx1vMSp0f9Hw0ojJ5mg/2PdpQ9ELKeS/v9eTWGgVKKuofFsS6L5pDbQyZgtnFbZXbr 6ZtQavIirmJPProfBBO1sCkCYOuqCWaonpCO/z8xQKOSgjiGiRw2g5QvjAotVCBMl6y3E2RWPZR2 fO0tFNL2CVVJ40MtjKlsNMmEpMsYj5wpuQdb6vIGt+wXSQOcOPIO0Qnel9wkcm5vAotcZ16NfCUG ayOiY1O6TVl6jraXjYvcMispCvkldDVLLbaiIXdq/P0O5XmbgqTA+lVf3s8S3OExFcGF2RMGxBSY OppvUzZeegsEkXelp8tipyKWvfCxmBWWpyNjL27rXJvsxmy13o+KeIbtupUxO2LiB+LzmCO58dKp 9kaT/3WVu/UUmKVXXAPG12AMt5r3nb143+lop6/dkbeJF/k1NjbMHQyhrnW8VrnnXTauaAqPlEeF I1bOZ3YljFK0zW8rSk7dFu4v356G3cdxHVDOV9zRVA+z2oVJTBsrZzTS5csXO4jucJMapy+i1Phf 6z6MLecguB566so08yBv6PoDvCo7TUYxgRcnOtYf6rbjQuStM+dLHf/29vViovLz2yJiHZODPzAm ziR/NHx0XzfZFpM7X4rIJsTfcJJmBCLSxja4OBAdcohWjqT3sFq/HNNkj+3UbpFNEUYCkdMATpHO +CHvv0t2/PjA5KHX4Gaq+iPl4hsojDEiQc/dKs75Cv3qsEhcnTBq24nv3BLgbNNzi3edWvcNFsj2 ESEDuT8GlxqyWqSpKYtMhPyV07QNpBGX8gka1v3nLEhnDHyBvOZ9+W7ykVz4ckZXs6ZOo4ZK38nC 9YbxLnNYDBeAJBmu9APqD2xtcYXHrnsrtn2/q57812QO9Mr8rQMdXNaT9f6g9P9JvGM1veHUQmr7 /CXxVyWUXLw84XHm6/fHWbMUseGP9iF1LJctq1phFjv25NCn9+/Zcv2qcS2FOh/5iZIOhcpLc71W x9EFWn+mYPwFNU+oLUxz2/U83PrwyqZwLOkkWjICtuToy4cv/e4tTCXoEO7pmP0gL+eQ6JBJ8At1 zIy5CvbaRMyiR+HypbXKroMDjNZY1aOWXfNW5aXAFX6rgVlCOmrdsXAOK9vkodviUvAses/lZ1ZW IM2erzuL4rxs/AKVyEi9DnyL3IB6MS/IjjkNcIi8T2ZZ/0FSpqQ3d74cSzjzj07L9SeeWWE5oWTE N82HZfvf68LNx7j6UEXuCBAjz5dXkeFpGU2lRed+DO1JuPfCxFhEdauQh4Eb9La9ryTEdI/7E1KR QBe5Ab4N/xkqPPIrX+NZ7EdRUvlAvYbwW6+ShZ/yCxdv/CSRQZBvKbobBdvtyRIsZ5CRnyrjfUuV gOGWxp1asw0nw2D/sk7jbmreMaVIGg2IhM+nFLm8GryspAiWnXawMVHCYN0vyYLa1XbFcB820hW7 TYP6c5AZtPK+tOKPdGaECNJuBgAa4DITIzeSb2Uj5VuO1u/fnzS8rsdz4yosRE9v7KB1gYl61dmV goh6RxR6BfcQVRy+SkpVHxCNn6RNgGNWrX6dKNgJC28jmyFv1oQLiVfiAJRH8gT/rV1f05Rrr0Hi PtozfGcoJ3dphIQItAhc7IW6SDmNidb6kpAjubqRwWcKx1zmdrRW6dNw6zDdvncaL1t0FHy17fk/ 9AdwqD5BRvFV8CwiWXjkclq3FmPxzZbHMvz1OOuVyWD65H2c0tL516KNJdtsaZWXe3s/H5zgtnQ3 8C11uubRPqDNTX08mh9pBLBtzmSSj9fJ8bqFl67nvXN8qkEZ+CgcC/Wx5kZDqSqsiXm7QXPWOCko THZYRnndVHD28zwAU8xvd/JZlBbQADJKkF6xm101zRZ8ukQo4Jqwo7k75fhSp6uRT1XWxp7Abdv8 aqwEVlddy9HAgLA+u6QIm2PZrNPNZtyT8LcdtALBlVaJtXr/5LifNKXdR7vz4QMsUe+jMXlK9BtT XQtYo2Sq2ISArkO1hhBxlut64zYOSZD/fN2o75KcWXcTGFjuYKiafD+jNh2uEZq2K8z4AaDI7neB yAJN6273VRZilMGW265hhbauC071JaI/FwFeqkIdkcbeZMXJ6PTlgsGYt5CMBjSQ6vrewW2yJVg5 FUUw5SF0zm5fmtLQiUYt95LzfvCmRRQWAxci+P/fHnm0RHYD7TbKtRue0pTZQFlwPLZlGiPrwhh3 vRVTYzh62hz9lbknlMF8D80Ni1fjALLUd8ljLfyY2HwKIIYZqEB6cU2zqnmgzmhpHTZqgoMvRiLk MMPx8mU47EzL47JZTQwmFdEglDy908RonnC2YImYkd1N+Vyf46Se+X0byZKzskFUDFiMBoWtfQw4 yHi4zhynekAMXYe/1KvcFmPfcr0AeCA2wozezoxhL+eyH0A4QEJsy7rQhDGsbEJ/9LCayGBC2e/s GNx9gpEB4jsJ4gKIfkEPRF13Q2BS4fVa5jP2NIv8oQKHr7Ivwk3zdnUBNOOI3SUQxslyDKNyHco8 eXivyc+fESIXGSbgRQBqOacU+iwaYDnIXCXAsqWNiO2ImPrUA7cHLVLEWi6fEphHF9LQaIQ+bJZt rzJaWEk0Tm6LTWrBOWCLCWNc5yJvgTM9kQM7fVNZkkLg6QcMvwX3f+w8vktcVjST5+x92VdED9pi TG4SeXOCA/Zg6+eQLiJij0uP/0pjRr/Aob9nSHtBSoHR2+A27wNpvO47C5UXiZr1+03FnsVBfHvr NdLlKJtvujuzFgVDWPXcCjyEWbHgqgrxZ/rSc+9h9Fwek+xBLvFGzCOcGY5ESn0PngMe1244ejGo nT8L0VTFp1Q4tjh1WCZUlZnkakl034Qs5kgAmv2OihzaII6Cv9eVwj0OpQ9QThEa8i3W4GKMs3Iq sITbvT+GW6RtIeoHaznOxAkgJK/OCP2Suq98WhLdqU2SKEGeYoOJsrnj3iIfZUd5oru2tPUYDm4G /W4RyA3DMv7uLTf++xY4mGKHG/R5oS6TDR/1EAwWCfG6YVNVCiqqXjv9XzutepP+1ql42sr1Hofs +lTT2cVuTPvqtCah5HV4pbMvtqcTueehvuf4jd4airRYkOd2RkDpPCKFHqy1rLqDBUhWhDaMvoXD 9H76HSymMCsfvrH/gUUNUg/NS23ON1MdwmdZywGsAfIZyc2/Wop9ScDzUuoSfS0jA3mjljrYETUg x3qP57BcLfFx2uJo7S/Ygs8XXIjk4bo7pYIXYsIjmLCy3FxD7TR12Rqokr+yWHZgQP2GrJw7ND4I xcZSce5zxwcFzeCKMZ896eZuNjyk08rn3bo8JDBS6pbqDAzzr5Bbh3iGERuZbBcZEIlG105fjdbY yKPFZNoTeDcX/+TDGUqYNY+E9lK/XwIvJmuXC7cuYSc6MZaFspWuR7YXp3YLYRDbXY5NRGv9vXdr AxZa7Yzg8gmHIaKWuFu0W84saFqjzQ6fC1eQDvs6s219vGDPYpH1CDD7oRikbTtx4mq6J8L6NIC2 YenCbAdWQa6E/qfW6FtGNUxm0rdxHe6b2rPM/q9AybRDnnfnLPruhp4PhBZoYe7SYbakaecCcCVv 4OP4HQDaeJJwhtGredIcpaC3E5Ac2cO0sV1oM7zegN7G5Vu0IjT6u6EMR1Yo8/+VoRBS62E3Mcua 7VRso+8R4mzYekvPp6txTObRmDgRqDbr2d338Yb9C/WSOh1zKiI1ut0a1AH75RPid79xVbtEGUoM lzjLM4gZoyjo9DIwQewsGDsxvjajnBO4gJYiGD6CGALVqcRqlVsofCBcB74WLTKMIJ0aAYgvmVsE R6oI/ippS5JLm75kwK+18lk2VFB1wg99FisDqNANnQ+vArjU3etWRIJeOnPNeXnfRWL6/e+veY/v SSsmWJOvg9Gvu/MAaZqBYddNNM7Yjs/HHXGfhzh+NsMdBvz90p9j/qZzIRk2zMbpUOgxatgErsv+ Xko1ZkPVWf0qLwMapVI7HCAECPgrorgn94iJrcUU62qhRxA1MhWJY1uP4xWaE4ezWwoHD15JawEP TCHB4HC6uoIgm07Qzckww9/02lC6khD0eQUWRZNOIMrWX92yeKDs3BLHOPIlZHh/CfCu7ZcjVXpB uI8X96bejF7/pJzuGA9OPBx7aRzrY409+ZOjq5LlL7c6X3e1coGp2n/XQTvfGs8bPC37dF++OIgw ZTU1SGENF+TIU/PFUT04/o8F12KSDOy1YbtvstXMXfLvtpqSBORoW8WLVPFJdlgLj8du5XESCNfx ewsdT0fuBwd88BzPF5T/rYXBcr9NBaQQHr2xaisdVDMJgVDkz2yyTj/CoGyeLFCRhQsWWEdbD0l+ Ii3wbXhZzKD1sVJ+od7n+UIjJjQQcfHMq54iVMDSN5GjZi74l88jrjNnXpUrRE/sVpheL5P7r/ts ZjeFPc3sGPBMoy4BYBqOpnhRNsnfk6fqFBDHex4b6lmj2ZATYDa+XJTtg0gzzmTgyskF7SNY847e wavcdSiO8Y7f4geJc5T6Qdf/LMZ+5xnueksVY53SJx1iRXGACTsEYwnp8F8L27LjiCV/NB0yWeHU wc7vYFnebysTGz2R3mQrH1XOyKGtuY4/6wehggLd89Wj3ZEa1LU0UNQE8An1E2gNM6rWM7G2kfDt Mip7JpwmI0oCvvS22ZgkR0fCru+unm67WI9bk80RBtwSfJRhYodiuQyDZNS7tBE8ikNuMXQwlgv5 ezi1imn7E7/YCOlW5NQ725Bz/rQqfhxNPal4xOPCdN4Qb1kB50x8PJa1lR9v9kU5QoXRyYgsLxmb ZlyJGj986+RizN5v7aOn0uFnL8SQwKoI5O6ygQ3b2xLfFaLSxoDfHVLHLpnMLSOTChhUUFKG3Rjt K8fqFfwU0+5Cpex348C0HBuUxWgSuNdWUOnuEZw+mHuFSqP2O5WKgG5zh0a6pizFL6HSfbFfii2r QxN8/EbqtSf9y6C/xxpYx55Qr2QtuO27nBb2q4GS55nJlmEaHiiY3QVb8FW7b8PGhW6P6DcJOzkB JPDxNq+2YXpEkN3MUoN+pOVkrtKJDH5AeRy7h13nerNxsmCfKNIEbuqdE2ROKRtn6yzT11SDFp1h 942+nbktnYkLMTBCPVd+UokwwSZ8OUJslwHrb4s07r2Kt15OeG1wG4utQzQx+uzs+g/2U9PZEY31 TyWGXQX0E53pk8QdlcAhP0GDSg7/NJLbDl844a9vwWsvJrcBO/IqDHo9aHVBfPgtgPfw78zMrKgi +WBdGxnRtNHCUF10F4jNYCRlRsDEqQeM57Yp+eo1FAdPjzb6G1CswaPVCJp2zTmywijRTUOmPLuf KO+ZKm2qKIUxaLiwaP4NbeFUmZoqcryrfFeXy+sDsNo7XFzmuS9Y8Mpgy1axr8vBW3AE0FeWRZhE KaxDKs/YYgmWDOMi1hA6IvOIUN2J51PfYWC+vj6wI3TnqE8t8H060b/B8brK2sWTaqL7lpzCkUNf ZjaYeIBxjJfsPbBSuSrlhZzF/l9bjuP7TkXr5FOP6yaJ/BR+GE/47nYhhEVdrpSm12lCuCffQZdU jyVqFJXq9iNqegbyLuSHJ3KUN7yfiYZikJfjnd+Z/zfGb6Dfskq+70Oc+EBQtrQT2EU+EqFkVuqO vXMTmdyEWgJ9LuCYmzx5rcfH6pTFI7yPSyuSBTuvmeALgPmvhcBBI6httVkxuD6T9OXimjE4JbcU eI1QIJmehwORfqj4/lUIQDGK80CIp+nYcw2NrpYdAeu9NGcWMGzRqnnTdX0pGkDtODKaUjhc8DDA P2ROLC9G2kAnF/d1BNKet/0A8dZDIH2WCm9lsh3lEww10e4dEUeWSMjcWxihmeyAq9K05c2vhQe8 OS5Q/bis7ZG8UAMZ2IRkv76nWybRISCY/vlMDYyjOG9fmikWzmzuoxCbHcGQqy20HrG7Invj4XA+ 84/FEFkdZRwG62sv6q57M0xxX9cEHARWf7it8BGjH/hzsvI00rfv+O+CAoyBaiOvcbX/YjQDrQvV SeOvkOEzweoHjbakn8DYzc/csDC4tWx2P3GdKPcfwNl9YrZvREdSKCL18Rvh4xZccQO4p2gDRu8T 4sTufY4aKlqm/7lL+FPfbIpk2WjeqnnL7oHlrZaLFxih0PG3FN4zDSheAfyv/624MLuIsqwcUVcn WnAcdxGe29sydq0StuUf/T7Nmr0V3cf2tvei7E5VisfX3RTovxK0+DP2keB+N6hraCPDby8V8/tF ndl/UY6vxyUGqP+ODHHPEd8RKo+uRz8DxJrfYcaxpmHfD2h4pKE2QmQWZyUqILdpodcUuyAF2KmH b389I2CJDRPR2kTT1XV7lD33KV8W+RqoIwOSXKnrdXZ0ZTdslasITUEqQVm0mjNVGyscPS0TL3eg axGIIRBYcCX2alftVjdWRvbWYEe4Bq1tUcR/3IPndXQGSAXCEaB8QsVPiK02OqQqWdANF1v+nRR+ qOy/I/eZg2G5LIe2re4l7AuD30p9Xa25QVqGvVQkFdeezhGz/Iey8RymUB2yY5DBVJK84KLEJ95E BaSE641QRLMEtlhbOEYGgv37ha6291+pq/mX+I4iKFZYHSveJ/kNAEvK6qnffmYE1upjX6e7v8tU TaHu21qeqm1+ZJahIKSiNyYhZFNp80Hc/W7VmjJ4sbrt/DM8HZdApT87BuxZ/RWezYzDg8AM0Etz RinuKh7GVkBbFcU3juxVQeaSBjCAbtC45Ufwy32bmgjN9LOyHJw81vkb8mh6aJcR3lxJu35zU/ZK CyXc6p01zSpeOursX2K4L2aATTY+uxmGN9P8s+7d5JztW86DPlTG96+kpdsDruTPuFIforY2mQOv 8PSKV8MDR3NCfQumP+/dpcfXgueD4wyVEzVuIhAKo1GUDNWfABggLQ3LKtUcd/WjWywq+P5IIWPG XXKKrJtVeaOlX/fwGg3WVo9ZzJVObhztSAf8zLcGni2j+HmqCogEDyRxhrS/rnmloqP0XCc7eNhp uN8Kfs6l28ULeCvkLJLLLC6V9FLZCPyUZUpoZtH4bVRinfO6koDaTLqIBBoxLCGr2sGFmTRv1dMw QgZb8YWWkjk3hA/H/+X+GvQadkiPbRbpZP07pf4MSf1ZUpDOwWlqfrviUgo6yLcaasCVNtgZIUYw EZtOvQvma7DyTfz8967gCoWI4FecejvfcQqNDhf9wZDeFH+r1CfXw7NeMHBCFoHYEMQpqAJd8b45 V9gjj+fuuUBc+STq5hQ0IeyFKF8XSSuo6D0clsN4RihJKQeHhDnnJedtuBZB3/I4zoq24y6TAhBs iENRL+CGTRvRFAc/gB8asWNAz1sKHooUkx6tV2k0Bo/B8c5wKROVvcag07peZtnyjDKhMoCZ/WM6 b8Jf8YOavgXLKlbBIIqwr5szPVnnAHAHCaXt9C1ROOh0RcbZppYvHP21XQUrw1KScL5+Ar8t/scK WiLRjfcGA8U2rHAh0m4dq1sUfSFgmPituIb4j1WtP/WO7QChCTUHfeKPb4Ag/f2I0isGapThZH1X l/gSBUCaa8XAYme5XFYXO60lEV1fQi3DzNSWhmUxJFubzXY4BYBnoq3dqFRUBsjjEE3qwNShexo/ /7excC9kxUvtTk4yv3t71LlK8a12sIm9U0BUF8/lo7kTWxpKJFBfsX5bSqy5t/UBdRSC8aPc01Mk SpyE4x7ZhAycrzLbwmHGiezPD0odjqgY/QtM/kzXgGq0ORnft3i5vEXo6N2MEhfwZ/F0h2vdO22v sH5JwArlajxC0Ae8OQ1B6SjOQTVZ7aT4OWQAE8K0mv0flwAiORWG+IKlMHKrmpHJy3YbpWj6tU3Y Aq06JIPuIl5JJ8cvjWVr2RMFw0hgsCrqWc7sYprDjuJ7gVbafDkI8WtncCkcBxeIxWxIv9MRufnf uENhFO0Kji6codl6cwhZ9RxQlJ/gV8N141OeaCVL6vauS+fDz3evWWbNw5YDdJVP/N4eepQ7vPDv Ed6zHNDe7wC6cl7cahkOZhjv4iCZp1LUn2zktLFItz6WSpUInBsUfLDttXxvJpDDGO0ZSjYl+hlt Vw+y4QMAw8Jv6VwYSC2Sxwubf1T/1eOlBOmhgPUsImFxqJjv2YEMPWjfDQ8Q1brnTfQ8UdOX6B5I C+APk63cySZ9Y8jTi18s5afezARLN7bqToR1pH6ie/0lyPYwrhcuI0V15bs4uC9UzA90/iPBCzKy 7D4E9eMu8bJ+TtemAyU9iUH6B38fUwXKWcgl6Nw68CK3gcSdsAzcl8fJu27gBzIEkDOx7WNBr4KZ lD/8lhcfJ4/k42rAac+8/BwnTuBgY1t7NjQvRz7j2WbyEZP3P7ve/WB186VlYgRZNSZfBAA5OaHm okm7tN3wvw2UGwOZSv27UIya+D0HMIFX8Wp5Xlq94sE4Omi9FI+D1ca9/xPVauE9HQEC7VVrMGOu +W4EuYyKi9IRVoywxQq9dUtelBgJ/O+NmcYlQMn8kbt7Sx8bnKvIS9Inq4U75RKoVYF8WLKNZZ85 fzIgxAPf8J/MaqkN8ebrc/kurPVqVs6Q4qqB1A+PpMKTFT+5mPWkBVOL/nsVa3TFp1fbW3lBkECv ZeA+YkiwBKA18l41Gis3x01uAPkvYqs7THjFYGmBVzC0HCEd8qtkbrEKTE0AthgAbU8jcyFXWwkK Sjkiu1CZpYwkkC8B5q8Gi0Ez6lYx7JILjcplHNx5fxAB30LvsQ9EW02S+YAz/V46OAEsFA3fznis 7w35RTLOn0zTw+kJAYR8VV/Qk6oTuP0yVJbuXyyb36U0en42J5avNDBS/rvXa40GEZnv96m0GgWM VXJcSFkNoWHfCPtD/PZ0O1/ad0yGIQCz7vVsgAi+OkqedFlz/op4Xb3jeKKA7TzVRxDomYfiNxCv I1QU+GBAX4ec+7DbOf/ZHX5PPwRJVTVHO+uJ4b/Ac5XKd7X5hvknZNH6SyD4NmixIkjkdcvwIc4P GO991kV+nADbRVM/q0ftSBuH/x+fRUew+sHT3j2YDtdZgn8enh1irAeJcze0ImxDAK79nZJ5aimB /eralwRfGcQi7QGgNrD1wJmmVxSxoeXE2T+cibSyoVQq2QZIAvl5pXSP8TyW+sgpL+8JmpyKn5bL bdbHQ5/KUw+xyo1n3jruLoIbGsSXxxm7EWnL3B7tLgFg7cm361++ugrw9lGJ6symOsrqMdUeLSXk Y+hHlUS8SQKPyO3LAAn+Ng0bSg5mpyIIbWngkj0Pn9GYPGpWF8+afUlHDRFUIMnCfkpur8mR2VC7 7j7SDXny9I5QWWh4yCwjG3CskgP7ckPwhVhP1oOm7drnFA9F7yoHij+XRkzMzO8iEawwvRHcncnN E4DZrNdC9qmY0OKIff4It1vf4FLgqUMrZbdZSOwNVMGSehgwEPFE03DZIqPiLmdm5dBd+PUquhdF 4kedYc/zAMI5c3/TA5J7PsQ3vhqSoBUDcXsnOV6OsRPp2NjqghHpGWWpVVaeJg4c9njtdE4A/HbX LhV9JeDUnZVcWXlKPSS8ahUNWwsF6yXcaeUwyf8FW0itjlMqaVAAyh4B11FJwS2gaLvavNPCDbFb 9UR1RJ2dXJgMUEqEXV0yVPtzVpT9DUME1nIai8RIYAQo5NunAwfC65mnauY6rsWnEeUFfnFsgkwo okhkseMr8qvSSJTgPnOKAiqtiLdh6zdRkPWfhP5vXzv7t6BMJBEY8NE3pyvlXnqcNOPmf5wByzii wawekT6TPCX2DEy2Yn977cnO1hts9gli5h2ZaNY9fp3IKALg6emh5OxpBB2CAhBKM+VmkI3UwVoS lqlSUBiwGaPP3+UMVs16ElYfsM4Ogct6SqnilOsSJJll8CSAP+5ZGELqzpSEUC0ZjyuItC3nBlPs O5YAI5Eo0RRQ1vhscu+gS9h89EFhqOMag+QeeFGCJoXeRqNhkiFszPkowg2gx4qU8GQxRm55hed+ 7/xuoPU63bDImhrrAjZ+nKufMT8KF1eIEXSCASksy4mHDBpdH6DkHy3wTz2r9//+nYliT1APxv4+ Fw1N8k9d9wGBH2AxSbz5iSr4dBVMDtHkXQxylHf+KKuCg8pnNS8BZDYn92xxr3doVPazP27mz6r/ lkP8Fv/PJBE8K6jfmVUOO3zGNh3ZKf2SCSbgxsDeXwinV610zpXXpThYjidQCvC2Q3LINt1VaMFz J2p6IjCl/QPs0MHDIkQv1Ucr5JFGMFpHGdM+qsj8ZV7BaUWz5zvj41QqQ1ZD5q4YVot+WfKfBRQq U76adFPNIoSFnNP9Fetu2yrjECRjtBF1ERn+kofrJDu12lOqHeVg7bEWOKpFTX2ouL4TGjVJHO43 qtWagmARHwImfBsxdOMnx+lDgsg8pXZMibOR3wT0rrs/rK9j0VfTvF3VfF0r+jT9BLrKZBwSwn6W S9WlQ0b4xH4eiRASsEtE6hYAjHn2H/VqWI4KluTsBXtaUlG/L4EcWoHpd9np5UNbTMLMVODZ8cFg w/YzMuTwriEvbWf3aUssTtHgO9rmK3KPl1dhRwetYhOausWJ6oXfBa9wo3jVpn4GaO2nBwGOYWZA ZoaWf48RWmH8L/ukPoTJhNj0aroOsdzth2/rkw1qyBqD/4RRmEsqm1ov/Q/cblDSYqtY7yx3equD c0S2Ap9uG0Giogf2MFTQ9AXu+fyKj3wUGRgx/gr3pCz5aZXwsT0POApCH67fjvgQh8EYV6IfGMNG 5yEuS+tCAQ7xaVSj/jdDKQTHAFmmn6nTMAxfLrJinUUctvZAZ5e5ZLolPofPOy6XxLXnnOOPOwZa SrXOr/gOO3or3EZc8AFbHk8Y+zqTAjh6oOk0Yw9B6R9epaS/qOyODQ/IxGeQXBYPCgvjR0lAf4vt 8AZpbPE/XuN2+Lj7GdWV8P3XphVp7437GdYV9M38ei8X2kWAfu+6J8Ltdw3qlwd5aoFJfV2IO2JQ z/LCJeyt9DIy07zuiUKqIN4UV6xkXRn4CowMnQLumlcAUeB5iLynOGXiqXZqsiNze1vqp3/FSah8 k2XxsCYW8Y/8TAUqGihypbXonCWdM93lk+r/rZgtEl1sLno6rno+Mw/eBV5sIrYBxCIzBNzNLZE8 txgqH7UEngsNMxcQ3+9BIRtR2q2QFfmkxCXXCzHOv4V4S3+aqaRpTrPKAMFNtbS7Ijh+dj/cH0Cv 0ZqeZPhOsRgvb34dVUZtZ/BTZyVKFyIia52CZGmpZ+0nMkFmdnribGhloviJpozgPXtW2hqbFtmm 94eAJN1asm759/he4ZEXDtcrY8SSPjDIKneTJV54rEhvj8cPZIuMtdmwvYFHkh95gC7dCPPhknMm 29LCp3Nvcfoce3LJJtVkyP/+2gT/YJpiwtHKQxbtHhvU7aWa+680ezWFQQFdagSIlgvy6zc27ori +jST8AEFgz2PueNt1aibtklp1HqXXAwFsQ5W8wiZo2kUgDwEQoTJUKTjO3wg95aahnRJlgW594F2 3bWAnE1ad9XJJuEtHnfwrg+sC6tTJi2kXNw1tYUFYuNmdb7ltmX4YTdLZopjR1fRxs0dEgYKwzTG OKNY5ee0mjr75O9dLqXT2ST0SKsgZF5ZilD/IkelkCPhkNOtQ5QyB9QmcsT9Cz72MmP4vIEqqX9g m5vDzrox7CN/whD0Vdx1tk7afHZEyuWCq2R65P0FD1Al13CKa5EfH/Zyx4JHetUhvQLaIMwqUPRu qCicDwOY1Z5z6DlYqOEkY/r18ukuzqRFjF0RQdZKtTMIRMA9UfP1leUipErK6Xycmijlx/VLHHCZ RwPcxERnXBzdc309gIP71PHx7WA4x7UOYdsFFILjipC1Yhw5MOihr9hE6RMaE7W1EcvdisXgDstn vfhtYTdQmfSF4wO2H/rfLKtgFUXSG0Sk/JFnXk2Y2Uvazxp3JFKEx2RwKS2D6fVFDhIzKx8y0GGF E4wMcaL9S1eeQuM/6YwVLOELktejkApw4Pg98oNNJrpKSwWKluZQioXZA4GkPRy/kJwwWdnaFNUk K8ht9tRcIa7U9/vRoATbxiL77mxKL/Kyaj1UIxalJTCKvMhde7EJqJQDQ+AjNrF6Wv+T3mgdyNrI OWS00s8XBvLrmIpUxoS426XBEU3ZPr/5nsgqC/cayd8BUVFwCkEDhBKwX91F8k8jDBF9MOMAS1My lO0R4Q8svvF8ur5FeDojjINPEStB9uL7w0+h07yg6h7aVTpIo3CNAmtHrWEpRRU/TKqRyGTNELtX PvyzSAADKkreObyWxM6Ky/UlfxLbK6hWb3pJHjTqZw4QX/D2O6tWanBQoT+gbD/4N+8onr5Tcy6S UGj4kbJ3ZmkhkTPXUYf95wsRCZeUO5Tpae9OLuTnxMGDQwVjZsCRrfJqi50BHV6XkOfiLnjPDhvQ lsHmsQxy97wSwnMWTaDfV7O1T5Qewy0zgY+QDdSxzCFFhquQwVE7sU/RjyObIsB7cG3l4pZ44By0 Zcspgi1+6acjGA3VOPaMOmvfi6ViQB1nhK6PxfHu11AzfitCz0SKBe1+GfrCQBRvtcuj8zJKpKl9 CtKGMJw+v5vtf3HM3PgERYQJE/lUSwzpIzwgIsaJ4sqt9JTItqbQTrPpu8ods7qN1zpewe8ajgut gICNOR7XTy9yy53Hau633bKm8haU57Rc8eYV+DIrQqoglyoeLrEk3PvMuKA/p8NKoXGX3GJlkOH2 yrDHsPHquP7tZ77hbOWZcKYHec/Qf+who1t1T8bkgDdOLr5UHMrF8fIuRKbFVB2DJn0i05qvZQDT 1LPAJhgMZSXJwN1Fh0OadLm8P78mqEgVmMprrq8H06uJLfn5FrTTuOqHlRwD7Sr0n0PHI79hYBIz P4p2Wk0xwz5rSIiTjwWb//Sv3goq/+l/obTzUkbjwXllmZYiqcNN/QBb9lPo+pIKzX99KpXYp9G2 awddn6cJMANvv/cRolDkQaLtlyK9Ym4jaDOzrDTiHdLtSumZkMJsy5hD/NlS4zvA99UYqasJgwRh HTQ2GnUQFFD1yxsMGaVeeWrUYugVgwmEXp8i9jiTttw008qbOxIxUjM79Y/fiFzwFvn7rwL0A3xB Wj4LW0TQPXLPYM1PjC8K51JS1JO4zv4js3es7o/7zjWL+8hnx+dA1eusctLxzuurSkjsA8V/d4ff zdNu7ZENYWowPQgl717maoGPj0leNbJxGwDEoWG394+3IT/o7hM0s4tPzU4/Jzp4TN+wguypfZ2+ G2fpn4MqpJDznvSDrwILgA97YciQzrRqHNon5hsBoyJYnmJp4uDu/IgABry9okiDsnpLmWD7CGB6 quHrBY/1Fb6f14kXpqf6Gm7Thstb/uCyD7G9veF2/UnfbmPDlRGKD4OyqO8zgU1H/aYGjugMeyVn ch4OAyxtBZ8ae+dj8oD7agybvUM4viuDuqMv9ADRv1d3XdzqUXvu2JLaCuIIfWQLb/ksxwi3Pakh qacIBmAN39CYhB6hEjfY/JE3Ml7lnjyk9SX6m8An6o+SQLbTTDhhny1KtvxX+FKDgYLfWuwka/it fuAqArWxB81WwfwZzIXatDH7rH30OVzP9t65Bg6eIhZcYU3dPvsrEjqaFfcxGJ8IYjlvVSRGgAlT gCk4iCzanW6ZycQUYr3TmrsgpNfGmITwdz+nUE8/MLzINBtkCX2BYqFwBDVGK95kKXkmdfn6Ki2b /RfTXiwWFu3JB8xI2PoFVGljN0vcuYV09Xd4yVUc51KgW25EBYM1IMTgm5gNTjZtydFc7l2w12PR SD+MzVbA+G1KwUOMRjYJpzZuqI3OPVam2zWuVBTMziXCkbd0txJYVTyUYcwZWKEPl/+f9Stz4/jh 8ZYl4ZFcpYO9fJk0TrWOHb7vAF5SPhqNHLIsptyhAGfqnAh7kls830fa5HrixoHBodUWQNc3fCWe tb9cO1ORYFa3jSx3gIrljibxoDRpiQ8IZGSCruGsGgyOFSBkKoeMiWkhypTDivLrOHWFxfWuj5oZ 22GAvKoICMwdXoHL0a13U2PwRT0qaY8q0LDXWhkLwsGKHPbiW2DSwjDsmCKvibLkDtJwmBE8jGnB CtHSGjFZDoofGWMrw8EtUfOzKtU4csu4tfn0Yp3y+kU4IIwSLpSp/qgFaxWdKrdeo1twDWdRuC9R 2mVcIvGg7k+McwNr4Zf1XxhQ1zkcEZpPlfjVD12oky7IH3xf8S90ULiYX9LBszKFWmuSuRS0hTGS IMUS08jyWqYEvlEDS7MTCqh1tG+7LK6cpD5jOrLwm/rJtRN3Ij/7XG90IWFcXHbmNM0sYESUPvw1 zUszSWkbhTrjPXYZ2gfcXfWcFoIErTeED7mzfUzxLzsbsvd72q5UvbDTdTEekR2G+HTWtWaPv+nX UUyJIwtkhFGrI/Kh1VvwAvaBBVocEYQgnYLXPs40f2p2FMAUPA8rbxt8DI0GPF9DxBFbq9qWAUWh xdjcq1ciWtDRGqB5LZNh+Txy5SvDHi2faQVzCerKQMEROxZZnKxRKuL7EN8CFrFoeNKH94iCFpLh 2j3GrozFKbwHofN1LRAKmhwWeVA9NlDLOfG6Iq+oyhphYATGTBA2IQorTWvPPPvPwZwACnkZJDJ7 GqE+G1WzO90VjQfuSBolRD6dxXK0jq0A6KDnjBAdLJB3fqdkA+n79yyMK5CTl1NR96N/T3uWELXk 9fiMZ3quxHuLLMFRJlJCSt8z8yapU++etPHF9WzHho5z4VewbJ7IMBE4G4Pgopl3Zb0GlmcFV7yU DQUoEMIgoCAMMCIvlm86vkxMo6QAtiJPbnwrgn7MHsul2lTmh2QbE+5+X6/g2HewI+RU+9HlCRwy 7263rI22pxAZkKIFaAKlfbu6+9kNcP65/46xAWkcuUWxw8AojYyZeGJAYgxhElHdoyxMxiKVJGcP M/zcOy7ejqJZUXR5e9Lun0dFthrX1GteS2OPpGnGYrermenM6HQV9k2HFXqPJnF7ruGmh39EG64C FWvagWbkbHbKsXZs/aayJ1+1RiOoBbZaueLiCZba6oTKA/9sJsd5ym79/Mdl6OZAMsxnGspo7YtH 3A6V1rQXhvibRVmVbFwZDh8XYtbUWz+Jy6zN1jx1VjlM6iSFaECIHVTcN4cDjcS7gclmT6dZnZyR q4rWPxvOdu4tHEQWQb/t8D5wC1n6Y/MhR7Xqwz4bcs4R9w3porgoS4DsWi64IcCtuRJQWLARhq62 XL90G1Rdq73ebt6497l1Z7FbzVmNZ05/1gPXNWN9jtvIQt/LWgOHdOjfXUjN88T0jEcq/86i+yst Dc0KFQX+OKHT6eSZw7iAQ1xdj0cMUmFz2d5eqvG0YpyrYPY0YLzOs1UySDvTR1frh4aXCnexFFQV S0f5SSMFM3Ml/ZsqU5XoVAVrNuCxjO3ondySGjXHFlrmO8f3o2SDWl9cO198EHRjR5F/ZB6jYlRI oIUNWvZSyvB0VYDik3tI8d4D+5F/6AxVStMN2p1gvBm1PhW8Jg3xgBHCp8G50kAKyPCudRrvEfZk S73wGhI7kiVtw/z7fUIRnyN1dJgJViC6qgjRzWmfWZLzkUxB/PmAy55CnMWFInYpA781r59Kl2Ma pooJP7EQjbF2fUD10yZQRbkfYCNrSlED9UKYti0iOLv0j5/RFz3iNYBoy1dPU9A1W9xZuGYjOT5d Gwpf/hSKW94dEFFFpccGp5D50O0csnDfl7ZtkWpy5ONUBLvawHHFNYKvDvEUmGaIZjHTRq6TA9DM Qtrugg4heoPG/esV8s7fTcIEAxhRax9G8QEf4x/e3STB3k49QeOYcOLMb4P5OQoqtxwgvzMhMkAB RXspSUH6/OD34d8T75YqNLywjWy0MYDUwWdjCVCshFpb499CHPj2PGmmzNzh2MdloC/TuUlEj4Pz smgbi8OnTDV8bv8NpWhyvYOqkpEMeKNboK8PZIhoMbkLMGrYlbaZ2xzkA3Rqju2KPz5THjazhP2w EIStJw5kJ+OYUXWVxNUTn5WvilyLn26IfZv1GXclgwKe15zSeEFB1lcKMFPYvas8YTzMH4ywORSp f4bJQv/d6Sk43EeY3D0O+JlrL0zlbmQE6yIllspTKnliTF4bm/QoBTA6KdBkmcbAHg74az+9oBHM NTDs8uXHmK7ZoY7s8Oag4+5MAL4k5nQAQCparbI98T6SGvp8u1EXTyHG6hK4E0+P5Dq1oWwdzbE+ UU/pDKD8ainHJyR6H2uV+/Nh/PCpT6Iau/dwh8h/WylWut6AZCQgObA9btrOmPgYLDQQ/+Ngew94 ZD/wxSUpqsNSDnUNMVwxRCWR7kj4ocadrEQ6tk1+jk9xLFTH4YLXVMOg8s6w9goFyvSNZnCkKORi 6uN5du9oBUyBtWKD7VZOR2JbH+kjwpk9YRod5cuw32QaVNbCPGpdIaBlaZAJOv28ZKjZb0jWZUDL KWBTXhhTOmkKQrQ/EO17HLL2kGdKBDvMH2S43I1VjRJ+4begCX7DY6hlQ/YGfCcTPPQI+eCr3nyS 9cJYcUF/qaxaTyDRRyyW/PD4KZk82nIcjx48fPUUsyQw/A6bDoR0DnIiOQCyAJivMZFguakZEZq/ oM+KmPTTkHFbltbeuYTW+i+1wPnZOSa0yqPGXY9konXrUx4XrWkWR1UnEA1KmAItHCvQC2T/edpR RwY9WVDR/Go8j0wwp3OCW+rIfCgvX80wqx3jR9Nk53KdONzlTgIz7vKjTHeClNBZCcT5NrDApH7V oynl5j9v7hNCyLSnHE/9QPBhJwMfuXRvyjchFQMHyJlPk1y1SaL7TCIRij+0a3wwOo20Gth5k0oR oA8CEJ+ksKD6EclNhrU6r+xrdRZh2iaryzQYb37h3yx+8KYiZkTVU6VfLF4VbDm84OOOCGwyiH+K KKYMeaSXL6ydCmseTVWucMX4WMou5yb0/f3m2nxpc1UJjW+8dSizpPuEDtm8+APbSDKTksa2RXZK E0TihLLV+HIc2miBmQGw4i6lX9xgyWvSymKFSlcLPh3hvHF9BiPcHbAWx1fKs5VSGQpA1sBHyzmB ZsA9BhEZCGsLsks4u0ArLROxMESyhM1S9o2qjOei4eJTk9TdGWm9wTXu9h2yrVJCl+VIYTWcWJlz hWC98CEogntG3L9e8JeI9OyLS8OEPk0TNVtg+usjJk5MeKMtMmd9+OYBmhKNtu3tThq8IB6jxt0V out1nL8cbq+3FglW1MlOGmy3S56Nytg0DNDC/cUFs5B1x5NnOWiQ3M+wgYmZVVJxbBSKg4Bzjjsz jDNrzZpl1/oKFWe29gMsOE+3eLpZgRA25ZwXEthXjRD8LkJrpL57dsGxJSB6y/7EWaVVsHXKPfxa Il//91tMau1/73AdYN4CnLWv3yvi2lMHB7clNyrmZ/6ORV3+Pk+Ts6YFDAinoQNccDV1C71lLEBf jp9VrtcfKSNxYcWOl7T5KRMQGtsbxFLt2h6xpPUGa3FoLX29LAHK86vDmZr3jOBlDaRiZpJinEfN MHC8EEIMHP+NR0H6k7OuqCLSAtUXCWsDAOuY1IgYphJfe1OBryWJvdc65XqqAbFX8m5V7Up/Myov XaFUKtxem443+MnIwNV5Xcr4yYOwMzVdqPuabKzLJby6BTyYJDt9dB/nCBSpGuwBp/QJPBs7bihb W58QUOYWoD+QZU1FCEQ5kzSW35vAK5/O2BLqjQd7/ThU+4vTHscteQSLmeiJ9mwZ8DF/6CfoodSo KE3nC8JQ9QYDj8EE5Cq6vZe5MKDspi97w+EszBx5Tffw+e77cIg6oIkGxIyP7qQGKOTs6HBHrQdL 3NNAdgBQ6vnQC+yfXUo/9unUcykiZck9NbWV8OVJRCC0IrOgNffMKIsAjq1FgiGNqNJEFU8GdBSi PLMR3G0Kx46M60IMhL9wETXD0IeTsnHd9L6bmswevdgdPYwuGK6Icoyx+xCbCWvEq3R6HxOc6aCN 97x70aeekRXozq+kLm8xfsc9nGzEIKiXWV+cosFqs0Zy64qlLvtZgbELHyFW91Lu8L/WIf5pkY59 LZYO2xI6YO/R7uxnGNEMoa6ZKLei7G7DEvlzAngBdlzm5r3cGjzT3vxOzLU2ktO0POQh4SNGn5LE egdV4c3oGCtecMTmANfDh5RrjF6jpt2Zgy0skNWVoDzoYk/p/a3pWokUkPZ8uFZW6mZLGRQya3VJ IiRCilnPEQ7a5NrlB4SsFNgeRU0S8ji6W9x8ISXMR8aSnS1pV8egilHJhPT5dXaBPnLEDu9v8Ylt D53bOJlC6sY1T/DwH4Ofo6DdRt8vBOhvvZLKpUoouSARyIxKFmx7N43QVmNBSM8yQcn+yGqX1vHW CslCCt0pU/waw9GIATC7jL65kfZ9FhJa/o7yzsLgtMHh7jpkx/onTQ84vN8RHgpyDT/LMZPBpJ5Y nab3wiQOL0/SeD7gHKYryWnjFJom02BG8SWsEdctDGxB5H83N8nc+6OXRuMBhcCUaB1n42NTc9GG jF2h3BcYfmGJ68cD+mX+RLh1S2t28/8ldocWHRY/2ljHDTU2M8hfZ+m3HN9MuKE0Puj/DuEl68Fm XSUGkiSUZV75E/Tvx/fb8Wz6/2Vtifc3MP8gaLDHiDO0VTp38AhaPdWFvATQM5XawQOzUeUQrvDx ZZDv0wrPGaqMXcSrkAzp2xeNdQLTEjagIdWxPdTK6qMV0MGMjTMATWtGWYonj7PfsJS5YOXHLEB2 S5nyQgqX4O+8Uc7hDA3nWcc7q7qiv+vuLAX3KtSlatJ00fTJYdsqFpd17vlUquii/PcpiZPENNXb /D16Nyh+2SluT1sXOUP9qP2eXdHENO3pICP871C0wI5Bd0yBDa+4f+j2tnzYzW2YmtD5CioVWKuf cWlRg2KBj4YeJ9UrX6jGDd+87icvjgdgxORjdjmR6u/d9A47Nb7ZVDV5+vAUeEGZN8jdkNC26WDa Rx93lVoPMfN5JDzHp4UBiGfa1uGuCkcLLcyWlkob/B7RNJzyEmByNXRACXmiqsLDoSKJ3ZWoPFvC AhaK7wp9S8dDuyepeYCCDmh5hoRmCW5TWiP7A/wYB7kADUjV/es9mrig/eI64VYSRGkjfTCBXbrb idvM3x5tfCKXXKC9jylZWw+Tsd7UFh0TEJi7f6yyBl6HVgPtHE0gOgPImq2UmMR7RoIEvdgKlmM/ 9XO217mpYTFQgDNDQqWhfbS40E0/voakJO7CIdpF8RmG8/tsWE2g7PjKIq1Qiy89WMnuQZoiBkSP 7Jub3hv3CRkDcP+NK4ggT2EC1rus16UCCESlisJjnR4EBiRWNznt/eghLhsaCITg9foHz84dnNtE HmCIj2fNsJv5hvr0nQ3yrPJLMGUZ6BE0TrqdZNuiJv3UHhylxG5coHTNtMnD8IhXy7I2BrYRp74D IWSnUMQWv99eZ/oE7YYkyJ0bmPyxTTOB6rLrCm2cT81y5edcHfOOJ2Vyyz1cQ7KvH19LWIHJUw/P bhIvvI2Da0S4ZjnRKOtnxxtXAwP/ImG3UFWpCZ78Cam/JpD6dBIeZyin1ZFNh3H/SAGl9XoCF7v/ d5aMHgKSKHe04qvpn3fGNHOyekNL2rLtgeZx0ekKuxjJqeYdxCMiGWS50zozj6zKc9++pRSdib/a P2rpsoJiVbhu0e8f3qlKiVX1dEoNzxsDGnGKO7yUeYMt1XBwhkw0fUtG49K9pALj7rWyv//4Myuz k0cHHkseiaQdfkxbBqyLswHq1856r8kzScfVRiC92uVVVcGNQ6pmUHsClsizFBe08I3AgPe/p93I PDWDCcudCkDvHYywW7KZLGHAJCsA0iWehVRarukPr4/4MOfFG4MDTZWGcUnpM3PeXY1oggQcmyLB O7DZVHJCzqWTyBUcxSyCkPL7+bmEODG39hkUp80zbWJ4zoIzt0CdkCJnvRRfwh74EyUyLXsfQKTD ln/sjLp0kp1TszDigyhPMqAO9/UixPCh6wOQotR41/u2EAEHysAVxjOLK/Mi0071/HZd5WB0VfdX cCu0ii+gT3fCffwkqO4DT7qSFud0B4LC7G+kn8tTS17pBoPbyhE+VYP9iARCtSuDpD6SliWG+xBM 90EGL/fUNbPUOMvrn/dhQhrwx/S7L0wZktMt2ZDDsYoG0w0qo0ktjHVaNHxiE0APPKsyipkYuwsB Do4gedVzXyYlxgg1JjqQZHLNdRE3SjTDEm/YSc/Ohtfq1TIzhix6HdTXiS8q80uNU00fVb7DWFET 9GuxchpfccsBsizfkhEpfPrTI9w9jchwx8m8lzaqCYGhcT3txWo8p+G+mJ7aWfBf37G2UG6tvPit O83AtCwh+EMf5zGoeyb/54xaRtOvcnu9wrSAsxEd8mFbWM9bWmM+2Imiq2ltqiadiwW1yGER8VGE 0cdIj8ACYtEfEBwv8FGzjStSj62ag5LNd0Jzc8q7l26mymFjZdZCbIVBxsjYUnUMI8yCLn4QhHM3 h/fWz5SvdgHVEydOzx2DCWzjBhpl125mxZo2xRHFvJiPKkIve6RY7QXtnHd4UDpWK1VXLDhrcozi t1wI9tYWsv80k/WEHAACBFZ8UGa2Utlun4Yc8/NDpio0nPmGYSHMT4JULdVihOzpKoMKkOe8eWJM Gj7Y3HEXreTV3Kg2Vg+A7hGjrNkyiLPZOpYwUdA+KW6JCcw/WD/izxA2XuLZ6LOlpBLSQPoQRXQb WoTnFp7iLpkUhp1DQaaOdJ0o9TgN4S3PewEmESON7omLs6zgPqHSiBtQN/arJ0l7bGEeAc16lDuf 9c60y5S0GFvCb3sN+HL5pn0GUZkCy4lihmCoVXXmZ+oErtmy1Gi458bVZo/vDM3A+Lr5mvgRjO0+ Oz9hppdie+DPfpuuexFStBJZdij6I935qU0QMA9gFq3vol2aRHvpr8Q/7wUV88DOxDjdJmkf3Q6r CFu1El6XAPiQvCSs1MJ0CgqNZXrN1CwTIhaCV+JwsUrS9KViu8lyDC//X1QB/g7Taz9GpLBK0gLj LfEzeSb/ARAMSL0hJmRrWOjCfMdA0SeoY/4XZTOY3oHGQdAsFyioKxvDmTzEjnrdiX4jm8l9+4TP 0yDzk+8+hOC+yt5TLByjUooyM58n6AIODezcq9z9dz+vZ6/Uafw41waEiCvGfoBul7eIu1eG0utF way5iceGqwxgKsfrn0Wj/q54qfvzBVmGk9d70dMpTe7DDh4So4n8F1wDvnzN31tm+/s+NZvUDiYZ XMyPm0qwRButTeuREsWjRPb9ZtDoYizzqKyYMr++ymGMbWo2+ciJZMTmtDj4ZR6t1dKhjYYu6UJK sofYU+XzHwpK0/TEMvt0y463pk0OKlD+86Hnxi9ORKlIryBZ+IrZyHVu5S2rZi6fPLgYwYv4rljg hvQdD08avbA94nF7SPZDSMDVZnzBcvAW64NC/ago7zMgSw3lNC0dlMt7wVfd/JQSs4/pT+wR8+dd t3FV/WxmXL378NyUkzQI4qoJDKu2J6Ye+UjhdgVZO6DSChgDad35uxni7bdkcC2pdeB0/G6Ccg2f m+GraBtJrJfZcxVnar9Y+tqYM9ABbpH7RP+vKYQdgQZ5+Fi7X7+1vjb0VHWvvXjARDjF7SEJBMKU sqBXKxzRGgC1XtgbyeFf8Ur4qyiDWlDrfkRyjlgEGZ4i34MF2nxojQ21LWO5gCm6utbEI1gCmh9m EMppedIUUU4i9uInoUE1FfgrQX2eC3zTwcqWdHx4ZktiLtE3Az6N6tLGND8EBeiQnGKW44rFGIAm CsV8XiEUZkzoqPspmX9MDSS3gj19182/qL5VTIxylmGHhIFjqlKayxh/TefNBsSJcmkrsuqeC+hH xelbFyafdNeiaP58lgjHtKOdVAV+74Y2xY7m2wRM13PLU46D2DwiHkdVkDoP1TiEmlfZhU8ebCT2 FGgQqtp8k8Bb/dGw6tjeu3XjEeNrJLDVjRltuShzFsVVZmWnPXGGhuE6cOO36z9jZmK9CyLlxRex /ElfhnUfcMXHnjZ/qh7uqiuzZKVX9Q4QibdPw5aP0durdZV4FhxOb3HSDfcV4QqL6Io65RYyK8fl Lay24hUYNcIgoMysmlUaz6b7S1XEp0DeIbK0JxqCBOS6+l9Zp+K9iYdTHMZKaLABJoGMQFlXrS+k gPF02PaGw6wkNWpTTjq9fGLj1/4dCnSrXlcdg8/NiSIyjoQtiAxJf25WrmwKCSbM9ZLl2QR2XKOk 7swuce316DC+7mXxXzLdx9uRPvd0yBMMaUikq3+064I3ylE/EBYhRGh2gDZaNr6fZdwAgXcCxxbX g1DLq3OSrGByfZFbEhzacI7aHO7i2jLehYRv68Y+wHyB847BN+d8x/LBm38A1EeTB8rnx4WMsrK0 ZczsPdtgc5qU2pxxD93aiTuo48xi0lp3ylTb45XBmyQbf067xX2fwPm3eLANgxUnDwwmWSjgTzg8 h14lhwZY2VjFo607CMsUr3GK4BaiW8iObGFSPhlkHr7vHTZ8ANrYEkTOWpYD/rHPEL/3RhVU796n SXuZjIldpqPxTNdgU/T3OCRwsJFuhR1mIn5UaeHUdcnfbULEkqL357ro1szwePustj47oAWjjxgW rfOZYeQDEXTxotrG/SDYm7YaYhKokTHROG1rOGmXY++BsyLkB3PH4ibnWI5CEVzAKfEP6qgwy/eJ Fts2ztQ3iBORa77wczEE/Mkj+nEc5tfl+b+7lAv2s5vHBG+WRC+Wi/Hm5SzJ2gXx09rzVd3RS8Kw ilSYxhdTcpP9ijcXNlrtgU05phFZXcPSV86c4QxBDJTIQQGPZTAucVGzWrfD5cQBCWOnoHpsRAMX UXLmGKVZ9CEmGlElOUFQ9l2NEdJJLHD6yd28xBuQveFQJHCT9V/5k8sg3uZmZNnKxrp7HjFwkyjO YhM5s1FUfufPICHjWR8ceo2mJLg9dWVM5ZGsdv3XyA4dJ+wmTZSwnbDPaYrpOgpYlPRybZqYgTki 6pUjXaj7lvH6Pn2sL6gi93zCQPzIhSSXxEOXv1Rvpk1VRUYHZcU5M7vKDEiC9g4irDNmn2qtodFQ gZLWQKFUbVUED6YdZt90su3F/cOhvj/k9AUbN6P5Sk4vX80cSfDGlfn7yHb+685yggCUkPNa7yD1 xCYIH+rpz8A61wv55aoZj3m6mUIdUxFag4zAEqqflIoTMfKvwErvHCogxqxkCMqqQNGvkq4g+4UB JceBPJ5//caO0IGiohPXQpC+Z3Suqq9pyPQjT7N5pxroaUFmQ9eZnQukUhZ/rS7YWKngkf+ygxyh 4xzJcarG7aKK/a8ZyWCWIbM0R4pNajomahrVNBP1uSa1yDsnUdmDYECN7SfxUGL/t3foaU8KI2O6 TVyRdi/xZLPAz8hsS41pgwrfM0vUhko233OiNl9oL94RBwkDWM4uAJammaVEmYW44QRN7OGdpYIt 8ytaf/snITFiE6nCeDye0LThpcb+tNlA/t4z2yw7WFvdgRHgzNnwrAT5uwe5Re/OQsJxobvMpPd1 efwRk09hJxcz3QiQ0RzlZegSHzZMHg5REx+34jyPQkiDQTXCbnfXVehii3iEfKtRnIxG2TD0x9PJ 1Df16dH90TE95AmX1wvgF5WXleo4foq7GajNYnh3JahzD5jbdG/mCMdLHxaaewGUsa2ns1kwIsIG o1F3Ih8WrD4XUsK5rKuu8fdbCpPqsvLo7WezuYLX03QgYQUr0P1lXbvnJFDbAb211F+bW0lWn+ZQ aa715c21KOzz/ssgCKjuzq/lwAIk1ixu2CBa8nCkWgVJBjDa22z/66fVCR0wx9v0kfmfbeChEYwM j91YjDxo+ATM3NiFOrnHNQWJf6pZss2Liq5TsSJDvR8AtEk3xWqcTyr7xxoop+FvL2F5fB7mR9VH o5ZZVIXfvyPwVj5FNP9Kb0ZWEny3w/MbKhn2tvG0uTbgHUebVr1rZJVh201hT+5cHXL7R/0EKR2v tdRmTj81hLu6oFWJf1s5IQICxuz/7NZU+7HSxPwbjjn8EIKkLxF1hlitpXHQaaFD8Izcc7h7yavb P/Ql88jwpaBrT4bOq9wgetpnfjjMwiHg0JEnY2qT4hjxMp1ZfR9fWndJn5r+J6UTfi0mSnqITt5G jbXBjZXO2Fked+tUS3e+V4xoKmN8Ool7TP2JU272QtSux2y1fH0uNgCHBGJCvy7kpUSLW8OUvM5H Eow6V3bqZ5DgZUL+cnH1BJXSNH++9M97MSegysvySOyVbrkKgOfAJq65B2VMDMW6BoKw0aaAet7n eg3+E/iost5bHugLCJW76gXuXDk7ZLa5vybNoD2KK9lBBA/Et2UbtlbICkNXgl6Pto5Vubtb3uH3 wFeX/a+3YkEvCDJJVyv1+FnvPiVLVT9ONrVCg5XXsEQQiOoHAQ9p75nKr/Vn9CZdWpRtiZEjLZ2m qOZT+gnrCBTmU0/bK+50AMaWhd5p+qPgWKijW6fq7D0huEwv8BTt242HPUc+xPw2NnZKXPeeofAG 84uvJ/CVgd+YWdpS0Y+hWAILNJk+2BeIZUm+LhZOw3Cl0gW+SFvKL65Tf4WfvUsA17nL8nfCrWPg zNdwkSuhftkMVoUZvg98WeKHcq12Tav0AhfGdXmRmgriAhzBfUlDviuXh9IRA6CJwpBgB8iDdsJw iGQKao2gwSTNDMejHCSXjqAcNNFkGalcB2WemA4ZKaEIH4Sf1gCHi+H6x1CpBONcwIwRhYQL6Lkn bydqPNkYJZ3VmyN56sjTXi0ucUtD4FB/G0OHWpExDXL+AGuWRv8HbFcMbPs8bZnvAb5MI+wjB6Nb gmD/JYlJlKHtKT+0C679xo2QcViVrv8LBGJj3D7zUm/csZtup12qls/t03ILWpnVUnVewl1aR7sI gW5PlBw//NWW3D0zKHRCO/bk2Pdf0CmDOKvsdvvSoeO1HxISOzZTl4rTMcIFaPtj0T1NOYf9/hTW agIfBW8/hSM/9jHeXhh8Rnrh6RDPK0n+2WICougltaxYOZUZr7O9OkPfk+ls1quobOpk4alZK/Rv QRydSoqrlfrMDxqdrcq8UH7yCoLvcJBVEJZnma3h/PmV6QaaJzHfkDXkh7pE6+h5e/sVEPe8ZxEB iWdNNQUq1FvjR6FdcSLJNR6fSvAZB5Km40YQTAutpCfvh2TJCtVQShsERCdMTU6dHexYREX+GklR cHOGN9oJMPBk/LOF0vokIYdaI23nOGmcecpSnlKi8VmRD6CJB7IgUQXq179/PxZPQ5WlCHS69GpN IcsmjhAzNv7HuQ1Qi4E2GEsHv5Xtqaim35h7xLpVE8Xol/mopBEtBBrDRyQap1HXlfpVUpk2Mdmz y/8fir0Z8K+x29ueLnoUJD2ty386D10/vYymLIkD7NSVEuXOUQdTWrhGEa+JTjIvIYQXY/vjrR2Y aJHPCZXJ1YKHQABrDI7gJ0JfjWQ2jh3b453TkOaGcrKsDfCr0JJT6RKgvPsp6hDhqktpNfNOo4zW aV27M1g2MWZx5zgEQ80z8GKOr55WbyuYgI+p5J0arFee6mVfDZFuxz29uU44Mmucn3N5ycv8ZI1Z GavbmrhXbYFG1r1xNNPFScLdqXHMSYZXW7gdqCC65ABpeWtKfXpeKCtvHlwDOQ0OOW9f6izL0gY+ mIGYyRX3VGay8KDQKY9/u1I8DR93VjcfKkw37eTVSSCfF6xZX2Fykdb6yF0TpzVvmqGmSrhCauwF bOCW2E2CG1Zk6/91g39VelO78OoiEjHKN6+UvA/cacO+t78cVWT+r5m+iTtEmAK1IaYrj8ugxsz2 3i3c8bb+m0f8u5KcQUTA6bnwDFGZEWHBAGtjI5XCSx9heMspGAofQmY/C1TpkiEPpAFu8X6RrHPY T9yjQSSAzGKYqzOcHLULoyrozhCInHCSbNXkVFyuKaAiEBkThJn+QjYzKTk4mbRUrDuAftL1ziHe Y3Owu0xmM7oonzX6CtXSuCF7JuRLa/Ji68SYodLiZKaWLhVhDtzwQL53ccsxiWDUaNXQ2sRYSzP/ AQ0iiZaW0bIHTSWwS8gpTtUYUhcePBbSpxkAxKwgnxzaENHuXgu0a+B4Ry0jRrca0l/KxEzhFA3S 8gPTVjPsgiM4u+JTQR67m21PmKHdIWNVtvoB6PlzGTVOvPbXXeH+lPWIi5eEJkZbKUornciU7Vf7 FX8mHtUUJidDCGFyLwXLIrWj9ijq5GakQc7ajS+NPf3CDVvTArDtyhiTizfvTltD4PbGiSaeOMIX dFbCy2fFs7HCRevF05OJ03FdFwoitIJKB1Vb0Wsj3Ir7ko62RxE4Hu18p5PfhJknj23hPbU6wOEF 2mmjwRjyfba2wasgD67DMUi4hM2YQBMvq79xaT0IeazjbxCW1tFNM8ifEMiSVHpnj+DqdIPE4p3d 1b5JB3JYJ5zFaCREUncSKocYf2xPasR7BNPvJ8OMqRtjGew2PH2/KW7NjIhQjrT/v9+7E+/FMEAA KraVi8SO3GUectCSx97pDU16+7ypIw5Ge9RiGgp5CxQN/GLECfGd6qii0QGv+svm8T0h6saSlUDn erOO+FsGV17httzmMom+wnVzSjFGONzALIXrBj4E6qYw6eQa5N2O63g6s4+PowRONamdFxidEgPs ViLOQtU9Qbhh+PRz5j9Bi0GVMFDzRJ3WZdTVzpwdy+4nqJfpZCNNW8BmbA8G9aMBuEMA1xEQqTFP Hy5owGiFxz7UgCFTbA+NHvc4WBtj7QsFm29kH+xSBLXCPGkMpglcafBAdQd7/PesWjtvjZYL1n68 lFrk68jhNisA7nc+m0FFeDhgc9cZm6n57TzLffHB7W9MmdxNHLfs/mwCOjzGwoCJKEGulxErI+f3 57OKhWh3k7K0AvnXr1hVr14nnK69u2zvU5V4DtC9aO6YTN+ySvP7d+F39KArvSnk7TutHBcqEGOc IM5T/HL5RhNPECnhcmfMiyyUTOUW3mtHaoHBLNt1pKjbDwiCeJXBMfoOVEHYYOUt3fBQd0eK+gHA 3BGcA1psFtvdFDMb0Gf1vzTWEA6KqycdGOJ6vJezQzX3RLY5npC0k2kJZgOxnE9ha67cu+fb6+yz zH80AqbC4Gx5slwVUqXKINrPqe8bYWYCyArGTGdFYEvLy010GwP/5YJxrfFVcjwliulD4u4+4ahl By/pG7qUhp4mrJr80zKGHq+iIGr8mVEnkIsw9RXBSf4pfjKM6kD4qNA5/6mGc/BMp+yrVOGJXpXX PHVLkqoZ4+zuct7RvYPIbjOnxVJyE0kZg6Davk5J9QtMHP12DgvddXvQ4g1xOH+k0ULyeVdS3sHB uJzJLZj5wkvOWnVmOMNEnc9mZHTpI8RRzJeJKfk0XaWso5ebbgH/zXkS1mUhBo1UayRFuZH1F9t6 cwHK6V9urwtR6t174mNXY/xO1ByrYnXkEKfgSZpggO4cQuiNbkcy5E1kg2xi2sXhzd0jJhA8TgQI 81Gh+1mtg27GID69nbdCi2baIPd1S7Q8Nbx72ZwtAWF0KVvBNbemI6LVYCKirznCzhmkR27JzVrW 7sM70dxhG4ysZgdffkK5kj1oJbqcKzrVvVofjxkoca52v49SX9YhKhnjnzFqHLLiAvAumAizOdB5 auSV3qFEj9s5bo5LXNrf/11LiP7ta3TMVAjTUphlStKoia0i78GaqvdfIQ5DOz1xAkigv7s7UI4u SI1+pOJhNkiWitv5ihcbzSc6DjPdV6y0Msn1krFottPLrbp+MepaUFVnVRekgupjJTajIyHDJV7Q pzaLUwFgi0f2/bD+9sBc/MM3nXNmN4ZC8r08nT9wEDLHnqShlXiOU7fU0Xz7/O3kxWGgkn9GKtj5 nMATdCFNq3PHCu0ZIuU1pQ5n6quME4R1XB217PWk/5DL/CwiCFCWtjnq3QB3sDFBbGYyH3YBjTiD wW+a9Xq1Vv8uO8qR3xJyxJbWvxCYC86yAZU7vqMMhJQol39FKqHbCNulRfcxt8dyXiPioWM/BGrb tmYaRviel4U1zy+BOr5XJ7mAQhC0EA+FdtjdoT5wH1YXpL9TWjZS/YBOcMd3iJiVN8Uzn6Mj41B2 +nYThHjtpJxd58fXX88zGw70l2O5dVwOt7hKPOue3Y1KdQkt/Bs2MMM+O6efd7T9dFgafvMLZY2D nlvlh0GDIR9tXhqcZt0XrTEssKKoisMUJzy1qfMJckS7KefCmkVL4KwEU19GunSON9Sm9o41Bwj+ ot3mbacFZmEUzLmh/0zHR93I/mVjHPxFbnIgfVzLCEtO/PtgAVVzTu5ECqmykIswH8wuJ6jOwMLa cESS7ezazkERkbMUWRpAWQjqrYjEDcEUq7aIoXT/GtZvyUU+uL7RMZgQT4HDNF9MX9ZboXYVZi2L WEBidU45GymRCzmqoehfJHkNzO0i7ZZkoG9v7v0CtEegVBhZO/3HKsfYKRYfb6hxZttj0AHGS8FR zoA+91uueL7zi1oySmeOjtXYO/WwyExIl1NotkZ395Cq6QEE3u5WBRLFJU61EsTKIsdQlvnnGjVL KmbuPJY++oueGwBvfG+BeImOqeTc510JhNbxSRmT1LnB8fChrcN2/8J/O3hGv8ky1/R6RvnkF2ti gWtaUoNj9SsxVVL6kAVbrluwh1HkdhITRZZMuoVpZZ/jyMTzsjo6R86vzwHi+04GGb/AipaWZseV omWoOHwWcdIMzJo4c5jFcIbTLBcC28V/DvCHWNmN4QwT29pBR/XAF/+vwleQiQ8tnVld9wDwhGc3 5zwRVtGEH0zIDWBrB1mwSEHcfhdtGhvYEwXI+pDVPkTPHiHNwGoFVcs19HR4zroHSMk42EtmOkNF ZcXJxQ+ln4dlNleLGhRvQMR2bJOTYtpmPM4P4wkprqoW4ojnGNDEotY0fJ9fuyXuxoybeP4oizE0 sFAk/YlORTMlKpdwLjNO5YRQI/wl73b2IabZCNDrJxUOH8AeDrceW3HuCcvDwc7+FIrtT6yOZLoV hh7Q9tA9LXxjEsoCZcO2hPHkVbG3wtP8KyXjnoZwbdfiSmW+V7dOr6C/DUgQH6HaCrF+4UvDELsl bUJxXDUrefMa+vy6GeugHNmE4uJsPv6GyLiPWTLAwu58j+1F/IuxlfIcClTQ6uxAVsVUJdMR9OeW 9CS2X5XKqLp7KdVTGQHesjCjVGih2wefOC3MXSFdVHTnbO4cxTKEbLBTR7QTKqQsL1ckBdjaKu5d VsBmqYW2/lvyJbX7dRvmg9txnzBfXAg+orZv6fdcSaQ25MYRlKPP1fws8Aog5dnrqnOv8L//ODEr QjRejWwI0c/Gm9t0wukAmKNWxHTkHNeis6h3gBDo65oA2/Tr/D5eQ059brEPAWXHC4HpbyS9LLjg PH/stHdzLG5odU8ZIVK7yogMU0ixOLq0wH2YLJRI8HP/9MH0KVbmquwaN9oIXNuqIrE/t7/RnUDA vtIbReOj6EVzbH0jsQ2qS3UHWWrNmLF+QyYN1DqG/ACrlyk6hrj+1f3P+rIIl3JoB4O35OcOaKVe m0esRs4smpmTF4EoT0EoJwLb5uNF98oEFYIm/frnD7jjuPFcvyxjdQZkpe55F52z6HBNVuhECKqS fzIfeLHjjZD6gP0P4aeqM4RZ6jJm44g9j9n8zIUeYQy+Y0nIo6j4EPULUcSIb5Zv62JMuuZ7JH8N Vg8Ccy2r3JumsGYTbGppE4+GJsw1bDYec0fvy+CzIcWRhYFjTCEcPGXEhu5DcwCBSddpQ6ToIIsf d3tnLctFI/SbD6r54/6uT74NXKRp0KtInzHJkusyZzGQpq0YPwssN53KxwgSpdsXrwgTKTCNtbEW wCe9UvjNqu5qI7IlD1N9DSDd3FTehC8ptRpA24xjuRBw2icifuteNAzMPpsrWpnblhCr9oSdN/+7 6ZYRtI+Os6oEIP5ilQKs9OmfgXJrl5JAUwEPR8+4JWeJINEypLj+iAZe3soc89dn/pEP+fl0WXsw 74DkQi1oAxYPB2NFG/dgpLsxZPUrd8amdH0/knOfxWKvAXOpTg7/TtzOODRDn6LD8UFNVmzTr6c0 GqZrA3+sI5CEKxR2hb128xNBNbLHrly2QUvf3Kp7PRFgqUtvANhqoHRUrhLFKrBxA1WcKg7UVuCv UBy0tnnK7BtvbLhZi+fbR2MkoI1PaW1VX+pwjoSUbDDQNWBqU2SKfdh/IEpa6mQl5Ts2DAFsJ/0b 49fkWL0/Gp4Gu0XRKdQWo/KAUYzsl238A192DjJw57L6IPctddH/NMJ+Q4CvcCSs1YKD4WNVnQN9 EPhIqPfaPSVs6nnKWWd2tUKfAC2JVnXkgphHGDeb7DQNmyndeDYTPpTe2Vv+3UlispPz4zWhnqnb rNToQwhFG8PPL5n2fGT4DSZJv6FUmp2QWooWVftUVzQNFqxSgP1IU+hfNRuxWa4yCa0jd5/Hja9g MZuGkZ7fqy98E3XcS9yK/Ci41qZ/SeccDGx6d5nPTzQwkG3ZlQ58hcRBPGdsdyS/tLfZW7AfCk1/ N1gmpjEht4Ie7BJhXhjTuhAoTU3KFGlpxVqK4B2UYqCX2+mrdoBW8Ts6bmBcwxQffqzj86DOgAc1 c2V3oaWe8jZEOGYra5JIFdmlKGLQCHW/BVJ07jT+O8irhybmSlQoQnwy6WDV1dy/HWAyXZ49mgEh lg/1uHhlKPUOp6/vO5SlqNRHlpyEsTLxdmP6VrlZVYlqJyfJGhynb3zs7a3more1p/pRlwnwv1Xv ut5xD8u3ztOtzt3WUX5SQHk3sCKdQv+OYdQTXidt4/hzubgisM65THX0IOiGE+xRMq9Mu3GP/KNV YXdfco051ctPjwaXMn66xtsqzldzUEJXlBACOLf2E3bHMh7jIEM8ZTZ053uYNJTIJpO9eg0akorq /4UozS2alEjUEQrHGO2JY3GiRkDH1rP5klcxNC2WK9nv2Ghx4zHMS0dbVMflUEAuh1s0mq0m7ohI OFykf245Od6rOJw5WsCqFp5fFDzTxCbrrHHO+Z8PY96wDMApvEiI/pyUEFEyC1hPi2pWXagwLj4/ 3bsNPzJ//dfsvanWblpQoa1lE2rmy8tjchcW8kxXj2iN0bixVn3fOul2KlBa1bErlIf8LJXbg092 2aODxvP7D9FDzj1M57Au0TNhCqSZ0qXvjfxPcxX4VyhgVUEfbvNtBEH2zbNe46muiAFLkc45MsoM /YIeY8CDXXJWoAyFpOHa5+xIAdlZZO/DXv49C7Ke/FfCSCFR8ChbZ/z2C85rQwBZA5eu6W79ULAr NtAQEY3BARXI5jaW/27/3rLqKv8DNYqImm8vnuhO1O2jeJdz/OokGybnNombkeGxtv8DBBztPlkS YwcqUlMowyRj/uwGBuB24BCYVG6a4jpjZu8u2WxxmVK+OONSvTS9KIzChuLwk8/BxoBctc025SKF 1klkGbUaoDWjPoA1D57VoSiv6IlJbgPUnRes26eCWaTQLMdxG9zmoPT6eiPdaRdG9M4HttNTjYZ+ +a77pf6uVEHYhYjSJZpSl10nHSZkS5ztscST79qN5Z+HGnDNgLhXjhebsqa1kUEcbeLi3LInAWPm Tj/MgpReW/IjFTJVKUGXvpxmb9sjDKKdKwXLO87w/dTj4hI2EOXGpQRhFnkLJlmiELT7MqAyB3Pj JKSulhIGjA+GWyItMa2payVfQ6GnCdRWNw6wEUq5qoPjrm52wd1pdElFlNg09sjqIKjbwzuU2lfC uZ6ZvXIkZ7KIKVeZDtNbziKV+Y2oRsKkalBDMD6/CpduNdYaTCLCKX1R6OiaJKg0kn3KCKaSefcg LVigQVouEdurAeCNiffmJAZZ1xfcO6PuxqHKQ2684M9NPrWc95ju6EeXn0xs1lVxglPKKMql3/wn KULFBq63iF49qC2jsjqgB5vgB+pP4iYA7VOM3P41ROATOvXLyyVSgydc6gTtZpfeuarRsgRnzf7k z5KevAXzXQDXmxDakX3EWxEBCJaNQzzPniiP/Ltsz11FCMrXlbFVFNsNRoMJLYXg5EBzMZmQxoCz NO5lsjl/6Zs04kh0tnXXVVHRXBV7RyKM/MQEDvLX6WJElGIbZM07FDTS8q5YiihsLcrtsxT417mY z91oDL2YZhTJGgKy/wDtUaaUbIoESegqM/yQoVU4QAyzhH40yX1gKDaq8N2dIGW8LQ2eefMiMxH8 di+BMe9LUAIX6w4EFipeB2vS1CvUhI+UsZvvQb/J7/eyTCnbiH1oHy3UChJh0zqPTs8ZHo9HIbmL Tjkk43Vw2apNFHTRGUb/oPm4sIlFvDYSqWfLqfhXxDchpJhKK28CrpidHrBXgNGcavaTOcxdgClx Aa6C3U3rPGtlsx7mYs0ZePdca+zGOaOjJIbSnOyldE5bVxOlG2yHdzcMw6Zmckz4w+WFA1poDdQ7 DULMObwHxcnWeAWpbZGGEL9apfI4hYWq4sjgx6+FyySpFpgTku8ko+6fT6oU/I7k87eGLgFqCyc6 4FeZHNmF+U+YFUUQ4qkdkAmkTE/gAFPJCDSUbE0SWawZiqplnL+KI8FeDDQl86AmCpftTRppodgu lHuCwkLMri2PDUTPQgHXrWoi5r25J+gu0+QWXTXP+50ROwiRn0RrhaCyypifRq5tFji3yHBFcxdd BQ0Ueb4V38jfP6e+s369mQiqbEq08OWLCqwkTDvBWEAmoU17WBtYDXWZ3jYjDiQmylHr45X2X0wa DjU3B2NTQe5YUxJZ7mgeJkucSBlBFqN5iWG1Uv9PJtWTbLMZd6z70QMpqfII2syQF+tHKMCjuAlw VsJZtG8DRi8MEwkvOHPiEs80cenAD6RYkHEFz5SJqdEldW5GMR9c1khlOyi7yXYb/jgSgoKMINMg +wa3KECz7SQggOpqP05fFmvwJ28nSLgwaq8w87GnIH/gprm462GqXs1sLmekLTh3Hw1xvl+iOA2Q MGdpyoWQzehg+0+kM7iO0L37VZvcan2Ipc2IRo9Wzb4llf/zB2ApHw6GFYOZ1xkhBUYP3vH8wj6g aqCQ0rprYPAyVKHFH6NbxIEoWSHWE3oaAKLlElq85rQAtH8Jet1aJA+AiWz2wBlicAJptmjbjjWf w/VkOnd4wZMHbX0hFRapGsR+m7Irw2a31r9E0ZughHD4WArYLu5YsEPn4EPLstlS44spY8roStGi p3mNLxf8R/1MXML9Xt19ZbCHJWZhrjMupzP2n4NflnZnDAxBOiEUJxubd5Mo/s58L7SID0SKhSRh W6Til6h53paLW25PIVMC2ViMwI5WJc/FhWmBUTGQOVKaCGbiwf1CzcM/I8DEqyVB2feKWEPdLZH3 HIAoceUeSGmE9RfOzxpi85+WOLElw+HGsoQ5CVTfUpFwmPezhq/gh83FbWqDLTEKSZL6+qJUywR1 64lLLRf05hUUSZAqMUGdlun3A3Bi+EcUq1hNDt2uqhr5rVZggEw60zkn/edSwVhRKh7wN5WHFNSf gTjSpH+tWrm9/K1xiQ3HS2A0mJxjQjGX2KsCFVBxYQo631uvjbcYdedR5VjVCPWKOZZypsWrRwXD SxAeXXYFNW/nxSQpnkDKm6PN62C7xQehUQe7ai+PgUtIE7YOn//LdvXkr4pXAGF3N9asA7lmKku7 bN7exmkFXLgP9OCeHysweRq11wLrsx4qe4sF0ufdztVuCeuRnbvJN3cstCJx5aWFoLiNTFJpMueh NgT6UceYS6f+Nt8QcN17avGUbEL6R75LsQ9TpMO6Ic5JW7z13AM7WRJGNK+vEeuXPL+dYl9j8yxb 8jCHv/Oz68KGvsSaRSaynBAHz8nQ0gvpGSz4WqEqA+8zYcJO1QXS9gfDyHMZK6ggyU2jMdB01jWQ q64NY9FJEYccseTX5xydm1dq3q77zeV47XJiw5H/9QyBGFBrxi77i8zlL+gGlyYgSZ7lfZun7DGw efYtY4hfkWDB0DoKYjWp9vaOAqlE3VlpA5xqt2+GTWC5zuTCSIToRlkgG2yXat9/ZhRdTFxwi+qO jYCoOkQFrWO0kllwtsdUU226qJ0d5iAkVJhVsblPUrr8rMXOcfVXLS80obb8AHrkSRxYz0FovBrR 6v+t60nmEbHVzQmPosZo7Z06EBOC5lJ+gR1mVqFgBDFVl4K7pBDFsGNCHbe+1I8tU/ptrOSEUt5m hKM5YEb9xP98QgOYYLNTnl3rgvUvASKxGpaJ7iSPG9YwcLVpAU0pNtSpQ1Ak0x4Z6AY04B+z4kcs g3MTl0zyGrNE7amT6DC6FCsfRwOC1tJupwmuY+voboRxgDL2s7Kk8rzvi2eI8Y3o0iztYDfJGbxK CFes519OQhZjuyBqAGhFolG0EjHrkh/d//eVo1OtREbVaSx618eRMtAiUV2LsJmWfDxjUkkxstgH Dwh/piY/6lwzxb1cKAlAfF4YuDlasIM2Ce3da6PB47JA7wK/BKUb1k+StWxeLQxUHTJbSeu8iO2x cQrrWdpRKkIzR1f7Qmi+AppXETanuS+QMGjqUBrj3fKs0n69SRmIFw5pe6acLFMWu8udBPr+Ktki 7EvQzuM6CsBgmY9JZkSQuwMxbjfwUJlnfgVIzmFCR7j9gwytpReTHeRNZvS+tJs2cezkjkPOBFl2 7EmOj1fRVtouQjjHrFApZBLc7xlao+ZHvjunRQiPL6vb/L/0RCoD/DV3rN9QnsLK8Xx7M7X8WXtX Np8pvVOchzh/eP+fS0CJqj1L7wrtr+oinAyi9j++jirfnAjD3IphnWZqkzxuNJAkLLrdhXicRfiC fxJPzJbJvAFKLm8RLxsDgFk0biWrA0lKkyQFnBLyB5Rz9xy1Z9nh4/sbvx/2l2t5ghe+9hMn7JgZ gisca4uW6TuybEp9LpnPrlHJ5HgJHQZy32eJxs4JlajzCRUAnF9oYIvkbU73ukOILpzkTQt4r20M xmoNqWK8WWD37QrWaaqzZ9krog/q8zSMM32tq8rISqVyH/scv5g9GpKXuvCuDTJO8nZoZlYXDzxb Bjhs7M0vvqrZLLgjwQr30LIB4Cp/6pZ2Y6RUsvnRzcthu01T7oWjXIWxmvmqlqUTFEaXtnzSo5/o OwRfLi6w6c0WzGsrrFUiTA5XEcij5meYqQZK45cmIold4J1NAfe+SH3qHPzNfVN+dDA41PyzNG+k Lkjc180PVyCzuJz6fYbbmkZDXly04h7izB6E9CB/VwPbAy3ua4djh43vBoljcrroqsVyzfNVqp8Z OAX0F8dcKn86pl6VHIvfm+AZchMBRWGKqIQI4soVuxhe7EV/sLt6mIFDI2dpi2oVYheNnzLoiHSC gXMyKHcTZlVbSyQziNX+/NEsm22R01Pb/NKS7kvuKF371nFc24VT0AwxJLJCdeWiDXZl+D8psfcS 2XsaRMZHgVcbITNWbKkxkQYiXo1NSLR2zQbYr5Kl5E9Tx9z5Pea1InyASHGcBaIkgI/IA7uIEWhZ oOMs4zaD48w3evdK5yFNAnzlyZtPJ2AGPLxmmnDs1UPOYLMamP2R5GNGsyHrs/r7XF12ovdHtb8v Aw/rRR+FJWf8/BFCV4x4fHKe27fL1Zg20Ivza6/5JGAaTCvYBU9nPFBgbuLE6Gtk1KPZIKKTEgDZ FHuLoK+KP/mE3zUhRjQyyJwjsnNK0od4lUDjKBt2vnNCFej5jhD1PWv0EWF7cuHBacnK3TOmSeHi AK0S/BfDqmszHOjVvnsSZZywBfeM9Tqc6AjZbLTZGFhjmj080bM58kzQW6NE0PcQQICC/2uSQmoG TXeTL3AtMbCZcqyUoXEqGmKLHi2ADvQCY6rzm6J0na18S5SZbhbFCtJDuPRUNT2N8YPqiyMvvqVg cAej52OXt6ORMnbgjbiFhuohibGrXS/hldWHKA59f/puQZryX4j800kOvYrKEplrhXWGubFaXfw+ aFSVkeoOnNSa+cI6SsphkEMxD4o/M90Nxxvuy0+5XDfEkOOkASfPG9D1NNUgCdWXEm9xBOe5t2MN Nqe5CswLxkNPf//uzU6RjUpqNQGpZ13vivt418FgMiTJG4s6JYfFqVe6XxUYutGclqvLIBe/nda9 0MsY1NaOTYcLfKdtdlc+322RWfoOuXmJLWZokiX9EvL0t46D2aju7KrUUB8hjyT1jNhu0p8BSgXa TzDFwue1wmoFIzpmgW2sPkSgYI4EK/95QADit9eiRoI0iWmAqkuJvQ8uFmIG9SToQequJm7XlQVn NgGmEEZU5DSpNqSxTWsDSod1pltZjUMVAuNt832vzQpyMD0pNWcwbJRTeJLTL2mhkktjRxwv5A0Q 4jaIj4DlKOR2/TGizgoTO2Sbv/tHk0r2ux8JusxBAhKoSHdsySlPYK35E6jH5tnsqjFIa5oimcqb KgIpm6EUXYSxLGIBbMfZ0UYw/Z5dAne8BpOqk6WyLAkuqYOQHPYUS81LpHNBkHIBdfdD+lEEzLQK zmdTBb7qlCV6Rqr8m1XyzA4swRdiBxlhqwunyOhAZgh9GHVlo1yLoU18aA2Hfwpl8qPopNtlmq6P UYeiS8hQe2zSchW3hDN+O/GrKb/fDYm0gidR4kq+LXvQv5BmNu28fVZgE906reSkRnN9XhwkFIQF HAvtzsvqCD2JTwsl2SClGEZaPxkNEC6slIIe2mRrR2J2XM4QgP69zVtNhNMRemLkQdMxFi1BiuVU 5uVUOwKpEP/Echwj18iOx5wHBUODDCQmtp+F3C7+20n35/yibv/Uox9XE3AmUxWIf8rxTrMkNEyD ntnp1C20G93VIZRPYlnCmqU8aima1opo8WJCVFDkSaOh7VBCqQZRe7hMKMpHR8JeKG1EaokmK1ce aAJxWBxdqkZuJE5MCpHizrM9Zfq9iE6S3s1hXcIcl1c5KXAe4j2UCekU2VPyLmDXLrO8C3H/wrwn 55JQZPeeZKNxeSoEWB62Ub7LSbEDNmqrNqgGHdPfm4BO0CQiV44qzX7WYbst9LgxkL9Rq2obY+YN 0b7xiicYKHFsYY6sdovCUXmwarO2su3UrYXzXNDT2y2tNY8TxwIUlS911F0inVDenH2nUvGHAo7v i52soSW9qWy3pKueYnI3r3f1xBHMxqbJG4May2wDjDVjjOO3mfCJD9Lj5Z0aulAXKsBm3oWIh18Z H4bDXrOAttzm9MJVnmBYn0LwoJ/vKTP0VNp9SD8kQsMOa/9yIEOUG/DruJR2dc19eCQiVoKU3qaK IiLA4p1ePgbXvqlq2G1gR8mEMXdoAsR3M7UTcntomvDwyEswotpp3W0R9IzIZw3pCFJHqLbpnwjJ dF0T7mr2NjT8k/bOWymJdbs+/UjyhCMZXmyMsExA4LrMbcnb21fhSJxKEC9dLPrW7lmFRr5JaVuC ku0XVMTmOHJ2Dmoq+Uo+HiqJWzc1lqEokcL/zflNaA6qizXGOj0Aipl2mjheLlcMTi+b70TN8Qlu iZ2kX68qI30RqqQTWN43EgSg7xGEt0qV/phnsdLgl5KJop89Wm+aJ3aKstB5Jb4PNNZub2TpqnFd SoAk+ndI3TzztyGesgweTJiYAPjQBoV0CdJSNnIbfjmL7CGtn628FiVl3FQuKPwIlS0wdA6tkgyN Oh0e5IbGCSSjkSoCUDFh0MPmgFVTUk9itVzLM17cbEEqa3WqSlCRcKfVOv8ayYibytQMC9jHWhUG v4ep7DD9ktdmBFkb+aHKGkrowoxPGo8YFlcVNGiyF1tsH+ikS4mVGFHPrpndiCjIPYDH3CYIsNmP Rz76ggj3k0I0nbP80YIbwLunLfxHS66U++FK7z/d2BPs7VGF6LbbHo3SxcxQbEUtFxIn71Nqd9d1 4SRCJMqXDLnQ8E3sJtG76XxbkA5O20G3xznF89MJzZrgwt407D4K1jbk9AAAN2m9LGPgJX3Q/btz i0pme0T/iSmkDY5ZhTOj6SuOegzFtan8c55+9MxpUpYHso8o8gXgLZbAmvJHs9yO/BOUAMJsMmnX KA1U7LFzjiy1Pueg6E4BaCfWwzHRSrRMd3Ww2oLg1HN/+VHWOZS6lxKew8oxdBxyd2vjk1hYqnlo 4iD9zihyTJlmq7wkwHemhwHwOuAVAGo3SqDgegztdNlozV1oMAuqxBpid7SGTuW9SGQjDkUJY7fG wpbEThtbmNSSs1roG2AIKixk8Y4v2B0NKWhoJ0y5QPdy2W+ANCcmVsGWkTOicCVnGP6zseLJRK4e w8kYIEwjZTz/r4FdToirGWaYxegTjA6SqlBjnkAfBTyNzPymUTInS+Y2lboTRbyKH42H3UXPpwtK 1uYfI4nll7CwlQIVu1/nXHGRUwx8rXiNReV90FoI0/TiODwy5IpFsZDmNnYLv0lnTysGv1NmRlnM 3+2vvybCVBaemWlb0hMVQgZ+bF3wqzFFZrBIbK9GCCtp1kc6xVIJk+JjQWEdA1YBTzTPVOhXWiAI 6g945aFyukUvbrigzdJWv6JYycQY53UJPdKHKqCz51npap0YL2SKvlxOhsWjZRwom6WY4e9ICpVA qr9scU86DmicJzTPCTwcRDonXawlDAz5lNqeLSy/krMQ4K2dIRDBYVHaTLO2VqECbc/AYekP3FBv BmjC8gbZYcZueEXse3gutKje+6CjYSjppxxbJjwgGdjho6/W8SvYyqSLCE4HNTWoa9TY01D10hoJ BRvLoVR74B15Yf+fY3TBNpKy7l6cRTLLvuu1rYkQHh0EoxwuON5u/MfPQtnYPLXi+OR/xfjlvP2y ELPjavvXFavcb+2MI+4reqixFsTORw4T3kMooFC9afbyk2RURkuTm3s3KE6SvDS2U/y26Ai0lLMc HfLB7ftt81ewAg5rVrUuI+rjW0ZJ1qdlX4C9V3s7AahZD001nym4bjnvjqBb0hzrIzfXcDSfGa28 Z7C1Svxfsqetwkg1fQfEQBOV2KI2AmL9t/KwdUz0jo8O1io9wGHk0yc+Jg1xXvDlF8BYesnBmsbW H6S2pGVMZZ7ioUWvMui9T1VdS7LeE/bsX71n8obkxKOnHlDfIewCTUMpx23Ou62FXtm/AO6qJXfW OVtn0d7u8D28/ZuVLiA2ApuU4ShCjC2Qb6mKo02mPH1GHPEngHlhK4lYXqQY2rVZAb4e+yNcN6Aq brsNtpch87aIe5fZJRS4WSbcMLjO1goJGVBMg5u5BzEE4XxoXRiHciTpi48I7ZtQZi8CX5QA7eY/ ofzUlo2TMdwjhJjN4JwRA4reBs5VX4YmFrUXF/3IHTGe0/g+VEPH1by9uxfrowlUHA66Z8Y5cR3l TlWGmkx7Bqv3uuAFn3rV4Rzwp071XqH3N7J3H0+cz5Q9Dt/qpeEjbE2oYqTRG9vNYcxmu0sfDoCu 1qo2Znt4kZKm1vuKtV/XlDm/vq/s75nrG0JMp+ZL3mv+inEUMxyeW2J4CQETtTFtj29dA8vx7uL+ B0dXKMKSGmx7SwVXjI+JhLuK9tC2xvJjl3q2Kxvrj/mmsGDeWL11mMmKY0MYw/ecxeLRpvh5nVII b3VicwJOaN5VjMSdmbqQRSzEzX49uqcAsVjFL0O8H+zwCBnJ8TkjHD/p3idile7iQQozld/2oses +Ia0ZyzEajjfRue7dMTBtV+novG0hhqBd1Mug+GB8porwb/cjQihmO07K71zmituQaS994k+wmhn sMYB5xqCufSbxakJ8TlP0b9rrzLyVzYi4/CoFEbZdaoRzwECHc+NULwTLC1zGFbKAzxvFmDp2Cur Rm76auyYN3Ard58zTDawFx/zRylw/6Hx1xG685QFdfYiRgdPVlji/sYujtMjE7FkBBdcF85IXS2i Jdhas5KyVBY7+7hMOdap9dVCNLDwLFXkCWZMPQI1gjaPkied0VvfsYHp3wCC7A9dscr9OrhGf4zp Yz6b3cUeUYbVT2kuuzWk/D2ist5nye6FJrGxb/b1f8jK6eyetZdIHrkySQ1AK7cruGZOYFC/WQ4d 3K9GwAN4PrPJqf9+4h6kTfc+gj/w0o5ka0oMLipbrzKuCNK63lMnu/Esatst5AmvuAWfdf75buU1 WbFdBPu/7vrfWYTBlio9dk1ylaN+ec7L3uucg9tw/2xIWnrq5X+S1FIQbq9cLpVAewrN82uIljMu uY58pSMkf1WCxiQtYCc+KAKJ1DuHJprs/vXEHY0/8rcPVN5dzi8OBegPRKb62TD3KoiTIKCNbXui i1MeoExGJJKiF6vaup3GJ3U9EqOqkpDzisYMDy0kyLV+FMkRVJKNjf0sgaIqMS4PVtQ7IV7w0+Fn h9Xrk0dvhW1ziuYCBHvj6hWpAc2jcdJpw7xx0veUGhDUjYLFM1IPprfRsU3puyT8aNviMuKkLvnS q4084hcbXCqVweFzh6CdiG4ooX9GR+k9SlhAWq+wUu7xkn93wPyHsQnmg9dJ4+aivaaQzmvwwVpz OX9saHSsr6mhVk+T5DbrJ67msstGfLpmVZjUnPcuEaBmTfRGDELkI2CgMJdjqMVYH6tIZg3Vxc5+ P2QSHi9yfANvt4NPiWpKJyMDbCyQSNKCtM0cAMCrt+IuzEwBxXxEhFpUz03B4tJkHCB1hpbbQqsC QJsgksHhKPrt9HiX6VC8NKlnuL1dE4Q68ZdfIiRLs5pkS9HlL+wYBm8wiJ/SE2O2Pf1/ZzpQf+zX 3NGLegDUjEk1Uhsj0CJ6DEkVlQWtqeLrwkBcP7qLUWi/Iu58us33ny0YY7NadwvXNWtP2Dk/rdM8 7pamQPxZ147w+P2MzAtOFRcGemab0l2Y0sPRGXFoavB3c2/tBfttcx3v2I00Ybv6qfRNrPGhF1o/ 6084z0xbo5Qy9jutdOteQckqZfpnDxHuEUjBkF37TjHxMXzdCmzn2w237JbXnDB3Jbk2sKA1tBQ0 7dJzbxZ1Oqf8YkVfNAI9vZBbDLFcm/WyWb1roN4eM1i+Lym68cB33QieMJS/D5OSDXHetMilWsJx 5zLZg0Gz9GewJqAF0AvmU3tMQX+R4mqX1X1Ngf8VnJhI3Yp+X0k4VKyvITnm3jRF8nvwNnjbmFPI BFculQGm9nsPGdo5pDwyrWPT4e/zdKYn7k22DRAWFdImy3r9XtJ5JgutqaPQmqQQ4EGXND7DHgb6 DE7V5jUlAl8F5sxUdk9yMRJMVi9kJ4coQpEntMv6WfkjBoOK5vdswd+aeR/uJFz5pS9p1K5pC0kZ lk1wo1yEpHbb68vN/E24QFrVnENYo0dpJlDoLR7Xo8epwhGQtpWOCrqM8K1Shb39k8JLqDX2HtO3 x7k2/2U4Ylzk2sI3f5/9HerJpYkbBhHSRSxUq43k4cSuHv+7x/p4ef5qFGRal3NQ8dMqyH0mvfft LsC5D881dxrxoTWkcnzKU2ezWpteNmzd4OaJCgdKRuyyElcX8hDgKSvhJy9Q7PEgb8NgssrfvBlE y+Cx5+KVcGm24du/V6qHKB/le4tl6MifqKhC3CzBSWoRVFGz5VXWOgOcRHMNy6jTUDKTQGArXVx1 e4SARe6EarCJRafKJRrsKPuSCCr0tbIa3CVfsytNZ/OP9RSlMnO8cdwcnbHrMveHCnQWtwQtSv8v Ap0gF0IMmNBYj22R8Vgzp5/mCiD2/jYv9AbUkNnijOqHh4HvHSE52LPltRZvs8Ck04xQaYZ7NA9h wcW3fGb7AInWYI0zvwOhFwF38yf3/wYbucc1666OpBIQU9DNM5PEHQeJMadGM5eH3L8ruziIvqC6 hpKYuszrtvoaFGzMuaJIdr8XeVKyQREmurw4G5PkgHc/3l1tzdNmw3MFVqDS5iF9XHn8pAJxhgPr MBa6qnbnY15n4Xt3HaN7U/+eRPeWfT4pXwKpMpXHJfqiZXHfC+czxHIInVQaYc9+/bj23ENwn+z5 Ml28zL8uvF61taivEwh3z3n0FWBl6m8o9mBZVLjXHw5j5eC1SImkDMJ+hFc04iWXIIPDm5zzHOfi URriGOQ8VCz3JAdkQ2O1Shz9Q8+YsAI4poMlxEzcIXbeDq7y1DbLNJIVDUpd+g5FNrRIY/6rKTpi QeiPnL0BftcAi2+dGo9sOATkZVkx+x4QEEhUo/NCSXx6q7sVZbl77fy9cKevWSJXIkr9i43rv+/4 ds14nPSNpIrkyVktaiYmHfq21jMaZUB0aLLJlWremSoapyf7xVhaePbdghDSADwL0LtFDXOxklhu DOh8CXZ5l2+MrJEWu2/6jffYDo+jSpfILF3UONfQ0+DitMMXIkT0+z5whDkscWIYb8zeoavAibLm yEzafzYCQrdH/Dhjcqm7iIp2fCWNq55KBAsvbcaMOmEMXaae39xwz1goh3Vo0VFyAVFaCQh/sg4J 0caAObkOFsoYJ6sjd3+is995oLPMig5ANcZSavRin2duZcDYcMwf08xcHrPvVs7vQnmiohbt9+ea DlKAyEIt21GUNmd2/o0QgDLzCLLJIOKOYfQUSZxBG4CWZFG8U7IgpqO7J5Xf5QHgYYJW+2Z/v04O 6afzXbzIzwUAA7AO3CeoV3aMbH+ESGrCQ+IWvs4hfrZnXeNKgJvA4R+rOCtsxYUrbllGP3Rqnz53 3nwucOET4Rld1EBVWtRmEFVio/mCOQylHY2qeQ+kiCGmcnhDHQvk1rEecybAhmtME7ig02k9v8Or r2iK9rMt2yQX5yT332kQRWALhOuR9UbnEZf2qbseo8nIoEqAmjBEDhw0rb8022BHq0GBb7zQd8tz ro5iWCa5EbQ4Ilar2HG5rWi0K7gL4QIMHnIe76YUaVLQeGhpIHapPdIqgMdJG1/MDJIumwQ5p1t8 mSgwg/B2TPFk02LndsfbIh6TIt5rJkFQY50iiD45DlfxnBzkcZHhpTwnWvSD+YI/wiV1SkoYUbd/ aOJ2PdJtk+fwih4RCCsE8zKuQoxQo5Dp/0U/73nJMEZqCXnrSC2OcIof5jAX2vluUm6dVcgLazdA EI89c+b0jrXM7cBdMnE/9tttiGzSA7UU01ytY/m9y/KWd94mi1mPaH+kINIRUsy4f4GxaqT+DIa+ iBu9icbk59bfAsIML/IpZUhJUld/0YXreiJwCZy5sPNrAZlwDOxnElJ0QRzJMR0UMRVzw3YEPXr6 zFTprki/h7LuG186b9AuNaCyCo62MZQVZ5sRMTRMTUbJ9mBHSPf8nLVDQUgknlUOa52JZrYJb42Z Jd5TmrwYpO0SWtX/KeqvDhI9nLTApn/navyjH7h7MTR63EQiWptWYLFuKsP1jsZV9S9mS7dZo1IC WpCXrFzdPj7ggM7yrb0fssBknjNrJ3DxL3deDMFdw2UWOllyoMVFkmlH+aRVM2UrZpWwf+ultSpV fl11FRMOXsftSvowDI328ZSCTON39hWvtohAyqJAINliwN32BtfOQFcEO5qExjgZsK5w0ffRLh6r 50/Vv95VxtKj+xz9cJERllKk9tMLVIqo204CSdaQErbRl/p87eaKBfkuDRX1wiEOJNcLRVX2YY4Y X+6ULQRoDA6Zz7QrLn0tKlMvBAX0tQ+vD1vYatvIBT6uq01xw/eSBqX7gRCPR2CKLcmZbwEZ/csF Yd6I5gsXQhNsWJPAQj8oRsCWMVrQpKkRSxOgGzdcMDuSFhhVce11CoLsBxae9vsntTguqqGOUCYs Bn6D5+hlxlGrueMaPeZRg261YCpFmTwU6Fhlhr86IKBa4N/+Cr3smWskfXOrESsNrIs9Vw40CKzi cpjV8oro7K5uZZ0o3KNZpGes9RMS5PryE3goucMiFF37oIoENUgkCaBCPRsGnIhLr/kSXPOaE5wX XkanqBlQd8imuJ0H6rhr/JlffZ8yt7g2ytIEClhM5ckEdU30m+/jkF+c/YPQmaAd5fzNwazGI/24 jTbXUKYIo77204gjoJAVTM0svxESV6rhiru49hdUdhK6oI9AbLMuCiq6ysW3EoIPe2EariVbLF3s ofDJPkZSEfjR1Nh+r7imrN/c3y9cbpbF2VfL+nq1LIQmT7S+8ugp4hHqGa0nJWXt/HdPLL2WeVvj qFVuLVCYQ3nFVffcmYabP7GYp1dbJ+8hJz6HJfEufJnm8Qyl++Bxw9l+QFR+MbIT0FoBOJTksnT4 fFPcWIzq5UMAwM/hmCwOykOkNLmamqijDuOrcrmJNkqqVVu+kf2Vp4WfLu89i2Dv4qOQnwBrm33K 3Lx11jt8UhDw/4e2CeMWtEkBRe8kPtMz6KqIaUd/S8Mi9q1qq5x4Ogu3+boDLwX46mAyWoXKhCTQ 1EP1BSxbB8fcGCJY5c0CDPSA0iwD9daCncOZrrutrm08ABKSz0iH4aCz6UpCb038cgXdvf2mdMVe uoXRlmAvqXzPod0yYA4zopR7O06brFqw/MXC4NgFZqa3J17T9MIk/Xs4zMXP6DEAnWaTc9QGJ/rZ /oVZQpFC5/PQba8iAJghKgSj02TY1kTet8rxpUBsk39Dj9ep1F/Y/DRcj9DT8Ly0tjiA4SBLOFc7 Wp0pNHYyliSN33PAJmHrlHVc/etTxkWRlACW1E0Q/vuYsUSytXp0JQPxDTPO5N7IK9F1fzlZGFP0 HGPUdTyymkv1OhmW4gznw0gB4IQ3e+semZgiXnHsxGvUOek7jAuk066RTI4F0tzonwE44jcrM2bG A/BVZhUYk5PNSa8TWvM9o4xKg2fYtmf/GfRuVFh3icF8BUK80tlZNxj7g3xlh6NjAmQac4I9IYPj aiBuj+IctrYpwKCGa3eHuRywoe/dQ2FACliMuE6MuqQrVOudFqw9Pan7XNmWFejDuCSt6sSvaOpv 4uJsqO/HTWR5UN5gCQNKJxVeTssd5Xo+A8cjupwnbRc5OT1hMnz4GTU5Q/P9qe5dd2TVWQUCMyCw /5FVHhCZ0q25emPeXuJCk/4nQwHPSz/xJZ4rdj04x1vtmxZ5aSZdUeWoRI0t3xUPQT2OLYhUYJJq +o9smAaxTaOICqog6W/Hu0PhcrK+FvtNynH+bEK00jqN4kMC2tYw2/dZdekjZHMZSLDAMFI99fUC FPW8gVbA9eyD2N4CYPZIWpRQ8Qc6PwgAb3HW5U5lT26oJseWdVpdkSUPR6Gfv6nn6VL9yRWWU5iQ 2xlRokSyOW6ZeZcarpn6b+F0Asx4jyYo8DxtdwAP6eX4KoalyVQR2DD7BWDoWDjNogxTMR+hfk+K 2qFCrmqnVwpSKDx7hkioTLzWv+6fKMa9I8suh4fjRAHsywoe8ZAwxvjOz2K8gqsX0R4Htt74anZ9 GH7YsJOa3JxyC9GefawQHvrmUiASZ5qyI7kcHM8oSym9jqAvsIq23Kr3kK8acunRU1UtbxJQes2V cMZaJBw0lJGDwnSVgvKD6yq8+3/aRH3aUqCDxEh/M2Lntp05pimD104NQ2F8eGzl1Jn9FLxlV5xS 04FPtzUusGayk/jEaSrlEyjlY5X5LDII5WKePuS+bsGO1rIENvGYPxL1vytNvRoGxhb5yB5o88Il XqrcypKAFQvfIv3gzA6HaeK/W8hCD0ALm2tS/rp2W7wtkN7yqNPiowBTd6NzVkEnsIQDNa5C0+oM mOg7i7iS4TvVA8I2Do68NFSx9rUvBzGOTHaDwMa7tlO1p/RZD8hDNcR1E5tloUDSu54lNlC1Gy6z ukFNNlhTkogMrROiVQwHdMIvNPbFCmh5tKMMche+pE7fZdYMD1Ga5PtB4wNQWOlPmHdiW6EBtSID +UO6HPgCwMSKq1r6WZ+YkPmjfx+UIRkCp6yD6uPCPJ9fub+eHIeFfxCaDUuWaUV7KccF47JAiP7X mlXbPvLwj8U6PBiI6itLyJZnHrnou2DtN+xw4hdNrSTYohoUm/L/lCkqYsE89e5xbHlmn2w8ui5M dovamaQEKVCrnhKndIhdJz6EXQGDM3YairS06unYUG2gW7V3xgaLOLYnBXu82RzwDVHQPfeKfQKM KCjwk3HvArn9cWabLJluD5uJ9I/01yMp+H0O02bqOVSQMe0h2/Eb9NaraK6B1+cwyR6/6Huj7o2g 1YfryaLm+kEeSMoHlGEvWWl9SNuEs0jsS6GFxNr9TF8+21D+/VBqHD7nG0RWKrupdbsIb9oRwHbH SBhkVPjm1BL/SUtUl0ZukBNaxaTyyCLISlxAxE2QKZInHjZ72lyN3VxkbP6WNRzhIJ5NwhtkR8eP JMVwsqBZuZ3bWrOxHkyPQkgg8E18JdKGrhvZGxP2x3tI3P4XET12iJaZvU3JQdb7aL9ICJ9+D42m XFGYY/SmqQJEU92LaLtLqTgI3gE4eii6mtS2aEIzqkyllGpKeCpM3jxSjv6BIDKDFxBLLc7CHNnF xwIftKCHER6/k6Fx8O6+Y0kSHeLjOR0CMGibOpbRIgx+YPIBdMBF281OJ9blA8uGMY2OdaG/Lg/Q xbc2zFjh4l2UrIbGfyergy4Pbt+1xtaAU3nHDJ5X5HRuiUhkED3X5o8fKlJL/XhNIDDXT9DxawZF pW5tzfxQSSQbOgQtgGvWDgOCbv3JzgYKvYWHRYGeusjx62dtqv/BASoCE35xYjQt9v2BaZyNfmtD 9MYdoFgPj2H3vs0KY1XQBoNP+F1JvGehb2J1t67CPOUsVLdv8XualflQA1Cx3r4VDBjlPs2DCec3 2R/T9oOSu9P1FfF1T0ql0g+kY3l7BoUADTbOX57Zla4LFIoYktXjr1rHn03GMvjNXriTHcxAqOR9 W42AUW1Q6F0fIsWQFPpfVSzn2uFSKTbHhA0CBTGfvnpD5xDSJJruyc/UXANwmzXkCFznmrCfQ+/I K/n4aLRuyOow/VgApmo89Sjr6B77MYB7cETSuEQOle1D14qchMM+c0+2dQum0P29xWaJtKs+KYWu SRULYUlzZp7HvpjRJ3u22WEbwkMtLUNjk3BNA1QKHBqs8nRIlCzu0gxCqCXQ3vwrwBf/JiydnPRl k4Gd8ygWJ4lfE6KJEwRhWk31MhBEfQ+xcqybSVuNEtCTGIhchwAfVwdwajZtPFHHgns5f36Ftqai V5S5EexN0+LVzJJzoLEBW03MNhOfor7PEQ9wEPnUn9B1y3DobODUSALHyFkHZsDt55UKXi9gjMaK daxPCySHb7XlegbhBQlEdsThmKNTNqXg/i7HUsW5wk4uhcV8eM3GlsaglA74i9FafkcsaQVDhiPE knd6+5COs0fX5m5WScQEPK/CsSm3RmPT9fFh9u6zQiKTbiSp+EwE5joDrTVryTlAkYZ2dlb/YfRi ml/3w7uogALmdmD2the9mDc9snfZRcQLjsgGRxHMg7/uqDkyg9J7xrqyNn/ko4ZvRIn6fwg6lg5z fVLFJgq327iQ8pDJs90GERLCG7ODs+DTqhX7mLNeqIhkoMYusj1MnvA9WZVSMgnv8BtKqZPljzQX 30BTwy9E7cIlJSTon8Zy/4ecgMTC2n00w1pSgTgpSskgxVR3lQRhWeuib9RZggFRbvW5uf1QQgYW SoLox2k/e197WvYoiH97xoyAhvSRGh+qjjck9O2vD09YU6SSiWcNlpgyihP0AD7ZCJf0qAbpTVKO FartY04IWIlJibUZwNbHBxRfpJ4kXzWxaLhcuYMRyLQEqI9rmbP11ggAF3RijvD04Z81TReLCsGc fnMtCD/q7KebD85fnUVOGigimzxCDIDei8cTh0ZoxMGrRDLwsZiUDLcXUzdwcla9ZgQ60t8273T+ mbPayPSIHv734JRB6dcOCdlsoNoe3sG3jvqMpCCdevqa83l/TIJN2kyeQcqqkUI+bzCiQjIBef4d G9t6/VGWyPQ+BBxl6JL3+nWu7GDTA0E7KidPuA9j8qBeQcOq1Rvjc3eLXmwpXg6avCkE1VK1b4Iz 26TgAFHeL5hGKnlSvyVcoZlnzn2eJua8qj19mwQiGqzrfccx94GCqme8+YSyyF+LhhBiy9DnHQhA yJnfpRxgk/SDqW4ZXPdoLziCeqODlavmJxjW8/K+Lwq50h7g0vZGbG1nbi+OCk69InNdRW9o8vcW WniyxDyKAXKKh2wAglxw2Bw8jPqj9E+C7djMvQrGj2UNgiayaLhsdYpWHEQ2Vg88iMKYMtPznq6S tfxY3UqCtxnumBY0JPOGNPDrYd3w+3gO39WoVWDmHkschRCM9AEsB4qhRBLYuXlS2hpb6ZrxJ2oO P3hyTfQjG81xSAvco51gKTopNZABtslTa2GeE7T+37RNbuOC1aAV5es7IrpIieYfLxCHnozVRsCy jT63w68misoFxRjGZ74I5GiHg+05eESuaV5RG83BqFaPt99Re00ReIyadd0hIHVpVxUQ/xiXhuAk wxulnJQtJca5eie6X/JN1QbmyQXxH4sgrqeDS/b7eQkGca5FfPYxEFiWBD43GGojPph+W8pYswLU VAt+aA5Q3384dg3Ik54Wpn40gAoT+wflESJBlxvaXBbTGM43XA7ZIgv92+/faKzioMZ3vOXswKOW rkeqEkfHJSjeVyFaBt9MouxkUkYOWlnM2djYLwZvjUPgvWS64oII9mXz1p3hzRPj/4Tfwp530tli QccruchF9Uq5nXS2/kBLEvR6b+a66DyixeWKJESLnS+d6pnTnh7ozTp0IUXSKAnmiho/HcSGl0r9 uh5MWoxcmNCK02VepD4UQr3n27zrOjYS2Of4JZknrUU9OO8geMkZpcAGv09vc8KXJU1p9mwkjzYy 3KnJx2VMEt1JHkDj7d4c+Rhm2ItzvssdYWZ1GtL8y5aGe6N/GbXRjYDXivV+rFqnS8KzO0x5ecO8 eDVnyfCJDuMz0gZ5XboxwjiL0Qf3GLIYNaZTfiLAyRy1p3Xq/SiOAQtDrVhr5WBSVW97yWveue0E UWowXpUAkaq9P6xkvvB68NxDMqnLD/aa0K1vJ1FOZlVoz+97X9LBuUUkc0bgEIVV+mCgSiMyuge/ OHpA7gvgu9y2dAKOce2tpVjB2rNCr+zpalgfU+lGvmBzb/25l51RGRrF6XpGRUAllcWom7Iy5EJk iDurRxFxisGWM8EVLLyGW0JQSpRhKuLlhYaGRuhqV6uIQB63yPjuK0cPAazmYCQ/WgnvptkuuvAa de1+FCzHywqDV/++xVnepRYknm9AZrQ6zttNYhD+nudexXqky2MfoepNwXWF5rEZ1ye+h9Enpojg pD8TWnh6WGZlUroK03eUN5fLngbOFnRnSr1sgXmOLR/JdRfGXEuT2J1wNb9WkALJIlvBJrTV8udZ WNc7dVnZUekKpLnHW60Ravbs5ouzLmvVyytMnHsBN8/B+YJRoZElqIis6gQHtM0+yD3ETHR+L7+P QsDTbCKRb12uRjtkIPfGsJ/j8uxZ1kTperH0WdT29oiqdfjq1Fw6alEZ0VyRj45oUWoGgPeKg50m rnQulhXw74VhMTqELO8NW/kM+Tl8LKiJONeAVKEYePeeekqnZriBfZHcRyAipLLFw+fGvMphVJGW ot02a3EhP14NlB6TSZ0pwFsW2VC3jpXkF00PokdYExYA3cwiU2DDjiVc0bmHvepHpNEOcFSGZvgL r/8npmT91vCnH8ppleOGjSB7YGVVJqUewiUjWwaAPr3JPs8Iir72DUpgsIxxO57GSUXabc0Ic/nC y4v4ZH6xQemw5PD9sCkVxv9BR/L+HL/fOMEs+390O+3IdimaJMh9dHTzUzodKEK9uL+CFR8ZHb07 NjoGo1GSr35vgF5fMwEdKK73hw1zHsNIpBY8jHPFDxYEyjUMXbAT5ckqhQ40oyWMHkHPekf0i3cX TXSh2AV86FryR6xMiycms8RSn4lSieJ5dqUcKazJeIabMg1QFBDpyIBGxqgcHi/h+5oPpXh66VYX hMmsD3OatvWEGzC5a8IbrrHHc67i1qQYVc5/yTERXOfZPLQy1pFIIcmQZkGY3Lfqxdue1IS3JQ3S Pb4uLK2cAsZXMAzmsdbi0jjmgEaRSHsNCl8K43SxXTml9BiDzg/dDKiqiK3scVI05jESxmZEprwL HnIxwnA8o5nSE1M/Y34tUt9KbeauyknhNEqAWP4ocI1Jf2gj3u/vphq3ehR+XzrsSMmbYQuVeX9r jPxlQ1rcNZEAeAT0lI/2Th0CUKYco+ZZ9CMe6MZSD9PQChBK2kzEAgIlx6Mut6wiExaj2QZoUyr3 DsVFhj0jsUjOQDnkHEO1R/p2hC3V8PEWA7Sqr5LyZYUTpH8ysVD8t0RIL3Q/Ip1tBdpZGqcy2m/n zaXG1od43GIA9HBTHFPwoQ6bNzjH62Jt6wBR7Y9/GXVqjSAftLVNrqQRRNeN+NAdAiDvxvp+N819 s+O/Nu9uX/s61tvY3eeXzKgOvO7D2taUM+iVSNZjgcuE+05E0UKpKNlgkZ3VE1+tx5VW9i9Wy7zp BBwcfCWqBXxUfuwnyPvCelM2EJ0bqKcaI6pbYR5lF3Dan2JCbVY//3mIsThRFy+1GovlNJoR6nsp OQQ5wK2TIxhK2v1ofXRS8t1rdByigqiQ+QcGWGNt7uLfJuSJTRslxQrBOTprYedewcLuehBFpq9o mSFXn13vrgJvMtPvhW4P+qzObi37mZhWAMGBInLzc4pLx26+8Vc0duSp9naYoRbDpaviRW1VtqXn RT09Z/vFM+uEx6kGgVqEULPKjtjcenX7bUnV4iEgRf74JQKx1MfO+VLa22EC9TCO8y1UgsRr0q38 fdWZjsp47jMH1ACoEJXYNB9XWDbHCsqLYQ9b1jQFUeVwSGh0WBSkjaguAooCPbt6gpjyf+Vq9Qwq Yuaxb8xkbWuF/YrVeIuNFdgY2UrJFDkn+IXJOQ7HMShKS1iQokQF426YffMudSlIbVyeOxpzScx4 5qyzVDTt04SznCT4SLVxYoZDcOhypT7a/T8c/LBAhunIRp/qh2LQeQfnN2EhEjsFmj1afadJkXya tJI+EPxK00FvKE4d7xtGsIGdYZMaPutmKXBL1+ACMXBHXYasDtUu4e+R+siirWQmerFJrOgMRrRy 9RAi+NQ9PIZKmnGFnxBHpBVM2HEcLjiQ7FYwjExxj6YuaKIQ3n7T1V9zPz9eBM4IRa0Nc2s/9Up8 XsA5XKayzQRniOGNnLzbjsYCb2DZkjc5hw0jPlhGmUi2MjwchLgcngMX3+e4RAZkcFaw8VNNiZ4o iXEN+NWz1ZZHJomA2esT3Vy1hJ05R7vQFXe3+qvI1BNrUP/nRZe5haCeQcoN3Qtaa/yLA+A7/PHY I+mD3kh1i3W8k5E/1bwdN+SyRlBQOS8HAaqk5uCv3eJOU0NFEslNKGfRFI7zBDdnB4axiCy9X5kR IXc+MxQG1ytSJmxXWEZ3uqoYU+w5uiQzSDUgrOd3dbfCfxOM0o4cjhxYupYJarCU6kzCzv5KAaLo 7QoaD60s4KwlsOOK9Cdgt7W/EFjpYNPI8ggz3fVQVjxzI4Gr8P43dlEsoliRh7DqQsCOeYVHfep+ vJOI2I40Peb+8SQzfXNgvuH22rAcgVrzn44M2PhekyPwUB1+nZEDsTEm7FaFU60RXWnDSlzEbeK9 /aT3VjZ9cgPLrwuzFP3BzCoFWKoUA0Idup+ksvL3xIFD7vVvwzL3YMHrLzL/x/sAmpsWm9SKEMZd jO4FhuSzn/hTpsYyEJ+IyNBTv5247cRBTOhx0Q4Dsbcl1PxJRlix1YEUQv3UEjIr8JATiOmps6CQ 1RuxXKVMMxy4M0AJ/+XTMa5LuPzNLcXQl1ddabgfqCRi6JL4BQS0+HreRSEHh91hTatXQlw0ixog QrjtoO1AzQZP2t3fn/bibqlhI1wyKLVyzupi36O5N9UXazcHku+sPqkAIzlKd0UaKIPvySPk4IuT Od1PbhGKq46Esr2BxA7VMiHtnPyNr0lF1olKTwuSnOg4oPIEWyNbCTTasZB44gvGnfU8tv3WBNru 7DIhqRKuv8hyN/UQeynSLSSHuN3FHGYVKgQKQm8YADmUbCGZ7vQVRnRKJP+dzpwNp7JLqiSkmtx+ Zq6pnI4h20UQMF31dpl+akut1DkYsMweVf6kvI5XHkR7IHvUbMDpdJ3PW2f1iImokrStlQMJV4pB DckGPFUd9DQd27mW7Obp/6NnxgKupYz7koGvkratenF+UCeYqJwSPesomN2OK1IGWvoQYbGsqrP0 km7qgKPKcvZpppf4qQKwtPXF6EpiJknBfQFRfXevaJHk6KxV+izckJFCY6npskwjRiXGURaEQQJl RnhpVUyPW+FaWd5oeUD+umf8HEMzOJ+QaEloEAy5T819No6xF+0OgJ8JvofPfwgx08SNGiMRF5nL 3KHXJ6rVdf/4bK4Q6wujFfzd1FPL7oh09LX5FChmyDLpQMOZGYzFs5ce5j/kxxwv11RVXnlQagS4 6uYPnFS/Fx9pV+yurnqRPbWHlKnseidigp4jqaA8uJ5EY89sol8MK7udNvEfGvwxdQEXcqsm+Lgq 81g2LjilJU8At1ua5C53M0cVxjcsjIJ9zomh6EqyXrs5xyA10QAZSRxhBbPtbZYycxrV12VyV6Qq FsZg41vVAla9iNRs2pvOS3fgNxwyJFRH5UJqdk+UC1T6qt06So8njXpiMDUSAA9Ix0g5RfmR1pCG 2iPwApfsukJ2sCa6B+YwYzs0vxrQhw9AwSkmzk9FTz69NGZEdFF1I33VlmoiUFkXbWG8plvTV1lS EUoR0JkBjybjMYrfBKC/inEpbSmiSH4ORguedbChoPS+G5v5HzUOuXoUkOmIR3ZHRxiSVflaX5tu WNgF0VTMdEFu/D+8V1d7DtMStSQQExXSvs5McSTb1Cklp8yWClT1v/3d2pI+W0WBGrD0euiPfoCx WlunQkGIH5NbFlHEUkmpvD/QZvJ28amjOv0eq64cqX4dDky3kFVi7t8v0qjr50tT+CqnS/FyD3JN D6FfUEiaYFtooOM/BRAj461o7He8QBhiaK/VJuTSCx3dDWSDqjMMAx4YTNhdr64YUlT2R+ve15sq THE8pMgNXPKKR170ZYHmXxRmSShIolYt1ISYvu9gfPJ4gHISdSoqfdyixagx4zk0hpgfx0xjFr4o p1cp0Fknsj06ysDskarL2Fq4nVBptDSkS+XnTnHG66mlxJQ+uD+b946hR70HMRLX/cCp7zglsgEe KIgc5FxhS0yObzydtkxL7+qCU0z9DfEM0hd+pgvoLLfTksO2t0IA2k42CZm1AQj1mQMbAAI80deu XT/Z4eeT6Rw1V1zrz5Nb6OhAYMO/kvhNNw22kW9jTRlIcrSUxApC1LahW2QqpWeDyvsCMJBriDDn 3TEcZnHM6rkxk/N+qeNQXPTQhxti/8Olgm5xOuMzdtjkqMtbrgOlR4EY5sK0m8HuTYIgpNoBGN9J rNNCyuAplrl1AJHw4hUsCyK5gihYxxalbWPLaQLxpkA3hcmuJiM689EJX74tsqxreQFHRvmpzz71 HV9grJHSOUmv23CimwnWcLzpofeGLNxU4FvezDg7Bb7evbBMexMF4K26sjAVx8eFXq5wEl6GmLr7 hEv0Fjy9R60kLUq3y7HIgJNWffZsIL5T5mGXh9iWw6hQOnY0lUXc54cqW/tX/jcdfeEJ9yTv9OZG TxvTr3gftrTO/Hb1YLT0yEfi+DMfS4OIwVZGvzezFRMzk5kG3b4LYGDluFLqQrc6QQ9kc5q7UmBn G5eInZ7TxtjLF7PGbzH+7M84KfJrVM59c+8sa8Ut9Q7dhSApjqy35mDnMi/rNDlv/PSpbwEsPe11 42u2udtvoJw4B4oPIvabx5+L+LUS6yLTdYhe+XAFM6NEavTCn81MEfqSEMiaXs6pUatYi2vQ+yIB oV9hbPql+KtXCJcuUQiS6oHlJZmCOkVYaDk4j2Skf4buh2lyFWFzyVDyqmvPkD7D8KuPJsq2pxaW kxUcXIZjaVYoQLLrbnkfrsIhYgXaOmXiEOjDBw+UxAesKYl4I8qr+GJm1CR+H4y9a6s2PsETK1oq p+5bbG7FUGf/DZuaInwFwwW2p3K9hkjwVZ/yZXxQBMhtm3cmPOHr4kgGUu034HSbxIn9/U33sgzh 3RRTgRq4Gco2jwDsG6CpOAfXYuI3gc8qLgw86KnkJTGeJZyza3p95YMWpmOQ8BzsmMEoTXutVX9P JSvvGkltsCVi6X80xQCPppZBlh/NFvOkWH5CEitT/o80RALZUNgc4HdPUDcO021NcPS1C+TDmo1z /SIhwBFss3RGomMkzXHbhv9YN8QZs67YyalTwu0Vk0Aocj112YJsI8ebZ/yqi7GYAe7hq/978vuG AjckwRmNI+H3hRPUYOcpOY4RuYdIE0MFUW2f/yuyH3G5WSk95tZRWFNtFury6lVXIqQMwGkyY2dR 4XmVkFch0/0cscXHUK1ZUXApTCT5Lx3Kg7d/okw/s+GnslvuUdxJTd7Xv5+GFu7W3QrSXrz7DXMd YCneFb/wxvygpZVUcM1ORnZcwyMkC3RvlgdwfHUacDXIXBmQFGunGxQCj9nzqMuzRNtVSZE0V/ZS MVIxZGVEKAjKRUN3Ac/nQUV1UW2zotgA0rYHZcQ51kkRF0K1CWt0V5Q1ToY4CzuoqcZpwLSvNhxZ PtM16PljTsbs7ZlV96nzWBG5yAtn+iWY4Ko3dd8DXazhbBcqHyNmEGGw/BcorqvzICPjLPlnynP0 o6wNcFViorMSiv7DEbvXVzO1Pi7g7/PSh2ngxnffLVUR/4yQz7uGhbg6xRtS6kxPaxknme+KqO1V cEFpkUVyQz5RDGT4ISbwo+Mtt+hE5Vmd1NMinu6pS5SNRkG+zB3h/al5PgbWHyT8eY0RTt3/EGV1 kQd46haQNs/Jt8/kl8tmTzAC2v0KXTaFAfDI/sofldXNxLjwlBn0iBbIslpcvIzSvp0zx26CAOvL 1F6HOTBC24b2WquJIJj0U5+QVI8lXjAb2EwzB6dIFfYo5zF+lt2cKRN0YDq+pVxRmkYS+ff1OMoU QR+yahHoQe45AIW0ezjJ9gCZkhKOXIi7JUGPZh/iOJ9MK+NzMIjQVK+7Cxls1yuSEkTH0y//pCzU ESI5VO8+HYIUtJejXIAGYHuMoMC5UAMqWMfbIAVlNgv4MsY/snOEAKMK5/8lllT86ZZtSGybdZ+G lWGccVQVypRZya1OJQpo9rFm3IZjGwut9zMWJoHhIlNBP3cv1bK0/Rh96leKliFS7271NG9h4D+m BGYPi9CUtVzIgMeo205hDzChWRP/uxPJwLK+sV89uG/lgX5HL/qqpO7/qxibEGXMrS0NjNLcqPDR j7lCn6/GKnUGuboK+kw1zmVigmA55t7ijB6tppCCfVQoW8RKV+3tWyQIrAl0loZ1r2qBIbKoSfWe 5amKcnCp1GcIRst6wbf1yc4eWf/f7QMoVNYVLr0SVnSVC2cmcX0D5th5bLH31cFRV4LhoKX0aP+C 9lFdlfdr0B0zC6MmVXViaM5id6UNentUWkC4m3N8jhqBEQ7HVkDDimtPftH5uW8bH/lnSj9xDLzt 1C1Umt4O66jQ0b3b0hus5Iv/K0JmKYTWudozDG+fPIDSGc7ZJk+4NRLv5gOhep6cDR9tGYj5rORn fC0TuJSc40PTt4cl77RstzO9pEAsPG6rPHJUJPYbt0IdvvL14Df176IK2TI0TSL0vRh6Trw3ests KTZsaPVs56LWrDxYGlRx47zCkYQsVQKTH8d/FJP86PnyNJ+dlu0zuMeoOAryRv1gZauZaM21g8uE 2Cqvi7ZAKlpQHY7r2BW7YkD/V+lWmvCZlHXrCDJL5NeEep7yebp0huv7nQNwleRnc+lwKMUHS4QH G0tU5PHIWdMMNeL9PwoAvkJ2S12VDh8O1lMq2Iz4yMfVLon6qa7w4m4/IEXLjniNB3IxnP8E5LbQ vox8ahmhQ01CE2HljmaECDC/ImQqktHkGdP4SOjfFYysiyTir+BWBpAa5ECzr+uvTYH6KqUKtMOl 7ml6OjTzpPuhjWWHRHJJuIxQje5wjrc+YeModQupAP/76XZvIvioFJFPhREEL/fx4MkcXGWgNM2x A/mSNZFdlN1AwZhGcNXin0lNzTONV4FssJtaQ8+QBpSAc01FCCiEFtA9h+3IDmA4b8pcFth0Qyk5 GVBK6AqQ0QmSF4PRXYLGqjDJfyLHlw38KL7FwuEjybbrPbFEttounWXAuGogEyfuFAqspgsrx64r DnrLS1r8eG6IQbGaLzVdfiVQkvRKrakGE6jDe47uhUjRSyLAmTKYp4Sl2BvPj3bVsYPTbezBCt2m FaoQZBLeUlRfwt94vCRo3izTmecT0L7GZFAq8K0phUUgJqI2JvVHo9WkB16HXXZMwRJrRGho5+xo B9KIBn5O9WzCLzvDGlS2aCfWJOqkq0GLcAcz8fs2QoPOrQ1DB83ES9lCecl6fvzsf3edMBHZpR3X tKzVxxwAyTTc+hBNy7ODe+Z5uT+NLlhcbV2W80pSO+uztPf8ALgj74IETd4pIzHM9wBbJS70xq7U 1S+k2O0FfMA5DtQPm2gGo/7earXFBjLYrrax3j2/lW3y2iXmvnZhybhBr7TSxG4c6BSMh2zq1kpF hGCfQKjHuU61+dqubUTGke1YigaESKWMmD7CkE+ElKO7+kQt16Sb3IPyLTTzgO1ULYE46bIf4k45 w9+43RB8YR7UjDE/DDmy4XiYM7JyV1r37V92Ker+mQ2hMbfDXMLz23CUsh22gzuzFJl7W+rLImx6 GpJv/4kvGnSAnbNE9GmMub21GN5z+cex8jHGHkt3JbeLHXUUnuH1Z0vxhQMqb1MJRj3ggEDgDyqG maHpw1eCjqU0qFDGb6YLy7qA3/qte6oOw8yHXyVzEf5vgHXBNV2dr+YiZq7AErucnmlnjQCpbnaB sNe5aFMsyV46aqGl13mIOrIgCWVkc5g37hOfSw+kmD52FlJAuUvr0JHoOOZux851aOXyBeRa6jAM iwDOBNThCkOf5pqQV6XIxYjDy0Zibn4HToWlZ5pYe1XVnWhC+o0lYyH4fbWDmR3+UZyImUzGzM4F LLUF++1QSbd0R1Pv9jri7p6ahLsZXI5Po5jyz9VAiGndy5GDqDxHle0IR8T8l2OwTz9lzPLVlDPn asmV61C+d9LRbv4A8RwWAYlkitdxL3tlR9BpxhvytP+9rOk0kCw9mtEU9JVCwy0zdDunT6J0q7qN pewTUTF8OsmZJe/X5VVFd3qJUKSAy+TublXL7Remvmx1MjdRBCIxAekjkX5WhboD/T3hkt67e/V+ xqeygwJQYxWv6L+gf5cVxNpqsDrwxT6a/WAcZR3xglF7hSuEuQgjxcE/S/vCD8jAHeHob3ShuYDZ WK/KIhibpFhslnfVxapMw157QhlizRycFRHlwaj6jQGh/qUo7gLxcuAmYIlngeY4VrhnfQWTAqFP xKNOK99Ct8s8wWDmWgx+XY+d6L6XjtPYveffdTDbRpcoaKOhOPRa4It8bSH8jrj7+GziMXKC35vg GPhebTFMnPFwbr6kxqeHl187qCSTM9rg+lP5neuqbhvAISBq7FnbWvZJP/x94HEtres7quRHU57l Mnmu2QPKt/X7ahe93u8Ra12aQfXrpB+2YWZNTt6FbaafRiN2f7eERu1wKGFA9S6FShJKYT0zsRvl ufXE7tzglzmQklWH0KzSdXfIvIMS24/xepbGgwvvaN0lKYZwEndMIXu62Ubgcuw2v8RRzsnhWMp1 f6qvNKoDo2bQxXNKpmJmaJ05sibsjZ67/VCB9/RLZTcHHK8Bd4cXc6i9+RPFq7Qiw8HdD0cctdma oXY/nq2MCYhq8vfMW4RErz3o4iw12RRykrFmZPc/lrANSWr8veKEJ4CYNcWeMXtFUiCoLoPtiIig Rs8+63ML7FZ1K9quT2wq9BAGOAnIJbkYvPg9QD71RGrmisbvEa5yy+pSLkRyNgL6iWfkgPDk4NjF z7Xyls3O2n6u5dkOTY+N/v6C8SjlltlswbluUM/Ylb6GY/tRjBhFPQ3XupnVa7cCC23K0Ercov3m fMZPVwaQr4x+WKIWouEmAyrx70eD0B4AczPk67PPH69qQO79q+KMh1h4sPJ7RrpqJTb4eMFKjofH Xrv68wMuMacCl3Tfh9CvsVBfyeoS7tpiQ6Cl8PB8i8D1L0CBIYVIj/JphWuNEa3e+ZIAbAqjH869 aXc6sVcNEHGM6hX1u+YqtTXnGVuHwssmF9FkfUMn3DRdEBc/Twdy9lnX1YBc0m8mI0dDGcDiS+XG 8QJ1qfwEoBocQdWQjcTkdrXFsGCqzafKH9c6dRVUgJ6NN42LERt5VKF93McM5sghWqUAoyqp5pK5 C6A8lBvtnNMhyWBzjyOH/JAxLMCYrZHIvPo3/D3dIXWJK080oRVUAI69XXreIX1wR8Tia9eBXkiy V+AuXp5ZiLx6bDbj1qswkpQz47khYTv7SM+0ZBVvqqPCLQaLe/aw8Fp9HK3gmCeyByTZyP8MyeIW fRxpy7ZWT95d87c1a+Z9d95qPAE2eEph2yvn9vkOyCzabHBN580cAE5QQrwH3D3/YSfHfd53UOlr e9lI+z/wu95JgonBYycPZVZUY7bsBiGhpViiUCoxMK+IV9BDH2Equfm0xXT5jgMOdPdPHGdAO7vC cr1UciI4OyCoDtCCOIak35D2WEEgfa7xoxcGi+g7wSBcKgAJio4HRtdNIouFHfJ08EndmjmfcjJx N2Kiu1eU6UnWl0bwvtSCO1x/h9HGqqn8h5jW+wiw8XaZNJv4620bIN3h9Q2sH9wfzjKu4dO+SdXC Ci6l4B6T67Q2EkU8ofEssiA60tQwrsBFuC42LO9N0NQBE+skIwsSQ5Kn1Bqg4QdS2PeQ2O6vrQwl qJ/PNZivImYUFa7byG6BGeJKleaHVFLoF1mi+3IIgU/4IkFPRkg2lM5dgmxGa98q5k2uTMaBzP8o NF5WNWY7gC1JOPe5MKTMeQe21yoOSS6Bh5vvrI2UqA5GN5lGukq8fhNX3gKWRoplqvre20V8IPq7 55ugMokmjIs3EJf0sdkf9qt7vV5WHQORMM3QxWMwcblY2+k37w8dob1VHjAtWoriuRxhTBBBbAqc K5dgOEXzNZlTB+SnmPUry/b3CAcL0LuDbslRP8WRCXobEAuISwmRO2mJhQnGoifN8TEFhU8TKgJa hmH55iXkgUcCxm1eR+fStqGyup1dosLARDrnU3CViOH7GfVR/davUW9U3Dk13W6gSSVTG/caxrS2 PQZs0y+85Sh9IuZF4oybbynoLlYV51o85hnZOMUZxkVD/RgfLU3A2H0HZel/E8OeB7bKdigpLOy2 tDtiq1MkAjgnhH0/S8cqovF+6ZblBnodwAXaz74PyvvcMsYhxHMpfXT/BgQkPrdjmZ7yo/yTAqvy CpGgvJ7hg7SsLwFK28yevW5fEV4f3h5ulXEkJsKkHV6yPDPtwopAHiydNR6m7kPM3lJMeT+4nwg0 sFIh8KBJ/rjauW5JqD2grawBiZzbGJOM6dWBW2l3Oq0Y6NCbZ1ieMy/6bKfvOypzDrWp0YSdDXuz c+KJp1ue1szmuey8asck+nsmx2tWVt9U2RW4+s14gyG2iqNiTOhqCvRAMZ3LDR3Kil7K0wOnkhVi vqgDlYWDa4xWrf0BJ+/GN/uktrB9YDTCcnMrqCAuwWMBkjxYWSAcewBfX4oV+dWEq5IzuHIeX1kv rccqAQcMy8XFTEf/HJb/bDKid7RvK9DbaxwWf3ECtduVpC1VmnteKbF9T+kzH4jAdRfrZShyqhCA sHRuSbqdGTLG4vT64vC82SNVceWsQDwXypkKy8zNhFYfRPt5oYvlg1oBcBxo/eDMDn8eKffiaS+2 zn275DYaLuvJ/DAO61JpzGj/VshOqb9vb9utAHmLWGEV+tC30Mb3n4f3Iydht/ZgkZlpKW03VUdi hNh+U6m/TUKJCwElwHecdatHXOlMkKJmVI5vX3GrpT62qoqBRFz5zyBU9nWHTwZmRCmIEJ6g24Bo 9QrHtXFrERmP+bZjfJTPbLqmiVhYGwbw3fExIrlIW4gpamzB04CgzfJBcx8djTLr928KeyG+gTpY ULjfNhmOXE+nPnl4N/rOda1r9Kuob73/r6rFlkHXHufEyDv7+fhHWs4uLRpbylURcjsNpffU5BiO nFtETZi2f2K2wLQwdgW8n30wDoYbfJgD2gVQszRLr+Sd1C6KxTFoZmFl+XGfQB83JRh/qj2IKsxD 0irD2cSLUTAcPVFhQzBCTTYN3Rx0/J5QJZ+kgaJQjxQT64/IPCaJOC1GUUtav5oC2UXaPT/KGZ+2 CVTXxS9TCJzkIg3maVB8QwQFeYPQoRPpwb4bRWSRPgeDttOhPO7lQuftx4aKabxgUm/PeLW3T0h/ ln1DK21BjcJGbtM8GlP23Nz/gml0hBonjgbk9uKH+mMpRbegjoKB+vmrSVmnZmAs1gJfMGZIA/o0 nxDaxGd4ztJmoF4au6SnJtf0/VzZnHl6MiKXHwdu1hTT+hOE6dzQrYiNaKIAzretTervnVIzWOGR tQ9qbEwp7YjwqTqennhyg7495g4pIcfTU3ePzEtmv0uFb48kRzJwoizOoiFnnT3txHK8ShwZaNIr 4G/IPyXKnbeU6mLTLXh9aFOuaw2kPNrySM8zOTVsuDVmVGKVWqkdGC8PHFNWsbAbMHrjiHP67qrd xV/5RO88rF31he6c4WMe/41oaSUFsZ03DYM5olWvq9hoyDHSRyvFeJExJKOGEcL/1A8P5TsW0aef tblkUMlLQjUARgBVDrEaxU8Ia9dJKEPMDcCPL9BBo3Pmb9YsTIydJ4UmczmIisUU26opAFSHuDkd vrQz3BvajZfwGr5rfd1OWdA76VrtrLUm0N+bnIhdkVbXzvquMZ+1CoNT4Ve6EvPjtIdH6mh6LGkX K1snKoI0bfX9U6VAETER/gh/fD/kNXJmU5so3jNya0U4BRo8c16wd0UbCnRzJstmFu1AFIO6++fE INbiYIgU/lnThqbY4KqLQYaRdgjAJd/W/s1d1kFvkakQ/vJ+sWvXvFxsHtZVgutqib4bMtbCvyo8 fdohGNvmhb4coKKNXUP2cp4G289VVwWDJJlswfthxF+Ax9nKs4s0i+mcIKMAtuC8Rq3nCcADxo0f GKP1HTadNmIdhNJcgp1V77jm521PvjLyN/XkWR6T0xtIH3RphYKKyDeBVrDN12mjV9o2fJSomyIi Xv56Hc1RIOQH6a0CqGTcN2qyBCztjTHBEMK8O4Eys4iyyH4UgtOHhXdASDpLtNwb5TLewWIhr59q 9abeXBeCwVNrEpwwl3BX8oPpZR9+zeBFMQ9u/rZbkpcs4MMBYoZNDpxGWuOY0atpLXJZZvYF/TNt WZaHJK2slq/3ibRMXQvmWqOyW71x/vSbQIwfRVOLa2AVVCPQ5tJrEwiI5vCMe9ShHxJ1VmSZ7lf5 +Ot7awOFUTYfSPvnWUaoc8mwGIRiM4baAhTPQaNRFmVuUf8Tg6O1xhm2pCoCIpi3/lwlzM/pzjid n/ctP+H9eFUwfl8Tm5loNmY85mBfSlfEDJQn1hU/XZq79v4aRMXJZt/qVkLa32+Qrcrrp+GhjDlT Zcp/0y/wDatSHKPvKxIDnKKDzrzLyZT4limvPKQETPo4iyvBf8uVpOnPbX40G8ej5H2D8/h3viln qdws/VngbApJSmnd5yU525daCMdxqQ2hLrowUqCz7GtEcnzvzQqrrDb5KKANKHT+0QlfPaFQurcV leIXvfUyMbYdFZMFB3NNg20q0KRcBedBRcczumKPw9m6nQYwye74u5jZ78M+2Pcz79pP9jYby1ai +ewDGL4cdpg2X5TnrPC5B0M+ks3apaxiuwe5WXMfPFjX06/O7mqBkn17vPFKdPCOp5Hc18JuOL6A pBd0H3BTq7D5mHFZ7TtYahm16nqGoHpSNxsB1RZ3RH0DzqHLQkIR/RrPp6SmB1lSB8mqmde7pvPm 4/8eeiqcGTdjnvbIcQT2Xvo/eZhydrDIOQIAG1JEYOt+OY2N1OZwSRr3dGVXtzczvZ6YGtto+LXp Hk9ByW+eGuSUOJkznr3SrF9NGSHM77pCOeXHHvrF6cGB/tmBWra9FSu5dOIRh3mtwMD5EMVAMVw5 s2bM9chKoLa0DH5MsgFwGoEDA2y1OBhY5m0rSNn1wbVInzlq4PfWvftkoemqIKMBOLt8+8QaoKhC IkbZ54yVz3wdiUp+QL2RhHNMV5lp4WVlgigzzoF4QNb2qTwwelC87qpNrLhADYwkzlntPGMPUKR1 5EULU5WxwSJNe368lslJU2tk3sgldlA5hYi99amqqCMLWYOG2xwT8UfDbxXg07y6A2xEMSbB/6R5 oqfyEtLtvGWMGqXxU9kfZ9vckfg6INrVIybpibRGdKjH4TePAgKlp72kn2IBuI7kd9ZuG3qzYf0M w776lAWXQkPL74ew7KoHMbFbRGeAOrELw85+Dow0JPbpeYMsWrxzRDSIqjV1yCmA7kUQhOhNLDJK JoXnSL6pTuwNBXr7z+3xQBw0J+zrIg3HOuqXsB8c7Val3QzGbs7aow4xxFsxXyMm4WBRgrBEK1AL JSbdBzMvYzBLE0f1ahwTrrMK55yX7W/Ih9nwZne19j2XAcsuhzmb9ew9jN/NbPdZxKwiwhwe7D80 rJ8mkyGYwHYgjfsL64Hwt7bEZSNT4WbrTyU2wDJ06TUeuGHgAyX/g0UzQYMYjrkTAT/DLEWVVHqk 0Mj6Sqcb7wnuontzhAfIbupom+COUVNxEaL640x4w94s+tdnzJix82S/EPOamRT/wsmZS5xSk9qv XsXbk5KbPF4fbFwSKC72Dw+TrQgPmHkZdNDfPpkXJ1KsFXjfZ0XYd1C2rsoKNCtiLkB/bmZLXsQ6 KEJPPedyGdiT7O7k2Tnv19QG0PoAkxWG54tArkfIwZ8KllJppUfbsyEea9Fa3n++8kXhuBDFwMBI EQA9o8pMj1AlSYAbXuEigseKi2N354ZXog3Gg0D7A0RmdeUIIobBFJEggsoXYfuFN+vniMSurOMG kk1RXKZRYySNxgAxWRM7b0/90vST3lzQT8QUU0kZoTPGSl9SoGp+UK1RWXZp2TH8TNtn6L6IrSfd oPJVkPCfUikkOik7FeM3P/blGSaYaxnLS6ktdz6EvzONGzBFT2rqwkfiLXGd8Pur+DSr91kurqJ4 1c+WdzkPHa97qEGeaADqcxcGi+bY4cwv1JRMqwEB7rbrS47tuWyOvXK6YgwOF98XD75ElPl18Wrg d7Nm5ItoeWvPrndLaOMjbKIPBLp46gsais39EDKy3sjTIy48gAmQAblGQebMiNfYWiw6DbOtadrP goI5IOhMCrTpJeUYdX5j9r//H9Qwsxd97ZY5D/6UsLQK1RjEQ36KDZ1azHcNzUNr3o3Op5WRlF9C gowKsYjVJQT6orGN8vGk2W7aiQABb3ErJxJvRKVTknhNWXBBVq+hc+A85xjoEKNkrkaJglCIHfge sDaNfpsHCR8fcUFSVRMcOWnFJYTU8a6pKjv+ekPU/LSLa+zXGlgrA25pgdG++LOM607NUD8u81P6 K6Tc4OxsE93sHJL0WXj0n9qT2dZ3EbPrA66jFXXrQlLJGcunrmPnKmW5WEVRfNz6U/nf00VI9XyO gjtai2ByTi0OKgoUEP6vthjfG0cp0nB0pzw8zOSJ3zqs+G9hAJEvoHJ/qdEEX4HOeZ029FJcaQaa Y+iiuX5j6wPbR4bZ5dd4Egy8UvZJVh1u/z4Wqqj4nYSBNbRXOaBiT8eWuDPFdgmEXSM1Rkr+AuIE MCX1MDzaEhcGGgzTFGVNK3z51LTVw8xT4Douvgavsw4kmeGLIAJm7+CUlktZXQwVWg/2Yug97KBV 4GejBxE9otKBFej1Z318AwTaIDYgS6vO/UM52plTIdkaj/ZRbNxEY55LMzkJTBkkmPDyVw9PPezx A9b3awvK1qimHVIvVyUWS5xItnNHlpK/hKLivoaaQBj23Q4G/2/WKBBldpthaPWyqLg8f+GvZyqM vJIzNOKYIkxr3yDwm+Dt8aFaR88SAM4SmrpQ2TCyQXVQqMGOB82myDwUd7tI9NNohljag0veJ3MQ gN9zJ1C4hzFnJqGQlCnZP5teF6U9ApCIlzTFf9Ay6oqwfFsw6rbzjERM8p1Wwial2V4LyNNia7lh g5J+wQqkKdzC316L369cPHHRsyoHc+Zj4ATtSUF2p3SAnaqfhUfvjRKHI4VrxB34lZUuLeFJHY0i fnSSWU25cE+uAlq+GmEmZisRWM/dmTTWOWZGj2zSQVahpw+ZyPUpFERC5rBaBP+EcHcQguGw4c4Q P0Wzcrlr50ESTeIq6eU+3Jbql7AL5NK+hTJjE8HxAyvNG+an1KTI6q/Pn7hovnQuMGntndX58Nl7 Bl5EdehBg9z4wR0XAv/5oM5N93DLbgW4JsQ247CgsWH3tskCQAlvgUnjb/IQUo6l97XmZySu04Fm YusrVY6UTO9xXcrCLMIYNeX+LOb3ECGDPSVc9SD4DPn2rfuOjJ2mfXH5CeUbLZP6ZSmJWyMwQiR1 VNJ91qbGs9hgQaM3uewD6LSQzr7tgriJJ5Vja5sAwlNCt1cn4Kxw63iC9PJJn2p7LB1vpV+sd2ul Pj2U7NwdJsQaJKN5FeyxJYxv5AA7uOP17aCXjHUZ83Q9xQop7FoSwGvfKvsupQg9mzhk1dvDwo/g bmbpeUy8/XBv38UI8uI+XD2EtgXHoAqhJA+StSStQGVZDd1nP+ZUyFmg97ncomfcbJHNwKQfM3o9 4Otx7VWdWDN5uSAKtCT3UFY/0wnf6ActPnBtlGjL0K7G6HiaxA4L1hsXjo7shg4DAb4F2+o4fhuB Z+0FL0HgkAdhz3TDYLOuFNtLsgHobSMf6C8rpyAxvMlWiWeMAcfwqB5w+3pNs9FcYg18YafYEOYo jPGYKdqOeKdhCig4gPvasIhsFrNFmXhxOZqmGYTIbLTt+5K8btrrnQRCW7iO5b03NqQkBGFaEXTc rWedHA6Z0uUNYQXv31mZwpEHsYH40E/oYz4AnlneHOJOLwy6JeZQkWNPXRwHXNmMxvkSmgmMftHo zqdEwBOCHOSuun4yYsPOmX8WYjgvLJNzPeVkOwdTr4Z2XC8xU4sSSqF2VzAvhQkH/1lF96eS6hit mj7gjH81WkRqhCNPsKXOvDRteDi3yWd9J8T7z2aI/8KIPXyZqzQpIYEUtZLS/MhzdTxEfFO1lg55 xRhofb8eK/EFfGMdkGfBbIihGL0G5A7f29zdA3OxeOQD7E8+ynxCYbUqrFX6vsnBUCuVyYHm88wr NijZwgnnndg+xpYC7YZuWc0I0S+eyQXen5N5O0wASj9k3lPlBp0XTRrrj6+LFcxxWEtCEOup8c34 SB/ylOw/gxWkDZpj1V9RRtiMITj845DHSXWxelvUOyKQcy4LC/zNZrPYQndjcFugkAr3KrEFYapK f3/McOQcIbGpxSyLxm0Lc3qz6PtMbKZNQ41qWaYxkCdgiROPP7terkAOxUALrK8rxTO0k+7zVCBs 072djdQ5A8xfAe13c+7E/ItlHgdohyZdyR4wNRmC6KCWeNu0Qia6OQEsOdNS46ETCJbx9qsqMpJi WylVJY0NT7gUB08huYSGcEgSxVxX8E3XrOGR5wMwzMFgyiPKnFIatBw/6SnTcgZjUWWSorB6yknZ NWFfuoXd+RqvoO4QbF4J5pAwfR58A2la560fD3a+Q0UTcxTQwbZpywu89WeqtfuHA/DYWbiAxXq5 JS9E7RFqggtcXbzJFNcjHmLBupoaPE5CwysxVO5L4U+R8bNxC81b9FVl1iLBhtw+AtggBjU4W390 mOeiwHA052y20bIMFO9dmYcn/utkb8PhXwH4oYOiHxGh4Jzsl95vH9/2mY2xzWQQ5aL+Xci6saES Hd2tKftLH+orrIfXuklDgctXhp+D5eeHDTY6WXvjWhCqLeYM55NAAIreroM/MREJntEUH48sm5bI ravdyzTVcxAPmt4qkzJq3bZKhfWTC8egE7eqVdMQjz4ZY+ajD8WpYE7IasvltUgLDkv/4JD8i0U8 wX6UaoE1YmWR6w8pPUsXpkkflu0oVFbVk3RI/1Doinb9rqUHx2fpE9CgPub5Mbdzatiom+FkCj18 6vjczFvZqDPrqX6eIf+txaRhNP5jdqAIstu66m8NJiEzous1+5159G+fw0IM+OXvz6FNFyfSRj8B BioaoBX9wIHZCrD52FsQVUMz14s/B/G2fiU1a4d9nKiGkFBSBBbrXny7y6RjkRz4380IWPlFr2BT 0u/EPvY5zWuIl4n/BFxY19M60QzaQCoy3SjCGhIAF9QpTI7lNCrqcFtsYZ9LsSSkx7wvXaMkNBXW Z8CYUmcWbaPfMWi+S3ZHwI77/KAnZJSha64mvWkvvn88vRBwNDRJ59XtxsCcmNU0n5phk0HGfsjO 50ryKapoS59tEkqIuLLW9q/o3MawBXQtzu2/2lLhJafIA1jx5+2BYCAuEp0k+UJ9rfFSPYhGq+R0 efkK9mXhY+LrGCvbVaSNyme0hEKZRZ9aJiJfxNdOCZbl/xM4dxxpJr6Z+avErIx6Nxbo9iPbkQBC nIIUn1Mmtv9oJxOfXaihrbGriSIoqSDw9B5XAIc3GbyWWUFMigQdv4fh4i8o8EOqETPybeonBm0C jvQqaIgpxt1Ck4FbhS64yR1c5WUxJfPes6VpYAX74C2+WLbGpwlkwmGQ13dZJ9zUjVbzHd77O6Cx c0XzLPOgRWDBDywGiof9dnp/LXFXUCD1FqOw/6KHLZiOzTYXyCs2cmhJQrk0/KlD7jWUyJAUP/cn RpidoCeTeDWBK5cG3qyN2vWVH4hfA1auzbaMULXNYCfRqwYhqu5hrEahbJw38zpCHTsUuPO6SWgF rkzsNRuvawVO1NCYkJHJsmIpeL0qizcGfhoT8TlgF3tLgl5P2neypZ42kRmTCLxqaWefTrfwzvfB j4B64u3vKTDQHm7vr0QYKTysiKcMEFeUJHkMhManxJ/l6SldRFM7CVXcS+shG3iGAEhAUBFe5Gu+ 30M56SRmQx6jRtzN7puKmgzSohMVcPAJoSQVa7l41iKl0VxXLx5z1IGXS9gT658Nb2YFuy2eiG82 4UENZyJJ3uJWD5uARcoGfW3E0tzcWRPJ9YR9vfMLOU2mMLvqzNkDqAcmxvlxPheewKJWbzQrh5af Ai/h77q5Gt3FUL88AZESQykSLbDwyztEZP0t7GnsBlS2x2UdKDeQGs15alhqqq1VjAX525klK3a+ kTMbOaR33VchZFYjifyJxAcTqz8MmIA7hNs5NcbUkI8m6wZaumf7LGA3/BPWT6uuZ1gFWQD0furQ 45DXxEiGpwgAJ8IVkLEAsMs01QfcQLxD+k5UOui75OfBRmczneokRb9lHqSEEVr6nbYaw//pj+L0 3Bdxn1DifAKXyh2lg1nhHteb6dAw6rPJqN2557WBixqHdfIldaBBfhGug9NsBOn9bXS6RcztGwWz nJWcvtew2VReqPQP3h51fwk/Y97s8d6YSAlkKH7AW2hAA6kX1mYWregXb6MFHB0TbCz5S7+IHiwm q7FQnG7fIDfDXx0IlA8nr3IDFbvSJLNCWGMAT3Vs7Kg7GtKBx+n+P995OY2oiy3zrnmy/NFXFzr7 2ZIlZMkM+AYQfGTmXTxhLvJ+2zk/tEYEUf2eBNZXLnxLrdTvjyXw0heZjU5hxwZJepHwH1HwkQRk Mp9jfIp/ckQT7FfNa73jvQePPC9qkCYUhuEMhFVcLlbuHIDAmTEk9Y7a3FLCO+sk5FuPawgZELcz 09+5Cfph2INiWLO8oVEzj1eESt7H1Uz5OJJOkkAKDQdi1dluFVItD5EWlggRhfHaA0B7B/quEUo2 2xNHfhZRoq5YJtn4US+pXajCHgkpPS5s64D7ySerFIUfP0I6DN63mBYAdOxTrqiiDt6EraDb/5GN fyfaemTOXr5BxbmU1MG0XV9MWPbe2zWg+AFKnjqVo6I/cFXfDnJSF5BYyDCWjZi9yDq0Cit8+L1X Q03f/1iDh3uCdTMnhiAxg+g9NgFMNTSBiArGBI+2mG4sPlZpC8bAlRSyMVNa8kF74xj8scE/Tezp blZ49vHVRqrIdvnggmE/0KBSRv69Q5uXpv+1euk1yMoB4kQXg3fAvmXI3OjJmZbdFPz3PGPj1TUy GwoZCHBpydygpKyKzC7TDagZ4wJ3dSesy5xmvxCRFoWn0gHZIFjRewsnou4IbjZherEvGfSfS/so N9iCsshWyLDRA3nxUAwGGozxLl2do1K47YHPUc+xuPW8LoopqMcHtbKS9g6p1VbipInp8GEg2VEh 5V1W7ant58xs4rOgw1FuXOfJ6buig8iwHqjExIq1tRm2BxU0IEaDTpN8yc5Hd8H1/xhan2JfS2zn gbpx1QUOtY+1ceIs+A3IdBGbSCKudrYGWo/8s9RHNv6MEf7yA9WXZiKCl25TWFNv4MCqGHhm6Fw7 ryHehHSA2gspekx/gRXWv/MI7HyW2Q/va5M04V6VmPGwUADvD/gWrIHwhV3SbzVIL1nMX7P10Eba Az3XZPOc3IUPpDckZmohkAFZdMxnMI55q+ZBHNYrXsEJG7WaNHVj7sw2hQYWSAFCT+jWEhvXqOUr k3dMM6GevN0lCVejkqrTJupJme295y12c11lk65c7OHtlbRKLZ62buprV+4B/cs80IouGfIuiNES 0qsMg8nC/UJvFD1mvWAIVv+5d+t4Q+rpZEQSt9Fyk9xAKw/Y8n1hz8Bs7O3mBL/XBMpPtLswtXPY rJPP3C6UR/rj2a0vqIS0YQt0LccjXr/7DhYhKm461va4zja4KvYSQARCB6KMUyylYDEcj26k6nNb s7lbcl6VMb+Cyl36hdhrxoF/yLkz1efDj7W802of+0rri667UKq2lp9b+0RsLkA+4FaAibkF8YlW IEQMAWXrP2LB3KqTfq3kLAuLeNUWnoazrIuAkNHz+Dokly3pAgqIyUifBhQd/Fsc+PJzBHNCKM0t fK8QJJ7zJsKnQoY9hbNCS2XN9k64WkBO2Bx+0hKg/aHWdvtZln0nlxXX7KLnyKyFtWcwqpK5sDKU Y9H/5iV9C6Z3vxa3rGqBrOPhBCSdkqP/k+ySxxFZBrCLUYD9NoNK2Ml34BCY4dx8cGpJRtuDJvyt V+pi1JBg5Go118tYpBchjR/STb063MM/W0tEF7sViDFC8d11mc3ukSeEDWza9DiV1ukGbTNLmWni rx4szp6/N/V4pmnR24yeh/WoqQu3mWRUPiOcZQXMrIdSiPnqVq2VdUUOd3fhD8rBeMzxDTM6mXrq U6mMmmuPlKE3l7n72rgeeNACgxTlGuuvA1D0ETDtvQn4TPIiYhOAHwOwzJIIWnpgw0Zf6Go3qCic ShUmYR/vy+0Op+yMXw+Mtjmg3xfdrbMkp/Hiyt+oKe+akM13/LiI6bWDcJZ7I8Hnpbop2EMk0G6E Jf+O0Jr8DwsaU2CHLDfMh/DytSCQyQte97fZbJYL61zt/kdLiEL0QP01PSnlKzXpchU98pAYa+ZU OkmDUdsgvmIotIRcRtT8KybZ6TehMhyOKNpIagFiLr1UTZaGUBrr6EzF+6SPnOXM6YfC4JVwIMrZ zFp9t1xOad0aOU5AgZw7HD0mQicOXFRjJc6TkD/LKF5Ws17/48PWqKw/qm4VZ6jtJwJMBzcHZxv9 amQo7g6caRrnHiUrVc10kdz6CV3k+cdsYxpLtezoxCkuI/VfCz3YKCSYclPAmp/jdCUYiN37gg9V U4MhfI/Cy36hLHLYEwRlBcDTLnSXFuP5MyPwtYu15tMDs8ZmGj0+Anb6MBpatfCwIub70TDro8Vc oH6R41Nk8vv55YnBcV5P1lIGb7DVwUlDyagetDNGz8w/kCKu4nPnkEx+reE4ymEFnjTnyhlTCjNb gJpe9qpD/2nTGhJ6nIIEe2KBUYHfTuQp8Qb3OQ7xRxwUjhtWHz/M/qx9sqvVBobErEr7E3FspCaT za7ZfkLKlHtDMh8qo8/0OCSvshZG77LTlZmR3r1LkN73wVQmUHoZsH4CdvOO9FZjOdeRqEi6QosQ VRDFmVaWwQbGAEGEJeEhVZOYtbNoeIeYakMwoo+wG7sqFRvxKjTPIjXPziHfBZm4tjUfVE/ASEtZ G5LPKE3RIdTn4SebkWOGC2QZNQtZVzbtRtM27rUeeWWuoTNI4BmDpE2/0b7LR6t6ofr0E2tGQczG FFdYouW//vXAQJH3jN/jCrsXBIwQu3IwHyv/Wcso6g/TML5JtfMwOV8FVrm/PfSKKNfafa1n07rN QsYx9IKwRhkmy6TXH6ngVo3Lks+U5e65bDu0kkVzbJh8rAVyCp6i11mvkzrZkfg0z7aF9cAg4KJw kmtqo8YsobGKX621RomfSMbBVP2WTKRa5E8H0V1Xx1UbZnmwkow3gew+j36dKQrTFINC3EzDO9Yn UMZ1bbnpQBi+mFTODm4kA6cQIOIP9FbUAMqW1jRZ5OvX9rUM1KwI0xFU8zzeeoL7h4ZgecBl2wgm E3iXFYWfWz54xDpRf7TqKgHrR7EjiVJzNW99IJlZKt3mD1MFxSCGgxG9V6R2ymavL2wlHPre/nzh iv4PkFc4FCkRsU8NcGA9VMHAD/XkHTsBherI42FuKn85h0dYQ4/lt+AwQtb4PcY9rTd/I3VPHkHH geLg6Pcp7oKiHdjxz4yPXh0IcT2bOm3cim0IIqVzCUA+1t9Z/MAlRK1mqX0kpsVtKsjEWKy75sXn YEtr4JokUVOJbyYwbw0a8/t0aMZyUxFzawyJTaaCQLg/JB4Ux0lBd8bUTQ//cGzfrdIoCX/vOg/h LjC54S3ravKgPeVLHuEjy1xcQPCPA8pP8BI4dCxsidTesH8oVcarNpeMDFAALrZO/nSHlSfKJsJn J3PXKCgQqm3DJd3NxXcpWMOqfgoNl0GgV5s6QStf0vX8VQV1dnTFL7iclGA73NouLif/Xe/aeSGm kpiRbo/hXORJVkW5gaPWjGHwObHwYlqQ7dUUNxEpRx4/g2rs2DbgPHmH9tPS8ghEwdxJMpXFJr51 AUe6SxwKq3RMtPwyIL0iw9ki2YNWwcnlHaDTkFt2VLGRywdNpjtbZo09iKzbDmH/H5VUod3I0dXw 5+UcQo1He5J9QqSmZcVLGDvy3Lx7UK+AinTRIjSMga//BNOk9s+OCjEPZUTNM7ojYPWeiDW93ElU zkcIb3isVBMNp6JZzibLJrJKaCy9uBhmoHVXfEWHRJl9An7tF7yXEG67pGHVEOTG2nsZaz/gTkZx kMWGdVh5VD/QA8n+A/mKRf168gLJOPocr+2t3DZneMqoz/ggCUvlmeX/tsmMkxOEk7UDAgYNeWAs 0I6+fSAi8/lqSiYRyOWPD4jAZ47mJWo8zp5L7nSUJJ3PvfV972K95ZhNJbCaKq0CsvsX216U696P rMH9ayevY5KmNREqUGO6juFUAbBHVyUMSFy5Mr2pUOKQ4bGViGPiwOHpFSNMrnJQI2oFCfOHVW0o NWbfOB0ZWD6KBpuxj6Y+joWm+P/x2w+Qxv7tWkSxB2V1pbmL1ZQxsWB0LzL33UUIyOkOmuDMD1Kq IyVeg6byFBIpCOJ49GrAUYlY1z81PQ3BUR4MyubruqGAiCqf076amQzia3okVbnCpgrHvMyehhTM iAYiSdONn93DPjO4KU1M6m4Phjswfn4QuDdGMocWUafWZrzxVAK9NqZnN4ggHDH5JUtcSqGppW9g UO9lmre0DBWK8ncgfhzIKikwioEe0K+xHoqkCyfk4aanDydx3H5oxPIhsylYTxfIvN7ywGpqrZ3r yXpauR4slWjk1Z0yrQefndy2IzKcw9qR94fhsxIrNweRjUEz2pUUF/8OVkN3qUgkU4rHsd1ke3Pk 1oGroQBzG8AfY0vZ2hThT211HYWY5Ty5lOeULBMTiejoOzf9J6c3W/m9euwXHvi8MK5WPjetO87I CXPrCrdUGky8zmqOzwfextTDSLGyf8bk6CFThcTfno3bzgkwmy6b62W4ejXa1mEKMza0dS21FXgQ Iaw/mjW40fMF6Ko8zBedE2ZWV6ru3lnXho6i9UaP8Rkob7mT0CaEssnBEPS/I9xDDvhal9DhW5wy 0G7f+ixdf0p3hKePrmrzmGq9CT8XEa+2+hCyu+Jyp1pUc6Oddl70YwupvxGYzgX77+0rMso9caub GgPc9mZzIZgoWGd4NcxSfRvS0rxLMa4EirIMCilGrAuFWn2YDpRMwP8DdS72LFhANJaWRawas8B2 c4wx+O8WGPEmqWVugoHrHa7DR6U5q2vHv7eHTqUR/zRfCtqT4D5wPC0yqY7KWYIbpNwBHRkG1bPt TpZmVgAaMa2arP0wBk6b+OPmY4r7X0Hx3vrn99qFD5+qoM9XI9Gh3JnYpkWIiM6k4WteLAZhpszW 94U8P7z531L81VAK4l3I53S2T0d/8BHLFcYeWM75OWAlf3F9ZXzIicCQalqshkSCXF+uOeqsPR/G R2Xxx//KVcR10XpY6ccw71vds2nOrxK6nYFyKfAoKhYbW4i7ZTtegZ5RqJFGT5/HLOXuVetFqQbO pOeUQCF1PBlXGOexFH0bHhf+n35BcoR2RSwT20oU6T5KjQcJGfnEhHk4jVQZn/tsXqSMIKI+lCE7 GpCkPzBQ/BBL1r5JgKW2ZCWTVNriBfAWsdcGzO9iPhKTn5y6UXrNQlSMUx3X27qO4zfbsvNQ77QF CYiBe2R8TABfHJiW+MY3ez/MtHIjGkRjOMRDCDHM75633U4J51C+dJl5XZJxkppDNQXCosiD0t10 15JO7JdmXJtcmAlABY30IQ4KLvUHJ6PrOUrzEFgO1JWR1AhFQyObGGPcJzXJ0acL4yyv6W6ekkSg UFgz+npscBVy60nuvHehEP4zq+orihJJr1Xx89n7ilsDxx6faNjy+oB2GP7SLqiERHj7iDpDDU2B ec+2tbgT6vLEyAjcqD40KVDxKtgGH1bxH6X/MOoalNMON9jzRpRPKPcCF6IdD08/p1L1XiD+n1Yx 6R3oUQhFPsjtw1NuqOgu3UOFDjeCIDvQ/BL3ZZkbnwVQaPbGWdq8eLLAsv/x2ELQGgbwRHmAEGaA 6p3fT9eq9mBZ7wHeGlwy4Wsyww6CwOWSqgUNro6cg7wdWZtVcEhBtN7vmb8cyWWVJrsEtxJ2V1SW aXxTcez/k9YwGKr16s1QOq1rSkjuq2O7lYKCOKft0q8+4l+DsPWHjLAkIdVjjK2ASCW8UUD+vfor lNXkp7sOQXYzpKXvYNpcyGJyrUzaGP8GwDlap5WlbTg3uOfnV24d6DNTapKhSu4kcu8uupasNhcY FI2sTHm952hPLwS9KUzy3Hydu4cJmQeyQYChaQ9oByL+vSFEDnTPsl55h9WS6edgKCw0DOymkEfp oP0+NM7/1RT7Wm4ZJBqU4Gge/RmK6T8EfqsRSw9V/vw9Xktn38ackV8m1fNM/IDTcix4wVSw9AOb IYY/w1X1ACfK3iwYh2Hm1FzoRo3wsHMREQXIU9jHPsOow18iDm/ie1A0m2qmCfaqwqTfrngzP0Zp 8LBvp7FQ8EHzsvArqbLXYDpbsid9BiTZvj0Pre9rCLKdk1dQmIUk4vKQiHvfnLxQ/65HM4wrgIpl Os2MsOKad/g87Wl9hMXWJFoLbWmYxsNdPqOu/dIFWuBnkNTSYxrvPbx08IMVskqIULE8sxZzfSyA 6nWwB4j3f7EaJ/zkPwYkaVjOojrznMz0dJKqnFrIj5SEZ62S1BL/X6btWulExXR7aucnNniCqypZ UWxPMrvqsDIOiY+NKXeU9r4kfdmdN02XrS8uhk+IQT0n3MXQQQXXLLtjgyIk9StTDWMvjk7jU09t UeEv+44oriEVjt2YLgNw2/vi7hma81MDO6x5O50dPRB75foOd0npA5Loin6XMYb57uAgLewFn2kr VH4cX4cvvjsFh1liVvY1JoJ0n7EkAzkanUrAMvvmgwPZqCRh9ePXrgmJt6RsGLXBkoebotuk/iTD gWzyPV74JYXcuSCuIVAX/UdSjX91+KRPHB3m8qa8qXmFpp0BgizuzzsPM6SVVSozintDVcgFyFHV Qm2K8wEy3cXko+s/7OAJ++Qgp6+1yz81kkHOaPaU3wWZAlYTqUEFz7uJrd4IiRjt8oIQwCfAU3oD 5xXAcNgXp/Rss7nXqR8rAcZXb3zXLgJoRCWGVPrW1MIM1t+5Qi+7A4gaFBs7pGyBNxnp/qv6Y/3F d2bkUjcTXYor95HR786nvY3lOM926WUQ3ZavJlONby9UAu99s+t7LLzJH7b/XqjsnXO9Me83o3kB h3/wwU+19j4KcwSqnPgFDkR/lc/n+dsIAKhzx8YInKplak/8vCW77ZIjSvPFn7EkZerN7JiT6dfk 3JZEV7knkoBy8BUoL46ijotibArwHAommmx+1IJ+EgQEbtjrCnXrwhX1VDAoL6XYgL/nDAFiOKtf mgswpZWtFKgtjcZtsxjdHUfxE046EwVqqOcF3FK4namrZkUiJEqhRmpl5Rtght3CEuQlAh5wIlYE qsUqNNiAoSxTkOdqs3KmVfhSn7v8meafCuCI11dTJt3t9DNUBzfhIc80ZW5bRMWv8g//W/HuV4e8 INK2wBuaYzbDvkG/E1S7dWSy3ybCTQE3Yi6TQQzA6yv7irrODDhYZPdJp1gN6zlo2DhuLfb6ZhdG An4YDynz5TRsuKIo9Z9DeTXeDKvlycMTGI9LTKHjhfNLmbFZwhYQLf5vwhlhci2BFyi54d8MZVOs BH4tTyRURFJJRDxP1fNcNf4dMkQ2eK0oDWITz+iR07vBwiewioY1TlYjMK1oGDGjHPhBu5JxNFT5 1LXXzh3WkquPsbELOnSC0JDDACX+R9g9/phBTZCcYeLt4KvBftq8Z2meLpet17pXNNbTNnGU1xWz u2iI+Omu7VgHV3HiYCnA2LoyNXwNVyGaF0Trc+0kQVsqWnoGfu6cdVRwjt+OU41p6I5YfR3kYTMt W7EUrn2JsGfre8YFqD7iUqrLPvS+AGWts6UTsJok+wqSj3ATzsvt2812bPZBQMfvRCj9qRVO1CXp 3kdcgUTIKK3NVu7YviVJRMNk78TReAiVvggItuc+PqUMfkr0rLGxt9xos1J7/v3Q7tcE51j4A0ww +NFVdJu2PFzdibtBT+IcnYl8XMJjW/l+Euc9vfG7W0FjrwGKNx8lIVuQi4AubcMbp7b4Htmd8fkH enKwjjErsstuqP4ufwk0K6d1g1UAPwLPfBR0EQo0NsYkHBqw50rPHu9ukg9DjzpKY1WVuneqAHSx payHXRBIlamP3o+Pfp0M7Xdz96eXFZK193Poq7NdbUZkFKeRj+C3Sql5DeR6IhL7PDcrGMM8esmf dvzskdOnqx+sGrbi4twg+xjA7tbWr9pBd4Tmm3lWe7IjGb4Ys3lBLMIP+9CzkYvEoxy63KpSyND4 SERxIzuc1o+HVJYyuSq/4Evo2aaYtMY+CjllBO6nZtAWaPqIw6DqPXChKo4kk8Gw4E5/pfqdX473 v6P1TfPCiFYHr1WXvtwBuLVEuyOdut7aqXLbeprnWtr9TKxv7b0H6FkaujFP1BiitTlapDQxX7kZ SkS/wvE4eS0elEpCzc+jbayhrIjGvDtRlXqTGnuHZuVtdVs3z97P3wAyMlv3Ts5ruQqqHFtnf2Uz yeNJqar2sl5NDseugwk/QydUkgB35ucZjUDc2XtQxJNqFZP/B+Wuotgb1hWE3e6oHz0NEOw7p6CF YlSo/LwK4Ob756FlvYZHghAxslVRVq1aaXAo1wd0P33JvvV2Cul5EHAlkBqR6aX/prKWw3zJB3t8 RCygnPZUH0/tTL44dpvgMWxcyrfUMfrdT9M/k5mor4twbu3ddTjAOxCfS0ziP3tjIHsmUCZDXMhw W+ziWYjXNkoH916n2QnkqJHLMnmPztRCz4qreEPpsTZ06p4EhbWr8YO2c/miSZHu+u9c6WGX878j gosgRAnDe+nhn0ukAjwSpqsn7h4I1mbyHDBpIdcrtAZl8sDbyWHvSQ/qOa5U58gxOOaOIUYsotFV ktTLiH0ubhrht5z21xly0nVbuRJYWWPmJUHmplRXh9aZwccDNtmfOu5iEsZvGU4Il/9sxnsjpM1a nzmTQdvBed/xyumt6b4GGYo04kQIcXDqI/+ruPy/YoFQ4FuOLakVZiAPDcajXpM9uiUSOdCR9+bZ imlVxNquhcJuB8KNhHHaoKCNF9ycuhwen6PkIwUn17Z+N925W7cH5ry64uDPYdJ3k6vjou11QOD0 8v3GVcGYFGbxwMB98wSJ+INaIL8OosFix0FeLcKFXqj5xMwYh3LBDHvTnr4mLvOo099eljyzp9Z5 IDUPBKmvEZ5CyjDFAiaj2ZQeapYwqd6UO6TbgEXBsT6jjSho1ncn7wjxZPOdEm44QhDcoM6aSRaS lqfQwMhYILrdGl+MQLU8tQXsWfcYi6b4NwWM4Psh81b78I6qRM51lW6bMQb+P3kYdeCLa7wL4Rwo eAl/YtPfe+azvOEQ34xA8ij4LiKCwhx1AhZeQ5xxOlLJGc2zHB4+6OjqZz1RDpn0Oef+bSqJDuNi M6ESmYI1NSqwtjIPaVY0mSRhsOTNvTKjPiTmTlSgLhlPRpoKO33ReCxPTCvekBg+XSXuHMAbOYCa DlsR4+U7hHG9rZ/ARmrxBmok90QIKnZLbKb2zUMiUBcl46bjpGx+URC3FsSpTaziyRXqMuM4m9Ym l9Hk3H5XgiK43kV8m+pLssA7Htdt2SmHMXHeB+pjb0SfA6SJqY9L9+6XUv6qDNwuT6TKVLuam+Vm 6YYSDi6jhffjv4VbKYziuHxWF/+gboe125Tz1aSSmdJobPdujHeCTfxORjt/3wyyRAJm8oZ5NRE1 b0tRAV5AUBbdyk0AXtprfNxGJZifs84mCZjQ7vMfH+2nd/clKWaobqHYdumUiNEljxsIX7BtSIvX bmkX5/WAVelYANj7SH4BV4zlN5lj9LzV8nC6jdndf76O5b4T+RO3T56QsM2pcqACuJ7JetESRFdz 1pAoLorYm/NX1q3p/WmGq4E2E+dJyXexPpd7dP9pFmhe1XdAegooM/XzKeTeVnyZVqRlEBLsXPbP /QRi8/uqTEG2ilaorBlgC0be22emGBn4q9OTWmh5w+zwhdxO3zR8xYZ7QzvMOORh1MHIN8ekPz07 MQ9Uv9xUjKiHiLhSHAEH/cn+Aj9YJIh/mEa+oyis+g2h/waGXN6tbkYHyMeWKsgDhxIyqDZAqcWx binIBTNunreqto9Yc97lcZdBg4uuzikrekLFLCqKXZlzIa/fBW/AvxFXbrZBD7VUDt7zJ4grSxNt fIidgZJW4z8gihMS3ugz7jdskcbMrMCMwN4jHZtsdm/2TuBEdPO1+IRliCPH7flnDi55vGRsS4Az ZMQ6hNfIKNVSceqbsOiR5AU59C6//fZJOetyqkMLlLH+RQUJ+FIpuV+dp7er2I1kjExUmsc8W78B 64apQ4iMogbv9lDl+dnXpifC3h1czLfC8AOuxIqxpFQ5SxniN2k0LjDHBZxB+prNj9sOzfLLUfWb uf0ZIoI8JY1jOsntdcQF335PybiN2RKXFcK/9U1DnC251OKhslZQqHH+4c3U0dS5mZCVQJ0nb4Fj +whR+jn1uKH5PFKtQhYaCmyPtZI2gu2yc2cH9FPPym94R68oQ+hKyEfFuHQXUGkUPUDTdBur8GmM z6lry+JemNXMcRd6rclzK9ttldEtZZZhVFJsZApMQ9UPw4JUrREhsWrr0mw7eJRN7KQnnGyKdXFz uo6u9dAIa5GK2EaxolVFx3UjjyhlDAb+h9FMrZHels3in2rfNA0U5mppYYno7ApPtN2glfW6OXG4 avJxd+UKvUZGXUZBNb1Mo/GbUFnonwDMr/LMqporp7bX+RR6itQD33vozRRRHxCetpFgWM2VXr2d jrW76zDFR/mFL8Uo5Pxqp1dKbnfrk4ANxmpbp+qEVHftV79q2MMIENJsKPiymj5Z+n4ZFHK8sf1s wAAPsBnhBIy195+2GhLEQLuMdZZ0jn4TpZj+M5oYobRPYC/uBej9Sml6bTM17NOHZJaoq+qPDQvx Byhc7jO5cIaJghzhxUuHLAmlJBeMQo5JZViBguRVdpNpYx4Ms75xnAXmT7ISlRVSM6TxxEBusoe7 djRJ0SnlHQPM4cHxWVZB3pOWBbnhw4OAXa8UeP4nafsxJOa7GUuh4FMDWv5ilVfuAEzTu7In34kF NdEL6hCDFeLaYb2mewTqEDI+cLMgNoZbbA5RqNvDB2z5OR34gVo+r4AnCAss0vIzWdK8ZT4J3iXz +mBJyXH6oTj3ldVAiGHFCA8gV+YAHPQ34q7V9PllWZ7LRDT3Ovw/3tvR3NwJYt+Y7XfMv2OP4jOc 54PaNXc2osYi4e42tBV3HBJF3HdCRrmWqTL9O3sFiHPYFkr294u2Uf9CGGs1k3/heW1yWv0Stusl wcKJMfUxy2P/VSdGR1UPkjdO1gKkKUeY7QWerU/xSr+jltE7Mm5ZrQJ+WkMHyOr93L8gyN5cfp6j l+VTgxHDm5VpIiY+m38SuGGAHyQ2z12R73fJ2v/G1yWj1uBwJSxLf/2nHVn0KSEAb+LO+o6pdPa4 Fe8KpP/0UUTMR5sQ2y5OUO6iSC6nQHGC6RxwwaCUwsXANBTOQce9GGLyD9DZXyhdxuAev85w/klo d68nXnPHMPlEX0EVGfYgP2VDMCFzZYb2QqA6ibCNGsFIj2Y/JXMAw+sef+H/2rKmvMuYjnktvILt kHfvy/UoSnNp4x6gx4Qq+ju8EDyesg/ObjMHyuYBNOU5I+VXMprJ1pi/LGsPRTYAK4Z0/GUaIFO9 810u1qzMJFuNuuxj3AHCVWeg34eNTHbLCwYXWJ+SfnSYCIKdSnEdzHflJIlY7vk9p9l7bEHp1c0d GIfolOGgwn5UifGBhBMCiEJdbfEdOZlosZva4nyCe/9UYqagzEdY+QidSUm2sZ4HUH3PW0bR3/OP KHvFX27WrysueKaZq/tlVP1ecpxNexM2ZkzFvZ7HvvFXIDnJz5HQrExa/XFRHg6XCyIC12g54vWa Y/+nzRbxAzzDI1zgPZMwP/X/PHPG4TJgg2+eftoTzO3FnXbQnSwmWQhhovPXoR81nQzfi9+Y1yXl +CiT4TR5fDEGcnLF5lqPRJuXSr9k4TCnUPFlPpau8IELxwBm6YSZXDxt2GOZL9DD6ID/JFSvwPol fPXUS1gJ1Wi2OVIKQHVWwhIno8Gze2/b6ck7at0c+W2tBrb4m2Ke7tvB7rvilAaMKWH3sNbdGwXu QaGvSFRMKky8xYmC9X3QHXqiehhYei+fu0a+wsOR1Qjn+nOYCbne413f9zVATaWAe0hoFrFKV6Vb t6tsLem+fpi0iBWA9E0qno2438hAlFCqbV/gAdu6m6CxwAD/Jhasi65eye3fpJsb4g1igHgmRxYi GiX0pMMuQoJUx4wN8ST62mvJtQ1U0ZEs0BKo/dsDcHKXDmnHXn8Yj2RFaINKXV/66rGF0u2S4nvy Qj0g+2a1CdsTsjg7CT5Qfuo+2ZYX3Pjb4ZW222vFRQsMFBJK9dtcOjL0IZGSuY7cNiQcOYqCr+m1 DPtkCfhOwI1QVlV/0tGiWFajPADOrALb8GKJRftQjIFNxa7sQuh9ntysfLLYtkAZuASBZyryc5WT rNIA+8uXac+quR6P1vh8mZqEdEWqbOwZwsKFYAaUyfcYpfUPEJEpZXD5MfCAHvriFUbnWJU5TWZX TMvInena+aUPpRD0RI5xlmUzMt9fasgA9lt8jVSfPtklKlRulWIOdx5QrsHRt8Ep1xPVycP/ULtE wM1VFgMRQVXaFs0L+z9FqkhJFbAS/DtamMykCJQh1Zql/jRYW5a6Q/4saj1VxVOlZWS10HyrHtyT Owsy6FF+bbTy483pmajk28+xOZKMXBTWBDfg87VECcOr1kDuwFnFmfyKBHog7NIIg4oxKxU4p1MP T8gw5FXxbPPvAPn1VRj57rpJ6luEd4cjNWEwkIClYkG1WGs166rJ8TkZ1Z25OWXfUilzBxu6L7/s LqQS6thmKt62UfN/QCXjYHIwE+fN2rhq1faWaU3gncE0NOf/aReWPPQrCTqzjGHp1x7M+WMQLzd4 MLceJlortAQhLHZHzfxytNkqijN+eTD6Wh10bLLAlYIeNm7S3OgWwL6ZXmqjmh+3tuaOE27FqL/x V23/IUyAK6b/cm5e1F5FnbtfeZthBpNEUXOvxjLd+0igFwEu7agKNjbfPzGYsk1BhHu5Kqdj8vES hG4BPV1FaQIxerF9Hp3atcpZPlXbOY17FsZod7f8i/CceigOijrWi0KafJKtCXylDMWXJXWfedV2 lrRJSIsGwihpQmysiP3bh1pC6cNnjcWDCdkhOdOtAoR0+uyTFXzmhEfHlllzv3USRDF++6KTfBm+ Bkm7asGHtAfgLUNJI2OO/8DhdTlEaEqn0sY2pHcjqReV5IMqoBVZ8zuhQUBkkIbegbKmyLfUAF8W Z1v4UHGZ6PcDnS1+ENgsVSOD15s/2ly/VtSB2Ly/WRFZLEdFdHVh8eAtTvXdFjaHDfzVw6MVwJaF fDJt8PW0FhSNBBKrWZIgo8cmzQ1asF8wI8ZxdmZo8WjddoNPTwHRBYN6AShze+9okdI90JQm4vdl q5ED9HMLUse6HiDc0/e198Ckf73WrN7tccazxyX9GtScE4PfV4l2SEiBLq9fRRERZW//r9OacUAM i2eytKBnXpz59ls3UYnjiZbxYENUw51uKfSud50hWCgSrv1+cCCIJLAShxBEce3hFq2Gioe1i9+d QAZEM4ds9aDCgqwOWlOppCRunqGMQXrbxy9PGVR8Q8fBQGN4vFUn2zv4J8JiBJrKbxCVSLh79BQt T7U1V0OwcskonVYnGiDSn3V6wLBaStm/Szl8KkZxd9bsATLxg7NhEDru4Is4jZsdK6k31gq9qjpc Q3MIqCjJH0hLFbRc76CQvSBrUf0leXECuakTr/SlZJdp3vljyLEmzY04wF6K5czdpujCuxYRnPxa K5EOtd64qB3HYkrbRHR1puKpjtAvXfnC1fsdv9cTciv7Ko6V8ulnoH2mVX32Dh1IchSZ3tttAPr6 i9k/wqQBY6TZcNbzPr/q/cYzbhOAJb1FhsA3lBazbroAywbJTg5hIq/5TUwYr5986+AD7cke1qKw PTPLCwr2JGZXsJSFWkhqnIJ4ZHXwVAu3xtMIpukzKlZDLZT122jHjDr5mt8ngyDKV/C+wRiYKQte OXa8GTfPYoTPLVLSAPjGbyhJ/Z3grV1szVz7ySZnwaQkbQNJbzWVQedWb5eaUQYH6TMlFdLpQMgu 9YDgEQN+XmgYy1npM+Z0Fg0CKtvBKnk68L9r/Gx8HuC0mDadAXAcsqE59GhPK94uyctYgb+hWKCN 80tXi6YRq4boEJruX+/NPZ8xAfSE5+iaTejFZJ3g75x8JUGMWpVZrJ0/IUO2RD5AFysECmWq0PeY nE6caNULWdMgVt0vXbCWgr7V9xSpa8Ld0Wk8aebitA+lJmXuETdzP/jz14clAR+Pj6tfJtLlwTPr GynPOs9/Ny6yDvVGabvTPMH43VwAirRFsjKIqPZmrlnLDnA8Xh5BK2tCHzTGXyOtNQPa8DITy0Ci nk+LOcmNTltbFF6ZmeBLZaNOKVVDi5ATFkeOsB8JiaBz5pd1UvC5fz0OPkDjTQM9K9i6aJKm6I8L 5uw0xYBL0oj45FAQEy9HGxwdZ6CCPWfZo+RIUIf1G1wrH5vyzSVa3vEwBPJ6YTRw5IPCmtPYl89W b13gE9F0sYS5O0Pork3M8uAkFUy/lx+kPtRC/a69S4qJm9ybUlEhfRJsB1+sWnfqitB3iJ3TDlLF oPngnyUlTtcaxiCeusiCV+jPaViUUim+VVacu0dFPgAPPfr+V8sU4V6iUYOZ1Ayd2KDP0DmCDKet Oo8ZNrAwZZfwr5+w2BEUH4oqpbauK5wOreSbiLqYb/gmUI6c+zaf4KC52E22iWrJpPCt1kOPzAub EGQlAJcY1DnqMe5bwvLkvz5wtRkbCBxGhIs+1cIaxM795OoPAdj5DAmzhks90M/V8tyKUF9skRV6 Gc5IUAi9CymmJtKdi7dDJ13VlYyfa+77OSbWFbIKvjzwOx6DwlUsTpGe/PLTQc3EEFb3Ao0SeJ+8 zD0aVQdRfSKNQClETwIEPrNbnsJJ5rBHiSxC1tDujn8nXClD8GyOkKZ9gpIk6HbGfl4aO7S0b5Ne ayJqRaDy72lXecjFLhqTXTq7NeC+Q20wsXeHtNnNoEE4WnwCA/uGT3aJGzOiuSidc/IUt1H68Qxr z8N7ogfA/ZVxy6akLdsQlSFGno0ySBSBA31TC8pakCdRX7m3bI0Elt/COAe6mve5nKhWycJn0M4W vJsFbaxcB/MPjxRkWSxvqn73ahU1F8zfOzUZanV6btSvzj+IITf5QEsG2YlZhyQ6SS69BLGjOrla UlcFwvfcIAussO5dQaKSu+nMPHIMviTMEGZ02Xqg+AxRp8PumIF2lgoFdZgPifamgePEgvOiA82Y EfHPb/3YV1Z4gf56s+RJ/+bPbhwHQyoVqix9C9cCf96FGqCNalKTnfW3KbwPH9YF6IQfpektyrDc JBTacEExHr1MwCUCIBpenrQG9wUi6FXGh5+QIeBHLoyk3ZF5sTF54mKdxOXchOBSjV4ka7b2wlgF v/tnskgg+FK4qHFZVZGRaLARUbL4NgJIOhsup1MkPG8xxnokv4TT6NAdJKbjwCzW3TBNawcnp2gu V5hv0ECG1CUg9iJazVjtnmerDBXzYZNJOtl3twoaavMacminpbZq3NBpumI/arN2rJENpK/iAhcT n6MC8jiFbcol6tacAOabgn5J2XgnuDEWoOs5QLmkK+ihFv7JWccoojZD2lj23LRmJ41znPp/uLMB aRp3lx+Ru20crtHkvD8YjX21kM02LLWDQ+YJYS2abW+c6ylyKCWYb7LSZqlxNqTBRxI0odtu4vgM nWyBizQJ0chI5t/69nhbx5hOTbm4+OwN0LXro9cT67TZ2QFAiLZ/pC+ht3XU6NkKQVfsrtekDFs6 EiuJVzAGhw/LkGND67XaO3Qwz3DB0S60akjXHuVdeX8aNwgJY8jJ34MGpUFzcWfAY7ytW7tfCOBu LG2hx78WR1yS2vUP91OgFC1Nri6xL4/rvzW3iByl24LiN8aORZLV0YHdHA8yS+g3fA4p9gH32FN8 kgWijZHve9ZAJKd0HxFyO/wLJVjvpOp3jTEOabd59CAfE4+Zz0Pzq7sakJAHy92p8GG9VMcOusPT Nj7lV/bmWl8JNlncKPhCp4t7tdgMz2Tk3T878BDiLgudWMW9OpMpAzV4JziAIZfqP7izzHRdDttO OxXTp6Q8UxEMcNJqLG22VU68gRFWg9ykKazdLg0kzvhqtEUrIXyTSVk1yqlT4+rxeEfZQLeJSZXI 4Ge83ylA/ehvbTVTe/73acQFHiVOsdFCouMJ2s5Pgq3oS556w8YwUFx4HjakmM1AwBbgs8u9W8vk lXLpzV7pjFPvtPwDi7Gap0ZC6g5+T0/yAxPfFN/SmmYA8R1WgC/3NUsULMao+8WVbyGly0HObFoY YnTtvshZa3zaM0/s57k5rwyN2tVaqTae4ao7xFnAUvjv1CS1u2lv9H6oYj/vjrc4qA9UJKhiD5C+ ZMldsCRWVeuDP9BJFAfrOYCh4lnLDgMnBn6NFB3lCMTBTPl5eClKzfjFz2xZVNEZGofZYPGeJW9B lbSesB7pRxJE7MWqg45riYqgvjwc/QrkNSIo9F63yuqrEG5xm6WgdnsSQxMZfux0x33l+KWsgA/N ga4NeLWNzl8mmujvxyDtRSPkdzUOCQzXsqyf1zhiYz7L6PWHjVhrvVMdjS0JV9whL3S42F52BtDs 3CvX4L4DckYDBkMsI6yV0TgRUkG3vHZFrYxHVCZPhaNSIWwe0yr16w8xC8n8v1ksPoev3aSYhHQk bgFQ+bZEoN5v8KSBuXV0RkMzZ0zPwHs8HXNWEBy2rSGFJam5b8ZLV2761ug+vwJQ+ARcCtUKUv7X 3yUQxS/aevUA2kF+LQewqWwG89OqqwFUmLZOiw9cJd2ueQHtNBT7BKqryfguGrH2hiTmB1XE52HL Nyq6lvtdvz+vS9IztfhXmKorAQOlcctryv5Sk8csP5zEeeUXdO0ybe2KHnJeAKOAdOqnzzf/w63d /leCzIQ75LjoJ7DZImd+XpRxpmVE5qgtBlBTS+nqKvBTcTgZq2iHVm/mx9BLb/9oczQBbOAb/RID 52Uvw6aC+++Dbw+nJsIWGjhNuSbxvpAdY8MYSli0g3M9/Ageg0X0SFTJlwFIZLlqX6RAIv0b1DLX 9235FV06OarCMmHCk6zmR5ALR/b3ksdi4qiVyYo1ZaR3QZt57kEwWSJZ21Pxo+DuaA9XARylXBn1 wtUw0H7Ka+B3/GZDz7PRwQkn+rwRmEZ/zwwgR1VQnbE/wOwSKNQr3zGzglFj/24HgUCEOXhXRI8a LhHzbFbUwzDrndIU37d0US4bL/Oe3kOqHVtWUjrz5S4z37ZN6s8X9NmL1s7IZP100OEXd+oLgq+P AuZIzzMtryG30sjkoU/WTgF+bIGw8uRut/el7AgZSs7ZdbcocGEEPWEyQuskWYximsz6b0q0pqOG iPJeKm7g8pmtjxHBj7aOuiG8KG9ZGDTb9X8xTnwvQIrH/JOuBnXezbwAnTuxA9NsLUxt82DrNl7y ywOFCwEDwd50zRBJXoslHVOnwgHVgyKhsAKrkSP9fJnIEXhRiBlgZnSv2iwL1gtTJ9egYCRcMRLd Db92uN935X/oXi0poSkG3Q1d38Yhf1FSNLa2eArKIMVPTUaox74FOXJiISirwvIj8EwUzQAlEz3v ATkCWh7AYdRXpBgZ9nyh3ZjhmTsJU0mASbPZ52OH5hvjBmdKKWMcG/8rfFvceSJVt9ceqxmvqYo3 G657q88qf3VMkFAcEd/diwn6/ShaICyANJK9eSaPksrYFhMypMpHASwbp9AKClz5rcpBOzbPyLgm ctiqhAtrjMw7zJbipvitCt7Dpvzrwyp9MYksduo/WrU9tidRKoV+2Q129eTBqU1VE0T56sQrSDvl fJFvXJA09azeLse7IriMThll2rWrNOvM1e4mJ+5vRnaYtiUykUqmMn/900rkn759elJ0uWTYlphl 2WB240c3AfRc8+3JdUtIFPF+vVS4um+R4DcVFv1ufH1+lGd8A3kH6l9NwxP/PEpUtqpKbY6sicka e/7mtv4i1PWWfVBuhs8eTq4ORyEt0LF1sDUDYAzIB+jJ6hqMXN3qG67XnXOkNKS7UK3M38xefKQg XXmB31Cwl47jt1uIwQD7nag0q+p8eQO/O94cHyu55HFWvQAmEJzy2Vt8hxBcWF3Jrt/rLnQJnfZS BKdvZ/efP5keAE07y571scOrTw+ItxQ2tWxbEoYMf38lhLJEwlYihA2gCAmnqnosntQdjpuhDKOz qsJ+frkt/p/v4RmVRjuSzTfeRi6DycEyWFp+pBuF6lC/gF9US2B57lKN1lMlU95CWsrPVqkJixiP hrQa70PdkiBBinmGWl8bf5JndqbHaEPjTsJnLi7ZUy+Oega6lK5CNAvIcWmSfh/Laj/H6Z+UVjhy jJHzhWyaDbMDZzu1WD23S5r4ZGzQ0kt2W+EsnLPNF6A5nXygGuBzNBIhKyvPToxCHagi1l3jL0zZ oi65saGzlR5bIYWZV4kZMJ/IDnDbcNOvBB8ydkDPKWD5H3Lq+aDM2rQdY/zDHftzxWIk9RbEq+kM lAEDVhgfrqDIwsPx4G/R31Onswrg7wH6j78OH02difuy3BIBiLVmrq8TgnibZ+6cKz42aypW7U9Z MvFHuW5HdS1SNmjxueGtkR86nh38fLWLwuWymPBeqB3ER/s742U/uULgmKHwo/8vNpb4deyiwWd7 hnzABDJdyDTWS6ur/EPPxi1fYxBlWAz37XjHptOaDWihplSH4nTotT880TKpjs2zIsbMJrEp5ipy UFU3UUZLgLM5Cya4tVv3yE9FQX1lRMUcpcNr1HPCq+dF1142Ufk1dk/gwjNFRCBCuNkK4kP1zEL0 lZuo/Q1iQbtmtDnNneY3jyaQKkkLp1IYYmzeUYXI7UGDBYraT32h7jDVcCIJ6n38sESWAEEWrd+j N485e95PNn9+YVYdxQTg2XACNctRnOq28p+d36iqGEHaLReP7U8RiH1NmWQChOpB8sLDHANCQVRf 54ZHY7LQy4qtS0NRSAoAw2vUo4Cf1hV0W8oB/ZDqG/V1VIby37mk56uS2t3uHpo52DNzJpWVQrAN rq62N371+CfAo+pFTcyhwtODi3c6WdZqUTS1n4JHUEI6xyI9oWK2ptONOo85UVmv1TXl1tNvesFL RswbB45hZ+/3HUVnPzBURVy4d3rI1DRTJ7sfHLljU+BUiSRABptoKcLFGbAo4LSxecbLN+0Fdpcn x+A6mCfI0I92EFRHLpZjVh064drdJYLoOaxwR5lQFuRVdp7tRbC6Y1ucXXZmjQ7NRX9uXd2nuVji 8ucKn4EYqSkdb+BU+f0iXLK4lnMG4E5nvBih9+E+cJa+yz1SDPKL5MeRDJGzFeKUFq08LXpbc71l X57UNanAip+0gO3UmainZbaDL5M7/etxKcj94vMupMgTFW/gwBsZ1kRVNp1cS8/qywiy13KGM5Ez qGw6y2ZUDNBjfMMjRyfXjwYsxgSR3yrd525iWWPI0BR/ndtQmyFFP6lOM4k5OEi7vRSB0G+VdAY7 yqvsQywdowv9W6IQsAhGuYTb1PRKyFmZeOXhM0gq5VVeHV/oIfIWc7how3O6eosdgVERy3J98lis oGDU0PNOzTYHMD0wi9hJhY0uTAqACwMxGqaJJrVthXbtD/VUocZWwlsCHxPYvg5bt545WSi52jsc 1mvX+9uT94Ycj2cT6TQio6ooIlLZ+5BEjsorZL8xFCv2MK7sH3GfoE/ZSeBji0CBpHObFTYGvt6y C6ex2+SFGPcH6fiN7E9jfO08Ykb5gch3G9SKuyOGPON9nr+KSvoHUbKSC+PuWOuVFM3OAOaOj8sn KiHgOS4Gt+L4YaAkZH7Nn64tcQmAc7G9P4ikM0VH4wvUw8RztI6kuJfduYymobMwRhgpEoMlq68i ypbJBFMo0z8qhEjJFhDlBpl+QDN2yrczoGrfwj2LJIUtZsoAw2yaZXr4b9jU7CPoBgMO4Ea8Lnmo T3PyzQxL1/MIsHfyBvuyNH4kG1310Cc32C2j/H5gXpb8+jIXnDs4GjgSjwnF9WWqs955e8n9aT2W WXBfgGshELawj1eLpIHMROI5eTGrgJ+PEPVd/ndnp45gJrUyHCaxLfRxekZaux/qUxWdFLzTsCWo 78bApD6JE7Ipcl5uM8bh481ZqdqSD+ZOoR8AcGg2p4IQx3rTPRchTxAKsnu/IjSsGHl7c8rcZozl 2+1LV2PgEffy8O+9YiQoKJ1Tn/i+cGi0bStuNrCkVcbK5RLROkZWp/jthKA4tsTnXYLLJDE6r6Gq CjAvjhHpWI3+hWBt3Zg54j2kZGK0WQ3aoaDRWs4sI38gWO5sWTCCI9w/KX4kSK6Nq/ff0uY+jrvt rh5NCJWK5/9VrKlS03r/78mBpigZLPsaockAW5hwTpvriuTmaCSkUF7oG8YqdWYBsZviBId6zw9o 0C3GM7nUwma99WS6TPoLq+gdPozi1E25bpA/gJvp8f8tdh0oUULB9JKbzwzLj3vBj1Q2MFsWqdSq ZOqK+qXB2TXTP4+a91+nZdF62ibhI0WpqDSl5M5pjMzn1/Hur6GiAWAsZnDBEev2oNCV7rHtoLKS xxV2FTsDqHM7WPRi79uzuAlLkIfw/P07Key8pKmVXa/oRmxN3qEAP3BVdME5dYDdG+ht51whhlUO slZOne69u399SVYX2KFtAMq1wiXj5tskTVHgQKhp73DSydrG34eg4vP1ESXygjuH80g3MnFUKaKn G/riW/of+cthAjz0+GVRaHGc901eN6NC8ulON0Cd4yM/H4Co2YLWrJLoUXiXc6X7YSUbKupYqO8H MeXdtDxOgr5hPh4HEOKRUHSvgAU8Cx6HycktdA6dgDbrPf/ccmeZ3LtcHjIKDiKagYs6xoMIonqI WNkOwDH+CiJwtTay36GWXM87UfPr1Ixw4vwFgJbhgvJHOySe8KEsUMRJCP5oxfq5n3BpL5gF19yB wdLpsQl6lbX3Xir8s/3f+mErqIhPWtLn8K9Ztcs8qHx+ogO1Ee1sIKYxQxAvYDIlt1g00GR/8mxZ 0Z4y8OprAVqCXXSscFkGQ6eZKgV95MOJEpDBIl3p9q92fTbldgOYvCQpxKzB3KZyh/NigSEur3OM VxVJ3euUiHr5QliWHThppsM+vqplCf+XeWnDZEkfnh/aeQLnHJfQprxiPimDTwT2q+vEXZ9m7nXF tTXJeywQ/tmFbkXssSvA2kQv+J52WqHgXo/4w/Wo/AT7R+cGCN7WzKBjCFc15r4l1RIsKlhrOBJJ ih8KqXN++8dB1odtsEzJCQPYlQ5PhiaxWMbSSqted8aiohBXQaN+j3cTyGOuEaiuU60tc0Nyj016 Ka2rm7TImFoq9J//DMpwzEgm0jSlLEstyhsMEsAJvfRxrtDhv0XFnuSycbgJqX3Pqs83suBgtu23 THKaz2aAlqPTKPXp2/jPVuQTz0QqI6uueYN8q7b/iIFu8nsNbx8gxcvW7HN4AWViogmPyDrkuZa9 M1COMKQKzmZ3DOGvrCgb6vYoM/4V+kaZRr+GQz1QH0iaxQs1BmK6Jrew3W1yaV9/SD3uGPD19fow fSZ5nFnIttxpLkQgXiV7oxH2tYb4oNtDSh6nB/rq3MnLzkZPWVIe7fc9wymuOD6ccm4++W0Uc+8g YQ4H68j7HhkEj9vQy+GC+OKQxnJbjcMAfdd+7vSH+pqAXZdpSx5HCYX30oAxsHRlOVwUyHbS3Pw2 ckfKnDSvhe/8jQ+tpepkvErjASJf4mWE2wd9ZQxIVTR1uHeGrWN27GYhkdkf59LWHuW5RYtWnQx/ pMq9HgYqwcdVeeD10/kyhY5jKNfnr4hE4JTAcFD7W70NskbAWrYsGdHT2ju1cTCaJEReyqDzP7Z8 jmn2nkmJtos2T715ePu3qxaGigPZBT3FwWIn9+p5EkJ2AlTwMK+hd6rgC4g9cvqFElXl0+BLv/ra PJuTeZXQzgon3UjP8TjZPHoF7WOgmOw8fAy2mXYwf3Nlq5x7fxvfCqOOFyhiMkzziN18WkSb5/Eg OwciWR0jsp/i1VtAS10QqTGOetfBNl2pekcMRi1HvWVqOuvebO9Wr4vvzZN2E/I7sRVTitUqgGM7 Z0r/BTmWK/OwF7iLTaxVzCUtrRhUzWNlmWz74Vch3hqehexdme7ldz1WcJ2g4lJjNzyzLPz9Y5zw m6N/qYCSw55Kkj/i/jgDuFeKIxkPlUGeFr/M/DX/YA7QABsWiN6tfuZWy7kxFC4DI43eLXpAOMjG H6vFWEAKwXD6QGGqYvh9ZmIb/wqNgcxocnhA0Be7DXB9wxRsYJFj2S5Huo5bwH64nL6PQG6l8gW/ V7NvhIC1mbKUcWDXYMSWtyVA5oXo/8DC9/Pqz09zbrzn/ydVIv+lLNIr9MWZXttVcVTN+2e9fVyk S0tOnEtRhuA5iom0iamgEH1friI97cteFZgn5sQiJeVypp2AF6SX66oXwqhRwJE/TGXBot0EcJ7Q TUcyerVU8dpAy0fNkiWIQzX1aDyEsTEH2b3yiyr185JuYJ5738YkcS/OPfpjMGeJ8sB+vHfNGp+R Ofwy7bRwv0162JiAi2nJOqfy+WWTtqGfWpbVM/xFeJS0SeCbpiQsVqNJ9TkdmXb4W/iuwkMdpBQu IN5KaAJrWK8yrqrhoPR+Ob/ZyJOT6DXSbOQZrRqh9iG6iah3vJAKudxGGnK9pSMgjyoLERgVgeoM oWrnS8weF8ZAL9F34OeSFWyTGvTX1Xu8ZPNirth9c71tlxv6lX8FNPQ5dBBitjDVSja3PfqYB6GE D7mecpYSOhsQWbgcMdZ/zb7XXLMyirH2XTvOETdXZ/LXifbKJX8XTnyUxLy2HRaxbZQ1EYzXUpap Ox1vqg2KK6RPb7UhcpSn8dT4o3yi/08oTWsNC/uDOwiO7n2YERLD0rDsw5sAT+RB/7Hu4JmssbM9 C3EFcLZtCWWwYY/tGcJ8/j+CWk/Fs6QrqBjD7nJxfTUhPr39wqTjCTztdizX4R236ais0hEXbtV1 0LnlSK3SnAijjbUowc19zQ0nVD2p5DTfbyN/JNhTPaxHPAD88Kho+dhP7K1wQkYFE8wo1EhyEpt8 ZzCmaa0FR4tvs4dhs6wNpS4pTsXdiJ+PR1MKUywFm2ZmKP0d+DSz93gTM8EFvX9w0HHw0huf8Od4 A7mL9/3A/JDXnLWDVUfruhmlVwBhweBRnFIiCHOhZO1kaipeEImhOGYIU2fOUQzV5gKz+WBzj9JE pQeD1bvbAxtkRIOnwSq1j3Qd47ffxA3b24ZdTPFyH00vKkEYahl6F6g11T3XcTPxGjL4ClSdaZci eRo1QsPXddDs3hKywgL6+u+aclUx86TDsKRyignIkfiSg6CqCwxNtzRqogkCpmj7nZemESENbJTb BjIqwEcDXfBo799pEPCfIbtVf51k7c30lKSeJu9FUMD+pWYKf/dz4Rh/GOnZ8JmMiC08MNU4Cmff z4aAyw/ZJD7d6ynBVLrHMGHzFoYd0wExGgrHR7gC6QxMBOsx2FxV6W/tn+HIb6ZowJpFsWZPs+Y3 bv9hlAbh3NFlHR4hhxVzJ4lscjo/5aS+6pt8yzEuF0YlQLBCXy9MZ6kq7lPXghWfy4GY+j1Euu00 Zb6PywSBCmIijev4bBQp8Xe7+cFDm1SdQOudxYVefu3vahVOhGfN3bYZElYF3/5NtWxExhrNzqph DTqV+/sTkPR6VipxfiIY/PSMRDhonEjEuNDX0o3/u4IVTFGJAKL3BzP9DR4xfhqRXI4QpA92r0ox WbB3k/HeFaeUzMB3tdicHcRWRNeBVkTJHMhFO87IKvm5tH7s0MwhqRb8dZLSoBbFSSnu7eBKn9YW T60v7uz5DWn4E8KtujVXeHODuejEQiftNS0MROa+M/PxxADe+Zr7vBpCOaqH5TD9jVlb0OnaNZai 0wS7dHAIplOD2jksSYWSl91b6f6u9qHNRLWt/5iayiOogn8rZ93vI2PpZHhe+1Ce63PiDFz5mYjn d5HtmVTw10+r0FbGz7CtDB5pelKxDvHaXQQwhpH6/tdwTTLtxWnUc9AI6pE7JftFYYCddw8vi+hh TAPeigkUSiBHBV3Mq05+ERBZRJUhRYRukYCNJ3n5ftxUCTa24IlOlH5BwAmI2aR0HcjYIPaYkiv9 +3WXxH0fuyrsAVznsMG9I5CwZZIpiyEA3XDOD+Ti7m3bapqKqGXjVti4tAIb0NJKvyC5bshWQyiD LTkv2CDdjm9sP4tOZwMFWFs6vGwc+8IR1+j1ZhnvNgwrgyrX7OKgCELKIaU6rq99kTcMHsqFqmUS nGCHlxK9PslcZUCQ9VyLcqohi/lGtL8n0igmCOoxx075/tc5fr9e+/mSOltntUI4w9B8p9fd4XPQ EOE7OTuUckoTx96heAGwqHEYvkCjwikd5BUrFJYIAUQw9Ss5S2pepabr3vV7AzT0LNWgpqYUbCB2 oe3F8aSirgWl7swmlRbppNb4RRrC4yD0XOYvncIKMD+OLNoGqX8f6yYfTLOWl92uIRSBO2auzJom 3EXxUq6k9aThK2ihA3poEwG4/WSn0HaaJ9yeQMaNT8q+OKtxtaVk+GwHJxFYVS2di5tUWUqhYQ9P faiGwB48xPBm8rNGwoKHldvJflGqOYrp/YO9PbHutvz7valSDKg5XQiRCyvrGQwX+0RXsWnK3CKL LL1pOQZhGlK2bL88K/+XA3XATQ18aEreDWbZRC6NQcTDJsFkIn1kMYNV8PEwZz7vCJNsVwtob9DR lN5eYaoX4QqNZZhaIt5f4lIOhejLo6iX2R9wWliV/8nONsJJgpBrkOR5ONDWMGwpUf9iWlf0GWAr n9Q+wvUhfC3rYrYpzhW5VmNlIVTMRL8XDCMtiuEwhmJ1txdfjVKUPETo7FhBTJKop+IoTuwrWRVG Vy7mGVYYgxHAd7SjI5WTmIIyCTxH7dkkki81JuqSK9c1UO9Q9Hso7nQbWS20c5tO5CUW5pHIWXYI SozXGC4Bc1uXLOevmKwsMU8FkhWf61QgMUbn9WRcugyKSWFKwS49EdGYMUQEMs5j9smzg3Sn7ec+ laMJ5QSOAGwLJkSu9RWcjhPF5lqPCgJCtzG2CkkRDECakYSuFQxfMt6LsNaQGO40723pEKcuIPjE uf5cmQTa5riJrE8nKs6GYm2UMg38X3HVpTMyxR6MzuI+b3kPlgyXGpwk+XBuSBH46/57xOzGGA9k fkAbbS4b5JdRFZza9fgcN7YgWgNR+ebQCkhLijDPXvkmJYAvuNlJHse/tTSseS12NcRVvy5Hfr4S uOIG1pQxpKvVUk7Jy1VuEjD4n6hI2b0Bmb5h4ZtkqzWIzscp0gOjFHED+uDZAq32pO0AcYZRYda8 guMmfqJfIeJHe1KjHKXU8JA52QE8VvhCVzg1g2Zdv17S+f3KYP1v5eji1zg/G5mIJ1olxKl18haJ hG+7fYexy1KexzZ9S2QFU3/oiMRZcbPlNUVj4WegFS+3IeEF5HtQQ5ttxemeWsvUxkuooCiv8Kjf 5tDQO+LYa6uJLl3HZtKKa585LpxfZZr49UctWpJbZFdz0G9/fhas8YRPZ2KZd9dS/36MLJhTGN1V EMmqGwo7pQ/xv+tQGKvdwjEep23tUqm79XKxpIxmFh+wtR8cSe9Z+Uv3tHvJOzjR+1rwZ9cf0aRh BJIWXsWP82X5GVlvDzUG2TWygkeiCzdkkdoWxg/pnRmywreHfv4SRMRQ1nbku3DQGw3GQ5Hx7K8E Jjalnk4LgWEKah6xcYCkns0iM6LRQeWZNpglSu8rmM4F3kOhy9OjcR+LGpGjT8N8IMB8OLU1Ojy1 4ggUfq80VvhiHUPn1FxCrHD7+g+s6ZAkO13ccRFXxR0oXRiNOEECBABX4ap0+zYY6xFAWLMH8aK1 8YQV7WEB3CiQ2JUT3xBh/bbJd7eiephO5ZEmRxVVXb7o3wJw1VR2aXkzkglP2VSRHEc3rDBsNjRh gV1xBsD/6ySBY1tNz5ynu1ZzfWieQlANRUN+k6TYlYMLOwuJdXKlCCZ4peCXes+4CCA/qijLvjMb SRuGzsJg9M68jrSf/qvc1jCVsZ2EAB2u66bOiwDklOCoG5rEul5Urk25UPzHofwZFXT2xuLFe7M+ PHaZSLbwfPF9IXlGxdHVvahtIVjgY7imuKKzI8C59Ibnlk2DUtfYXnC4tECLwIHftsGJc/jBHX+s hdVt7u/IwgAosvhL/ZzJS84E1n1HAUPBkrFHOHLlLzVZsbgCOW4vHjMhZBuMOaV2VyPuFrKq7iGb fP1qX4rXlhZcO/R2cHfJpvveLlKGVxRwinfVhIB6mdZoFEUfLN5Z/Pjxn0I8GljJ4aqRSGzP3Eg5 GpsVRmE5Na+3dxMOhgpabsh6OiH708+7d5QaWyc9P/VYjCc03W/J0rILiSs3n6WesUQjvYJKuzLg /grymmGsnqq56l8rcT2dytXrq/MQWbwNrOvx+nfSJ9rHG/90dQcR6+hHHAiYHe5w0sjJ27MvNsms a4jYODuCRs2/i7SaGhoRDn0vfoj2Kw23R/YKSLA99frZuy9wCNHiks42RKRCCVskm90/Dv5HMY+h vdKEg1IuhBeSQKSevHQ6pzsBr87ZwEcNkzVN1cmnVYSJkDjwTUaFPe7qqXzYttE0efBN267mCH9Y 7n7FX9vLRopffXKi6W+b1CgHnWTIGzVQN3IUjGF8iYmjtBaubstGeeRMuqkoRKJt+DUtlXlO9AWP u5cHN2+xPBREM4mgt4JR+63C6tfwKZl+4NYhA4deaYEI3cndD8JQS7hYsaPx5xNJI/+IG5zT+qhk Z5XsC6V9UbK3y4D/S+fyBQAB09caxWnYkSBljxpCDt2uVIFRmDX9ZbslPCk9m6dq7rMrroFntFwV SOY2S9AP0ac2pEzz/j5R7ez6o8aFw0jYlmsK23pI7d9K5O5YOs4/uLvO4y4tMYdhuGb3YvUygXjA Ih2/0+2TyA/H2iAF+WS0i2aFEJLC1sRd/8iJiuQifmFchgekQyq1ZycL4EnHda37Cju/aHwNWXw6 XPqveEU3UjIk82Mvnm+5DjXxWoh6UOC/4154lJzaaCdk6HutM33L1+HCmX2EIFzNH0wjf4Itnjl2 U1bvgoSjuLrPBTwkeL3CQtTO/XA2x8IIGE50s8qTYHaand5cWqjvAB/RYBJPYFieyY2ZMPhpMo2H gHjPX3DcTNBd2yF73D0Cyl51+SCv5ZtFP6BazzbmNs6mysXWQRvdSNNyL7xNkoMHLxl3nV3AzF0I 2RcCa6AprPHbl2OMxFelSq8MBlbUxU5bQwTOYTlZTw6dxYbQi+VgrLM3SbwyRlOdvZbVTkCPLTEi iaOJ1SqyTPf62t+KgmV9MAG59en9iVTsFoNFP2DBUQ+afLecAYhQqRjRJxCoFHD+po8uX3EKHEcH jLyJSSYhuWWShcHL1PnNX4P82qe+d9F77EoWA30a1nQ1P7XRNrIRVlioHzegegVA56ivCgGRKhCr 5RgVM2mbkLT/T5YDRTOEScAAYPt5GYjPMuyPhGFJm10/OKAiBVHmyF/0xBO7VJlbm8bLHBpBEAda CH86kJg4rtm2z1Y6/5XQKM7j9zS5Jhp1AbR4JQfBLSO4btc5ItminPtC34aBp8YtvNVy6VlHmOEJ kV5lxe8SrYvmHAgkD3OT25UWYEjWHyqVGolbPEsGma/uUQCeNpcLMAcTm5HVE3DbTvgTbJmXPP2q +xy/H6Dyuk1ZtU2vxEPrVXzHyKSGh767UikTm+WRqJvUtUzx8VhO9AWYquNcnxL0gss88q461MXL +h+ZrT2ICe6o9yP5A3auvax5io/7ZBBytABa37A9HL/VrDsf1TGTr067nN2nalFtKx3Gq67o4xtJ R7l2Y6a9j4mKBAGYJeWqh7VPaBjF98V1AN+VaaSoNZjEmgambLawMlslgw5DoVDVr0fwgFbzsgAb eCJy8mzezyVrvXD/8YGWlnUHsQUdxe2kFjyU/NCptYPML1wXopmI3wiP6Jqp6EVwe+wElOCOke2z Eqf/RspWNGwB6LzNobnMKJQOwljDo0RnsqRWLE2yy/sd0fsS5826HCw9JbKZamFglI450L5+YTgD W9zng/VM0m0BMKMIVgmnJ/x0ml91oEFSskUc6xEZRP2FqoG75IVguZoF8Swba8H30XqAqcXKO6BH c8TfqqN/vmfhDnB6tBTbWM6VdtT1d7+CLPAiXU0xVNsrdztseTvkmGUBqgGb6EMbWMPZXK9mbm5G rxhXyzLDskvFQ5Z7eZbsVH2Pa23gRcW7And4fCA9xCy28YR0HP0068GReZdE41goiHCCPYKWBGdp 1laPAy0rH3AxBOqlEuUi8/wWnvKQFKQPcgRrVX07JEaDFH/rK3UN3LkDq7UHqyfBcwguV5kQZyHE FEO2XfdEymw+yR8CJ0dBiltnFyMjJwvFtbYEPUahbbBCWPUE39cN69xcB9/PzcV6LxpdSk13sE4W i5SMPYfLfsTiT67gaU9RuRfzEP5w4FEHvSAySDINsKngUSjqt6sS3WjYByLWDEaiPC/iP6AtEzG8 vokE5A+uWb3t4b/wAO67/GBCl2ZYTF07l3mBciPvlA6vP2iVjO61HWu8wi7MZvsrBiBX22SZ+hrs Rhp6B4HmUCydqFG8Ap4rEV1a0lsAEvkTWwuW9Je9BSfVuRgbrLFh8twGY8uHDuKGpkvMIuIhPyNR JHgTmSuoc8azv8sQjlv72hvR4rTbmo8VTwdwHKZmIXGcyxcfqw3PuuMeBHj4Sy0U4IE65ipMmjz8 ynDAKUx/syfoHGAphuQv/gj8k3yc9x6DXkpVR+h/EOx6RIMGUnbSx3plpHfiZZWbLyfmG20LHmDj jdIdlY7HkQGhr+vjwjxRd/vDL6kvjpxjaNGue7KTxUJTd7HfXyag2mqXKFj4xj0ePfAmr58ecyDy we5aTWpv38Xzyij/e6LFBp2ftY0qKrzU6G0fZ8yOcDPFh/fek3dscEJFbLwE/HkyEZH320/SV8W6 U4jStVqYOOMnKbI/v6Sx2oYqNLPl8u5qJoY1U8ZA83gAgPFXrUoyMbVbL0LgFAbyMg1bWLxdGFiM O3Ob/JGDonLb/rMQI1oo2/GFMjtun5muAXnSSYz4UowmCLy2hN8vzJLCuwR/HNRzok4FemPpZN/m F0IPWj7EOEnGrIB8Rmuu88zdhIj4f6BZCnoDv0/JQ701BNxGe6kd8aUz/MmFAboEGYVJqXK/5EBC I9yDRuYsICRjsG7I5an05SDNnUYnTtbJSnoGPgxmmFMJoG5h4+0T84ZmXCEq95omL/R9or6SWZ1r bc/Wp+dZNlxgUZ4JgE+CXhhT6w7WICXJYMDe5DQScyQUHPggw0YmTa9aLqTDz5L+o/sgqH10rsKf dicxIsFO8OxN8hC/xqeyb2tLWMLNnF4IwsDB7BoGMJ4J3pK4mh0wTTNDxWVBNdaYIvX5nN9claua MnXEiZJrPN1OlNdHz4kDE5VeBN+ayYf1mtlZx7emxSQFrM+S9I+Wvk3dHezYLPmZCoAF7nL99fIl QigbGpIrnpjXm4RzOL8hU/yeshJYPDVs0dXp8yyEMNkUWFTpJbvY9Lsk/l0ysAEe0EZ4qQ9hxCze kHH1BuvmMWnvDcbNbeSOt3lBmKWAjIOB9XVOmVEXokTROr8pWPj5NEe9iOfj2VWCyAwbCgj5Rm38 g8XZ/Q/QARCdIcoiyrso5RdakT1NKmqm+sAEhn9O22R2E7ItVwnX5FWWpZdcxiKlVSPfHRHmJcJv xd0r+yrBCsYTYbM4/9SYhJntW8+vEWjKSVtH12WFET7s2USA9eIr1Zed6r1gkvfhJKcaVv7mSFce zNm7SqNxrvQOgYJt+9rtjBF/VpEoun+savwoWl7B7Eeo9uo1HjLcTrRnKF0iVUPjKvoFYBGfPMK4 hop3Pl/aq8GDhe8Hg5dPASBFC6g9wXUgBocXHDQwZbevys87jTrDRtiq0TGDFRCiaA8lI+tQV2Yy /5bts4+npPIVpoLt/1S/v713qfmzavn5rOm9BwGrWVmVcFYJ9xj9hg1JHrt6c8U/XT0jW4lW9tFa N8WaND3GeY59kdBVpPeqTF1QqpUDvbalMNShY6vp0iPsF8QXvNUb731Im4qP804qQTZ/05jNXbXY 59GWolIT4NxW5nQM9h73uPGjfod4p267Fdbh8HuFG5aNqrzYyoW7XO+Y2z7+h5gAkd41kqnHKc0B PjraFFNxUQasZHn571LovtVGJDdZLMJOe/J3wwiJ6GtmLL9jX1Gu4vJYuaNz8fUlKtw2SZXUagPt sIMNKs1hjTOxpQXPgO6o0G8y0sJ3l/3Vnow26yxNp4pGQFkG/nPRDMthgBDnwg8m/LANEcBojaYj MjbhjBN3D4aUBkrQA/90/yZVw6p35yNGLygAoiKh/GSVKfGJwjMdzAExAbCkylFK4o14D/ixU/42 O32LfhJbfB8Tbyoie34dATcKWYNsL1gXUB7MhHWCrOMQQsVkPrQVy3ghAv6d//U/mEvwRVGCANGY pJl9neIyOcDYqa/FGGBjaRmUNHjrJNYv0haJ9fKnifPI7pTzc9Gi3p39l6gZWD3iR11M1revxiiU s7fKAXskss8K1Y8TbqI8pExQ9L2FHsx5tvUMykeQ66clCVod/YiiiAM3O9hJqR4dXwaEblwmyqqw iaVEEYjbe2B36Yg5HWBjkxBBV8WgAlhuZDwyp927TlchE08Mrx4gKecPaejOo12sZj//1Blj4mVF 0Don1qEWAenp4B3bx9HB8Wb8goJt8XmJRD7hv1eWcwZDo7Ps65NzmEWF2g6IuuWPljUmSTTPIZKo HQI4paQCu6ZxbmB6K5dfPXdTtRvbZAw5T6dteHXObIQADyUpOD1/ELMFaaIqTdVTrvfOVob6+m7U CI5wp4NX1uDI1wbWTf9bWtp98f+DWk1PTWm7kc8/Ro+Ii2fJyHMvZoUDjlvn67ExCG8F4YNgbdWQ viqtM3X1I+DapcdSDWW81u8dNEeROZTaloAvXYuJ+MwvwrKLBNK69QMx3pE48merpL34krOP+VC2 9Kr5kyUZ2y0yLv+qcLM24P3hUCrgkYedKBVZ8iQ6p6EJ5GCM0W5Rrm5sqBVzF5lZtlcqnXRPX0IG jUhrKC+JYG2KJJNldUQ1uhUkopR/z9rE34DhLr/nMP+32CAK6LT0XzCQNCAU0f2HkdleAqjEEK3I eGik9IYAsNbNiaJHAvdG8fhkJuzd1dXLtXDK6/bs6fuKSKTcIDLJtEhBQZxuSVz9MW+8hAGYQZQL zhMdA76z4fE0IPa6YOMe53ge706V0PHVbKxalcmmQ2C673kE4DkVy2VsskRhmeu68vLjZFUUItX9 xEVMi1poXb4mvxv4+VhHZB0O3MxHQyvhwMtLOgOmNrXc9eYDul9CwQbtTWloMJLsE2qg1G3g+BU7 9+BSkuxIe/U5y8Y+5+X5ctjwIM0lkZd5svB1gKXAxHkdzJyqkdTnHPOJ/YLgPVpIm1XIUiAJ06J2 Rm853DUxa3OJzTbyq5zrVsmogHaHtFpNil0S2ML8erkxMpbk7dKX+oPpHNdvPUGK9Z9GzhMLABhX ATGNpvBquMgKUYqZ6b2B8YkJirrTjO7b9algF9UmQbaevqPJMgqr+pGQ7jCZbLSuT0TtARObpMB4 FhHW96XjWNAeO76drekJxi9ZTlNBtliHc1WIGPVFZn+S2Qxcc/wq14wau8NPHBppYYea9I90Zku7 OnCyisoJZC9aV3CM7dfmvQPuKp1d9rjRN+zFCNXkvyM+IqAkq+m5HqB9JVwypIZ3e0PXNQNQ4Kv4 MCGtT6UKFrF8cfWGZItoq6TqzHaYl77WAsHc9SHA4QtQaVW7gLtY+DAAL9DhONCecbn49g7rdOLS mIuEEVftxN2xG57lP1BmaPf2nqVUrKuIsKOCMDBZuaRpV9G6pZ8Zt/J55SmTZuM7x6ItOWtZCv4Y 5vVycLwqe9RgqJgfh2DBpoKDjFNJI2r30yo7zOhbwB1Yk/AL+OylHDfMrpD5n4XQI0XPTMWiJyMy 4ai1pIk/mMvp+lU/d457V67B/1OBBkaDNirDsq5F4BV4a9HnnebPo8DkIzN/cqzfREwUerxAhfc2 D7gFuFZ6mzlRUH9eYnpwghddBJnpQzbA0jQcm3AWoHy8SDMpHcmouCNLVq7QFvhNYe73Cmsrtq+G Q7s37OCxJ5S5L0YWYerc9T0rb5q/h5krOpcxhdeDx4KqxqlKjjQoIGnpAInbj9DL5f1xiAmFm8pf N8qxIbLUpn01WRxUFI7P2Ff05ps4+kHfkuqNp24Whz88VpDMMqx3O1ToLqf40EKEdKFiihfhw8Le ZvM1oFFyQy7r6R4AScegYazh88r8a/BwOQWEYA2LSjgs6hXwotiWNaHR3Zz9+4QzwKk0o+fsH8c6 HcLGsMPsSsxeh1GbKbvotBlICT/Te/s93+vOqRcHqlF5Y17Yc9earl4927DXOQuPHKCr0mQklqDr kE86ltozjY45pG83sbKJzuO6An81hoam4jR3qkJ81CneGszeXK7qooEPC1L+uhTGP9vwVCtEHolZ dGHQgVu6kooMenzNgBDBFXK4D6g0s3UXtsuxTc/geNa7Klk1UBOGoKEGNq4W5O68D+vg7rAuJ5TQ J+4S7lrXoKwZJmAjM+SI/e5cMJvYsIjxyEgsb+BTeov16ZlrvxD39LXMRx4xdG8n+ADYnd8yxkPM Jp/+bAxoV4XWohQw2rAJiVSt/Qtnv9R+ey7Yd3uTqfSbMIh8Xrfm1/S0G6lrb23OJbXBW3s2RzBX GbZURt1OtQTJSmpXuDMp3NGVANrtkCygvvCVOjdvWD3IoPyJRNYRsjNuuv2k7eOE5B3zHrnQA8oL e/uQQDx6sQjMwEOC8ac7vz0eBCclvqSiEXrRqRNyivxmozcIA5hZuAkRZ1anMMWXp32zftilOtuN WD6wk0VQ+8P0Zpz1mExWEAhHQJTWBENzeApuLnrRjXWIQr5NDWHtj230Zq95mbnzBdbm75a/wyPA HCp3RI1E7KREDRzIVaINEFQZ/T3sijJ0+AQF1F8X4YzzjzwSFr4RJ1A73m1na6kvicAqyqU4x3dj B00cIwyycLZE5fDKiq25Ibk59+hUsnbFdZAihDZ413ndQ/sd+Jr2Ja7H380y7v1SCEAOCJ+XX5lV WWSAcMkp+v1EJ+c1eo9W5n7KWkLe0p07feqTwHniunSXiY0IcDXoEG52bs/5uRB3MDD1mg7tmloh A0SnqOvw9aq2h2iMfNiAdEn8hWmhbejlVDX+suH1JL8IktmKbQQDbMug3FiUIc7reAofss65o7Lz B29wVyd3RAvm3l6HRSiTUc51fxaeKwrB2T1ST0KfhJTXhPT9RV0M+ZzKh4c/CPusn6karzEA3AwZ S0kXj9XGL6o4Hln7PoDrcxfk+Bhme8nw8pjXvjiAIV0N//wAfKvoE2ZQzlF12L0gGvCPnTXh2k5I LyajMaasOWRXpOuUL2LCnZbNvpIl7ewMQqCfiAjM4fPi3ICu7TD/ncWaekPOH5l4Zlwb6tBfvcfb sVK2AEVBTIKtYg0BPq/EdPEzmVRH/rsg7zfA3+Raob+hsy3PvCnQjXUpskvgAepteBLRuvz2t+Ks 7tGtqWWyhFQ7ZH63KcX4Dv7HQg7zImS84CFQEIhlxNi9JodOctDs0XMMlGWEIjwATD14+vStF94Z 5a/tInhs6ngVp3MQmMz1uLtAwooOakfxyIA4+gPhOuC8RkIwXT8mazj7UKyDcQQAYG8evyBcFExF flELQo8QxKIar6ToihLxr86HV5SCDL5PGDS7X21mexLmQ47uFGF0xSYW/GubDdR7AHxpCTZc9f71 4Uly+PFSu52ddMGl4i4cCEEGPUSsWGNzKwGFjI3L+eCn6c4c2Th/LFbsjBFqRkNnkPDZyumHwnjB rciB+hXW4Q3Fq7uyQ1NmTDHfz5YeXyaqcI+IpokGl6Wuur41Ak5y27IH3xx4i5N2SvJ4Mqro4ypH 7wnXN1UaXobwNEvyoFBGG5heRsp9Vhm1aTrvPIkYbFQogR2EbSljcYt/TJyGQufr4R9liAuVxmlY 3pj5jD/9aVKe4A9XnQVUKHNii6zn0FNcwg8A6Dik4QZ+m7mIDoLJXiaKYBdSQTqNpfdf/gAEA6Ba TYJaYEFQel/taEAN6MoQ9++lxsynrxj/Ihk20cg4CtL4g1ypwahRwzA6RYQ6AbEmGuf8xHlspN2s LtrCRzkIlVrcgKuXIli3cUMb1IkbXTuwchsgBBiJI2TytVB48yIZCZm2GCglZ4TShspuy4HOFyRX WxK2Cb5ezxMiC6RUZhVLTSvKoqmlNSrP4u+emN5KIQFepovkayWnNFXSvTxjPMvahIvvASBHfGbh h8AulWhRHl77XLaepAcEyUZDLEMRCPDmHL6tFiQAuwn6iBMPH1bRAnq6xdzdOPMrI/ebTDP/9Gs5 vU8R52l00j7D8/jIW7wPLhvHXADm+/OmWFqW+rO5dNspF14fTtpGXQt/gsdTdZL8wSBdqnS3bE8l /WRHv1uwybU8Edobw1/h/THagpndZy60/m1g40ilHuYZP3mrGED+bAJMcAmDVJctOYaNSS+zcovE pg2EcoXq2pg7xfnWilkramjkusObbyXbLAChjD30bfEZlTspXPurT/YP9+5Pwqjxop8ciHTG+viO kuDvSgGdSFDzCIPdhbNo9GifqVtyllJxaXG74TUIE6aHpgkRu4DJHndGXjc4LqF5IHACuhJ4q1pB fhMVSBTOGgcY2VpQhEj3JA5jyrNFPmBKl+QhkzMNuWEuY+fBb87oSDo+/RKjQwysw+4KzXzq+DKd 0d9DsAeDRkxjKBZDw9ZEvgkMulDBcSDpZqhCUo556tm93alf6AwmA7kW2KwAPGs6N/7BNs2IqNZx YQ+6hD6Fws1Ue6EcMP/nH6+Qu50dAAvg+B1xSKP3gYTRsvjf8uV4USXp8uxLEwNLaf5WqfjT5+/6 7sLWHpRQbG2jJ+wvW3qk+BCBNsndroDh4/5Vu8fq/pL1b832Ae3HIBDpLwBPq4ADSMTQCaS3rHDA NuIL+IvN9a3ob6lNbOASx/RgrLfdh/g32fjenyhDUrMUZt1+h+PsKsKUpsZu0GWDfjxbmof4ts0D Apr9A6kYqMp9PevVaM5BU9PgneDmmBBAOMrCUzltWbcBgVth/jF6PR3IR26xHG//UkTe3ZuY1p2Z j8af5Gu7eyG0eZTfGo5CBttPTlyXBZCg6pwSJGLLPh5QeLOlEdXK0RsfOSBepyX+zG/lgr/PfAzZ 6qGFJo+r6o6PpsZc27cuK+fCzRKfbHyEzeuMazmWtMpZEQtT0OiPgEkLoYh4JKtViHUU8JAcV+v6 H/mxV/8tJOPLtLR69UG0p6mHNOuHcDpvaDcsQig/gd3Og/7QKJS5W+cwb06JuI4td1/FcRZzfxsb oGuMta173eijhjUi8eii1iQ1O8c91mZI52+GH18fp4UVpOlEPPZeLiycrOmo3F9NHXsx5tU10A5L h0DMn00Adq9Q3Xbr50wsRw90aw3v5970tvH4PbQ1Ax9uXxA4RyMXGLLjtqrktXhsrnhghQ9qfEbT 2gCZ+Ae7BwK09/zP57Mx+QcWW4kbq4YBr6s41zD43ydrCk5bI7vwAlP1yekJl68/5gSn8F7sL2kU 2lHl+eiZlKUtJOhjvQn75ZcctHCOa8YaBnVpTh5982DevUXhNf29+yoCk2eHkhiRbFm415u2YmpZ 9gcSV07q1roiEMCXOpvjTvLNAkKFwyjdXEJl1pHxcvsHOi+m7VWqHzGvSBxRcvY1jsxhJZOQCkDf AV6p45jwo3r2bYNSeiG91DtPc1NQKlx3bZKGjeJuXBsoLawPgppdl6mWIFk4b7RR7Sl2yPlzkqrF NZjoT2qKS2xsZ13sEy1gvgvGsq0C4oi4belN1O1EHeWtdQyd6cGSnC1+jGRPZGRnUSeyhszdBMXl WEqPImM2Vr0DUBVdUwZwmGZVv7KjX1AxUuycV/2u27irBtrOR0G0K5/J9hnkFA4RaID5PSyLVOhg kRSCdZvzUm9uwaZIOph3/QIM+k2pb4Ia2SEX8kcK91wJJtZbNHRhhPHh3r6EKbxlCE5K39xwzMJL ySDIuwfSfrUQB6Qk27FWbAGFESOk+60QH6xnmyFpMl3OjL/cNb6yZGah+kkwYPy+bKTpmYWePfGc P+SmjxE3FRDzjgYP0clA31keoOxt3x+pdPRWXAYozhXxNfM4aNULus4U122vNP7/iV7fNp9e9Q4T 6mwnJ6/wc/7zgpoRcuLXZgqmLt+w8n+MCHLEPOd1PXJil6J9XPW43XtH9N2zlZgmrYrW7rn5kMVG V6BT6y5Q8Fek2mYjFZZo0g23YzbgI1Jp0TFixZWGGIizK3YTvh2i87FYoZBdZx1jk+jzuZBEvPrg VU/8SwEUGjUoIx/8m3wcrsYFmYlqBc/UVXMqciJQnS4o/TwSrdi038ANRbQg97darKFjKG2MmvOe vvj2hesfnpDAyBBHAIVWsjEP1sKYoj33ZfmRbF6CzJv6Kc8Zoackp6OzhwbRLySDipenNO4hf3fF Ngwp/jDRGWjQx9ZaOyi80vtSjYyUbn9L/mT0Y0+BtkrxLG5lTpZZR1qrsmjuSO4Ptx1d9VPb+SyY JLdijCTjSvqXjwXdrHzia4Di8u8PrRb4zAUW0KGPo7dxwoUD8Qg4SefRV1jTZHxh5rHZooHibdqc /Ez4hsXXZrPupUdqgebUIZ6pPxwCSPkSYZQZGpd3E20vaKjsl8/jxVCeVb+5aiEpi6Ord7/JTXKO 8SdRrhM3m5dfyKkKugIQsJrkLAyqmOXp006cnqZrSwOM01TqgkuX7fexoqluKmje12svcvMjGZVY VbjZ328yfT0q6NDsRafwz0o17v0ipbB3eOuE6QvHyFMRK7S26hNVnSsVtSMfLsXnl4W0Us2AvmrK fASG8+0vkLWxT3OSPyQ7PzD0W9Mo0O7/qMvj6DimXM/Cw+wC1zBEahbw464UFkyZHkrE9eTjWOix d5oPwUGSpS/0p7RzqjDZ4E83VQkZvMq2PKHwTiUdgOXt01siqL3plGRH1fuJG7TxsRc+HAFVljlp f5BzgM7uKnaes8cumsJrlINHVfRDHrM6h6FX3qQHCsMxp+8pozoZtmQPr3q+qaE6yi/+W74taeFc 7Gbs1m3/X8WZxRM5aKohB/LpSIB1e5f7KxNmBYweW4PLge9c7B+sjrgBWVvrQHrTB7RvyesCZzOn WJ1S4pA22w7VzM2Rw2HpVCpxH0/5Ittqo9zOMbPAPsK0NU5veapvACVPJeR7N9tTSTfu7HclEoAt 2oASbcutp2uajx024EkLaVjgub0wCRLaFUzCf4Z2zrTkDorEi5uCl45UniWEiyASKw1kop7EVLlT A7RHGbkFPabfXm8jyDvi0mZJRDwf10ZD4R42tIeT0sy4HZz6XnlBmhORZ+/1Rz+bonWEY/RGHwnT FSMo+W8kTJwiY9rhBGmYHvL92puSHkGjRYX8eJy5XLvjBI7Q7CIMLZRR7QWnUMTLM73of+Uhc8eg 8YRmi0tUlIcbV8nx6tHbU9YdqGcaxPO+/JXHL2muoNwd2Q0Qahr7CEEoYjR4I2dH5qQrXY8T7bx9 XNqU8ZA0ykjSZl22NevOCxHST4YAqhAPH26vXhTS32LBDX2LDbesshgMpt5eEKTBeUJchORjeJAO q00chRO+sUCNZ1SWgiu8cdwx3k3HiYRkrhLBNqCJa/jKSSoyNRUz+2cTlmzKP5LbSFKcXW1bEFJn 8YIoULpZD0Jr0BCG0V8GV3UswZejxoy5jov13jYPxpw/z6inywHLW0jZ8FAvLc+hr0xftFVqHBrm FSazpe0yX2lxLG9Oj8DO6Gr3UVIO0b8fHc34fNoMGuLc/rI+n9ZoSjEqgBYwfzV6i8l+D9VHlZG7 My+bNnr4h6iE0vL118fPbTsZeYeBMcVI8jo0/XrisNPQYnXMB6lYduo6u8c1U+nR7wSsFWQy8grE EHSEtVFr+tmz8qwe3zYY1q5iMIKzQcQz5kyQwHJGP91BIk7LuodIBXb1S6lm/B6Wd77R33kdEwno 65h9yM+QV/JiXc4cDyVlZ68hl/9tp9hLPV/FccGutw6dS3NRQclWeTiZImuKs2Jmeo9AaBJtVJG7 QibrMIQDo4RC4VfU5K8heVb5K1OyzuajPcAZ3+AKXoqQDiL1MrlluS6z0USlPBD2Mn1ZD2ir6G2B vH+Qb8tnTsLnWE7Js256KNt2PtOFs+Phw/0idcot+V+S/jXTpS5xs+kVPmSb2j7sD1QmxWx1Gplp SFLhM7B7jEBf5gHlLMqwvj4XGM2hxc4lwfV+zShEfHJM3N7r9ohHI2j4nkHD/mrCzC3fBB0+m5Ih vl75asxqcjsUAwhC4b+yHA6LQCzIoazvnd0vCNQvwI51p5wWa20tBjLf5U92fpt3fg92f6dcb4TR bjjLHEvGB/eFghU9+OLKqGFOqxTSvm693eZnSn86gd6n4Xn/9ptm1I/hyjOgRXXhSJ8lkDUtJQK4 1bVI5h4XofsrO3Es3uCIRYxlf/YofU1My4e7C7/PAehwZtkgGuekTbNGA2lql40sILej5E2EmfgC TqVQ/zBYY/B1Wy/lQ4jGCojaXEwLxhL+2v+J5tYXytSmOAa9EN8X8jJhGecacDLUuc8vzsqDaHpW LrZQT8CkyT6RsHNLV6LE5uTJtPjqJdmknRvmqJHbEcHvtY4saYMXDno5SnFj0LS/qKQ68B5Dl8MV B0TSYP3/2JWS7eHGpFOFEWe2i0UNAYZen2WKgrLvIOZ+qDy/Sf74PnbUTBebyXLArgcfHmv9aaUP ZY7D8hbI7CxK9U7BuUTA2M7mLVwUMn9yiy1U+W25f9n9ZM0au5TpqV5pkkXU9ABkvtdA+6QZwS2b mTHpdxBN0HTJ0HDTycjWxS0qL/RaZgeBHo5u6iimpj3GGRUTp1uG+DoS8QtQk+GpYd2er/dvOXg6 SmQ9nHOx7NCb6yeFRzmOCpJLSbnXFsL8vuuEu6F/ryTl3xlvP2cObvkKJPKSnoidYLtvf7bsR+BV IlIWIF4fVj7xKYmeJOIyiSzFNJM4hUQzi0OLp/SSJQ+IlRViBVDD5n3FLp3Imxzpu6XROk/9BKn/ 0cgyXXjrnVnWUt/6zWlTuQ6+BIvoSy+mMJemXLpCD1fIJAoAViGAEQZ4BEi8ufkY+McXZN7SZhG7 wNUrQjBVlZfFLb4Cwhpihh8CTAHaAiF4Z0zWSf77VFwQ88/kfH1GfYy9ppzBBaUf3rLlBgRzq4QD Ph7UeXSSh/blm7n5vzVwehJgHCTfFm2w7QSFh0/8QRVP133WDUSucnMFo/LwVaEA6eYncKFBom8O 4Hut2u8GIKVpWc5Dr8PLOFnKP15IfXFKFjJQ3+SIZ2rPdwD52uVkAcsRj05RKhhho8bGLXoplTo2 vlQc33J0xxPDFd0T4qZgYe7dCfO1FhAVhQkOZkOdey8UMSLZL926uJLv0o50CUs83XZl3N71QWz2 uo3faFJy/eaBT8HiVp9AqB45vilXDjFciVx9R4/WZqa9xsaXmPS8Chxc2OkyaLlABgjL2oVjuN8g Opfe8cwmu7S+JyaOeNjpbxsyZFj6isXIf/Mzvf/+PV72VJg0ENgMpeC3SmjjApBs7lcTx+KESINk VUrFRVMsNltTXJDVRYcGRDM1Kke4KONCTNaeLkQShD14AHIhw+FxscEVaqNTNhwiXR7O1oTDA8Y1 bSFB+e1x3YctJuaaD/qSThY2lP5nm4LU1kB5XW1J03IkenIGOYXGdDfRmfXim9WYkDgYnwCgBka4 nB1xAqmuuekumh1OFVd5WsYJ4odDUmKmIb07C0r7/YOQb4lnAm6zA+xWJIgUU1kGexQae54DVxt0 SXUmiaL7bAtaLK9kI+Qp703o1wkyKFdKJMG7LsYhDEunEqmwOI6qhUKhJc6SeFc849errvQAf2Yx PD+oMyHiLUgNveutHVZSluiTp+cd4d8EaXRmFLTwHdfAphvvKm9+aPrYimGkeKnZiudlfuS4//ts MQAh0EMcBlRPW3x9KJjeCNwHlbt35tf90TeUu419S/qzN9Q0nAukuTZrwAFGfR5+xdRo6PBkA1wR R71sy9VUKBtFUjMDfVbmDgzF9Z8U+WVnYTNNhlJu1X49ohs9aVJpwkj+ZUsv1j/nzHI9QRIa3Rnr lcNSVat4wkIajlGxB26VZ+gzJcxsytkMqpBozgJ1TGLBtW0s40dYSCoMnW2ytLQ1QtHUMMqL0EY7 60RfB3EajHlnfPDqDcv2dfQQyb/KDei1VSq2xJksVkErvgq4Vxle/PjHnROAjnEoPeYCiP5Ksgwb 8s4Qf1n19zSTUJW5cJCYPcaPW6AvlBzE+rNuaxliBELgKXDSaZJ0XWgvGetzUoparyIXM3KfnUS0 5WbJTWQa248CnrJQ0AQb3Gby4X5N1TRh4rKfykjqhwvf/ns+lw9HKN4yKDvGk0yKlZp4o4zGXJz2 hO39QM72ei+fGB1R9S52DaHcVNp6ezZQMagw1SKvZeV6RuReAUkcj0irRUdYBBjC9SMrcf94Irdm mHnB0UcLkLj0ddOX2voSxEY4KNcdUkDisZeeVpaHJy+SafJ6byu9yloZ2V9oYErjPnsIZeAMcVTF i2vn7kgA6KUqfEIPXooExjcWWeZxw4QzCM4rRiybgE6YKOxLAWJ65+6YHOANB01oZGe3tSx/O7Bm 7w4EAUsn3cDUF8I4lrvUPLr/Q/LMgnWQtOEsYtKlL1fuqkLEkyPMyX29YwTeJTqRyLwBfnK/zg0J CPB9FELlPM28jA3S8AuxHCBr2md5gUA8wU6vL4501xO5Eae7QrPFefhdwuxWV+Gn/5PPq/RXICbX b0SMKWLNSbaQDnYxlmaBPZyRgQqwXHfa02uMXRaf6Jx6/BMHajruUwitT7k9vuiAOH7r1vhivgSM eZ5Wrh4HSgS5+/XQk5hBO12J4RjJhRf+Vetbn5Iea9DIQUOhGuTmOzSHY6PXHbhYn2eryPWy5BnS yzu4daIJ05KGf93cUT6B/2yZC88HgNGcYBa67CGymAADePFWPhFbB1q2tWxyY/mJEh+499yqLVh1 uykjVei8+2/odFRR3VKM+BBnAmi2mMxOz9LhlY1PoT+1sjFCe14CmQnzt5908iN8QdPANjxSO2Gr Gst7jcs1sI+/0FKoyUthOn8N+8HepKz4wGrImf1XV2Nkvt9rs5nfXuqaeFtuuH5LMpIGCL/isoip /MdcH4hcnuQXs8WgdXQfs1yU6E7obPIRLwJkbcswgQ5pNz1+VeB1JwVMx0MzS+4zOwYzmfA3hbpA NCf2e9AONwA1toBp98cAbgXnUWI06TPramsiSIC2lzWFjCixj+Mg7qg1k6wGL8uIouRcix8fo2JB gk3Bdf6HeI7NAD//oa1tBUXBpBrO0BsrXR1l+2JGQzs/gJnBeD8/ZT7MRofQ6ltcpghbGCV5P7gE AS4q4JWrqOs2mchMCYcAqIT3qpuTuMeWXRojYQnhqXoT42VYjWJ91WQypScFyuOdP8xtepd9uT3T O5V+80YHcSPzVPdUDVvbdXPCfxI6un9oTLai1Yzi658qw2ZB5Ngln6gaA2KVCWMkFV9GeSocRRfw iFWtQfhwNhu4YPEjgQ8x+xRslzMI6s0ozBZoLCYoc4jwmeZS69O98g3Uyl3eZC/G6IHQmbi+S7Jh Eu4qTeQtKCO+FfZRwh/8wh7iDorKn82J049FplwMnx8CW4qiWEYV9KOS3F8EmrAS7OxnPX1nMIJq sQIaKYAm8gDX8ld9Hga6c9bbhcCH/H1x+a2C60tCtjFAAbLkyW9BGiUuveDDaf/fmrKMXOYZ3EjK dRVIMaRSh3b1LhdgdzZ7vu6psQpqYnW8jBLl1+f0Kyej9rY4OJumVJjAuOZG9OE0L944txIlvt4I 6vp/fn26mbwHLpWwmyEPYYdKdebtvPAiKk6jqiexNYBu6o816uEUD5oZ7CRqEvygbsPigUxDIEKy X+ZMXO/mS9AO97NrYZsRKwvVwscv0WtsvNpAiI+tI6rL3K4AYlo0SWZSH0DmIxUzNadiFQNlA33J HDvN0YQIR/9wTHmFRhriVihnzQAGLpRl8WmAKIrhfIhL/1My3NIL4FeUry4LNMiKFkAbPgNrRbbi kuZWzgZkHBYUuEoeiFk6RZs9OptN7T3bW4W4ybU9U/b/ilEnMUi6utiaf9ZaNFy1nKPfbnOy05mm Cc0ckApZ/asD6H+vErElEIUNwpzHwojwou5YcETazARDJpDOqFB4D3vjPOYS+e1nGNjW/gqb4sEK RrzxZUDdvV+JqeudVsjKTOf4wPTz2pCR+1w8/shayGzixQmR+mwi/1N6Um0Yo1M85P7mqllV30Ej oGFOpBLltjfEv/L2YK5NYSAL3m4PFrFRA9RugkfKxhWXz67Buk5B5YpgjFqR4830qEiwfio2I5eX /14wtBUKTI9cR+tQLFWDDM0h6jJnU3FfBtqu39rGVAYHTEuciIkCWwQ8GyYJldNACUIJt84DxmU1 /i0dpS8CAtrgUSJooGJn+AUVBmSUNioBo6/wsRshBNY5d3a9MbITqhnTfpo0mjU0XcGfbBF4g1kH nWrgTceh97m7U2HlZ+J/BlQhXyrtS1cWErudEgu3BWzBXHRzIc9t22XXsuhdwcygAY3oQlqyaDSH iXpRm3v4TGPCt2hZ5LIKLTJVvYfy8CayqFGWakOKjPiMbRiGBa2CPmMtqpYJfGabsitBJbTikqaF NeJGt0aTtZa9JhYGCagIyhJMOcmv4eeQ1sm3HAb/V9MFeN2IQh2pb4hNudDZnenlQtiYre8fknFf mn/C8fEtUJkRFENV6hGsD43Kenm4UY8A7ub9UZAnUBVKQrV1Lbe4WKW9M2VvJGgxnSZNAua82Ie6 DJOnS74luUEcxVzr/622QRX7HFdTz5OpcQWvGEyWFHPy9DLqLIaLdiAHsSoGzqAkiB5SdgONvZZq mKIzxYGt4t1E7dJoOgHAma3rjraKoQoe4s8xkmBS23CfLE3aKTChsOXn4dF2ZXoUV1TmpobaLLfM lMnX9v8feURg4vTGR9WuwBZirEALATjVmhT7VKKgfrt2k+a2cs4xovl7oR5nYrzzBNr+I018+EMU do3nk6xtTr7n0kY/L20UOCVZ20wqMym8zvtSqf8IQTgR1JboVq0Y4Clbg3K972rBRlWEp9BzRPjR dRl8eoLzU2c/S+rIFD5v7+KGT5IoSmUHQLG5m/rdt4Eu9U94I+mS9tWtTCq5EtoAUOF2UF6bfT28 3wb6q9ab7Hk0Dkr3UZml9YaVsS1PvqB3v1G/qaDFvCIs0NdKvl4JG6dorcH57yBrZHLd8enwYD7D Z1jkAO6M6xUmP5AtbMWdijyRCyTacEtaEoQuKkI4f4x4YL/Zq1pyhkoe/q4t8q4IUVYoAcYae+fR 6xbLwSLNcRR0sfMCh6UUqZd0pL5NhfVIfKRxOT0RBnS06E1L+gc/Y4nrqr/xFJlOXBwCefEE2U/z x1DOrFPjbIHxRs3J1S9BDP6fWnK6qM/j7bgLvTd1PJLeMj/1DsUsmJKAfpFs9b/9qdTCEw8mWTlO IUyD4MfbgRrHe9wyAG7xCCmOmM4xOlr+Z9SIhxgcA8d0MqLrTrAx8O4n+vvwI7ICNlBZsDSSBMMA Nje23s5HJh4rZ0BUZp4L6oGUafhlaHAKB2tmBZLUuOavdssG8vp2TtwBDDBn3g83kCOMd/HvirpC kTEMgfkxzv/h1o/5KKhMNJ36MvZiC7X2i2PZVJC+vEpouFJ6uxTd+es9L2umxRhInBRbmyHIDIsy TvfMbqNgoEUdiQrCm1bPYkVZcHYnhmrkYcozZ95jQ1bEom9xTcMvlWVVBsNqKfYKjiwYciLKT/mc QZSHcShmgobwgk6C5pf3NikOlooYsrBh+UhgCX88rm4uiKJgPDvxOi5oBsEteBGhtjO1bIWs4TWV zY53OK6bxDzApg4y8MF0h3MnhJk+xGLCzaXYlAJYErLEpVdXLha+PwXpIOFaD82EqCHd+xJILCNX Jza+9CVJ77AXvUpKzkMv+LyQYp+up4vzmh+eEC+1S/TctiNC4Hn0qI4UyLesFZ8Ji5uS8shlSJWK oyLLl3uE1k7xhIIq8lx5qBISofUHQf96HZfZju7wBbID9jRmJazRx40QWgcZGKNyzssDoVWuSYH2 xsv3jivMGdwCznDtBuZWBXf6tuLhiAYaWVBdJsKTPvZUiORMXXxE0HntS0glEFEkV9mBc4WKFsSI klshjBxLvNEUB0Vi78Sr74FJ7VtymBj8F2U//f4FGtCAh+16jhfOtqE1FFk9B6F/htcP/0+DipCP iMSwOeDcUhsy0DUuKKJM/aWVjrLuHMMQbk221NDVMH1FVjmYc7z0+wvL2Sgz12CsDtc6n4+plWfI gE5Ol9QNBHIp9RSau+tsW4BNACa3ONGz9E2i9m+P3+E69tbVzqn/b8v3C+yvlQomiIE8ZKWNMrEz cklyt5JK31CJEhs0l8MWKkrRL4JYuHJtKP0OWKPWxjrq8saYijDuVq5YzlOrqSHXHtzPUOdQcaQO 6RMgf3sSLEuySLApbb++sNYbI804ldxae/kxrncvvuW1GJWSQgwRiLJpIUhdfk2Wgdgx/WVnhkP9 sJLrhuRQFtnIFTEOjW2QyCrCOD0CMJ/7FucEOVd5dal8NqApTap5kw7vocPHWCxiJK/iUycMm4VG XmxfNAiJ03ZYf6ESI5SOO0Ia9/W9Cy29sTKuLrRDZu/d1PP4bGHuSzOsepO7RQkcW3lO6j57Td9v 9j738yzpVzLbcZng2jPDEay0gBjsOCTiOWwq0tuY3iFjq/qV+qzn14EPr86VbVDYB04YfyXQFSi7 Lf0aO5W0dhwKxMg/j3LWms9C1nwPJRGEAAyI/CuEb0h+JTPN1cT8nu0LbB76fjjJcX7RvURguce1 3emQVB6UDQhAQljrrpHavRAcihq5culqkpPeTKu2qd1x/ZTTXCg0FQ2mn6XE/7KrDJ9Fr8CSW7iK 5ZR4rMK1fjmsglp1O48/vAMIMIEufwpe0MSDHi+PHFsuZ0porB70Iuyp9dwD7PY3mwcpM9tCnmkK uGs61uo0T7/lfkt2+3azzsHC/nksDAPbB+336d+U5dPCO9pwrnENFepWcCX2xrNa8u94H00Ge/+u VkNj+xwp+lABwXCTQ9HI0ZKgHqGvr7cVNDWKUWddrmT8y/eLZw+loOLUUBTE0LczgpxiVszRN/3d Ct5+8lA7DktU/30N+r08oFwTfsbkQbtM6//UtlGvLLuL6lVIdliPRu5Zo9PqYtM5jA4GMfmrJOtQ sh0sL84MHJI2urjzEeIyHWeeQfMCYJY03oJWTy21VirrOCBkTQHTy2MBLmqlnlXUK+5Td2e4OIJV DJCUAvrtSPHBbxaN3T7LtKDnNC9hAR3qEspGm24H9nJgJM5cMPbV9zEaI6jZapmJK9QS5PecQE2g K7ZJ8q8QmQtp9o7Xjl/NgRAbriI7IwbaMlygHzDcVkYkOljEtuStelJvllRSKesH6U+x+o+KSvtX gn1UtPw7vg2O8pfHTTNm2KFBUtAgbouOsk5KrAyEBfn1dEY8yokphRYsdGHsVQ47pYtzCoGcrJaa 40a1W1HlpSWH6p1yx845UW+aFuQ2ulSN/gdlKhtJVGvJmiVOHCygGoUT4KamrvG30BM4vEzuFiB9 iyaeC/NIfdLgP1RvdnjcEkwD0FvyrXA5G92GOB5Ku8/2rtm/kxgStaXPHJ03Di4muEcHDM3peWi1 5LILC9eV/zsskS6B3JElkCZiJ2c4oMLR1YrlM+CQgE/xGzK56Mu1Zf6L21dy6AogtKAXtzOsgaG3 4fl23ZEAZrVoEgfLertm5ebH4ni8828HJNZRBbjxK4t46vjj+7K2Iwfe4PYjPREr4fUYM8We2VK9 k6mwcR9wGOzMN0wTFT/cywrsUUZEoICz6M+6Kp0cIWKdReEMp00WTU/FnOkde0aWFfTMHg8J12MQ F6x+EY3cetdpUzVv5J9ya+yqZF2iu38XqvH/nCo03ikdizImzOpOe2XkfFx+yFc4hcHT+BeJCm/P 4KT7xBzzyeineKKirqspadNmqEdxusSL8KI2lcdU/WJsrRy84rzyMN0hi0Q9/mXUJAzyVjxgV/be WqaPA9PEdYEq0HYe9ktgQFxyHprIbXwcFd+vaDhW6njpL1jgUeWCwd1xRsBKA52U26A6AphlpLrN i8I9ASG4EX9fLoLAVKUIdgEqf9iOtosQPEGX1uen7Nvuf80cJ9gsLmnQv++dDNkcsGHYV1s1vB+x VJdA55b1CqHm9pGwNA/bh+ZORT6tdyjyheYkNLhbpnX+mUQonekQ2A1qlmdUOmTHoSAC/AmdM3ha qICvkMuCyD+YU1CXVcPUPmGBNQeh6S/tTFQ0g48+NNbIhwVbRi0nrUMVMBYLmf1qeSs41rhfhSiQ xiN/YyjWZUagmYhj82R6CUedgzBdCFhqZbXAfzm8GYxo0IwUOkKVkzFQy62DQa6GtTfA5oIZD2+I Ajiprep0PscHBkUAvEoWm1KqYwlj/r3acDV0inteu/ew8FIdiNMRqfe84TWrnS3E14u8CJOOPRPq 5JlSHm6TxGfmpVXhMmVBZt3bZVgiap08rDo8GDgLj++CdVX/3QrODywfFguYaPAx2+zeobgHGzkz gGPrkUOEWjX1aMgh8ks2TNbPdC54qMCdmHTdIl1MccBJvZPSd2Z8AtxDAC4IMu4r06DCQvUkWF8t YODHlfPgYShNvv25Y0O7CaftPL6Iy7Ofmg9opjv9GIhgrNfRCyuQWH4TwEKlEJ4IRAQALidtMYDH xg+u0Vz/9pmlFQttFUUGsbnIg4YH9c64JJTFmJfXhdcqxtkoNAgIu/Y3xSIjNd3gImC3AgWXrwtC bFe54qYcLedbWWRhXfwC4mPBZWT2zlvgAiDNXQI4fza9xXULgPn1NuVezdz89SeMpto4P1D8iiet oM0qZfQ8v27snv1EGlrkhIuv2vRRBCZn3wMoPtxDT3y1ZDiJbfzYaswioay1Lw71Nck0ef3aKQWp gS/3LjgXdWFbdytKhWnedudfkZzMu1Jz9Y+HP7Lk1D79M35Ukzx7kjfoU/EsHPffA9VU7hNCnVFb Wv8zqJjCLHxX809CtuxMD6YCX4ojvtQoDrLiXLLELtggE6LkeXGI9KarYMufH/1DY98EJRodHguQ OaQ+wJP+LyBPGGz6IeFOcJgl1xsDAJ96IXqGLKWhYILEmv52hRoNt8ZyAjyIs+9qQqo/5kzuBU9V xk1bXJ63us2chFG+uMYcvohww0TaInWT3CxGpwS2NoIG8J4rS3VqH3xU46vPzZ/YBUwrXAAx/8Ij wOGQymDeWRlO8SOhvmMC2UqzEy+VdbGTpnvEIpPrlYTPyH1FvzqIB6IkYLwWMFWpAPPge4jP/VSU Q52jWwT97ENWbf4MxO7zbigJeD8CTOApUxIjGJvGolm+PLbecpa2GwzNT6F1CNPZZt5aYVzg/P/v PEfx1gLO3HXEFMSKupzIMYaSXEZRgYiCElLToT6HLVxCtY8kLhH28TV2eRWkg3uL458w73k8udBO Dk5y+BA7bkg6G8ZcV7a08v3B/wUVqqwSVQiNtUNUpflAT3fvrjM82jkwD9q5EXNUNYe0n881iA5q sSG4jQ3dNC9yY5wYqQXxArZMoz/m5Lj1zyrELIN6yBc5kg9T1njdZvuP4zW+XJBJqwlM0gnwk/xl UV6nDpB1iHINTTIJcXTY8EVO997Zwz3e0bRSmGSGhyzlCHU6FE+ZuQRlRZA3tLe6nk24mPuwEHEN npRM2JQZDebUsB1DltTZQY6azdK3DSzcuv6jT8KPW+mTpOMUX/ZltPtghbJC/5aaIk2UdhIikfpe F3OGHRM8tIUjg3rcnrFVOp9oF4kfIoaZ+PFt7gIowhRzYemB2Kxi8ovS8+mDjJ6z51L0DkRIKVGM YkiZLQftrimVLtB49KeCOl2SIw/6CWNr3l/5unnwKmMtDsAlJoGFauniD96556dfpylw7atouQTt 6F/qV1FJGZJrTJ01kL24Cp+iMmtR2dlT+pyssdHe4OkjWh7x28lx9N4Pz6Erk9CqSrPRcpReGbP0 luMWzX65GkZfNwETve62DhIDU/OPELOvTasCbaGemrM0RZcrjJMVY8g6x0X1TCuPYN3KwB9gwsN7 a2ays02n/wdY16Zj/ZvJURA55Nwp+8xTglQbhIliLtZHc56CD2upHmyoUveBQ7E2JH18HDbDGXta lor9xAhcIWF+/XMIM6b+1lilvlZZdk2QN7LzxMFTrgYBlWkkjF1vV+Rfyks/v4OYuv4jOmeZJD3V NwIXPC1cwcQ3iN9xz1Q4kAFoKGD+5XMHeOxbqFeA89WN/ccNteQxgQbN4eAZJ8volxiistsbFFCV IKwUfD/SzubYk17f81zKfeJC/XyUscA4YnjyKVFK/2f972IZiE0IsvYrAyVEwPUlB/Uf3ktLkk2e zCmpKazoRLIGx3yOigAd2JaUssXxPxZdstVwhT1X56dSa38Nu9w2hSwmdtbaFrYsi5r+bumy4zH3 dyBCAQ931Z3vo4TApBWeqy1J8FZcYXP+bgTYkQjclYExV+J5kms0QpaibFW3hoNt4BzxJl+d1O9+ bek1yi9DrffSho4ZRq0yJAAx1QWiPdK43kwz6WlyFDv+fo9xvfMFePN6SzFPOprxPQ+Ce4Zr4H08 6VfEtlgJ21hh8IgxjBE/7UcFlcLh6IJgRLPCL541BUnn9qQ+UvAximwUYftDrMk+LWo8Erc4LiOt Ko2GpjPeF0zAqOZDBz1nJOPdzFtK+30jS3WLI30/PYwk5iwNY9ZBudOVkfeNQhZnLKzqedgc9ri2 fhvhdOv0vdCIDg1nU3CGmu4e9WKGcugfIYK2QIfZMNPMIH0m5qcPW9MQ4JnJbEywHTVt80hQeBgZ QW642gTDfOmBWvUig9YYlxzIr/a3mXswUa/UosucCtTp2jUIdqOLeM53B7RvRB07S1go12sPdx1u BhSC7JtqQPnyRPV+O8loE9V5q0f5c22pO3Qh4Wg6HyuU8BLWm/kQiaIJzLwPrFBwd9HeXF0T1eET y2lkQ8FHY7TbiWpg+Fw0b3OAPplfvMbSB/WtNqR8BWBWF8+LLScUoGYKuGbrZ6xEKb5P60Cc12y3 LbZFjLuFiG/tsiVmC5CUn0oNHKCzITOWRKnlIVDUULLeG5d0zVd2W5PLej0VLnl2IqPUFPvEq5g/ FbXgFgpwqRCgMOm1cBqfiyGNUMDZR+Mx2GYAchgRI82pDc+MkZ+B8WoQoI9vHnYSAqZEeoa0CST3 ImXjjyBhmRARv9i84U5s+lRYmInFRkqWpHiwHOYHXXzLic+6UxntON+eKGhdQdvfVZzVn/K49P5k TQ7NG3yP4CnlQdGw/GEIQMCZ2AN5QC7MwJbAn3aGk+7kc3kK3rNnN4umyRp2N7WvUfQOvbc+dtp+ RVE4ODP1yd+3+6veN0IJbi6ihWqYM6oI6QJ4MZnF+0VIoZyoPCr1anJHEke/v+gMrVSWP6iJORnW JgFgOOJBFsIID5l7PRYk+XiNIHC75m0HkongFGqa106S6EfQwSAniwC3Uc6g/OSLmh2ohCgesXhq q4WI4f4BFdzy3meYkwsjSjONl1IOUGCdNc6cqNYn1ydWFP8QH+cqsMd2WwGRYtE4RS6Ry19RyVAL PT7qmecqzoVG6Gz7hkjPDEJ0bEL7vAGoGP3aH/UGOB4UvyALE+dRbho1X4aTWKwgsY+WR9+1/cht P+sM8k+eoAnYAOleXm4GTdCeOC+ndDR6ippWF7oZW92ZkRljDWIVZEE77/DNdw785lpgt4eB4FuI /hmQjhrRNS7HpbkpLy9DKYUrVsF+NvYdsyU8bRRqh6iJT83U5ZqyzxoKqurBJjB7dRy8hHd0Zy/P JBS1nkXgPnY1dYS+hHfdktyI6VnQnvl88gif638NLv0EWrYS51uzCuVbeqjB571+tqwsmE8CSbjc WZBXwG0AG3m/vny4pYmD4zVIQySWOEs2TKD7uQsQPqhYJCbEpn+qovWlQYC17GNbTvd11qxstOw0 sU23yGZ0DMTEYtrpNtkTqgB7ReVRYb65eQJfI6b9riVc8HcoU7DkFvr3dtubIU91NZh0tZevDz3Z phka7txOu1ymYOmm3kvmQbgemVMtJeaw1jWbtQDGnD5CeaBtmBDwaWzfI7hqZTdG2XxPBpzuqAfv e3lFg6DTsVg1aXIj/+AR1U3rLfs0BU87lnQM63ztCs0kPIawO3a2+8yzVuB9ITmi1Wwz7Olb3aAM tYBIFp06Ien7c+TwjtmPR5dpi/eq0KWeaJcFHc85qBus3y/4+sTnl6chN0nik+IvUBqWe1aePcG6 cLZL01yBSTuAw7SgEHlaZbmaWrsACLOZu7gsw1gcaj02pyL9jGQfBLRpsea51dWzHOElJPyaTtoP 6Km5woY2CTg1pbnNwwrVW2KIQxxRW6QaHSALTrhD8OgWkfbfen5Rh+/s2n2ZT0AaIFbDXa2JFMs5 5PYB7nAPqF5mxWVyD90N/N3bWNAmoXixNMHLn5t/lQ49x4/SqiBfNqaITUhr4KqMujJMHzE8DJ+2 GHF7dD5m5vdd7PrRANLrHjaKDZn7BpfZfJFjkOSt8kOs+JpAHMw8ZvkVZQ0hLJLlHVHFTPi1+0VC duwEQluic8+wqeySiCtd5iMLjUCMkFegTNFAA+pdQ9StT+ZEmkNZC/6BQ5CQ0dK/QLWozSY7MSXJ WzP3avxaoC2aNo6FTWoCdeBXLXTtXqlg0q6ealqR9EkeAGjG9yzfh01mQlanGm1byzZTUtuwZuk/ MbiAuLmq7gz69PuDKqmIE2SeRD6OYW/0VihVcuy55Nsl1liaY+A7Xlkd4hFMCg+EasuRgcRmiZA/ tUYOZhMWUxd+rb+qTQ982onf1n2yVUrpMZDJn5x73eC1o+Ik+uBqSDfRBCFYE6Vx1SSah9zT/d0y HrY3FesArBF6pNkkUHlGMGZubVbQayg278Z8LvGpaxtzV9EKNTtW/sYuRTqw4oH9Ax+1X+I9Fu/t nB4/ngmkytvc+KitTcOseAhKLiKuZEBlOmZh9yhvWaa33fjCRjqpE+xJnoXIM9vzjJIgCRBZHb3k nOAZvQTuqUFT3vATNxzXh19e9aypca04cSszyeRtZehXzxtSp3O/eUO9Et95lcACzZbvVj+aYE/M aDQcxV9oopiRkWnxWrdzQg/9DIxNo9MTnS3s9OrFwbyUGlutHNV6mt1Xod1peQFHSZYuMZk1ZqOR LUXB6NnVROS/Zf6ZWLYWkfOgT3heIxYmPZqU0XJMVQNj5ciD9dOQu4x5NSFdneKrJq/jywp6hXSm /NgAilNCYs5+sjGB6F65xo2W9BYdWdU9Em6iyfxSkNbywGwFxxdSSox81wNRHshCu5K9/bMixsLe wGbhbWM5V6Cxwp73kUZSVab/eJUO5JWozfxPV8sUuBT6VLbbMlTI4a5IXcjQDSF0iCZITP7NBrhh ctZV35YVvyQ8eiOIVgEqbHjWTT7EyNCV9b/t9R9qSxg4739EOd42U+iMYvZjIxYH24b9F95FBMb9 c2foCsDRhJ5K1e111NpD2DoT4wOIi0Ae1ujrNjDH9h/Wm7ZhFAdXCn0d7nP6/c39fBpOgS99YOvz sZerh884IfbXOjTUwPPRV7w31O/2j1Fq+UWWcvD7ubPBccNv6myqhG36VoUKqaBvZR3d8nJlQLMW 1NjJc3j34nl4q0qMGlcrLV1fBEdLJRgDMxYe9Bxnr3VSd3Q5s92mon5GM8OQzucpeV9vvulVZ+DI Ybn5J4zuwyHP3cn4fadVU/ovAxmppON4olLr8fmtrE6YFsdoCKCt3yy9tQ6EuuRjwiSEGDGfgngW d82mHm89iix9QRksoV79eE3Vp7RLlAkRUrQx7KR26bOwblhrpv9AVZPyguh8DR0gyzP/nlutHJMS Hjq85UdXc3uVXZgKTiJlaZDbbqxm648U5BNNhQrUbQMUumKD4aNAub85t1u3FKxjen+Yapni/IAv MzqiJ0SVMcLZt0iqXMUq4yrJdKoG8nm3O+AWT6kNh+B/aamCjMlzJJnlg2V9TGR9D3Mk25GHbpzW GoUk+jAjlpKZf1Mpv9HnrGlXU069DhjXJHLZTR458QzJokl9plS8OdWMF7oqRT9w97k3qfXq/sxl kD1ORwY/Zrj/GmIs5VtuUWI8tifrWRMoKCRFGKS1KXVxIx+FXv4KUMIlI/Ulg+s13JUzBlpj6dEC a+AjZzzki/vXmsfPMjV2GVGqbAz7XVV9I0mL+wOAu7Hg91WvpPs1PtZbLX2syzVVMpkMRc+MKO3c su3kU2LAfrwDgQn3TXzETvb71DRZCFIZmNRQuuZJmXOubWUni9IzCPuPgGh8eWO2/xNc5Nt2YaIJ o5v0fzz4GMdEFLxy167X0yq6F/qgaUJbZ0uUCPfZQ/TgIplgjfDClFNOtn62V8WgcQ1l6MUhXYaf YY/I0A6nfqOCexulvEsKZMmZlZmWlzfobDe+VDGapJFm9qu7aWpoO7BZ8exJBDa+V+3hPa4b2Eh0 gGl9Xzo2w6Rr/LtPNz9FzxMKhOEQ2r6Jf3YS/2t6328tEKfTncdo5PFoRPftWTOf4VMR7UBRU62e IRtYDsRaCNMetymYmmTd71tDrwQj0SHOU3eLaYtxlD9X58YO84H3DNRWH0x16wUNHLbhWBMFyM7U vP4bMO+W5z4sQ/xPk2hzUV4OqISSU0XIllI859+7o5YuIlZkJArAxUMVSoqvulaWoy5BRvtb/Bm0 AYlfNqgPIW3Uj1CtePAoNCuaIe81lx2ItJ+eKcd4coCLN5+NQhturRjLSSdcNjp+K2g5caTmSUm9 Hz3t8epnVqQzX7schdTi+/L1XWkfIwWq/7hbgiSGaQOkxuTyYHG8fLnl0blR+FQh+u+nnpN5O+XL uHe0yF5xlAAzEbgPhdHNnNmQjsw/tS/nrIrcgndEjq8dYKmMQ+T/pa/V4VKdUFBQOjchyeqbtd83 0vkHA31YGnt/YznOs+NkQkJaoPkye/EbZNNTOeinCjA2fuV/LqkB3mscf4sOPEGIkxqO8uuGxPm3 OCcHlJ2pSYOBhQQKtUCanyNZDxD94UosvaAsP6kBkcgPtxV5x3basMKaowJi6D3aDIN9qMG8krUv YJPRceQfmg7A3DhhQGFn0eIjam382a9k502iV+Ok7fVcnfPczmkNMh206+ZgtRlhBFLs5Amt9vlJ bVprXUXoCQW2py27spThSgG2PpGlmeahP8BLZjPjoaT4gveY/ZrLChwB6ojCwYuzKsMZmE6scfRR DGXNCEOMrjCEQPZlIS7yMe7geceA9CaHo6tnoTBEOCYQnHasmQogAw/cbRgFZ7420IP3zyEUNhEc /Dhh3Vi1tGa3St9nE8wrwJnOwYfyYa3CTIQi/VSl7zT2dGoKNZ1YhDjSRD6wKMMJ+vqLBEdlbZNs qCTzUUMhjJxfkfU0e0sGXz02QAG8XljRygGChuYQGzzl2piQMkcbivHLOticua8R+9BmDhdR5YVS 1tng3aZygP95f8cLan1KLDGIdGHCK0Dx5UGxlvikodn2bdU/79iHS17nZsvefXGrygNJuEMb2sqT gWYDN74Us63iGuj9T6gVITqXIGDVrOLhdEt1kcp2cpOR3EGP/fWsH/jDKmBbwYJeO7oZzwS0bBQH qoJim7B0RbyOVH1Dd4NLZHiIc5fM/3AAUiw7enruLsSnD3pKYytapXQBnpwtVGnzwLx1sIo0jRuO qsB+O7ltlDNPo3i3Lsj+2r/IIopMkWSNZnu5UOD0pb6+XlUQzngaxGUsMK86tlyIeqfhST2eK9vC 29o87bo6oUJbvBpT/IgSo7o5QKbFPQBh3HfYYLs7WdCgHMkh6JTroWSdb2qTj+8iXxTEH8v2mEU0 q6X3K8m8q7/cli9Jrs1E6BvzyeslTjAk7OWu/PitRpxvxh1TufJNVwLiib8b9Oe5b9ZfH4lZg/SO awiNZ1NEpLUMvsqc29S7QbDZNLYHTeuGUcpvosjfi/YezRekUfuNlJKwRbHVm3btwewxDFC4NgZC Vgy5sFvQhDJYITir3UukhZyICUAtaw39H8DKa9E5hkEORkhJPELZB4e8J8crhEEt0I5WysGnjxjp PgGGpEqDK0rAzeiTB6ylYAmAwNE2ivaNDwtuzGznBZRleykcCnlho/umVRmqTZLG8OSqc2Hg2ENs Hp+bkpdJycWW8ysbnnryRd5pCniMLmK4wRnPh6xXOFNvqxFZfbe+cRkud+jIp6v0+4o+3vaZggcu DNmmQFABHJeEXh2bFnbO2EeqDQ3q4uFrKVsveev8qJzBl8blrWD9S9n24b5b0/Lgyu54cmY4WIx6 VcZ9RiKk33Y/uKTWPsCJWBTl5FUDSHSBCwqLg59wpFcwKuNt1/bglCX8AzdTGLM1iLtVpazVQzSm zzcCULlTdU5ZetEK2S2iplvVpzPK8wEGcrv11WrxL6cI2DBo4aHg/cWCV8oU0401gcqgK4Cpi+xu MLxdhWJ2O9E1xhVWVq2Hxo2FtewO0NBzTu8SB6ukATHLZnqhIU6F2SFrGmMhlyELov8SprH2K+6E au6tjv/SVMU/cM0tviNZaYJqvjZFfbbyQhXY57+4FWEQHLIBC/B/8J/RdqP7DvfhEmEqtBYJ9Yqr rA2jDHbkQ26lopsRLgs8luUf9Dj41f1w4jwoPqm01SzKeFkSpxNHN++fUbp9Eb/38OMFQzbxUmf1 892G15tJk9PmbfHM0g3TeMruWHHRwJkAbpSqUHIJu/cF9Nso7MbEfOTh8OYOkVpfD8rTGPbJYs1H +io+A5y5x8PauYxQNnZ7G/l592vv9A7AQ3iVXKGvdGHGXKR+OzyzaJh3U6IRato5K+LxNse1Hiz6 1d8nwCRzsuKYihJhAl83xBmTbPsl95GdZVKdlq97WFLtrUXOBUC/hkhWI73Wq7cITqPXVQarXAAg t3Z/1OW6KIwupZuuirZVOJSE15T1GPRiHHcfBSQxCNHVNcXL/UCXqktprTJAYH8edkPyoJqP8v5+ yxowrARRcAShJPOHxKDCoizBLocQR2uwQVrUzz0W5/b9CIVTu1uFaRXFFQ9qRzQMNC9Qd277niji l1zdIL+/2mXtx40GakmqdwFrjHys7HUmpXf5wZOPC3iphk3N29/TB+ha48fGKkKe2j3vxAb+MieT 31hqs22ZjopsN/+3NXBvLhe4enWnyPaAtPKA+2B/bMcRmwhNCBe0xjvqGE5BAjq3SPoFNKda2aJg jAorCnOoK3IB5qYYtAZ8KuyR32cDf9xbqsW2wUhvzz/BC2b+w8nfwHZ6wZIwiuhJKN9cA4zx9+It 7Vo/hDFSZPmxXkxOkxKOX6XOa3Pg/rqnPhYc6M4590dQ6g/H6D4edjl7Jzbn7cp/RMjGC7BiWLnf xP5TfNKnm/RavCAtFhzdciWWys2VotyrisPzgovebQM3/0Qfue4xb4dMYa2or2kSu/EikJ5Kbr+H 0DfiisSShg/jAWBnaF+fWpEF4eB9SBlPhxqoX1LAaGMkSxtl0ZUyZH4hTdIeb6xTvYEEwfCSg5gK W4mVpexSwZmtX7rtRfDvM+7ASxS3j9/cb4JE5uB4+0kZWHaMuNP1bCaeNIz1y2CvBbLzhEwWPjpN rYvp3NDxfSjDKObDvAdQ0K0VBLtFwNPXmt6QzV9hJfYyEMDP45v7xYh4DxPiOLViaUN5mOD4yc1t 44pyvW4YbzsWCsrTuNfUTkZUb0CvGkflI7AtPpEgGtdEsoGYrrPNI7sJx1nEEwGiLWL1rVUPXHg3 6gBa9CGwTHgM5IEMjM1ylpq1HRT1LlGQTgF7F5D/7Gyc8+xyyrfXuJ6p9DfM507Z/gDzq/+mIzu0 ZKNi9yusZkrDpFFMGNnhXC8+N26G4GzhkVbNRvJ40ATkmngHTzLPidIBAAmN52GS2rx8NXnorBvq ThQ9Ckmh/CYdwQSXLDIWQzzKB4YhcVWskEC2avviWfnTBFo1l8X60nSe6/GgSaeocmabGqEm/If7 vW1T5rXd/yKODLm2wD30TpigRNmnR32V/aWxXt8sWbGpeq/Xz7SB/FUbRT/arNRjYJiGcVk5wv5M bG2v06Dw7feTx8oIJg3ou+6syoXNZt9lwcrYo0aHkyztRXIRwgYL+Rx6sEIOvE66khWPn9mbAmHW 8CGCEY/89sE+LEQKrQE8bbL4wKkkULNQX4x2cBhT7TmBie7Ql3sOiixdfwRnBGXVmDAsRALgvBvh babpaiaaw6b+5mhm9vnsoBmNt0CBEy97dt4BsphYkqtkE/uUU585NYfyQOjey9PDKuPmjgJf9hiQ h2jjuFik7owo/Q8nmwKKahl2CzCL4qfn639AYY+6llcQTJlS4AqsBTVnjlsPlsBMxMJlyahUAI0/ VZZvpFB9+cg4AYGj7amvt9jEymgQigXmDyS7eLDAM5v8gD/X1zSJFrIe8UK2IiIw1eYft1ZYsL5K tBPjoCIP8EeIPKYwyolJ9EdFlweOlik1+BhdK94OYpHKSgbt3xNkKFl6ddkQWBte0M/YJ34ZkiOe xRykCuD6rhcmqwq+9hyumkQb4dwrNfQs0OkQZMPGp5wY+a9LF++EJJeQ+AslqAEA6JS1J5Cd0fIb QZNhtQagmC78p0b6yLu5Jjr46tzdrx752ZKT6X5iXOCjL01MXDIrC/kLe38f/KlbIMgTP8oeQhuX ViVGJtxe3Fez0XIM4I5EO1FjAnR6yYV9J1Bml51m5AcCB10syfUc4R12nsGxPfOqf0J8KR8Cqpbj PUekqXG6nAVfIADVB7AKvpbeGAYSURtn75G0XUZDHrfjDASgkUeENitJZjSNd/wnCyuVOLsY1iqD Bqb+F/S4oYAeI9Xla6rVpGPpsxpJ/ad7cN50SzUT+4lHzYQh0J8doaEWn+Me4R0uH9QDahe0rrB7 G0leHyFl3mju5NheW7vmYUD0Ye6blrdPFiKnlhAS6tEAd/hOLKcT+dMTWeR1tN6Bz2N4nxfVxF+p 3HSZ9wyeviWzyLtMTneYHC9h6r3cQKKjoZ5rq6oEoUx1a3yQJalrCQSLAK11l0AGrGcrsvhudPHc gdPOtZvw2YXBm7Mv6ef9alxlyDpmwR1amSiuNfPBz6WhUczHHpGOumjmzo0CBer++aWlmCGhqjMO 1FC+INlwIeT0AhKwOZb5xqUoo3t58gXV6z20uV4is40UhDF7CeImHxlLQSXGxxrJGcmj6XuQQKI8 +xcuHQFTRJx1+IGnRCemJ0IYjMmpW18ob4Utzx0U2YB7BmGiIO+aw2jltM89SW6V4qSSlvtS70+p s8zU3WmB81z1Zm/vbarf+ulG+1JQ38OAXmG2LbIe7TupLoDGc1kLJ7AB8LxzsmjBnKbRBF0oDARz SzOXasK59GxiylDx0+k8L6UA2BtBzuoN5maoDPILWGm3eMff3GJh3O6+jRdak9sN0Zb9T5M/UGxS mTgGScVSAbf32UGgnxJzydwTXcSjyyyaZLSaqRoqMTbRJsSRh1Vz2XhnIDL6JiGLtR+q8Xpd1JhW 9KGt/EQCAGAKaIav7coRP1EABGQE8qax+FPQW7lO3auVmdl7F0nEeE/QbbcR9q4W5TlxvP+ltBAV b2tCu6YGt+88Teeo0Xgz6vdnCxoh67sg7WHF1iU009aS1gB7fBaWYj/JoSwppdP2ZqItS8+MAC7h peNYha9/MeWdz0XLQB9muxhJ5ZPvaMKvCYKq2klE+Sfn1J380rG48cgYEP7gO8FlBFeS8iSh8TU1 iUIxvFHHAKJDDpodrf5vdFQWhaejnJ4e0l5ng1iYbcGWkUsfqrr3sThSfS+WBa4sxXNt22YhCRjX mW1bhL+w67HJCehIhH9R0nIqm6rlcKMFHzQ5qoHMJyViVdlOXn8H8HWmw0dfA9w2an23shMG7bmd 7cVYOh68XRb020huN/GZv4ILtrFaJJpVWNZWQTSxXm2iFxk9bXtEO2Q0OdiX5QzXas/TYxr1drU1 J87yKNCrokSVrDBvcYPj4p2HLJyyNIa/xdAERgau6FB5OEl1ctjmX3x2+j6TT5V8cFpwIhPIdx+W xG7TM0Cd6TDL5bvynMpdbhEkr0UmGopPHb8pm9rlWALoOxH1qI7dWpeFbfHyVertihNl8iGMvXXL 1xRGD/YqgDMhHAsz+a+y2LbZMj2X9LeD5Uf4BgYzSXhyiyHGVXBnnSE9nwl4n8QpO/9s7KSuFGhw KtR+doYZuVjn8nTefjwOfxMkeIpc1ku2ufwI4l48KtSa9Exaa0e98wRjul5iB+beoEJV+LQiaOzG G84T/5u3tYWD2/fEKMggRWibOtS2SdIkicxvTm0L+D4WpOC6zZcOUQyvzhj4CAWz8JaJ2FaFf8nJ TqWbmyBuEMx5jEnvAaxwpSdnIjTy6iCjGqCnFcHQ3zi2mHvSlF495GOsq61PYiUZnmeeo4VoPK2u +R87Sja1Hms5r0ZH6tnMoKfPLdzUkMwr4k29RqijzmUj5gzXEwsCUoL4GUIkmqsiLzU0CHaol01R wzP9IiUfg6dad5D6UoSZPLUXNu5Lhet+QUmUBovOv83ac05jzSX9XHR5KLmW9e2Nw5q3vNxfQHna Mx3qkpaYUEIA6kHEp9NIgxA1O8dLzgv0iKANeWpM6Iap97J5Mgj5r4QdY1SHg7tCMFC1tE70hUlg 3NA6Z1OEsP4BjSHMGijiTBCWHtYAPsJUcjDo7COOhex7Qxvl2nkwU52Ag2QQB3s5FZhxTtpTdc6+ aiz0xBwGsc5MxMfglzpBIeu1jGLfh88fKB8of566UcC+X2PzWjjeDVvj8MD2ypn9XRodb7vCIEc0 McV2/AsCDjkcI/tzr7205HIsa3+RAJjrcf/3cJUZcvh+wmzzr5UYfJJ9URGbxXW7g86QxDqWVMuq 3avsHQ4nz3clme8GcpNMMRP2fSbeIQOvXldgC8Gzpc84adXRLqjjnsFpY2y7I/LxFKYHk9VJrlHq t8TN2GQJtr6VCMuCenMG25wZef/lgkTasKa/lki9F99pawzDLRhfu+wQqaTYvUFzoj4Y/YvgC3rl oD/ofRszBnWbeLtAX428TJfGKkayOf/YpRfls4FXLhhNjTCNABlREKs11XfDniVYTqvDLyHStbwG Slhu6jA3fVg6JFDZut1maepaWreRWyIRdFNYxwt86QoPg7wFfPHsl22CtiKyLdLPB0ijOCcHcsmG a+M6c/LvbcbUYDwjs8lTc1D69r/EzeQKmaJe3SKL9QpMDdYYL0KaenzkzkENpMIMdLVbEADaJbxn x9biAl7UwyKg04p0Y0np07BOS2Lhqc7ayoUNiRDnuQFfBmsELUGmn790eOO+6mYhylxPgQgWqTUC F6SjtdQVrFbOobFbUToEhtZcsxNog+RQZeedAFgcDTAFf+cN5uBe58fEJ207JEQPD4HzqVFgS7MS /J0uzqKw6tJhnJJHuCcOkyBvC4lDAwQHHm6NHzv4EtDNIXEWl1WRK1WGdC6kTriDN9Uc1G03uAFE 2+cwhjpqR5nRca3LagIUpkNrs7f5nPuF4e4iOlMDcD6ulM/FZOhy/Dke++37cKdy6A+aabd6MbWN UVidzvP+eI7TLfjFH532WOHD2lS0N6qVPmXVvQQQsqwM24ZBk/npimKpAO5iToBWe38QiNgVv67z /lbq8RUFZ+f8pOdmWqNg+vgbynLosg0eiC+hPTcZ5zniZqyGRCy+iAQl8JiH/L6aNgr5a/t1SA1x uNStA1vZTzzLtWWSHOQYuZI0d+SvVlSebLyoky1GiyvPVF2RN84v7aOsecvs8sr8aQmcDpE0mOgi Xaq/LBa5FgvG2MWY+h1eWtKvvk4yUauK1cZ44ufTGSQ62C+3ke5iuGhbdpjDRBHHOa7lLkWoEvFr wVdfPzNqQ5oifsxjXDTNnwXP+6bKlZuYs/ErOiOKhjWUK36ecxX4tkGEaL1sVTGOmNPZYFrwJtEx 0f3lOh1owHACR0sw6l3zgofbj+rz87YCZ8s4f24JuPND7dltKyn7Z9aEQwg7lkldJa+YkLtUJtz6 vTVSSE82DWir+NwyUo3JE8wSy3WgFubuiFszT9znuIQeRvNJ8RLrN4O5hTJeLQUkRowgV3TvpSCW WRF9aWZ5oZFLtXtcwTR6eUhVY44AEZDZCnusijzywJzv68/vWChRVgW4/XgAfr28WlckO8BrOAS6 aDOIu55yDNaVEwKAEtF1pm6qGXrtwXjUJSY7iAWTGfn4OCIkY9GdstmYUwROwKfaNe6fg6GdX9Hu vJq8R1Fn78b8YRJh2pXMdQp07gdhj9fAotGPdxKJW3Jed0F9OG+2ERkz+z3LYRYqIkXd7mL/DdE8 VkwUkLcT19f4iqzaoYrHge/oVRbL/9XK2OQQluB/rYz7lYNdJIkzQXDajuYZqOVFMLfaFk2XHIZL r0lsjwoDa3YMtdOYC9pkVYtmNqfWIMaypTK9Q2iXux128TMLDodnjUdzl6HhoV4smUh6uiD8YuQh IkgjWhDcqm0GHjKClh66/FbqHj4ief5EAgFYy6Avi2xIid5MxEHziypmBksqjl+P+MN6DHtqaKtV Tf1HK8ApMM+S+p0Kixfcbwqxwi2CRhFHMS7+tA26EuiVBYol6+D/MV4QHVA7u3oAwP+So9ugDT92 Fh+Vk5kSIh4m1i0vszyZ8Xec7U1ccMDDb65KVl4jw2FT1cIVsP0ZDCjy7/QwW/uKPVMgSh3CcPqz GCZVmfpprm3xLaT2l2aiOOC8z+CFXx2KbH+1jj8LuoOL6NzHCXf4OgDY6niwATWM44ly0afKIHq1 FULK7hDygf3sQqwzwuftGmq+AzApKynr07rZlmnPzJmQTrcSR8skYXeCbDozMuOWJ+gLYdIGg8ts Q1vTWMJmzpKeuNf8vpI35awAqp0c8K9ZaWx8R1CgPcn0huGlwvZKU7pjPYakCCFfIBDEkwzsNb4x QziMjdDuzq7Md49X5z+Ne3C7O0b47y4FHi2YRwFFOENPcbas23HUdFZhAQNYz3ix8kRtrsrKJeC8 FmNfWzc7s3addjYKkBr1faAsoOY26qYUKyRDd2R+mc9yF7lojfMiXa6t3zKpGsbm96UzWW8avkkQ 6HYDql2W0Oy04tF5TyqGgDM4zpg1toL4xAXCJz4lmAIjTgHTZ0gwnR1/M6wj0kBPoyHyyCa5wOBb Uh1XOncsHV7U3F0Yr/BlSshOM3nYHVJgNaBYs8BagkUxtdcGujo4kZq5mqvyxBBRc+3boT3JxEK8 zd05GENYEX26c/1VoKYiKAQfcrGVoQvFRG8AieEvBEyEM4A13Vq017/zL/lMvohrVo0SqloD1ae6 EXE0mKzk64Hm4xzIKue4sQNJ2Ker3Et3RWscNrxNf5eVI1KuWqXadnRyDUSGBo6BrWjHgwdQQCsz 7kDa05PDAlGmER0ScihNRbY2U0DPKplyZLORkm6CQ0UtWCrLMikIYtsPVPTU/+XWl+kZhMXTEmbr lbA/LZe1ICLoFqMHPlh7BAZjB/1ki8Jj01PdVryZzeYH0O2VMQh7ZOWF2hxDwCLkmx4KFBa4DvM/ KAwftTMWehcSJZq00jxKA5g1A9MwzkpGhTOCSXc2J8ESE4vro6O+AhLdpoeFkU795uodDa1jZmCW /jsGnsm9nyfo68dKcijlv2v1hsJmpDvGuEGFnt+wBecXOlDX1w1vf9Gz+PzfKxI9zyPL5PMOEELh /rhfCXK36NPPWMym77Pzw7aSj6AegKFRwPUGOHbuzNSrzk0JTd+ncRkm92yhSaths9venZAAVs9f jHl/1e9hJtus1cTvCSudlPgux7EuzqxjQ8SSnklTqsYmt1DJOd3noCs9t5G946GhKniCN1n47LLy s1QGcT/IQ0+iEu+Twchuicrl6KyhtQAZlbnZyxrSeQR1MJqSLM/duYHNsgqHMSXp6ysC1AIgJkWM /9qpC8OuNrftZonbiO2H9+p8PL06itFQ2ad2Xquy0nTPaDW5A5SWe61/9X+0iww5uGLJe916ox/n GM8wG0gSZQ2/vqwnhta9JBdifW+EwS7sKQ0r3p8aEHxuGMUKz9mVx+HmI1/naJZR0eQxyuo7dHRL GcsAQwvR5GYJ14sOQKjrk5IaQMjDVLgLxUNSrlxOi/VzgCEOjSylX9JF9ao8fD3NrB+vZdhYpL+m MV3X+hmOQRSKTK5phuMrJmNsQDPImeStYhNyC4/DdDqKSXwkrxOXmVJbUySgvZRY005o1VlZsdRM fV5owZcPA8oeUOtnEZJNb++jElSXLUqRRMjvEbXrAUAlf/daWbsqWYGUSTIDO9JYRKl0FnfHZX0E v1K8MqYgUJW8Yn3eDri8jubLVdtRZXnxONGH6xzNpIosNcBdI+ilR3rkPtS8LSgA7mueY0AOgw5h IkIhqLhHLchhpiZqnLSbHr/+ni2E7PjCgM2E3lV4gP1R3WrWnHKvj1gAGvAAiefqC0MFB/93ZePJ SqRUVXeAHnLF17aDQroGfQP0LlopYeE54jCoelYGP1v09BcWfrRXqBKV9hKGM35wYwuURiAGh6Zl A9g0DHJLGfoniAEmumFbJc5gr5fmy7ZF9+S/vb+99uecmfHYCw2PxHYQ+4SP1F4OBsy83/PpywRN 1iSxUcbXqOLGVdWBUNf9C7dX3bW0Ib/R7V8ST+6rlHTvib8B8WFc6P6mfjz5EvJSIPNZp79izJa3 QCv31ymm88TS79wmSZDd0+zZ2y8J8hJSZXh1XFyFNvJIW1DaE6LlrKrufmig8OA/yL4EK49kBgQK ZzbUpiFs/Vb30yGgA+EEv9kk18XLjsXNwxppFLrqXFfP+1YJLDjxLcvjh6bjIN5Ti8qhhCYUyEES CUB6D21+11bx1mO9RWO5SCLfSUE9iW3eHnOfLkQIfeXxJaglKUIjLe2G1ooFvFxaQo3bVvZIukMl 3kxF/6ZLBimHyavyKFkxBGQigi+D2BRPVnTeQ9lF1L9dU9gSe4uDCHNE7jvBRZSj49f2gOc/xREx 8GUQlwhCg3QhZrc7OzK3d3FOFiWG0dF+LH7NiQOkW4l4plb3pDRLtr0MSswCstguERcVCRZIsCWl 2yzdSg8nlKnv1BYQLp3NEmn4fP4KESnjkzEQnHCSgv3whAYPtA/+igmC8914aehgpSh/VfQsrM5a 6qM+NYhjddJr4hiqj+0Re4WfdhuiUQsCsk1yyXr2dlQmPYR1pqodXFkp8VVWGctIetR62vrQHSDr hlIYRqOchVI7IBb2c1BJZPE9mwjXsNRQ6UxUVyZZ5DBBweWmKpeTs0XPmHNptbRwq88etAXjB/1S pFB0+kK+ZATr8KzAkAxMi+0SAB3UhHPRQJJTSNqtVnZ5MVGkBPQnkmyZyBLvwWQaTKpIFwqcLJGs 6CdR5g3WXECcFRc91rdczlQZWvvM1MD72j+I6gyD/zwrJ+RpeUO/pEQhLCAJ9lmsHTjPYEugV12Q Kx25h/pxovtAqxjtz0CH0/c7krhqSz+l3pUoUX4ga+OuW91xDOmtojViuKyEFq53f8tyVrvXw9M9 IayN7icS5Rt+UA5Sca0L0Z9RdMzw9EJNm0tJl5bxIknaJcTsqsxf7cl7ceaeFbjkcQsTxW7XQwVf PvkdqWzRgdt31bQCeZYiUEHIDb2JBOvXBsvWJSLQ/bx5UUcermdLXkOTEfFODxtzrIaGgoL4gyxC U3g1Hq/nJk3E3QG6ij15yp0/+1IzdFmGaChrHlZsN+aDYikQAOnGhw/J0Mx69/SzFJj44O6M6lVX ME2WHHhQKuZ1iuXqz8snGgMZlKwoKmBs3MAdA1pkHqQ9IOJjd3KgFf4YMkRUR5uWsJ9Zc3atlrQX 5x1oYRZ3GtvWnT7V6+hMaLSFDk2Qzrunc3CI52YoDTMCb6ccGKdDzNQlPZBkJ4iZF01C/FtnBp0r CbvMpBGpOqOEpj1TZW+aEwQ1kIjaY8OeU4qgvRGFwqHYRopOgQGiX0kTI346P+6CQqzgSGWgd87/ w4gU4HahPLD0p5qGAQKB/t8h9XwS4fZ49aSfVyGdJPD5MqB0wO2QdBBSFxb+6m0upyk9Q/rP9BSy D0/GEdLsEvlPoC/fkqewIw/LKh2hyn136GQX4eowv7q7usYUmFIhHZNaier552g9ykSSr8Mi7s0K XH7a40ye5UeCsevO7N3OdijtB/NseKwwG8yL1TzJfjeN37/1MI2m+wDn9+Zf1dmzv9TKzjOjbdEY 6UDcpbFBhNwKpcH+sgqIqxMQNlThEJbTLLPrDzdsDowGKv/lQn2rV0DMQDHllJ5m/j0XC24CFwTA ZViWQ3G4azIIQba+8whs5n+LySWMYsT1DKWOhb9j433BjeybUioR/KjILmQJrKj/hgUqyy++GXN9 o5j3xLcnWZzvlXrrjEYLTSTnitsDUQEFehld6sjlLkzBEmibQJwSCm3CXJNn4OicTx9vGb2lytNL STgG8+OFYWQRcvk9GzII1B1spVHD3WyPBN2CldOCAALcRKrGoF+cfFU4Cq89k7mfW9bnf7Wq08EE PTS42bY5l6YRjImm5YGxWDwllHOu7cyHUemNuNQAncDTaGTHriaTqSWfA4i8RYWFdLGQRRIrqvgL yWq/x0UJqpwsQ0gLSWQyRdLhtQs6DwcX8sQWEScXWNU5N54PNzefyNrefTCVVMyvkpSjkATkMZYG KA4EJ7eHMg2Ui+ZemZjXu6tvhOyY36vVWBh5w7pi/GoOcc5ByvDb7AIbkCvvOlAaDEOIEVN6FHgO 4UzfTQP5mkpU7bbGIObbIdyC4if5qKWzVLaesGQ1jLsnmZ3GU4ZIwxXYpx6HvjMcmTfOg+GopJ25 5+dMPr/3PvpAjAFRlmEmVm61w0Xr78BBS4bP3BgYqmjubbtN4ux0S+lNBbQmWOOSIskYOVbsuvkk 1Fn4P550B+TzVcpT0JOwTE1U1tbXVVewf85OhBuOjDCllGThCVbrv1DjiZBlKGFpmzHW90IPpRx7 g/8fF2m5kYJkqxgxH72AsBjg3FJQXlEnH5lHt8GLHifhz6Nwcjk4laHUYIwSKo1Ig7f0Bztkz+fx lgJdQCK/yon5NDbUdARXm7LH3jSgbbdISYLo2+aZV0CaJjGOG1+uIf/fjhAFtJmAScOKFQZAiWyr NR0Ya2Q5AM9aBZV41V/XJuI8LMiC2IVK2jGukmKSrwIFmJVkxaoS6VTO7rpzk+34EfIjqTCwxw9j VEN226nyGc+5jE9NL/dtvHWd21JbiPPJWVGOuDhGRwxG0OqCSWlWlfF7MBMJ7ai3ugyMYnF3hP6G b4+pYBD1tGYvLuxUujLZEj48bj1zeqXQNKR6uU+Ng/k4AX9HOqKCmkqf0dfqML5FkFygWW+jkTzs nl8C0K0mgZM5j1kKc8Q612Di8Lk4mVi4/tMVpJEYMMRCB51PJN7efjnEuFwUhbhtndfzFWtkVuMg IHWkc97aeg+CbXUqGfwy/BII9JIeL9umon93d8te7UKqWUaN6HOH9VxRIM9eWGyWjINPpBAguf8y bYcEL9YlA0oG1W0MTk2syC83RBTWAF/Knli16l0ERuNRbG8rta2IHblZOGiN+mBU0znoNflngA2B PP43mhFqGHYLFwvXWbMso8+FDcDLNn0iujr5wuX23wDo0+UrxgLmjSXaeUUs9cWElwiXWU3mtLG5 D6K4Z63rsosnPb+kjgmpQapNSMe4bJf1uh8+SyRPGg4jL4lDzClIEzg+yYht+2Yd9t55ENUivOAa kYJkd5mx9O7bIvCErou85yX9khhdtKcTMQiBubGWPBCS/hACelu2xM9xbaYSkkmp1MXD3UHqH/3I JZT8yvIn7uEHJpSCxqAtwZDkn4U2rAKkLkJOnasRFRsEAfpNUQIiKqLIEHhsolQGtuH/OLGB2AFZ 5XEDFMuCaSsKkuHJxi8Bsndt8xMMZUwdC6cPsk/qJ8yERbGhqkVAynZorZV0rp6utKH/k/fyhD0d cHKNeuipBT6tITzoKZjGYfFSo/ACq+6Iqp7KKhVI8Uv9ZTy6VTnEbgdBEpLbh1P1CWGjxKpOKmxe BgLh50TOobZHbFFFy6FCyhD8kYq4FgLvWNWkRqZ9LTQPPYx0xJdPuQFCQPJwCNikp3v8cqK5EcLl yS9MeCAQHeGML6ZdQrnfuGMpNA1Gn1qWVG6zPJnqXUJ4JGTgA2quSufreTAnmzNmkiOCTuXIvarp SWzxi9EsOsT37YJ1S4doVAcUTVGggcGAyjtC9ZsA6lTsnlsBzR2TJPJGP0H4w1p7kt3/F/BreeuN gpQwhOyjgjAUa/VHc3Qq6TKoPbDUni6+357iRt74E1/H3vieZNA9utweq1SpTfaVOsGVUq1P1YAA QCP+wYPznR8DxzU+ASi7g3QcFZF3kRBiFRiBTlSBuNXSFoHwJx0xLP4/BjTUGjNpcz7EOAY3p3vd Ks8h7cSEh1VqjzAkDtsUNjsgIW5znwJreHZQDmxC7b09kcVkn5B5+zv6gmB4JvLqziYsxgGu7MX3 yUxpZ6zKy+VTQDYaLkjsT+0mUCGA09z7lEi96q2pYp3i4w5y5jyZr32PF7HqfynH8OxbkhXaW1IJ jn6mTae20HuUmdLma7Xh8VFeWO44yMatG5TGzNQBqeLQRf3Ai3LeMlQir7YKamkxCnySUbxldGYF L6JH+YFWyTF+bDR2sTRB++jD8E1MtDSirKNuSN8tzpqmNRBN2f3MngMR5f1Bl0RKeZUcigyy3lgN lCvtj8UlwDL6cVRG05J5sytYxUFXsVw4nmv9cDrA+0Rf2nGRBmpMcHW1qs7pq3rT0Ye/085mcAW+ 0Z6pTsx/7E24WfAuH5mwtep9AY+RD9Jk43/sNEsP2J+/fvUXG/mS6tmQVSBCLZU8ATgOHGF8T/YQ 2xcAQ6UH808lJaWWZ4knfkJCaqq4kDLFBJTLDG3zCGeH+ax+uooF1pls/+JGAdqy3DNULHdEePUs 6BxBErfvhhW0psT+cDHVM7xP4pkSQ1+VNzHrw0zIQ5GYUnmZD0w8vAo8yD6zlGKzJxCuJ50Mj8CM QXyrAN32mqRYo+Wx+MI9BnZgISwfbpAeIFXblOb110zmiUfP8PMy4EHZ0wWeb5r22qfTb9zRA/UX I/eqAKCJH1xK2rrhQgUESC1Y/7Uon9EzWshmlaBFlyPPuikx4nre2WPbJQAfLmpWDLvZXEOzjGeG dv35rjWZjgvTz/pycVWa5Bhgt152pxBILUMIooADx8ar6R2NBP2IYgnSHGATwnuc4M6UO7s6NJUe EnfBWnpoUqN20SjjTBuaFWyk+lGHM/INNW1TgePJW9KGrmuCf49CNFqK1lQqBId3lTHkeImXv1Rp fOtsiUgATBQ629jGov+x9IX8KMDvc0TLW7VGxwtmLr3/Ve+GPJ8U9HosgOk2MB2oTjkdUjYFMSKE bNZoSdi6T1YDUx1Pnxzq0QMt6xP3c4oyrk1a1+s+pMPEJtYmZbr6mURhY+DmqJ8hOoT5/sPcqL9x M3UYbqv4MFqK6iOg0XUnxUEkgpexbK6E+tHDKV1/E9Z6Z4sGx2GSRM83gd/V8QzIvl1f9RGYNALa 8VHqMbatCRmHd0bZJlsVSw8nrpbD82zgkBLx69mxGPaNHs3uroeeIVePwRVDE6YquL5R4d/nVPjy G7loqPPUbQ9uFc5SF+ga8BFywz6vTZzjILoSVEW6OxEQIUyCmv1r+aOkMbxCI5hTTqXbKRhyaXQx E+PsQM7O76LtnoiRbe+mwQQJLmaZrKxG4myv34ak+1exGwzdebmku/Wq6eKI0BazrZTFKTfwOfm6 uA/szHY8Q5rARkxC2OakqNgeYsq/AGaNqs03AEgArn+zlyLmLSwCA6avy1dJI9lnPDU2CQaPt4mT EANToxQclttbYwPsD3haPGe5J4qus8FFVAU6eCWZl26s+rngVmJ363QqYr5u47nZh/TMVhZfbpGE C0i6/CWZmxuZJKmfujG38YWRLIbhUxKgoxhMdxX+J5NG/lJhYDFBEGIAWATmnUhZlOrWzjFPQTW7 Z8MF3oxq2rs0aPKXz2z6wa4yxRongjDuXhUqg92kk9Jb2aIl8QfaAvdzdlpycOWIAOg/iXT9RkJu 96BcPe8htdwTXoKQ14hmUWy/2DUPnPQv8u0HcOXM+J4FMbmt3VbiOLm+hyQKLbS0bbuioXXbD2W6 7XHQDAW6nHquwK5cj8zR52+AvGfJ6dgPuyXeh1NVh6eYI/w5DWeNZesP9+DQXlAi8D+MxFFIYzk4 bXsnYHCWlNDJ0VkEwzXD/WECXPBLbHGq4zN53jp2X5NJbCnuzop+gJHu3U2nCvLw8hFG+L1j3VUt pv0FISz07Rp+EZgBhQUD1stHk1B8n74A9RqUrhxeM5PF62rNbiXx41wShPWwNeVe9MM2QbKKSoBD 7XrvC/6bs+DbLgScp6JXvqr1anVJBBaiEbneXZ+t8SLYO126HH3ThXKmv7LGvkUoDgdUqIVOJyc2 kewzFqfY7j11FEX/hLRV/JlvcbJ1Ah73bDryok2aOoQ40TxH8t6q+yH96TEwX3gpNDb8dWmuuoQP BxSD0bm2GRo4s//x2LavxviWlPwwUQhPVT1FEpFxKagq0INpuMfZCkw92FotwRAdZG0vhTo4uzdY qHlRhTcPzWOgTuPQgG/obMHoBYZo2XiNrAvwiA0tOSDhpm0vzXBx2EVADfbZVSkT0UY5YG2E+Pck OfVEFHE+pLoxJL0ypL326TguS92Scaf6rjECC/qOBHEc9SQB3G1e+HQ3opBeEoiLrpdpOFwJhCIt 0HNxIcp6cbVUx21UvSGPLAuixdRAqK9r6F3kt24YjPo1JzXz6Z4E4PDMnWVAfZQtLX8w4eiRAdhF 7Cf7T3UrMsyu8mhSmQ0nb3EgdQyoyHksTRtArUdOou6bYB7qSwRyIsavlxdJ3R+/FqqB8RdnFuwl /5rnnNK/BK6dtp/5jWRqS0thdwVKwSSFWPGUJ1Fw+HE0h8EK7a/oLdw8bCtjmrtz1TYjRsBjuLmk i5cVlb6PNBywDI5bLsMz5vyV88pmh3lwt4Ms8BdH4MBd4rP8gc2QZQmeY+2d7GLr0EVZZwnWTR6q sYYGTyVrjQAug4moK8eGWSxNJAeAbSdpHHncE9mjO9dYhOwgVmn9xNnepyYmGil36Y1uxtRPvuXN m+njyW27WQvTrwR1YDAisJTsaCCfnVH1+cmeQiPr3dCoLfk0HG1bQnnaCVwoOqQbPoDK56o7U8Vf pAo1YKaBNp2jYFW8hM3YtphiFU4XKCz6jfyDvg098XbL2sTAsJMp+DRaumMFEuTwfm0rnCxddcQ5 sL0Ze+rF5HhugYKn3itvq0DdGumVkbZ4HHK9P4ApemGdRLzOHJyn4+2WeAymBI3azVIeUzU3QeUh rOX5b4efDB2Pfm7r6iabX+mDWN6UCxzxrzFIzZ2ZMkGD973RBByu3YOsa94cfNXWMC5sptC+i817 aBR7eF8d0sOt4gRPHfcz7crRwZ8BOyvEKaI0ZIdETpWdzc1rOJ1J/7i0BhtXIkwrB0aJexlWKbgs Xlb7eadUSMJmgJ9lZ4UPb3BL0vqFdYlG6QsSZgd+tXsszorUvs/OTkqDs4OZjvRGHdgDk2kM/4e2 G5pwt+GZuSfRzW2GIxxXyCK6EjQArUC50Fs1Axsx6wmuyjusCYWkfH5QxkMJAYpaBauMqVRXjSAR GMVVedx0RprO4qd28iC79GNso1Xn9K7vFw/VM+1dKMLW2XPyE0dfRyDvmPTwNJV/3G6uINr4U4n8 kUcDW3Pmw7JBAB3z+oBEKtyYXshpgIANaeXm/YqPOvGFRbTIb9MkvAOMxrewLA5wLvoEV370Wxeq +9nc2rzxkbT4YPhCpoZnKaNfsRccluq9GvKMvj+GwrwvfnLbf/HumyMs9Xr7MHIHoHJIiloXzAgo 5j8QHTSO5JCNwRqnOx+835rTPQZ8NluvhfkEvQY90poQvGCie5hwFRDnotRC25L2ZzSSNe6AHFYa 2vkoEIuthq6G9qazvxInqawQI8LTMXhUsIKCBV1OwoRe16hH1ziQ9ShWP+UyCy+HCrQzB9wnOrov ZKf/7xOE8YxzSYPopeXRenHvqAGVhuAHHSANNAgShOMZVR04t3pP+GMJN+LQ4/WvXb8dTBLI05k5 oUW6DqVSeH2WoqOsGt2J0lBwySk6VdDp+oMpj0sjtnKJBRCvnXQ8NHVee+usyj+fa25amXBPKurb AYfhva2AopiBJNb7vouXMdjf8XhJf2dlsPtEZCq6dV2LaThgSdrqlgiftfhYCM2DYgrq5bsMRy0d 2zHeYoySmciJtCNV61832NkiO91wPPbIF11A5tvwfxVyEOqw+hidOazEVuVkOI6A/eDhWloQ4ghf evVVehoMTLTyz0VmNJMdX2ZCzICdIoQfc27GXK4Po3xkFeWIzsRVVvVPuthvTcTCnpuGo/8qBTfN CGO+Wm+sQ5tGn9zboU/SR0OdROSVOtYLZWwiMNFzGprfyVeufmIflGS5dO9K7CbyCvi2qOD5Tkh3 txf+F9P81+zroimNXRmuJtno0NsRD1y8UV+o28thhe+FLRFMU4hQx/JCYX1olb0UqTegNJf2Q2iK sb9qApmmdLkYKwW/JhrLI2AZyq3s4Onz2J8eLJrihohOyvRzxWaIvFDDkSdsJJ+Em0v2LlrYKeUj SN3NmOEsi/Y2FnZfcLU+08lmK7z6WwEBk66ExsbCq9VORAr7oq8588UMHZ6BeqRf6L++zC9y2i9N fwFumPiQRhobKc8/S77JAopqSVXWDtiEpeE6j/DMKStTBBiManbR4bWjQZMyP7HRQyrjSrnqsGTO vmupf75NvUmO4R9fgW35v5vKi+JCi8F5YDTX76mrX42PBOXffbOcvu/oSJHgAO4eNrtLRqp01VDW NnjPSBjbslYy6nCDQ0PHRPtakGdBp0+NsbrEk7QU4NU1imb4ow0fe/jAvxv1zPIMI/wHpz2yi7/A UHgLgRdXEtuh8tmKLK02FX829Em0ljGE6zqveBplBibNrBqT/3mUw9QkhqAcGNp5aJTuZFAD1hWv h4DRqTv/k+e9QDjuAewhfw4omzLMY4uS9TYpmxWo1meMBsxh05YnSxcNOAQPSvqIbWuhesLLodqh LeZfY4W2JDHHAxqm2vwNwfscvKoYNoiugQAKpcGVWLuuUT4dZ6geopIllyRBOIlu4LkwbjZrqTHA YvFQAUXh00mnEb7Ta1R6QUdATCzRUXvTYxYVPb/3yvxMkjEkMvoxikRM4AZ5kYuJ3/+BylXCIYQs X9qgpTNOf98ObzbLeYaHBBUqs5t7TnR8fkLUYVxjAdgH3zZ1H5UuZW5xfyDA2Zf9bRSafZi5K5Uc /MsZ2f/ryeWTlM5DddLr7rjPvXRygDNMf5pb6r9ZonHLl7r4zUJ2zzM7kvwJ8bbloCQfaMMw08rm rX0z9zuwDGNCq6nmXc5RiLF7DPWw45XIT7MNuqrTDXBp2KXr8vCOXe82slgyPsTOwJBZX0x77w2V zunO5qLTbcv640gY6e9WXB2gRilI1pva68N+QP71ZAiWyyookTa9K04XGxb8SPNkKMwuttYcWxPo i2s3x5h5QFHUF/7yGJ1wD5iP5lCYh/3L8TLlxGVtYrxfsxWh6/KF1Se2MKV0InO9mVkuZqx14vAp H+qgOYMXNPimGNSDHPaEwT7bXkk90BoZsqB539nqKzCHvUNskRkm1DILAUYXbCKYC2m+cw+7a5zl VdGe1/Xl3tuYYCV0MBPCWHImG2e9WpH9m4xDaUhkH1J61fkKpveJZQmGxY/CvX9gX4BnAPgMjgtA Tu8e6QFHgx/qLZz9Haj0ZDKkZVmPd+aM7iLS+4Wl8f5x1bWkmMcj4gGf6eRU1DqOL+a2q086mtOL PjJ6zP1fWMg/XufznwQHBjOXuqp0dFBBbQXDNM0ds1Fhykug0hbSDmxDnzpmVlS+tTatnyMG8qkI 6QE4c/nwpDb5W5nHzkP2ToWVaTKdqU27ArCwGw4ZHVDWaDuIJYhMr36ubLb6zT+Jod4H/5mCikB/ SK/pbZuSkqKdhzMTwFKZEHQBj+nY4wK2wn1A5ixp8BjHRjHdAgIFFXHoQBHE86Iq1q7swwlCb7Me cEGBryc9tiBQTyF7UUHwx2vWIuhL4kKsSASI7iOdwfjdy2D1JesnKXr7otk5Hj6DIpHvAo6uhFQo n0MM/NxbwyEbrfgl4rdZFsF+MURrCvCS4KdLxKYdZsVjfd1sHlodp6GoNPkNUgaXleXDw/tDh4H/ 4PnIXIPTcic9NlPDDGsJIv8W1Y4X8pKZ/L93hpzYy9vbc5T6gCHjFIMiXbMmN3veUQr0bN6rjNfp QA2MkdTGwvk9r+ugsTFtEzRYGIbDD0AtTDUrojuKIQubPYKBvX54oZ0clrMUQDcXJvsOpZzbDLJ6 QxBtWA3L/7j6fCyPJ6hTp/v4eaMSHdUnfi334yVl9NVkaq0okjmAZrzXQz8KMU5YozF75syuobvr w/uEiGAM6GgTjHlxNmcGggrfdazrlmUET53Dzs7B+hA4Ykfw092JB1GlRvhxB/Gwc3tNAKGFMKxU ttr728LP68kIJi/qol+jTTFRMogA5zk18PssbsAzfxnfhBTBzCHS2UgABizjc7OJKE4mdaalPuG3 x8XCcRrKX/o13fOuFX7NkPXTmuY0vJgI0djFId3FDxjtJTnvxNcEaOL5FbSg576xm+Pru10f1S+O YzUDJNoVyCRGErx2XplVFomSWsw4hs2vf2nPCEtRG9VA2paBPgpPIuP+DaDmvqT1wlZJEI6E8BUP elYhSR0f+GeDoSdX/6lpyx0IBoZyx0SiYDJYAon6NMNZ+8AMueDTcwMsOzgmeFC2XOFNh6X656HD rT1J9ZMAxp3aWon+dmbZoxS2RasVwWK7CIa9zu28ES1aPGgvCoTtS/cnIdlDCU5O3aYP9XodTl0F vgLqW+FnWBBESzqwkm6aVzKrtEb3wc3puv9hKXaf08IpRDUL+R5ll3y/i2sVgv8wb3gvFOVGo4Hs 48vTULBPtkKQCnPN3FvZf6j6yS5gysdndwQ+VpzluXoKcn9BFXkyoiqfPXVNyFUsKwxkEflrDzTa 51dhQFJWolYqRjQH/jM5yGbc1t72ZeMPYVQu8N88CAfVJsUK3SiAPvpfNbP/y4QYdx3QmnoMDjxM 34fZS2e7+M5vCD6rjGCCDDIF8qySED3S+Og1wvedfWQ4Q4hBIr2+67RnQcr4t/0uHMS1qAP2A7nk IFZaDL1VtgAqydHYaMdAScrPNdC7/rMD6yNaV6kPza+Nfi/IKB/CtmJalURrxVvbwz0p67tCqMC7 GURQ2tQlcyx8l9b8Ci/EBRagTB53CobW4d8Ggl9DN83Yi1SHZc2QrBjUsNQ4MQABnfsAY2X1T1Cz uWH6B9lEGT2VoiHHj6yI+AqoCmq/3AGw2h3f3g8CJPUIOnUCcgeoQjib7xBL8j3Hhnzekg+5sONa gocwbrPgFYHHFcfFIEw7d5m5DDZopUJor/pXSltgssObiRq8WFWwYBomqtrFY7+OEKKajp7bsfI9 iYV44SR5veTAvsueoUe6z8NKxYnv1khyxCyihazgLJknfC3laJ9VkQduP7/FH+MzIvKCv7STl/6f dF86eGCqW3MYZOcOFxdx8RuzB3ogNFoayaXNpPafGgJEyulU8keZVnkqmNHDlCSeG7zMAma88QCL G895JfTEnB9ZcSCIol98wNDtEyRkw1eMejnUi9ZJdAIHjufAtPJa8lYHSq88jFVuy/Cr1Nm6nRhf vfNYTO1OisYvXcMqmcCk5EyE1Bjdt8NNTmwmhjxNbLhPcEysW17ZgGrOJIlIFfqe8C74i5zwWoW2 4DuyfW47gAx9ZP89FYXB5osZCVjEh/Xf5TDu2khYbT/+spIFXipAaQWOpTSuroySqNF/aGMwJcsi NpeLxnJoq9XRBdHuqZw4YrVEQcZLXT1+MPV/VzRZPdRkT0tGx6j9yiQ2CCpjtg20hHEAAUlhRuVc KRzJhPcgUktHSCq9N1Grulf0UrcbhjzACFeBnzWK5gS68YImkZqKZwjlx+qMMUhlvMzjEWBPK2O7 YaDo3dyBlX8wbx+GyJimbRE3fFgZPJkGwkQdXIjSjrodeCOqkZ0rVZeHaFC3siBekSGs88OWGxIC H/E4t5eVLm9+qh9f2aoYs+YJ6MX/wcsRvKJ88FJmBnlC8bOLVt8/qg4ONnE0UWVwd4CTT4cCtiSC psdlu9cblnglduGI4RYITnhbfWOr3HYcC+Jqwu8++bvtg7FpLnOwAhGv+B4KCTN9sNwqOB/iYmky iepB23vDNby9JqYNVZkBA5C3Xk2M3GTEGvlyjylaRfT2eH3CAQqFQgFG2bugWEIdlYeHAWk9e1PG UT5B2nLCrp27wxR7GscSF0KnpeUQZHupwh3OpSpBw95AlM3qmPhaczuQJ1gBaq9+bSH26yUuHHI6 8OuZ+6/ewZzOuGpbg3ZUMjjOKPW2Ex2T5FzP/kD7e49Dx75u/xaEKip35VnmUiy6gIZnVXZoxFJz 9pmPzz093Z+iaOzE6a5tRDJ1RZWPqc4L0SZ8OOji1uSxY7yg8AL8qUQeUEscZezCPtTgQ+0VnUMs EK4JxVA7gmTbfOBmNGF2nYTdsc/I2EkHZSYdNtwoG8OA+cLALk+3HEXLWbu3oDkRNB6xk9kTSFXi 7iIF4vt77VIoh7uShRs7b1EkTFFET/yAYXFslNVGr5o17r13xfvBhuhFVTce/p8n+qTs4yD1YjBw L98e2Jsc89bJumH+AJN0DEZkpNFucnJG7mW//fwc96nGVUmQJwHqompljM/shDAsaEchC9borA/b vIAxVwLuDzJspT6fF90I4p6JggHUtMLQmm1US6Rnl1uSdekXtFbNzPhFyjw0YhPBgQnYoJyPB3sG JQax2WP7Xekzw7S0Dz/Qti/ovULd7frNUtv8csYJJ19klHEbK9ag2nkBS55VSc18Z2EF5DQeo+hW FuzSHOFkUXEpDHrlGacaKL4pgUaLgATxAn9KL36kRoOTBcUjCYG1OH2uADMxDksZRk6uExpDU6mB ws/XGa7HGWMwl2ZS3Wk6jYCIKaWY+yN+JX5nCtnovnzPP5MfxwrM/Sk4XhQOslE36JWvsL7JVIB7 NK5r3Q67q4lp3m/sFPjRrYwWv5lJVPAw4kfz6TJ+paFElwEQrKtKQShoLorjWW/IGJPknQ8cgT2b pjh2R1ZjG8GFXE9WCd9PHFpT9oVjDtKrmPtXEjqnLnCHBYggPPsE0SvWeQW2IdSS1Vv52OPAzjX/ CpR5CCadX21o3NMHwvpHYC7ojoqinVjaWnO0YUisEqttDre2roMdkVUaNf+NJ4cVV5ogML++B2Mk e3ZDwbUkCPvwAelV7tYE8MMI7xEhZ2FnGuThfL8t3pPj4zedRBEVl9hR7wKr/ypuozSAaweTgMrI tUOPkRYZaJhw2f9OR3YkVrZx8A4fV2vFb87dqF3McGzDbf1id6b9vtrKuMPwp14+tjnxGcquKSKz iYqgf0ihOij/Q1tLvAxbMYcRyLG0AuM7VhIN69zwKpC9HlBtNqqOECxX9QeZarhfQEPhHWPGIQs+ 1BBBpN6+Bq48kI55jT/+GuP7046Tj0szE46bro+UKseGPBreauOBZmH5HTbrF8nDIOZOiT/X4kJR hndIpEODgcCvGLEBYjw7Gm+uSI/7JEDuSnymbSWrzOOy11z3v9ELnxqWRiE9mzfgOa/5P5bZEzvp 5BzTsGyfIeFWikwWJNNMpSUrcPa00rz3Y0AoMDK6DKxdThtetwgjJ+/D7EHmmcO7H+GQP9EDY0+D iaWuF4M6vxCGsv+dngvU/cVXmUrhheLD2Em9EidLWmvbQInlWnuv07SK9TpH0q77Qin9b6VosWlI 8JA9agV4cmbTypol9VKQWxZqyL65t4azf10wOnR7gJM0qb5JRhvq96GjMZMqMQTt8APctuA9hSpT aMd0FixAC5TGxTfPbiPM23KH2gR5/L7NFAtxGNHILfSF3n6cQEZnnOf6D/RrUzzASL9yVgLqzs6T Pow7OOCAC4hxPecZj/cOvXBzkOLeWapyf87/eiu2spzlMlBuLYyuxUg5hl0U867iWPvXsiUwMvrd JeWZiBDFf9WCSzmx4iDZSc8skEiY4EtuxTwf6qvt8N2dgklCTJxi3Y2577URNHT8KxHmNmmjcTEJ qPD4bM8cjtRaB7Err9ZL8RWIAI/x1ARiH0g/UoqNdtPk12aj5/iKea/S6scNng1hA1bsY8Wv8X3m /kKoLRTgjZoogzCApt/H0yiUAGoNNv2K9ZlvL33ykczMjNuYRXfpavyshfodJyxADd3UMmHPDAHr 7vXsqxQAW8v5o8+mNOrHnR4LL99Zbnog8OGO48aWbjbV4I4PWkKQ2dQFgkW4zcqVdmbo32yDl9FF dkUlha6FVEHDO6jdvilLgTk4qxP9Zl7LX8aiAojkgUUpP5IFhPat1cchk5D3yvMgPbp7RnVomAix Q1ZpQ8wx6IpDUhiAV9O2b8s34ebHs/3IgXvNUhsj9Edbvek1oVkzichMMWjXQyRp6qY22SDgmhWO Cg1LZ9K9Yycv9ZSF/k2nDABHsVN7DE91z3MbTXTHNXZzYqaU0nd81HMpH+WSpcwhQurpT1+7d3Df jOiGjjRjA60WT9tbdP3vHgJ2auSthjuVNBzgRuVKTz7F2sSo+djTRoPrz4qXFStZnCFvQGWfKX4d o9pk58bsrcfjZBI2tNsPC76kNE5gbSK1cEi9cj2OFHASrgXxxvr7E0TODkR4kO2ppqWI4w4jtcEa X6gm/7sRLaY0gcv/xhfpCK7YHCfzD5iIA0EhxT574W9qkN7GaT0jFqMmm43F6IbGQS4n4GKk9JYr 5tflLFJIB1NPvShcjtYN5fhbzKzGPmlduupiwM6JPFOEg7pvKqxCeB6OBlaeNRp3u67s8Vg7hwia Z7kxJPPsM34CiSLmwfan4/AEEV3pX655cR4B+Rc3MIt3okssUB1+vEAvtcU92FvB+NIer9nzlSKg NGoM9ct91Oqp/KX+9vyHBRIF4v1US6JVPKMcA+40yZKfjx4Ozrh4E6bmW0P/Y0o9voSaBqUmhvwv VxgAip8EwylJXIr/401dxWtr3e+S2+HSeZsp+XvSsBVzZugVR2gc/Nc9Aesy79YTTkMUiQlrY7HU U2IWeB60rQ8ZefxkQgdvfH9Nctt2pWxUxwX+MF3sy4KUrRLOw+weHDZwxAh96R7jNc304Wc/G8kT H+5/2WJER2JD+jPJkgAXHtlGGAiBv7xPEASN80E83TZjDdGTH9BKq/LBX4Nwg9o+CAINxT+TRI5k y61KDDMIh8Hr5r6VzRPyiqkZwa6GmRoDyxDb/u6Xy+HT5I3+G9Ub0oBQsLGv3Wa3Gjusp5I5dvo7 iycgMN+rsoT7JnjjZCkPxkEXV1fFEy0U6DzhXdjxUTPU92zPj7NnLa55k+Uum6vrDGc9/cAJ7+8Q OKHsoXfCzuGGmfyeJzpl+64S4kU5mewJA0QLNv2G1KFrs2wCL6QF+j1gjc+TmiE+WamfIhEuhiGM xDzF6p+HLmArIjtTHYi764mhkM7JB2yL0AuBVOlRWPtNKkfk9pvdturrFoWZyVvTbbOJCnSt45cv O47dmi5peAn8W6KlV5b5VOGMq1r3YCg1SkI+Of9U85vnSX0rTxRSgU/hRApA3Y5XCgEROt6nwo1p XQIRJMVm1sAUaRXaXFjkEWpukLKOvAI4Hce3EII63OB/f7/a1+e9ys22SbE4h7ieqgdTs1axhLMG UwNzhQ5wWO3G+UQzrxUYqRGw6eZf5yZEXXeIYdu7XyRFZBpjwTngg+iqENG/Mmua9kub2zmwCc7T /4IvQLTQT4lrBdeeA48V0JJVNrU54BYownFWBgZwoM7rRZ8PEz3WB7qMsCMBgi89XY+lIHV6wNd1 jISSR2XzRO/8kXKKk5xd70+LPoxbHWndTFWCDbYNMg0k6XpPtbvTuiJLNIUwhNTJRldLCf5gjnpo aUPTT9iqJkrlok5y8Rr2yME8n+Y4ast4HuNli3LuYZGxpYshfcbzDxG5MmFWwLOvNT3TW0Y/Wgph um1kVQIrY1+1r7xA9UmFmOCq4ELKPsg2VtGIrBnQqNiS50ZwSTqdzw0il95zxajkpmhjug55jK+A /ZQfUkcHpzSVmx4H6VkWoWYLYiPsD/6Y5ygbpMiHlTLRI0IFdbqEl7wxa16fKvElYH8HmGWTWhtX pYh6aJJxGzAL+8XjtZsi6Xw+no1VSLVBgRdtc45BtCfO7U8poyjk/QPmm33oX/oARtw2iXIcF5zX xdPYf1Jr4891ykEJRAlQbPexgVyZgo4VT9yqzsnI6++Kgizb9qGd5ukLRZHtW8r4swKnFqSyDYCZ u8x1ndvJjQQanybUvdmxkuB24/ntWW1RpOz9FFdDXJ5mbfAQt6RCncxzJ9or76LaJdN8HiR34CDs 9Kv+21KFwTKPT2H3f3buXZnyf0caGZOPRScQoNZz5+9hlxodnaAxNrFmIsnK2uynB1SOf1ZG4NAb pgSD4ddZwCbc4bUSgr4+x4co3fWJaGb8yDecZHPPbWo9D7hoKG1EymYKfXGIF0e09JLbZxFiVxwq dQF5jfqdfCcx3VHEJ/6XpjuEWjcDaHt3PB700pvimlVm54ARQhSLewf2n8obvZ+Jq7VQsKjntqud YlKbulAXeZNZjCCnQ2B4hGgByY/8mjv+IN9ZzoSnYQtvc4tt7LMoA6+X9c5F608+VK0zHoIwpJNG ostgk7SuyjpeyM4KF+Re2C9YZWMFn39NZHhngoBI/ZTTYHsiylMfug8n5zGjmd2N8wiNpWUvTdK9 NAIkEN53mFHMy8PZ6NrA41kLdiNEPyaE0JIP5VGm+wiODO/G/Dq2H37fv+v5vH2dxySSmCuw4R9S EwDdKDC9+EyWRYH/c014SM1+vb1bjQCpbu2J8QetoBHb4B1juK2x3iWvo0WEDs8TENcI/MUQ2yCb 0m0XIKYj4sfLYF4L8+mJKT2uThEo6Glew8pYUWxgJmoL1B85y1XV2b5/9PveaC9nu7cr2cxFEhu8 2X4qLZ0xgtk8p9/1Lbk6+tvHzwp3Ic7KzyXVleTmzfsAf0oFDXfYPNsYMXOqAXI0DRLY/M0a6SZv JqNFAHZXBfzSDr4qpYlIBUCCibKUbVqiy/j17jZNQfO9arLNnzQct7s2RPWPijE3r6Ljs/mL8WBp UDDIVb7Oq3YMUkPLNGf6eE8wn1kyD9owB6ROgGD7mqJE/Ui3byzzPf7Ny2tIZGr1AmQWVGyRk9dC OsnVT5DvXK02bQjs9VqI831sn3xpfRim24UZzxB1+bCdWrOZff38o+cutfDrJ3yEN+JYtLzy2+WS 5X/jSWWRWeObc6e+Li2Nbj2FgaKxEPxyElj+7Rjy5qKvpP0gVE5TrJQKnTbERrGf8XbtEebDP5W9 G8KYaXY+34BfIzNyR/OkDlBOg1NSXHGIlQTmZxViGW0DHQHRT2ComJGBkWTtkgWwibKA10pz+ocb nejVB18srETRzH+6l4zOXOB7NGkZpf5cEArCNcFCbSadEwQOpXE8xdC6k0S9JnwKasG3oZd9o/+a dpqrLPspZwrDwpxnHLl+5DX/VflgIOgVTFZ+Uoyx0Vo4pKBmweg992CT/65ee8bLHF9I2ogv946E 45avIpWomGnq3S3crJFQMe1Nk7ljtiSg3yhc1Ly3b5nod/7ZLpBoaAiHHU6O/X3z2+gBoNzbvu6S Vx7L7siiKueNa0i4zChh4Q6EZtawncI0DXsS2cp7IhGuIVlKqQlpIehLBJugtvT6bM9Qu716SHoG nyR2ft2n8ZbRY5eK8IsI4BfK5C1BP8BdWi3AewZL+Vv/IKydjJ2RZm/P9oEQiV9qw0mhVyrF+mSO JxpVoG4sv1MM3/zN/xPlDfwJ4570X6gg05a9cRYB/r31B6fBEvQUSjsGjmuyIlg90INcbjp4dBEL lI5ibh3NFLMCs067haiIXBQnukeW6qUhTrsuTFRdlKhWU6HiH/+gLQ5UVy+0lbgjK1OYbDR6opIY 6ktjiycSrIneG07zfemE0sL/SjqzN6UH1HKwSAxrjMKE2FNJA5T084DtKYLOe+zA8rMiWRRDjjnU BRY32gJuksh86srht0yembVlKJl8tDnb/y+i9m8sJbzPH7kzabYH2b1+v63eFp5EE+Hz3i/AjgUy dAIKbC0f8GrB0kGl/P2q8duALY6W4OwzOFeg6546EFF5Snv9cOqzJ3OcL9FFtFNcSQS4dlro1vpj 1uIeg2kQWw9UWUN0zthPvfPrj1ixR0Ad8GtRRscHC4cyfSDDO1HkGHzS50DFs3gUhqv+9RHPLJYQ DW/uk4gipuGMbUxtAAwbpLeukY6sbAp2GsyoG5LkWfuUztcfselIqkqyITxr1Yn9t0RTB25l7pxd 21ccumqHshjnp4yRKrzguaGC90j7bu3SsWpE8peNtqeJ753Xw9at//f+JQrQ1rKwt4D6vRELZw+B RDjkNmVLmK3PN9WuGJQCWqgAHtBRcQrUM+SRfMPh15ELFpb0t/B5nZwSl6dPgkY8ciZQZlIWxrrP qUQZhybt08Ypj9FPbjzkMBl5YWDhzalO/mOmaGklqOj+7p28aWEQednKLfr0dPSAanQMjAvPmWnP 4/j1Cz3rDYba/dQcba8fGe4J4NnvMKRE6Cp4ibCepYR74C4DW8mIUpdXVyWTpc/mpUfRP+IjLj+U fQInioAjaDa077VQQBu56SyjCFwzA2biORg+i8SoNXyh7W/pbnZwIKG+f0YVV85kD/6EUpqJl62E HjleXImXGlsX/FtsR59KaDHMx17v0ZCPqb7dy0L1yBSA/PZngqZEfGMP9Zi7L8rHw0lOa+583nRF JX6xseRFV7yQjFkMjgzhSmJVp9lhBbILbqMRHPFLZ3NdaoJOm0jsa19fYxJdedkQaOnU5sErSfub JfZp2p5kK+tRs0VYUPtpiH9sTrE35STtkb3mC4KnKxNBDsvlzUJLJzSujC+o6X8d9gjqoyFxLJYk lifNVOJmExvVG3vXWzByPrZtnW87859BAlaqGp4qkr1xnzAkGdOGp3iM91xUFDGnZIk308lmscyl HlngaJnUpeKoZX9d/1WEUGgvSNLWnB5oz0Z7YH3OL9xElu2U8vnG677xFgkqUTPkx6pJOlsxY7oY 4Qrig7zjBnS+cGVBz9q9XOYOAfeSPZ7E8M251tG0uleCIKnubOJky/nI5eUvKFJ5vASWAY4mDZ+5 pQZDoGR2km+3HQ3Vo8J43unfDxfR8b9wLWFpjJpjluahtgF7fzSvNj8ZkaDWTH+cEhks2gpmGINB 0eKXxS2FdZyOyjzYsN1lRCA1c/VBvOcmU57r21WFVTUZlSwBbh5TuPyoO08MEfhrNf1xJk4yY7Ul o3yQqMP5DlAFb0uQxPDxVIFV441xr28VBTimQlkeJVqcj12PLEb9bX2NrVbmk/rm2OJj1HWuVc47 /m1Yo+C+5BViHru0qdgthHeZZqHcE5WGbCPR9BgyR6Tq5z6vn5mLmt2Ycj9L6ZWTMmfySS9JLWe+ 5coj7DwNFRdKk+FOLfTVE5D+QdschEHeVlekM07kXpMgYTDsJmVS3LLyUsgnXk2PC2EGnKpyeWgF e+4FynkPP1xSXj55zQn9pRn2UNYpuUgrUtP6gO+CJVpRan50vLDza05EQe5MFJiuKSH8/8e6t3aK /oPwKbLp7jSE1zQt9lXgOc+tNhfxkZpA0pytcIDmtIFlRHJ0T8Cy7MIsIx82g0URTsZYZbaH441d VjRvHQlB1dcCTloh/y9ffZvMfW6IsE766Bcukjv7Kf5D4L5vtQcXfuxbqlbej6aOX2weQJGUqe19 HDn36y3dVBCCD2FMVjZ6O7gL/V2u106gG7V81AkXR7NKT070VSN/Gjw+ajBVY1K3L83hGXz7J9n1 uPuKnWIrwCpauU3GIQ2si7z28GwGojAhVnTdOB3h+GM4YFdtAc3JVI/4v53pjnPiHrFwXDTAyqdU uWo1EVV+jQNZOUZVBxcsP8lyuOxeWe3t/HROQ9TbwZf9Ar4gkk4M9JWeMR4B1wcrit2fnuonkeyi 0bu0UmnOucQMyzlpHI/qe9yRaz08/GBNyh81PkaggUyF9Ry/+IIUxKirHfyR1i6oOmELpbErmb/g L/2t1Tk3E2+U0Yi830qs2AoVcHGFW7ciAcAdNdlWnquRqK/mf3UlpmEluTxBZ9XXCCQr8zZ6G94K vzI2V2IlCsWM3BkK0HLTXarHeQxNven7oowu62Bq2LlfNdwrvi+YtlUIRvsM2fQkO6FrFcXsrDXM 2dpSGIKKSPo9zmeeRb1Gz9ZkQ7L1+b3mvrrtb7VLoCyAO3BxSYbEPGWdUTzhjtBqQB2Gh+8/OT6x rr9hcFZvcQq/ivWP6JLfwxMYKdc6W72baYbq3QhJElpmcVC9o9nSJ67h5aM0Et3KupCgQ/IQaIK9 G4a39S8XAnYM4lqO36gNocTzuGdYF83mpTzofR6xyEH5RZINJKTR+smC76zoxI9HE0OSRUA4Tlyz AtKRZOwIDjq1g5COOO19sFkLvXGm/Xt+8ylNXzMQmUVjdhouzggKuSvN2Ppq9Vm17JWp5Vci87o0 Ba+U356PxNFHn8MJLr4txxKyTFuY/N9ALOfVMQZI3kERkyIBJBbjrHW4cRTKE+hNeUMfbzFBPkz9 FlrsBstVekf0Odac6PdCR/+krJOEaMxpiRAeX5po5J7kdcgri+V7CPw8gbcVhCAe3+twY+ltkWvR oWqwgNPw165+dvomehBsBt7Ipw/klT4p0wWmJuL98rxpUqq9Rra/oOT2udwC8TQkB39tnzcIOQB6 t9bkRzhbUfz67kQk14Q0tZTpUyD02F0RzL2KzufcZhtHxI/KuaP1Un+XznbjOxnLEdOVy4GUO2IV 6P/HIuBaQyJk4swTN7NaKwNZmgt0eis8yByoe7K5L6WKtSf6geiCZijir+3WF/Ivqo52VYH4zBj9 nSJYs37bIQdZ1kFoyJ3Ib8GIguEJ4c/w5PH7nMPKYnVCILcnk2kepcB7GGm2Z4fzKRTY3iYEBc1o bhSIM3aBS1YBfgG+IOmT49zyLz1tKdF+d2+DregSFvEtO2fCvGi/owTpzDDt24C+DOfbEJONePVS euXjgfAcblklrZkLjaN78/JN5TJX9QBk2MozwLDwxKATZMGJhNH20hOkL/YRBNV4omRr9Ytd+KJx 51Mi6NWsrN7/eGrJT/g7wjqtkJUdungpuUkb2hRAlZHpfVj9+jgMT7bowgTYXvyHn38k/1n4MBRL uP1xUnifngDrYOrAqWt+taiM0E1f5vN5GJ1EqiSkWBbl0m3cs0pIVCDqTZi5O7+I/d1uHtrFPmK1 lNNfTbUCEQVHnIhZYrGxpOxTMDxR4sQjsVPyKg80VzI4DwaGBW2RLJmYE9jEzKxRpquu8UD2eAW3 CL28PlkG3fv67JL9qPQkmDCUdGA7Zx00jxUcHPteKgCTUrSMGthVBztf/o+YJgJB37LEecSS7IRZ ZNtlVtYuJV1PAYd3dH/xZ4Q9jrMb8u/8HP9hFMt7NE918S4sU3wtAlTjpimMIq7UR6qIcntpDSbE 1S7gqBBn2BYpTTkLTCYdMnOe19O6Al/y5oDLIn/mnOizTXKSd64X27b3Z1ubCAAHpefCPx0dVxah ql+DTDUG/gL5+jacIBz0pStaOojcdwoRiy4PGmAb55PTASJ630vWmkslgEr/bEr1fgp+dAtTLL+W 7n+c4Mhk4aICrSK/DrKDuE8Fs8+z9EgkUhHf0P5vUU5vEReBMGGiBTuCHVnrcLW4tm196/sWSbwf nGYf32HPW5R98Y/+Ah5tjycpEc0Qbum8/3zPObZ/iP7x/1UTgXbQdWEo2ypKqDzfK7XugAH6bimT oZmirdktELMhtGOAG+BORJX2oCl6z2icykgC/mk21bhzY0tP75DxoDE6qKFnJrFH5ad+SOp5G2i5 e6rMvkiqtBuqrLIwvwZEz7rdP9mVeNJR69y6X6BrR+TmG2Qo3EaCiJk+JB9Tx5PQGC7B4/MZP+Qw /9Cs9UgN5uB5YNAZWeCcaII+lcMrKJ9fNOn/NyIIMby4sbMsT2OuCH1dS58yoGyo2agdMRUvpXV8 Hn00heu4PYvjNGNzm0nDnBMVnmfbQgO/fnvIp7d25nWydgrnkqvkJhKxFd6OuXNsavim0CUSqFFe b3oW6+28/uymNz6KqtfSM6mM/bgDKPdb5J0GkO5EX9ahsonuYSD3vTISKtyXHl7R/SrPuqiZMiwf Y8lBZNk+uR8Bk00enNMuMKYGeiV1MLKc2GRqAS/YJpwQRchAN5WbAUV1pm4ji5iQDTqlzlhs1xbb Fjr/w7LCZ3qA2wakzFcla16L2W1HZPIGPZHPrCeWqIc0nAQUOW8Raxl0XS9dodzsScEn26BXl0jR YI84UcCeroWkg8//u+UaGnBCwosjvB7P9f+RJvn/wA4wUgWSgL/4Uz8GMzdH4cliyTHNNctkGDwf 8hVHxg5kYf+c/gvcEbmW9SUY+xV/8+sCan8Vade3VxhCpK68fHu4DaKHajxdwBnCKKxI4xxjkei6 OsTzFl/wKp+sKaY0nOc10jtYHaB99GHZd1RTte/ImZ4uE2BBJdSAi+MkFOqtyKCDqg0dg5SzFgMt VZBLfVntzICWMLUyZWl1kMUMGdkGmRoPJovHC/K6y7WP6G5jsW6ImJ25JGOOt/+nHH7mNPZG02iA znuVjXnM5xrPPHTbXMiPI+EOILgHQetEdn7AxVG2l9pEi9KBma0/u5zjrg7ktLX2FU27UJQQf3zW LfTyzgRhP3kzIy7ZIKm0w3fA6u6enBduqUCqm4ZNYYBaXg9zM0HkiCMcTErD37D9QniBPjAmi2aK Cwogt6m9MEPOXHfu0TO11gyAiXX719BdbHPx0XdSdds7N1Nd6j9fS7D3X9gTUd6M5Js5IWwQhGJg pqyz2x/Fs0KwSuFFxtDRAWxO78rWS81g/DtKcIbrTeI2oD9NRn77LAfuUKIBOULXion3mdpwKm3d 2lg9aH+W+pfNgaYGlRUeu6qYxQbzUGTXcVTb9YVMFwyP5VdxH+v1sHkeCA4mHfZ9NYR0fEi2eRqq qrNS/G5jZf2OiUE90KLBcoiXRjarr08RVHFLomupDxSXzTx4hDuTn/wi6AJ+IwKsF9JeAnwvTgwm vTauTueNXwYPZ2T+MuIqA7udYLZDP73QgylTLjcwQrEA7NXQoPOB7rpEQJRGOfPzQzgdGJ7ZKNUi WEeyCT98m8V3oaQ5SO/ERu3sfooaRMUBSD5GbGggTTpw11FxmyNalvXnvSxeQE4y2WuELX+oE476 UfAPH8I+NgTBWV29BZl3nmvzcJSzlE1H1rklCfbA+sgKrnlkU1o7+b9lqUIsRua2p/uIhHN2GnyE bjs68rOmbAEgXxqJdZc/AMvDRMUoQvfVrpRlPevSouraQN0jVWWMk5DnjkFbHP3FHF1Yxfr/L4U4 o21lXjkdgGw4GeR6PNZ+T7v7i0WtmYXuX5aIZZaVQ91H85+7RJfHvpBPYZJCDKxKpkqkHlj/UiE9 7Ks3+R/kBi1fDv3uU3Thl+TZNm8Dr2PE1l16nrNJ8NPZ1uO/79GrGk/coTHAGWmY+C9D9lovwVkA SyNk9EeOH8SJ/CO9t2+pw4spbIaPyKwC+3PEasKLzesO23BL4HK0afsOKiz6xsSPtHTzq66QD5/C uD/Iy7LJlYk0tJKvbb2+YkZAjZZRnmQ8kPHFxXgZm6XfsvBROmUH2fhrCnulrrJ/lSVK7YFGTpAE wVoj/TcAAUcEvSZNOfJd/HGYh73+o6MqJ+odK1GTbUBGtRexOxLGxs7JhoZasFx9G9tKlFQPRug1 FRpzHqAsKQRBvq8PzEmMFdv65BLb8sA/T6LM8CNlEQmWkkUqJmVD/AEbDd2bGbcHKJN73VkJ/hVk EeOCeDscN4NSZaV3sppNCVwe8CS3u1B8JfN1zb0GHvBcS7x66qQa3A4h8SqXajl5sVy5aTaY5LCE o8WkKF2kgYYuH16IJJSCAyQiHayFq+wFUCztRKpJ+SD6G0yWO86/U2Ju1EZovQtkBk4Q+IzGLtq7 OAcLFYuuPb13KLJb0pehb2hkJWrLwoUozEbuAg0gkEHhAR6KqsU0mxWjJWq5lgQYaNI2JlszMnFi 2RJly7Y/caXLruB6AqqgYnl0hSSxt7FGRFp+LdlNswpeZnr8A0wj4+VSdKrg7LwYhyxxYTbgxLRu ctrGhu7w+pitSULcUDB4uv0Zdl6bIpk4NpEh0sLGhv8izJ5WgWYSONqScAtIJ7htB8tmazqWOOJi P9uWyePuXqNc9iYrn8/cXliRA/pQLjoxuf9TmquiXy27KxGxJKUu+zvYWIEiAaGvMhFy4K/lu9aP Yrz/Po1AeHJLTVOjvglMFhYvuH4sS7mK7U4CiPlt2lrVplDiPYslHIACrSY0bpMlhpdpdZAPpxx3 J9OJNPtfHxQkJEy3hnxpPqDfMzc08QuvsmoCEuE42zf6wg1x6QBJeHipJ+MncW0KfzK8oBX0IFD9 wRCOcJgd0NDp25cEU9rAzI9V2CFpr1wUKGqFnr226heU3v0U7DURA7jizzfl26s7RaPHf3VcGsvr KnyZuM798nJdVa5sgZ//4+cwGjj9y4QgW8mEALaXGD7oOvtu26CQ+5lpI6RdImXCKKeCFC6xbiYX CmAf2hUgA4PrGibokID3Okd6AieZYDf2jWHnOl3HvAduDhXaczp6KIM/Mfz/sjxSmnwgyfqy5Jl0 fqC7np33+OsI6gLtS+5TBwnzYv24pNdX8QVRsFBFhJQ266oYt7+2vBYyqaxQw6cVXyUdEfclzuK2 uG8xTmfpMkU339hDOm3qZNYi0UVPHJFqd8HjSAxgGwRhKQqU2iZ0ai++HKMoTiRLfJCMVW4NLgRv gH2l2yBiw+yJ/7UJ6lRgDa/apHuMunIbAgQQOtVATQwXjt9s26LaMrHk8znrnAcoyX+Izp2Aa2qf h539Boa0gb+EL4Nc8XsBI6QvlDX+Qoyybyc0bxaudALnmJM2Sosa0fr0kQJssyKl6xhQho7AeRqX hjNrIspXeAhIhroJsG+Oq4mVF5/qIkxs+V95XV+kyH0PwjeUPjo9vBWe1awLV8684nd0EE567SCJ 9k70vXQeuHQjWZFQd/E/rzb5kigV2Pu8oFNhBgGlqoWLbRoPb5H4B7ypEOKqwKiG1ot3bJYNAUWp zlhrVvgSyHUhmE2ywRsWnoNGmvOpHjM6uxmbEEZ8PjoVump8up7ZN2CBw7UFTUCu6b6lAuBopNH1 mgSxIgVJDC4RIJDNRP0MRA+WlB9cbTugyNc7U0S5+vYjM+Q7JPgBLWTtrbxGe8Z4pvOErQD7wrnO /XySXrcdibWPSl0njZ4bpLfAX9aAJ0Beh7KSovk631XgUNWf7c5seaio6lZ8MO3Q5X5HupIAaGEM 4oxzAiBciPwj5VRX339XJ2dwMMrmjxft5K1wjCSxhV1cUFqQZAJiNccsN/IQjDYOkGWNEHfqu2/W w1AusqUbt5i/B83PFOmMyFKVWULdygMr0H4n4I0Caox8Zs8y/Yy1RNL+kcyVson9vV1oEUL0dm0W 8N2Vyf7Cemp5X0jpyU1K89Zy28VsNHNwu4BsmxSDsS/WYz7z7AS/zmn/pkv1ukFYQbUhwcsHqEno X2/AeLBX7uAoehCnzo4J2jvBrb+jV6rduhis0M3j/KS+KQ3so/J9CGXs9bbaCYvtlJX54H3vvkPU y/FPzWR5ncFqPJe8vMYvoUikVonutO4zsnGIRbijWgOGhT/N9mohguLh8/w8507n0pY4BAGUObuR 6G7oNpg3Mj1KKj26Om3E7f5hD0ic0cDFvl33TRNGVAYucBerwRRQUhH52H3TVRbcwYEgB95TchqN G+2M4laOhP4Nqxldb+FkfH9euVqFi1kxml+tOCN7BGVduHjijNcvZZ3WsD4NRABCId6Zxbi8fWWk XCBW0M8AmqcnNpSc1Fu5fGsmlss6IEv+v6PGpWS9d1VVrPlCEDfpzdMi2btoH5evji/mfLeMPEFg w0TH+dLG2IXe8CiPCLfms9GRr0mBpz+sx7DLwMfWOyMsQP5waQoIcl7Evl1xOHo+l5B7GlmdBeH1 PdZKH7LQoLBOaFtTvKi3pCA3P4S3mlE5gIym3vXcfZOBGokt18mXkeZWJ70+IXLwnyZ0+gT75Jzo VzxLIxb9eUdj8VjqdvV24NgNdCgdiQaSAfHh040goRNVynGYpYFdDZKuvzlycgS60Y6R+ttpBRj4 N6v+yHEd6vajIC//GOaT2S6TZkyiu9S3ZXrZda7Br4f67rYYBjDtBiA54ujQhn52qdx7BRLxMMxu KzhCT+mAWIXIhtem64b1vdTFcRGWFhp09ZJkhVvQmxB9ciQNl3W7SYbDQRo9ScBHrwO4l4R6kB/X F6io2P6IUbAOLJ5md7IyKqFp6TTP1MFUFU3qjXckuEDlu51HKWTQm1Ck45FpoaiPV9AUtcrUPrgJ EUsWo3TV7XmvbpqAQLfVvvvDwNnavfQSpQXRauCXEYdl80V+iV2qb982xCmQCFPI+jlye3M5l6CU nIm64BRzsivHOG1F/msE33/xZ8+qdMp/il2njo4WFRQHkRyPTPOPRDDqq+YrMSOLsATRJpdKWfD/ /nM4W6OJ5Z3BdYfjcmkI7ituCToA97JGq5Hp7c+ucZrjKdKsQHo2JSvbuEN6e8a35MSGarFBxIk4 TgcP0gJY/S02eLlSxJwWhw8nyUVwlU5JgfGorDkNKTkmY5X0PBGUwi+DBdiqvO1cHb5WjRCeYfeV 0wJSGyTxaZNNCLnDz+qTRVBk0VOP7XFAef1eurwNeANBOQcA1iJwX3lKxrAevqMHf6xJJ6h+UnDl fvjdEHRXSZMsDX1CZ0SUES2pKzIksmItXhauNickwWm51xwWp9iedNCOLS1FstYSCg3RCP3ks41i AcMHHRX2Mv4qOet4cLrmPU4ECk4VgjryXMUy6AcFQVlry1Yf8/rtSIP+8wB0/LIYVcxUInGmVyiI 5NOVvy8aJFBrG3T5VzJz53EZEt1JGkz7VnTrSqgEErmX7ab9WrnCoHU2gfVfUFKRR0CJ9OKeuGbe RjS/5lnh9N4JLao+ryMTI1gk3D+8QBvfQb5qahXJYGI4lq8Nls0geA2NU8d5dQWFpwYoMvZRyy5q 2Fhqu3YwS3aQrQk4pepPkvmi1dRchPPdtZc7syV3WHC89kJ0Yn9ER+/UBY2iiOHEP15NT1PJPRV6 RHvr2sKOMJRRkqBrjTUJGZbOTxIQocAnGakDZ/Bx/NdHWjOT8JhsZZ5GvbLQu5CsqGcwLZSLS1nS duipLxmx+9XjbCrhRjZkR1SRGhA5Pn8tEj59LrhbF2c3zoG1aaU5Wn67aLCWJ8ru/NdcaTVYYJDb bUFVXSqf8vynr7uOzPs82k/tLcoLGH1t6bJsb6sn1XmxkYLfUbnY8+BPMnEOIoOsBy7SFgBtyiTo V/VLfJYxNpOXNU1pZEemsjgYquPMJA5CS5tFI1NykK3K972reFMkZPpWRATlivbxawgAyBrfcQ6b 4vxuhDKcVcMC47G0/Jq7hJp1l+Uleh1LpxY7aeD0GrNxylkdlpczijBc41J3BvVbKXue/SAp48E2 rP8hZMwDZhIcaFfnp9My/U7YasIsOILDDQ2WERmwwGLIKmUsZYPyEUywdCOKECI0vTKnNxTliMse //z+Qt/d3BTwkN/hv3ChWtPPaWBG1cCynRjzTqhqN29LT5sbSh4z8cSFwO/oQ0KlREoVvGyo1jRi cP1TQ2vBVELf/9hW/Elt5K6cA8Yobq4wvyh5gImflUQQDn9QLVevL2E9hf/wu2KPTL4hV/AzWBNG E2vWIH103tFWDrxYwhEQQUKMnxR2NaZNrjobZIsz+46gz7dBUrfytOcS1RVTvjdifMkusI/mGI2H 9M7Mu8fTx7yJ/NHpOaiNulwvoa0BX2uEBsg4bxdHf2XBxqzmgOGVSH7Z8iuFwGVm/Jmu63C09Ooc Pb3l4ebfK0/QcrfADlMmaKO1z2oHAV60JCFved+i9IqMoqHoagjt4hr6WmwEt9COpmcKWtnrFPZt olHb3BNsSSqfpHWEwvgMP6YQRx4X/xJmYo5R/5Akc88FUBMAmYUf370TJR6IBE1s8uaFtnVDdVfk rvLzPZ3T51xVpi4FMLPz89Oan73NalmsJx3cdHRD37i3bPiVZrJAqHZ3uTO62pb7LTJjb4bYhCpj XllFISYqONSy8fHfUGYiay7cU+7sk/OeLsjNlZL6UNTe8JfYIsTmx33l3yagYIJdHG5fgjRpxuoB jAexnQjDw37hBlIFG8NTVCG+2G7UTUiLsko8CHOVq24jABu0TAO3PH5GEjm1BaR5rA1DuK1DjGfE RrBl63Cc6Q2nPWb4ruiOQ5pyWJdqNAHKF6zJYrkuRw8DQ4aiZ/FHzFyvv4Tm6Xn/d3K5a9XryzaB Vf0o+pWQ3/GCwa689rpndXRPsy6bAb+1D0DGxOUyL13V9n5TPZnV5yX0AqYCGGkLqIFapuoTXxY9 HxbUyW3iiDmKmeN8A3QI6x9xTEwWnSvT8i6ktdnNj8bKE8nMFG+QM4z3leWMz0wjMtFe28mshX+1 ugalHaEH0jiqO33b7SI3X6Uqri1bS0NJu9Zu8JXpTxP0YNGClk3LhreUBtQzchuckz5SrF6rO4go 08W+xTnxZb5vbDgnqkp4Yxbj9RVhuEYscqQ2UY0Bv8fUPX/H65JUYkUodhPQknBOnHxGaWyx2Bo8 AGGsdpK2TelDRUpYl2/R1X8FsDwhQe/6rQ7Nt3A4/vpWJTyOa/XCarZRnn/VS3xNmYVeYfxLfK7u bg3Y9/xRFtU65cPa+zuAy0vCwToZzqisp55TE4JaEYCeejtOt5svSzRf91g7U5sest0Wk9EV9LXT UeuSMMkZsr4w7QAY7Qt3TgxxPB6Wi20rW/3d+Fw1qQjEceVCpOsl5CnsfLc8FXon2eWaEEnptyEX oJUTIvF7jX14CtcSPXepk/6y31gEoBfqPnsscdI29uR3Ov26dTHYM0Bt/oP8t/qUuZOFOiI/1Vtx 3TotKRyqmOx/2IgqeVZPNTI86o52fXNbQQWkAVaYhKFZGONGDQHFPbBribLldWWbAbPcsLGGlczU CcwmTr+KPqmQFm5W7pT6V5/0kWDwC/0Jpad3V9W4eVESbntWWTsVLiqRyjbU5Y/ZaLsWv7q98IGV w1zTy4qdJ3nykU8oUidBIRjXxRzplyy/ky1ZadewEixtSo0C6E5Yfux91bbLXD28vcmPTxykeqRK rr4z1m1HQpersC/+SZzmULH4O7kR2+3QxC45k+xVP4Oly6/7hTKxhY86BBeMtZFXPwh3Yr2ICaaz YYAWG6FMfUn3JnvLJ+eFIyP/ZClvpxHI6WVNYRw4jWpAwMFhOXqiWl3MnkRXuJTHM0OgzxuXN0Ss gfe+ZmDHH5LLoQ28Djpbx98hwvowf9VeXhoDccVI66YyHYyEZ+5+h4+9ihxb1LJQPbY4NQp8iaQw ZwxARrXq8B3N/hJHeFDGhKuvoZoFBa1MMVvwnWXbtswfewm3Hyl1g7ziCBzm0NJw+V88Q/SozPPU 69KdpaE8FZI42tr2ByU8BL8M1XghPr5SycnhdG9masJ+So7mWba8Cgh1BQXcOsStRc2iUtAyIsnp yz7oD9nju1akVKvyuGmQbPU6TxHDKi88GwVGqDjKsik2E5cAhLnT/1MjEJneJPfkyziVi/bcnsBF fcFuG2Fb+ogl05E9P/pN9UReHZn7dTfTQkjJbCkV/51MxqGcV1beicR+L/iLNGQo21zv9evte0t5 RcpjpQSVeEP2exty4qalFGUcqZ1DADozJVlJ5if/eZZdpm+ZEo9N2wxYjl/LJXWamEFPOGZu11Y8 TLoO+0U5oaikkzD6UCnj1vlnuMc0HSp97SQ5tUk6H+bzUxd214IBuOHKNG+E6VqH/IqDVD3jxM3q BwyIWGaOIbrFww3PhDipIywJBmM6bUBhXSfInnmO5jhPXCEmCMrwen8JLJ+6VaBjXhlgzp73WD7s uClyGdGd/SQ/1Fp9SvZmFoSuuxGXL9iJPKwrpJV10PQNkdubuz5/DUVKLhMFIuCUc8ZUBvr1/Fp+ Y3Mvmv3i1pmn2sXrKjwmY0xYHzByW4FH8+Z/2YpkIgJS1rsURh/u9N91pGwi/92pLtEQloWJmwpU HutEiI7GTW+fLf7852tSbJg7yANFFmUwDYAvyhs+ewskfK5DYnWJhdq19acr96PH0qDpSh7KBMKu SxA5sz4xxGlDeGpAvTxWJcvxZo96Rx+TMzPp1A06gSBaR0sSdb2Y/FlkQqwi/Z2YDBKn4REUFLz0 4Xl7BbzIpiZSFqk8UrAgK9x8jDwI9yV6iiUyVxmnIc0ge9ees1etU46yKswe85dXgVot1EdX1UeY vgW4bYx2m4jRbhCeba7k5s/7UjmH4puXhNjUl1CPzY5ppU6UqUGeCPQuoVn7tSwmiqpT2WH80GtX hBWmPwOLTvEeoMh4MKfsX9C1o/KPyMajFX/KtekvqHULfrKvvKvoBlxyawZ8DOdHgc3PyIJo/7tL qlXWDJu+y6tRMCs3j0oBQgnjSusKwEuRtjfCUZkP4MtCM5gwhdhXeqgWLNTSC17jjAbzw5zxLtQV YJeVzh6jRy+6i0F/3EGM/hedoWzPdjB0GQVILPvKRXegp73bH5+Hmn/OTPGHIEBo1UmQNmXC//gO N47Yl8eHDX03ulKm5On8wzqs3oUT8MoqbkW9JdCjymNQQD4s3+5K4epkoqJ/lk69sfaaHpU3bbWl nCaj80BNrCzXdBICcsxeAXfACpNXqgZtF+L1mIvj/EAhOiT2sI3mo/2+drm3413mETZ1wj3wM57l i1ZxBSxwYYwHeVcdOcJkEtEQKALlvBhOopteJojOXo2xyL/HQ1UkZ7agL5rqoCtmq3xYTipmT/6H l0NQ2rReDbwimn7+MzAlxX9ZUqCqngQcIkEoDxSrP3HbwN4wYKAHVRyEJHN1VOfMkZeViO1JhYV5 b0Ssu770425YMv5rR+PhoD619xDnkm52TihZnlIBSxD9GyfEEt7Cvb9GPYAmOkAXnISwKcnRYMLp APxhmnnj6qMwCCAsjERmMWd+oAjl6uYA1UU/suINJtZQpR7qjQq3c8qdUYOmEZKzP0D0POsBlfFc gAUudBz/N0KsO2EyBYaVHPYBd05BVkZU1AQJVV9BQyPJBPAeRcuReaqEZbS7JEjfuOt0+fJ0XO/D 4IsnYGECnufKsT562JBQuCJG44h4RJfdmTGwde/g8skQLlqwbB9R/9D7r7KUZxR/mN6m2Oxd+ccr n0kVQr2+YOKyHFKsOjgOes2d886d4+lCTzZRetRLYDY0/V77YnSSLhzX5GV4YggBge14jaQBTM0k mYHD7nrU+jZfh+z6Mx1J401tOX89LRZzX98e/hxx5AfseT/OrD7ZCVRsIRtmebQ6LZqqo5hjV5TQ Xds7IEBcc9m+aGhttXW3gexWQx/4mfndIrz6ZldPvG6NvuNyDJzmkZdEOfRbrx7Rj9KFkr23Sy6z CUcsTdgLn0M2ZYCF8ZY7Dde4pXZtH133FOCQ0H6EoyQDGMDTapdJUPyczWx1WRr+o4dlFHBhuiEL dMfArECTQaL23XhsEhuiLAaT6L8GDPi+K9Y4aJ6D1zbQ5NY8MUme6K1eAd/cQ7z9BnXJ+DDe06d2 /MRRd37I5Png6ehzpCnQnUSSZxglbxBwgTilVOTyRgD8vSpZ2FfrfG0vNA1m5MsfhRW0vBV4OCVE Sfd5nfXqvTTVinqgzV2nepKqLIgcv8d9qqhxsigmZ3EbX1MPOW5bb9X10NOb7JVDTzFCr5bE/ZZq 6W3zcCQFUFZCbhsFePJibMvWPQfZKYIalco00NvFf7NYvH7r/HP3la9Oez6KRpIIwmUPbwgJ10nt K1aLy5rp6Tpa2/jT3YfvNxoyv18HvTgr7mJ65GdS84dOU5sipNJ4DeggDbhR0KWLLbugd0pjQuKp NBknomHLgNpy3CyBIANTXAwOqwiTnctGEjam6Ki3EWN4LDwHYw8DlxWnX2c8OO6CD9Lm9wIWeaXe oE/7K3cTAfLQoYVd3yratK+d36uw7OboSdkaid5J5TWyjGXOZ8aaNLuSX+MM4xf5lhjnvsuXrlMf hIgXdPo4nwS7pCu3WkDfKeWGW9J6D/V0RGuQUrc6F9gGwt2957afoycetJx2r0gRm2MlLJNQiVhu 4cuG8mGhztk40iuurSKCqG321nQOpr4aja/w6Jbj86yw++/eCaJ07ejk/KTEBZg7ARTdVONXyZGS /C7GOucoip+tub3eE1C/1LZpoHtwRe2eNjfMU2p81YRrIYX1tvPL8taZp1jXebNQkPK7k5ejt6NN SmeECycTC7JmxMw729QBctU8ny+WcClUDcCbnQKv0vT1VuL9+HkNrg83/QUm9zJhmVgzcgXLuFGn ZSG9vIAkQE+HFLuInJ3yXDbyAHahLixU8lReDJcwZKAk/RQf1zitIBbqUx8LEESr9cHGg5CCicpg GEfvlKdl/kX6GgCzniJ2rXducEhBIVCbFUxRpGUX6Y+VpPuiLLBI7mqBGwphA9fDvq3sjU4JnT5D LPOuhJhyTZgcGVuOKP7IwTNoTea6/qNYld4217hXuT4kmwPbEwUNJHyP7oNdgm3bYrC31iuRsGLT PjitdGLIlaX93CZtL0mm0izCL4U/tVZfAR6tQYfA3ck7bGFaApmlHYPpCmIlI8mnBNCArxQydhKf 9OvgJNnmQkcfJtZUJvxNnkmEkTeOc/PCiNZ5dh2mLDyrP86Ry/9I3wyNeObSzEo8KkmJggoPLXQ3 e5obuBa7Sk8FiOzEvqPV8n7VP81UfGn53ADtmjAQd6iFkj9j37Qc8mzO5In9j9EiuD7Zs3onFGcG H1yfjtJib8tAMYnOh8I198TlJoev14HLhFQ77ouTL0nr+ucJqcQVHuXopP6ktVSqkFtd0rLfCCzQ 7v5xS1g1BPbglX0fOBx4ZXZfwgu+SZlMPUGP15EZx5NJdn07B0V/21DJF/8oGo+vaQ+y8/tngSOY 3FgkV8cI+qJ8hms4yRNDQjeKUup6QM6MZmTH1X6qVLJPDz1o/rtqTkclDFS3Uyfpt9s3p67kLPnx G8LY2+ezemAf6TljcnZS+RPBUPKm6JK0pL4PG3sM7hfnVWCV16X/SBSSDLjwVZPKsGLOhZHQY5ab de13C+0kjv34mD9hJwZVcDjINs/4I1T+L92k0tXp0b0R2mJWtODVUw1+ubi/tjsZ2F8kjpoLX7vV IchK76phpCP6BACkopxatbN8rhI38up0teCQCrQ4HWaQu6LMDgEEO95DwabXq0uk+NbPuCjHm42r +9PXghnVe+lGfDHNFL8NmXPK6wniV8NC7hmFUeFHTO/iOiw0BEBNsDthhafuMNE1D+FsTdtBQ6PI kK8/xINmg+MTJsLWgtaJ2+7LMPP16IKBMgYJxZUGBqSihdd4PE4tdlS/nYxm98s3vtfusxk2GWaV WPBA3MMEM5SU6I24OarzPhftc5Mlu4wjZwXEJzh4LqvD/DzU7JHKmmZ5olxk9xG2tbjPDy2nvIiU u4VoOs4KQRSwKV8gTIkzZiSC3kZ/PQSP89B8Vvro1SYthh/73NHvdGzTM/X5zRWcJQbAIzy9d0JA N6LqfBx1BGZfanxCQ9qM2n3i5AdF3Hh8xrrx0EWBMmude72GAOa2PQs8WxiG7/ctIh+apAeRF6Yy 017fUmmdFwueSjKy7XEvvtmGrkhf0EaeUiPeGaEGO06gtPIbUQGgTgRuRF9ifVAq16uR+tuo1oex 9aQ1m+jytP46eHTcuJ0Mpnzvh5CJHhCRP/YV+IhW0P8BzXCJzweZSC72lvRz5amhRavOgW9TF59r iiDxTF51+ngR4SO598BsPmMaRhS0KM7BkdHb+aZurmxCEJESaBc5zaRidNcVZuiuiTGueLMv0nvD MQbcRVBt6E/uJunOkaQG1U9J+LlvR6D2usUh2V3YsqBxcTvonXIYa8RrvIf+iHxrcBQGZ7w9BEkD UPckq4Rw8N/E5+XAnM4ngn9CtGTE7zfBPDlAs+0SJidNF1iisy5Ki0sKSlY55gKR+LDkb0qB7pvk oyHbpP4K4srx0LbViOzthyn9Hf9/PY3wPTkZHhHFzY/GZYXTEyGKJWm4OTq15mqxWS8lv9kB0Ppa nV7vQ7PGodMtu7syoEEapNwWaqB8rVyrYIP1qyX5zpIF5dI7hceMseER+32jd3KMsB2nkvYqIXpn qenx/nsiwGsxupsweGuEH0R4jIORews/hvRG2DGG3aeqTgZrKjhhYCJ/iSMDpGkhqVPoHJ1s3aFT HF0/87s7KPDcqoKPKZYYxtuI8Ay+67n68IXKXz5NLpMcfH05dhi5W83ziO55bo7m0KvPJVuHSQN+ y6IRP/qwppEG6gwFi8zRtOAyQ685eCg590fQXgMQXsCQbaHJpkEINZKKT8TdJrA/gdhCsxi6T+of v+642Dsw5gTz6jwLxWCNPp6oIYoeAqkm+dIy8X7aF17K49V8FXLzVvoQsg+/1H4w6cVuB+cO6pJ8 fgMWP+sm0+QdBV+qSId375+/xX2ie3QisQnV1hXymqpsMah36MXkLnRbRmxOzWujyAh2XeAtQDiB fEMgktRnJy+uOBZLm1yDjYtuZZh4bLqOnxfbOuhs2jUY7fzD96COjiYApZNNo2NV1RF0SEeN655o 5hx29CgpB5jjsZ/FaY+y0tXzZG0gm2ygsii2/hywozLBCJLL5ehaq02U7R72lUu2xlLQ52eNOt+6 A4i5pwAbcf+7cvXS8QjjP7bFS6aq0ODMB+YDoZ040ZAiGZxOIAh+x0lFUoBRE/YZWdrZ9bfvR80x fOxjnW64sAeoNyMw3I9K4OQu2wbT6UNGzNIfUYwLUuYOu56ejO9zqNnCzYpRIq6AiVWiKL47+ckY h9Jv10MBmxEPXspZAJ6T4zqmRDbttlRK+4QrKDRXGK+BLD1XSPYhl0VDusNzDDqV3YCET/cRA4hU yu41T5pF72dU9drQcJkxsr0YcDDQBgInDjVvbe/w9ROs4cATSlMKMuf2tkP9tvik9/W5cts6m8ES asJP+HXSJmxV1TnWJk6/CZXe6eSCYZaokFKC11OjnC8S3+zb2aOFx1PfZWEMfwgdh8Sby9DVbMr/ x6K1/h8G53CtsTXfZIIxsw1JRt8oRWzfJKasbqSoAC28ADrcjmNics9QWcwDFhsaOwd4wbEIGXWi BPnG4C/M0jBvd+1PcksDAeA5CVD6FWwMuMPsZPbXTwhuOU2RcskJmx/CPQgrG80jZ/GyzymQQg0h eW2DyUJJ3GHfrAhXQERZUaP+jUR2HvV2FzqBbUXebZ7CUG/bczpw5BxuzJs2f8K6x5jSceuA1dml LD97vgexLCpuW7RpC2Ed55mynxdzxz/YUd1FIjyZvWC4Gg5hmbRfeIiGRVwBB7FgQu6vnFnqzeG1 iNe88wnIsjk+VCrlk3iw3GVYRRXBPMzDT5zJZlcAWsesRP12wtO+7VYscTl9dNrpLUkzkBDJUo29 Qvs+ZaE5dQPtlmXQGRNzlFbU/VHAw1eecUXfa0EthOId55RbwMLlUYQUQl9q4TgJ7rSgM+05nc6g gT+YFVWIMMPgQV4SeDpUO8gus63Iu3rMS5Qhd+88jwmcm9biKGbB7HZV9eGmtGkGw+gfg0pdluOz GLJShXOT20CDGMUQEVlojHxuuOjMXI40TQb9rWiEcQFusJFy+vaNAIfr2Fn7vDBf6xLonhDl6yAE 1rihjHNwMfTGKcl9XZ6UKMtytGK3I+560pQRFKkYGP65ICGfAAfmSWIljen1GBsaSe+hToDWVTpd IjgUv0PFgN5k4Uoy3CC2a7S5XrxbmEG+3+corcuisa134U34nnfxLUMFlO8eT4mmrpoxs/vNxozv 1Pn7fCYEyOs43mQx18ExQy6oP7mJFfxmEtMrB70yd+l+ILWFoyffXudM6f4IfRE8KnWDn31jl6td P3QtPL3l5zKiGhXfRLKR89vaHJ8klhfsKdIbwPwaptudSTcGQ3jVA11MJHP24b2S7fB4XqmnmryD jM0NZvczuMd9aWf8m0e6GaWmBuPHOs/Jirr2GWSt84PfcCaGUB/OVcrke3JTRRGds7cKo0sZaBXa RKEIe4WUt/eX+ThekXGYwZw29cGKkxcPWyXk9v1vXFnZnUBJi1Wcf3ZQ1B2R+lbeYHN6jZ0C/tnV Zu3Svdkbyd/PeOPuYF5ZDUGagw1KUqczA0QaRU4w7H2rw4Ie5urZv07wWbSjC1XiDwArJuh4WBmG 2GqeUVmjfG2cd8vUxrc/coD2A64mSbYd52fbvkhPl0NGKOsyXA2aZ7A+5YMoqqrHLUG9SVY3i2L4 2wD13EFMxQJSLbZj5E+iwKzr6KmVDAV1NK6W+D9BE/COVfO5pHqQx8q+p+XIFgO7VPlI5SA1VhjK bX/E5U3IatUAac5JKZ9EpwT1qAwXUc1pmx1Unk0TWLudl8+qzOCSg22jSI0/uVcZ01Um9Fuhnt4U +SAMsowJIKAjQ5TLB62P0pxSy8zMSqV47bfdkqYLQrOjiO8e+mtS2r8/cScqLkbB/7+xvcS+XBTQ luX81l9YkcQIEld4nVpkQPSX1bU3rqv9Zln0z4Wt7btu/pcsONj4vFO4DTCsTnUNQZ9WGSy4S3KD DSLPar25mpdi2NbrjqHNyiUB6+o237+tcY1QkzZoiDywaepWvbi8kTLw8FnIt8ZsRxJDaQwuVWv+ X4l4IFy3FFkD0cttsuN4pM/Qoc7M6IAf3j2ukBg+1BKmVU6u5qH44/69L5FlYb5s+CAAwBcRZG/S fkspR3KLwSPTek/z96n3GfxcLNehetnNwNZiOUoheUUF2EbRvfZiP04rlZC1IJPtoxMxHxm7rTuh LyWZAbqEIlVCOnpmnsNj5mvKZUq+ORnosx2R81mYZDlR2fZc9rCR22gEQkHQCVB5Aql7l7geJRNw GDzmnzNMnSwek6RbOi/HUy27dZqiZ1Gqe5FHvc4DS3PQa4aph6B2EyACk50QoNb47lac6MWANV8k LVaIx2PC/23WorgrPjt6nfKQb9tGg5WWG1rRRSmlqQfGGS8kZrBsljx2aYzwM8ykX42+6AHofP7J JmSrDR9DzMCkTteXpBZM6maSVDv4EQXgJxaPhog3/QHBkVuuSqyDMTZu98rWdrqgnTMdKySg0OZH BtNTSTg4dGJOz4tJhWeo+TgDTc52xjjlltjLjy+Tm/bAHfehLxgCMxjk1UWa6rUZAM08z3JV1uiM kFZoi/JSUwk/RJr3bD587k9xO8kv7Fogqx/a3bq6P+ygvLviWQowDJGzls3pAdsWAZARUcF8HqyH 5BzuWdCQX6GOa0/pSWJ9jPiV/IAx0kAXcKVUV82LlvADMkK2Z/sswqBZVMgqaOR+9bAlDfiQOiGN XTgxcQ5ypZCMAOv6qRYbjClTY1L6yHeTccFbVhiUsFcSfhGDvsNyPdSZF19YgVWsUsEXcLLpvjwu ja40ZSiAe2QyyZN1BtmeKk85KMUIAyA85Hj/Iic0TkdRLpBhAZBziAFmYy8tycyBYRDjfOVY7ITp 2v+Ewv2JMBQyqAAUk05j+1q50dnj+3k2XY58W4n9uXsHXk1b1a7dX9Kt4ezdSnX6LJS28Zph6m1K EMX+O0aQWjDd8KCTQuLbSSEBEp38nVsESm5bk/UfNMDLZ20JYzM3ythat+cEDWEIDRNuUg9X1lWn A2N0cI0z/zuWNaTPtJdYZbzHGYWFd8H0psP+6UdGRoNTGhup4Nknd8gDfVG5cac2SG/4RgrJclXy 4kXGzlC48Jp2sSSByQvH+TGROa90vJpUxdF6S/0H0tABfyLOv7kzRMgl+Jr2Jlez+YuhjKPNtnyJ C78l7in+ODZU5FelcP0x0mb6p1a3M+KE08ajURvHyDKZymZ7wz7gPCtfRi/r38mwjzqzEZM9oL2D W5a5DgP6DSZ+GfiCGWi8lYz/i5DhqCMccq0APdmGdFO7y8e5XN8PM8THLeYvXFBT+mTB79t3Y6KN LHvXpXRmlbR0bP4H/YT0Hr/dVm5/w6r1aR3sFYk50+6d3kojzVSlseCq3e91ckaICDaMfVLhka9g 01vgew7TgSUEkVy62GaYgVGpdCT0NWQ31bbL9l4fgEmhm7OyjerbRry1n3E5j0N1zyb/6cWHApyi SxaHEOTD1DsmOD965GNZuH4y6lJtzQEx/REnrRKxg0h6ExzEAADxGCr18Mu8CP65XYi4NezI4vBz osFwipt9GcgFCMEcRQ6A6Sh6qYEFujbhZj7Of+5kJnZMjKkeLbaISoPuhHrW5J6hJ+focd5vPDzW KVkMUXw2d+xIvmMQrnanw6658eco9CZaYchFGBlmblqGBbhTG/uWobme7f7G0228IRBIWa+EBGQ5 McHkWq76s5yxKVD9x++E6dcW4ci3rD0MN7Vrl01DAWdKccZie4RzjgG/SPozJ5iHiekaEjDrzFic ZDGlm/xcQqSjjTTwJwF9ZZVSXUxETjcDjI36NpFBGQNu2Cj7ZHSaso4uwZa+aDycQGtn4Z9voN7n +3HniucQMOURyKlgtHqGnbbpVAVdl4+N69+x3NLfThzIboCpnXpCbJ1aOLBFloToPNLG7IIqzT8w VbZxeFm3RwsXG/LsEvLtdwy646htMnclDaHyjn13W+dgTu31zJXyhUEsnrGr8ZRSXLOMbwvU6y7X AzhSIrSVrN+9x6eN8m/6vTBb2/rdXHZoMsBrHgYpaoyT0EyJi2uMOuq/NswB6L921PF9hza18G+r 2xVxO3O2M1V/cVRtpXO/wOy+mYAafPuD5kNdoddCOXAK7adzfTB+/Kj+g/IrCQ0CPn5/avNJM/jd Pzx3IZYuWmnl1pDsnbJnsD6B0hzCi22yoSlUY87KbpsPibBIh3Xg0+bkmTJLMkVIAfEeMa+YNgz+ W7Y3Jarop/QOqNmxY0Kd3jHt9ZiFFrCKUf6f5rp4UKxhISNIxDRwd4xK7Z+GTu6KZR7S31uAI2/I alUdWQBh3aNvhyTiU8V32mC78cvSjzeTCgr8m5zrcVhqOw9+MK0ymRAgE9G3Zvuti0gZVzTcB3lj oEslJvlcvytDKt7uC/oxpL01CizdBKQAoAzdYwZGf+7eIpho63nFH1UQmdWyHvVJCGMHotB+H1If GtR0AOLlwOCMZkoLEPrj/rXw5i80oB8fK3rFYFdHp/sLk7gOjwA5aW7nbFRAXtzDkuN+Tv1MBHfj 2/SMcqWGaGAIY1KiPE5S6YnAq3xjke3BW/l31p8OSAuemO/Wqe6qN7YhZ201IU+ngNrdnU3j8i+4 SN/IO8nRpwhtD/pAvThv084Xss4lrdR9e13xo01cFXveYkOk5hWKdQpRkXFtuJF1jeQArg2QcPqu qlvCIJsu4dj5RlnSbyC+Xaabh5uSOaaaeLtsDSFQMKclz92D+nHwxuHSje7dc30hvnzV4rR7sx0c eqOr1gWOOtX0P20gEPk3hj/hrv5oWPfd6COoiBq6TWM+YB/LD8sJr7rmFYA9oGBx1JMecVjYWJBj 91Bjj06EOgB6yW+3+p7pYDPcHOCW9o3aJY0Xk5RPY802YxZyMyTA7bhZ48nkIyKWNGdETCBwmNPC 8LrVwjcn1kzGnPerCV2ws0FTKuiA6Pjf28UQYk356E3reXPAE6qY3eK6ABKad3sx9+Svz5lcXiGq DLWdsj2ehMkmsU8PJzsz8QDkRsBct06iJjFPX3g6ffbjvQKcuncqakilFJ970uLbtjT+IJBWKi1j eVMCiw5Bh72i+8TihXUX0/pkKmqhA8iuFmVuKVjTKeEH0M8JqyzWw+tfkQHHfgLEqfu8+8idRJGo obb0blnrLGB5jMpmcrOR+P4qHAaiyF0lRgl3LEl/H4g9kSG1x3ueKVdhLIuBoXvdcfQ7V/o7O/8G cux+iYJ6lH7xzC4k8KuBZUwFiPyKnLC4WfMxG4nm25sd4mEW5XnXTQklnYL9yqc3KmMJNmeug1Gh Ad9VQC9Oe7/ojXQOoOY5vym2FlwYuKVPhZnI35FSqhGwPutwdWs/cIzWWAL96f66FlatPrao9Onz jrMzEiMT63stj5jln6KmweCGug6djuV9jwFWuupqg9Ut7nwLi6M2nR4q9w2v+0aXmsIj1ML0D6mY nxYu6AWewPwyzdVYWQJeT6NUnjPLh1BNOQOQMpJz0ucffTXwSzhPQHw26B89dk96ccGU+X9e7Ngr m02RU2xFmHkZ2zZX6hSj+Fqwzq1s3PIrbI6H7amrVGNHBzUAIH3OTaXQ4UnT2A2YVVNg2U7KyAlM /Uz/e22g8ecWC/k9PMqYnTG4HnJjwQwhox5evru7v7fduT+YVD9Vn5j+WR22ABz2LBd9sZ+8zMLB DdV8MQlHBKzSKWE537xRlXCy6/YIj2rtbi0wL0NxQakJvJEsuNhYqKlSAtqctXLxP4FK8IWXGYZg BmCp/KsCP1tNusG4S8yg9ZUQkUDZKJZuYBXqDXVAasgNcQVyKCb7VDaIznXCBjn5zuY3HegzOv4B Xzg5UX4+In++YXRk/UHY2OM4bzNXBGaqcGbsar81gXkOui3Lvi7/4NTrUouQUVB1muIDyBiEoCxZ pOGz6lLeZk1ptve4ZTLnQ8aWm+AAYDt9AXJncBBU+ixZQIWggsZ++oorbsvpvY4Foqizt/mXbQuc XA/RPVL+6kdswG/sZobNVZQBHZV1AZWVruZVcJWa+zahpNwFiIhl2T+U7l8/CeEkyzQ+ub6fpSa3 Jj+8c9y4qiEex9XfCnrGZZ9MMNbJI5M2aXmEwT92KiBVpyLHdwyfz4HBmIAVhZuO+9WenRTWgxbt gTZ/w1RXg0mcHR7JkcUp5u5LNHa5CSZNQbmcpR6iKHPkHev7j5X0FWn9vNsubZDj/RmfG4tvV63E Pg7orRmx0+I13DNz8SJ6LUuwKjNCJa4WVzCAK+14JbSRGQjuUv8XdfER2yPls6pNTdwRgo0i1gHn ZVVgd3m3NRsB8wis1syknf8xpxtspiteVR5/foKsl/TL4/9/wxhia1WVJ6lnRJViYV9Nw8o++j6U KDUx70nb3kRbbWG9Cr1ASPEJa4MAvHhXcPdZrbLtTZVmhUjvgGbv7uiXxZYPhea25HneT7oBa/jP UMTdSE6DdwkbZQdLSiF46Q0FWsyuDQWMb42Dw13vy2zgDzyuPEqR9jsGGczTM6A8iUYUC+hFLLtI rdp7QifPVtD6rC6fgQC15z+kmwzVf77OHbfFt30GGqiQ6TjO2WaGrJqNfIfACG/ZjJPmAHz8zfsP TuPgBfxx0/5BQklfWFewSptokOBMOSRNSODHavi22/f3+vK9e/busXjF31bwbwg2ehk8lZf8KTQz X9DWglJdRHKi2iA/N+iu4w8sSynsCsxAlX4UBJ9Pj3XQYA7o1bKSiTgf//qzRhVVxm6hxT5xQGg0 lVvn1NT6p0tnFHwz59cjysTmAbiqLFdOBVZZGx94K8VSJ3mZ3NrIm6LESKOVmLLbPYCsolwptuWx pX79hKpQ1+I/9i4d1MRXdf0ZEsVSo9Pe2/eecVXF5FbIT98KEDcGFxq69lRKf2HC2MFofE/flaAE V2WrZKjFbFiftb2Jn5ITPc4sgCGKDVeLM0KduT+tNXJTiDJkiX9L6MlFjE2T8gXqG+uv7M0lWwXd 49W0T5s+V6aoDsmY+Bxxpf9j9Phtw2eDblBDv+BwKWcE4nZie2lrNVG6EQ4ygFua3A+unjxhekBJ nX1XEahkRTdkIY3sUCiApCg8pBRssSo+yl5XoNGRQtePTXtBPAatPVTvzO4fj81HKVtqwa6smjlC V8hfsLefSMJNmwzp0wSqCU9LdG7ZIWFizMxpnmEkLKemT97vQzkZ0H+B7eyDszZV87Yo7V5asVeW V/ACxR3QmgL4/J67fBpPQYEDBGno6z6gSMYN5N3W3Q+Sp2tO6pAnlP5YdAUVpEmfAAMAgHfjnYuA wPQYM0zHiV9BUfCSkQJGWT+p83b/UrEeHJB98Q9ivsrNoGCJRaBUvB1JNuhUqoUDJkpZRZH+JOoZ pYtv2fp2rZw1WaUIvztH916NoRtYcYkK1Tw+xPSNC0GyY6kEF6apUjPpiM19FQIut6N8Rc2JfIAL I9n4TOpRWH0X7O487FFkvRbKp/5L7KZwx8fayEb3d7hXHcb7myG42po1Lf8o2tWNLBT8I+uOuET8 sjjbHlQbI7EgPnVilgF1P2M+Be+I/JuxPMHkU4/JMphLyvR4tRmyAUAe36o0Xw1IpUniLuQ7ylXY nNVdNCNs5A9tLLWdpUrFwCPaOS6ap4Is86CgWTxS/NP6hKrRaQgmmDDEiy5SsRWTM3DWbV6d/Yut IRtxTwEDFKvn5TtipsJ6ZTCk9wTnEDpxrNhGk6w+DbVqyxAxiaXdqznMjotoJDmj93Ql7qQ9N4S2 abiBJkOOMKwq8/0waYvRLZIScIcuT5t5CfoqydV2O2PVpPMwEFSSotzo8NRkBAgUMj6GAWSIGZJy stgB4exqrpJ7jrZJhvLpH+y2fTsmXdlBULSdWNKzdZNm1wySu/EGQ1c3sRSz4efpeqF92BZUxH3e CyXHEwj8+GpT0outt5OXUD1/ROD83mjIquaSkesaYeWaIv9Yfuh03IR3Oaoefj48e4raRjU1gXBZ FCBeVTduI5x/mwkRG4xQ+2mUdHYr9igIjoMwa06JVRaV3OLKhpJ3Hc1MHVk12QI3K2pKK17C/yXZ rK4cBwsksWnCnCMNjELEnIzUeNrFnQCEUpHbcNycs27orkWX+Uq+oqJgSQClTmgs8n/cE/VKp+pG LP9VEgNn1vn9fx2lPosZ6xnovceeNI5oeXaVptPJQ+G1KJ6BNmgDZ+7sBqUlCQ5n17qyt872KNRC xprNUQoHBSMAmdi20Gy0LmpZ89NySk55/JJ2lZ6E33mGWa6vM6JpDo1D9Fj+2s24X6/LKYQphJbE aKWACwTVSF4EN/NSJjNYSCG1CZdk1xmDnPjgmqvlDObRp0fwZpJ2wNuKlMDJOCfSJxjVCTvPf3kT 3+e586oCwWbg0t+gVYQKREkEBu45DyvuyxZTJg33xuv5s3SX3RqN0G0fT/6Bl4yooUczxjVb8HcZ bS3DMUd3Li0B7k7iJOxR/K4x+X9UaD2rxtbjBZsDHD3T/iLiIELo0oNp8LeU53VOrcrbemM+0GBI MyH6fFGwaFhUQjHrVmnCVm+mMbYC71sfvgSHBwj8mhUbfxdoRqEOGMxkOJjNn3PsEWpR5gqXVEaQ 0m6NgaB3bhWHiRuD1wdfiO0E/voPT7kxeuH6AC8Wl+oS2MO3Qza4d0FXaAe83zgfoRJJXfmZiRnv 4DF00GMnA8lZ1UxbiaxdWf750fOjV/IRnPPRVRNo18s1G54cr1DYGUuap9iwkpEiqSWh6MlrlZML lLBkbHENNozRcm3MZr1JoIRCx5BAkZ/OibBKEq2xPjHsbJFvwO+pL+odhOgtdrMN1mX3g6RCLt8I gap3EBd8d+EP4L0gUDiY/NBnN5bQZG0z//UKYYiLNa4xNkH1uwru2dsdLyDnDPoSb0ncUsx/nDKf l6Xwd7k3mgWMv1uFrCk5aMADGfSplCpw4u1tUMEPLc8STjpHOapPT0bbUg7ghIaVZ/tn+RwHJp30 xNaVLbzrypWfc0TgvJpJ+WnCT7ZAkFWCTdDyxa+h9vwtAYBAmE74s1MNOKPnEJc/m4Va/EdaETH1 hsXHnMhlufKtBmLrDMODLA+9ZFJYoMQfIcDObvbFRJDec/9gU5IXfPJALpLzqgDfEHDVE6wD/lx/ 8J6KbRbv9+G0cgmCfxC9pgHCWnea+5jUyrcAtgDBZ2QfqB7WTqLflxqJRpnqWhLsjB7TYwVEbgaJ QYevFAjw2ZIz298g5mDMvLbhuUznN7v5tUywI5oPMpv106TcG/RdxYxPRRkj+bK7Y3BqKxsUWjdo LHqLEYWy6bfXmnQEiNJSs7BZyzNK+otwG1th2gbQ9LTeEpVxhISRJsMvqU1seu76ljQ2/KH0M6Ki 2096mtDXAvQbFrin3VcZ0m6DWK8YlqgI+J0CGArZpfmhcbJX6Z0vqRJeEvGPlVGgFYpfbo2z47ua //OajdNFKT9f7G0/DFC5tTk0UKSuPFhe09eMsFoWOVCI2s6+DFvXYOyrBPElDgPkshNTgCLZJPYa BmDpXywKCDXRh/GnlqNVtvRLg+afMZStGmEcemdhdSCNZ5FE0Wbn8LPPWFN9yz9dTBta4c3jbYHA jUbWevZJ/0fNLiBtsZiTgucSDy1LKOMqATzzFroXbiXtavqvQQB2rtE9q/SIWezT1CPFAgRV1owT gjhl0I6R9dYRB6OBmAVfFEzKDA2RQ4kbgx0rRNV5/2f5E3IUPRp3LNVtZvTt7t2kEax7l+D1be9z Pn/qoUkt8DHEIPwrFoqoHryrv1ovxOtlsNlgwmkjZgjPal5Nx4wX5pWh0N5Qz4hgJ5fYI6I55RxK KhKDJD+aT0xUlwXS9UX8jkbya2Tg+aXZR+6KTDSwlgapjhTHAfhTwkn/MGtkaeSdTzl3xl9Fnn4W MRBs9idaFfcq8Hy4/7jqyOIJFB6MpcyDpmB3fTnLYpcEdqDI66Hdwd4SP8IddnKLSUzhsFOH7coY EkysSnGO/M7xMKTdm6HCO+TGJBXI7mX9+rn/sv2QUhDcFwxml8CmYV2eQNO1b2RI0HTaIMEXuGyd j2QYbaa9Zve3kaBqV+ejr5SNagtj22bs89+yjIvMUWvBrR9CXnDLGGufkhKJ0/IpwFBbCuoEJO5K 0/FYPZPSE8q0T9lCE1ubUP55ZLKXehnp70GIuko1w0BpS9lvJi0jZxPI0MhRQdqOSnI0cr6Pzz6I jL91w0sj0QXylOhYVYf7UPR3mi/hYVYJcSOaUo14vE+OACtvJrDjsBHGH+0gmDnpxIANkG3+N8pl SNAUTNQqcl0ExZTQakDMlSjwOoDNhCaYBEWq/YbjtnNKJmXeMWFIX7ZwbugNxB34FLnxMyjLoUDK 5eE2SGKzCo2/3QI6SIUsViRJH8rIVy/Zb+/xo7EqsNQ/SohByX5QemNlXuvsnlTPppwdTdMvZlM1 OTN/7MP5LBBMYWB3oL+wi23K2Qd2CiDD+7m8BKpgAemK6RfyN8J3OPceYkaPpu6PfHjdYmVDQ6Rw 7Nxcd57QjxWdJXO+JLNHy79QrlL+WvmtzLUUL86yXqvOizTAJiUa28rKP2AtsU+P1uQnHeutbg+F c00ljM6VvfoBQdHQ7Pu9YrPrN0rN61z09feOz3dcNubD4a+X6JAO2a3KY9E/s6CR5UfJim948+ig 0KS/W4P8rO5byDlDUN1NY1tt/lVVlJZ/Nwmy/6cE/zR4HqxqROJkj3qD8U0+E2YDdrT8/zUP89La X6NjF1CN3aqeGGYOljv9Db2/u4XrHmMl54K6wErwM7YAe3ZdFuzFnyZj3DTKnNt86a614IEQkJUi Gu5/o+iEAQr3j7sJsB2+skmkP5nbkmsbWk1x51zh4wY05hRstuPDOwqSpumIE3S6gFbQmhdp7VbF 0ZTX8z6BnNBOzX7kt8ecW7RarmNVtELBJMkpz0e/cZRF7WBurvihiEAfyGQdOWRjMqw5Ux5jp3MM 0qq4v6T97ZvxevMxefWgmOSxCeOM20cykNKj0cwt/QZjdbwum0pZ8syWPh7X8lsx7qogK1Xfa5Vw EDjuHJjA2MGSZCB1uDiqcvC6n4DTDFalUc5yWakM+78aSFMMjkOfblT38npK6/sQZxUUB9evAKNf y6TrcU74GMigPdoS8p5pxUVAg2OFiG1NoXQfG6hLyekzTtFYWQXGh1EDRtgVeOhn+NpTDcgSCvbo MxMotIalcqAtAO/cT18hb/kbejyelX5aGwlyRRTvjSfER8LGiToFNJGW93L1WwZ2XB92HSMSgkrN uM4iKeb7LO/EF9kcDXU9jMdX9+7oyf7ccGZu4SLof9fSv8mIqNXrnM6XFB5QqKQOodS7D4RckL8R 9C5N2N8h4gQaUBYeqsUhiMSdG2ZYAyrOybCgqNpLm58w+UMiqhFgZdi9r/7ZMrop5Xc6uUeAMGIF KGqPIuf8zdKNzh2TIP4Q+Ls9G4JsGmoo3XXqN+A1Blm0fXcvd3qHxIWY12Ju2X4YM8FKNA0ZmAQZ H8/VttTrm27xd2dVhRQEWdQLZ3Wp9Iu+zaAiKpmWWSAxLtFfHeR/DSXCVrB1c1Yehh9EfgzW1YiF WSfAo9hJL96Iz0hyiLvEUfeuAadaiasDq1ba4iZ4DIebgQ0GuELl7Uj9gfe/2mg7Vwx3LVFgnVjK Tt9JLG1jt4CFon+WL6QqzWKhuVVRy1bsgu7lUF6CJosQMxnNQEDhoJlCMyCvd5Bt7ACv7f3x+OI1 ZQjA9rW6buFxsF/bUBiJTTpDcg6loajKCd4jm9dOk18a8NDNZ9+Nnlt9VGRphf/MzjD1PClHXnjW usZ42UpUp3R/wMY7MPMOsCF12sjeOOnHVKhTbwoeCGBnSee8fQUyX1O/iTjUfXcAfJEra80YGYW7 u6N/xRFzm4djjAT57arTuK+Bzx+lR16rIURMOVmu11uSl/NNqKH6uwu5JI0dyc8wERKn5jX9K8RC 7FIdNvyzAhwVaNv7Gq35Cje+xLMpW324zfSuPDs61WRe+10XzusjbGN+MorpMz86nddWI2m9TPpw +WBdu9tOWN+PnUHPn7BLIJ+jxD32y9vCAvFeS6ux9RSUyIDuZvsjJRBodCsXO4a4LT1k2xGbzcCQ uJsHkQgLTP7+Q7KeLL9Vw6KSJZIJpMi43x3p1yNSMDSeBNXOtQURuNbwIh11cLiLDUDIHUGU4JxB Kj1zoren9t0TZh60yUp5mfsV8CAZ62twbp+3bj/h8AYxpSW1y7co26dnikdwVMw+lOSl2GcjUp3R 2cCzW3Mpn/g4Pbl6m0b5hzZnmCRASUjYsfBO69w+c8ITOgg/bPQ6ezoBh/Dn+d97HJktCA20PpiU JhuiCulXX+Gl1eunpGApWscK+eoF4JTOLuUVd1mqFvIDeQmginkZHtVEEUNtT6U5sARdZYXc1jrS qO7mTXAx2uuzcrzepIhC4eGpGrBbKuHOSB4ZFgFNDWthbYJEZ5QTDuGIVfuCpTcIdn7vgUyd+1r0 /WT9JjtXBK/BJlTJljracvtkVB6mkrIaSyiGQxk+0UuHqIYJ/Z7SBWQ8Fx4K8Ht31ObNRyFW+6QL N8Xh7PfEg7DuvSQ8CkZzlGqAEjkASHq/DSXn4c9xqlSWRyoe2FN39Toab8TPtyuKCWH48FfYl6Mn PQCaPce3kPCaPQGSjQNrM2xdIxlQnIWWcgvqaDc05L3FwFtl8/jfVcV/thYGFfm096+6N+wpHm/Q 4o4w0xShf63XpKkc7lNWnzJHk2S8TBl8grNDZosWx0ZLG3S+id/app0ZZfB4rlppZkcMzxqIPGSk pjZV63Mz1G6baC4qrasl5KV18P4MlqztAIqgrtFTNIcqR/PQKyJ4AsQZ5hXVrtKW1lZ+cN5c94g+ OxGJO31IdbBOORTybP6i63gBSHD+ITEiA6tvgcg1MG0DDwi6lGCwcb/W8oFyRzl28U4mKe9g8Hz2 nh6rM2PG3dvUffCLMoWtnKja+7ELmvLepRRxz2of4fZEJdy5xemNfCmJ+bU4kVuKrObB7D8/9GJ3 QD385hmHcGb1Wrr1WZ8QEMarcutLWy9A9ZUubs4Szuzy7yKoxirSjYQ7tgG5eo/zf9HdYP3vn7vG mL8vmD99k81Qir5C2b/tFbfmbcnNRi0IZAku2XcGLSsH1yK7Tp55gTJCp5jbAyf2GorABGVjT/JP ASkOErFJNFQ2MqHD5dwMefPOFByxyDLlsyyCdW/oKKDO8U737rkqbyN/WUjWWflEBlAw/84xFvpu +8f8acSNxW9V+w/jgkQ95xyxu8kDZT4zK1lFmZLFonJuZhauN7uJ5fPBruTVnL3yRkfxFNUttPq+ iy5s7ysHCFYC2qS9Wocdu4wT554rJyBQu5yAHLZpe/mUMZlPHx0qZU853aK/6UWjSZkQlmXz2a6Z HaaIwTb0voD9Av2O/EdsnNfQax28/ECK4EFwm4dVJxkgFJZUfeoJJNr1E2sAOFr2UEjjP3sikZ1w ZNZZ5jrgSb31X4p1eeudsFklLWg0WDnBHQSOJokyPIHR3R+bBtDQqoFRra3sWt9Ye6/CproxLAsl h8hB3Zr3NraLbKjFRancAf4NImgFMlPrclkIxUi9bBeekMosFSDm1j0+QF9BmlR0PIST/mOFqWBv 02bRy3xexj3kIPDmbT3ZSfPZ2SPwWi3yL/Kb4Q0Dnh33MvvDMyNSn/Uia/nxsNWaoxe1jaWVI+7L LNDHfqP01EkKte6hvBbE49b+wfj/R1vaz5VnuSGJNNdzcso3wWsLRg/11RgI6dIYstlSdDXeuolk cYpIf6tjIflfFhp4o2U0V8hkL3wGvkw7WGZJ3QsmNZ+DFs61f4rQshpadC5hZZM5DTopbYkCjrVI hskZq8md27k0/TiCpHTKCmp6v3GsPRao63++M3sOsLkGFy7IuLzJ5UFfDtammCGSOHp6zzjSXkOc TOBJguiOhRkqIZWLsPOJpIO7DwGByS8co2kU9/WIEwJUy48pHfkG0gEQkxLGizoVRjBcl7I7k3yz iZBUCfcwNK0v3Opt9jdk10+0wwakECQvo8A77qPZNmnpUJ4E2svfdZ09AabMxULXEcAqftKRsN4t coiPJHoq0d2/kcIZymQ0MJdEzopmGcOIzE1IiurOB2DdEMdT6HS95nN9SqPkzNsa+5BBXOQwCzDy Iq4bfH8ZPeHF+tOXOv4E07OxvDLoPEq1xBeWhcMv5Zpx8jNt++Wg74FaHowGgRsLRcSQVCHdmZeX leuxehbyuvzG+sZtvb3iI+ugkMW0+wUffPaScBFsm7Da3WM1Kq674pxEyOP1gWTAGi4C/nSqYc0q 8bO8+lc3eDsEqxetIV79XbyX+4WY8QYnAI0vTrIxObdxZgZnEXIcu6BmxFSl3BIP8SXwEG6UNPNQ Mrg1HJHhEiBxidd+zJTyM1MG7waKpnm8tDy3GrhmsjG9j6sojfhTMbbw/Nq7JMIHPN0inGgVqkj9 wqPjSZG6CnNWd9GmWK62uqZYdMhzOdXhTbI1aF4Es8JLtLYNesmOQUVwZF75pOVHPjPEuNZI3DsG swllqeaNKtQOaToyNwk5fB8MiKJOjMInEPzDSMhk3q539KHzWXT+jZnCdFMWtB6pFMfJV6sOa+I7 rypYl7KJaxqEAWmg88Z+gGxWBnbKvu1oADTGM3GUH7Fv3ohg6NMJkKt+ivoU1sIU7+uHJDJeddkI rlZ6DwxwJBRTXzTEzIo+tuJ6pd5L/NSq43IWf+53D1UyuyS06wcq6gxWJ9MYv9GjwB2FfAN1ITCc GACugiTHV4tU5+XydQalWrKXpIXkLwQHAEhmZQrME2mDKGbZaITI3/jqguisahF1hYo9zsANMTwk 4kFjYtYkrWG6KuteF3PUoPFtpzJI590Alceq1l/XOvnOcY2Yz/6x1MUKAjFtJZHNT525Kh2f8wAM dmDCG7kR0bqiexsWG9/AjhjNb/QsKsUrLAak4GqUc/mnFm6U7csp33rBCIIX84D0kIgdhm8zHD+r FolzH32dXwl3PaWaKkmhNq3gs4eR3VaVCx2iQTkeYwtgAeKRRE5YdObdQj20AI3BeYxWLaC0M3w9 o0aTVA87copqAQEUNCbsO4rpjrMsY9uBF+n5PlFvQDTlfp39xTCIKridQIJT4EBaWl7D68E3Stsg 1vUleQ/dYJQyRZrPcB/BX9/rt9byuHSha5mRme2zSvn/yjbLjyK1CL6gJw2jtskDcx2AT64cH1KC BwT99FzTjCTKwhGolKdTigJt3d1eTfeNvnpM+hrqNTqE96Abkn6ZbEe+WH2KxPSKapNtX2OsX83L UKvnRSfsehW2gunVB9DDp6YdsJfg5iQxuLZNPFW38vuPkcI1KNHpfpuWR5/iX1Ma5T3LieZZT8eB wsW2Bz7/biclSCKcPgv/z6krm1hd0cgt8iWu5BwI+UnN/ofuBugR5UZVDR5owlaoGHO0q47GFNAc x7QNvYaV1blqUGqLaM1yzw7nXLNs+I4TlSeCeaOkq+Ed9BXP4MbfJMizkJ3PHzUAgdqlmWF8Dhmb UOKopmxvJVpCOto17RjTtUk2wpZ52dbT4KHfI+F0uKmhmr5JO+qkKwCOYMFTqXHr5qPfdNtV7ptp wXVtbJV1DGysxFrZxxOhyzh5NY9s8lCfpJ75CRjTGHDR6MaUNW2RPk5v0LB2kQnHUIOgOQ2Y+evm DsxvwL6uek5TLEc2oGpRWvHLGXBmv/S8MRX6sBaGwW0IjWYLp1ziXodktMi4uhA8DDiY3m8AdNW9 OAs5VntzCss1ftH36XaYGZfBhpGMXghlk42mxcW8yGerSqS0A9vu7eD5Fe6iMzsXE6qmhLzBTi6l n4QvXCmAkauI+mYptIPrNxriTqY0oEsEKzpTr8vBO+5EoWw/8LA+oz5jlH8VIJmxlJfiYiV59vjU sZA5SJbwXwvCyr3Tr/dhCl+lkBsMBgVJGo7kgvLe2bdhxNZE7eIpwo5dGjS3PjY5FY4YFMRqtUvi OrwXT4JO2QxCmmxofMJUUc2VDiFtafSGlRLAYITjbTQh0L8yjnhO3kyQuJiYFyv49DTDC/wGhBey dOCg5OaQOnEWSx3Cd6OJ1HYu8Gc0ZXajFkiNybPeI0YrLX/WvSea6+vjCFvMm/j7HbrrjUh7Ioes 89uZ6XYmUX5IBmdNvIxKHn1maBpOOQKK1Deeva7EYPKBy6JAR6w6t1Z3hLpgjj5xmaPkssXktsv/ mOojZjmFcA8xDm3j5SzC/AzDdfwxcXgWSvXeVSNNIdsLFEDm/yDkjAjKiemc4aATQ2oABQC8o4Ca xKtPdlzFgQBZVhi50nxJ7E35/EsjHiGWRIPT65vVrik/pXmjsVhychCefqHA9o7lb4cU52yTemoq 4FKWE+jybeyffA4C+tgvEMJdouorcNGHK8Ilk2Hjm7g3ksL4Wwcx4u+EqtkTmKRIYiuvJgIHEWCq JWZB4HeqZHXrtWHLqGm8LYLh8xijdi68QgRexkT8WcaeBs9p4J7pQIu+gIBmP3WJkBSkP6PmE0Hc 0mcdQdT8broUYCvMgDtB41BmfKJYbW8j7bWAMxv4wGmSlYS2NSBVkDRwp5uYuqYG5z02TK+nLTcm p0hCXi1U8D+aAUBh8R3H3ttiklqcvHLP3wr0jb3YP87eDptLXzGMxav5dlndQXlHCM5vyf+rXuwJ Z/cyhFUr65bjg+bkd0PsmKbZpcXwmNa9kUH/oihgX8iTrBiOkwa9l5TUphFkAl8kM0QuNriiPPN5 Q4imtjsij+A/Aw+jKGLhkS6Q5cbv79HmjEt1W1eqi66mRR6h5AIiaHf7nIaYtRkwTSURm9Oo36UF 3lTR3pncWfPo+zqx3Mip5s8Z9L5eyzhXs8DRN/8kmn1iBMYPf/suxKVoPKUg0TZQgoI3D21qhvg5 cFTuBi4ZdEHy07dmSKuRarqvWoygstj3itY6dWy4mlWGCyXBlSeWvQsit6Qzl5KEpFYco11scG3/ mIx3LPhJtIAnS8tE/KbfacLe8WolTutsf9iJnccumHKgy8X7TkmnYCIqYhP0QVt+Xd4RDQtZSKw8 r4vuaMsATbl23Xcoc1yf8sH3IwkKA2VdNHTTPmUOc0AxmGgKAnSNcX+Z90l/1SGiYLxTHLL6Klbc fmJ33LqGL8bqj9Un4+IiHfK47bK9KyjyiwdShZh+varqzSny0OSRj5vpML9kSl78OAiWRvEyA/j5 w0cIO2eA+fdy+L8xJiRsctEQ7iseu9NxeghKqoQlGgop0Jzk48ShcmkcYC4YbV1eK5AeXXFXkLOi x72oU8P9FoxzGVHA1H7q7sojSj5OTDwd1lwKM6J0TwXXem4qNOVQj5kpNHn58MV+G7Hr4wZER2Y3 70FDKLUPufxnPDYbiijS3HzFL5bEAE3jnPjiXuwNHyb+yTvXBjql1mxRfoRREJJt/vfo4eNoec8o z241/39mMBL/dCqIgXUoSJEw/ZzOk42g0HyT4igtJ+55fwQSkawSfs3/UBtpqMlR/53V5EY+Uei1 8C9gKwWWDp10LNzLTIlxxiq8dmWBm3o4W3qdce07M5pB0xozb22mXV3DPZHFwbadjPCF2R9uVevU 3dLwn2xFQAYYR39jo5w42S6klTZBbyuVIm1BVYR0Q8pXPcwpx0IxtGviwYAoVWdtvV7XD94FqrNw D2IUKuuHFSkAXnbnhgIKK6nbGgw3GgMbnjikpocRcJghdhMSOVZnZjWoUNwrQ1FhV4i59Lim4w2D WWxi0WqY5hNMRw6LskiXpcP/T48DgHbCLbYf3ZqaX9fMNKxBp5nG6r7Hi4+4Vju2piimMYtisQor lHEr0y7SXmiTvGZu6kbB7eY/ZYWUdPifirHNfRptt58dlr+E/Yp9h0f7OKzj65hEmy47b2l70DBB y5DvsQRYQXCHvR4KtpABipYR0u7yY+QILwXRjlBRGA2ggIkFfxHXEVi9VtsBVM+YnQg0l0iZGubD Zug7AK/t1/sH7xcHvDrLCnyjXGWYawfvGIwUke+jcjHky6vq1Qvz0aHNvXxUvk5T6Wz+eySfah9O uGkF0Ube2NkIcbeolSZaOWMxn/EkWzMG1Qz2suNZJLQ5GNH4YTFmXFtbyxtZl2lM+gS695ErG0qQ cbC15bgqLNv+rbZ7oa8u+VwCK88GwrUCOe5SX0x++16JzZ8oJdTuIs+fs0MPYyx97a6zYiZ+efnD 9KwWyUm9l7p5dnwC1Vfc+6UP9LCa1RW05sb6j1BRbWC93IQVZx2RBXmloJ3Dyc/IutuRha7j0fU0 9FOoLL/JognSuI9WhglsxY+YhLQOYrIg4UZPadeQd3zq7fAZ7KAhJkTk98o2rIdqRpR95d/kr+9S orRcA6QVluFczdcXSjyLImtsMj5MP9SMURcTnhWVTU/3Ibfkr+cKKv0R1Djaz2ybQuv/8MNPAWWA z0VBnvN8iN9HYuIytG0R9aDQQe+vkCKZqSnJ2VP84RxrnZJVh9qGrW+1OMWZB8OQ8PnTZNi1WLXM fVpLTm2obOUhtM9meP/jQ07i4cXcO0lbWfIBLPAb3NiJxDLW6Ias9n26S72v/7TICbU1qNDCWj6e yG7vKxFY4dhZlbdbSry4cH0q/KfqcU1CbCGHKoI+RAEHlVkg1n0/9nirxnfP3j5ylG93IfIEOaUP c7WUzZda4C8dzbFRsbqC/xlSBFq9x0xHMoYTTZmETYieuSe6u1VxbKO9Iby3pctS41CMLIB6UsxD PSH/Rr1bgRpKgoSm4vEr6g/S1gPXpkC5jZ4/yIoGi9G698GKBOUysZFgDqmextUzUSDMk5GmPnVp 6BCYsFyk2rjEGhSdA393y+y6BDXmiNUGQ1N6drix3jXC0qrVyjWmaV0B84UUt8lfljeCIpWO5RxN lIrRqehCF05ME689+4muswB4QV9PxwR9kPtPrWBA0LSCJGXhPuSy3EZ12hfLPakP42oINJYBxnt+ 3mIac8YYpEO7OTkShsb3C3z9O3ryfW/6HsvyFopzy9HIEbB2F9xmiW9tHTdHp0DBP0Yq6YtozNDL nyPJIv7AZEDTkgQAvagS5NgmZCdP/XV+02Sg8rxeJJKOOKg7gQvtjh7UgavPlWRycWENlWn1YjME ANFEpdr8XKkdG3c+U06iaTf+x9XTRCU7d/X37BM5+whwLZOUcODNHIz2BY81SVmgF7x3jbFfmc08 bQ829RrHuEfl0oivtMuktZSA76WFiQbtrONcXQ+EW9ttkBFr9fqA1JHCKYqnvhDVHJh4aYyCxE5A myOioVCFyFWyMk1FVhXYkXXDFBa/ZDfDYGucGfDfQApirpA2rgpa2MGDHhsDTJ9lW6cJpORG2tz9 yNM+zNSOZO1Cq/stJcPpLQgs196t69Xr/b/NrHxlbEyC/sQCBofDMt9j/3IY7KQCl+gAu3XvZ2kH 5x6to6qWeekniwmb0RZ46tXMik3olViehYKvN3Ny4JxCbKybE47pMtjiSXdRCYzTLTLhQvQHktEu RuWwETf9dvPXO/NocGZl9haS2J6OUy9ROUMIMV0uJZv3dKgp751sTJb7hiWdX1LghsD5nIvXBCtT RsLtGpmX71BFUvhSH6H6nFcbUcpNOIZ+4TwIO2X3msazxX9e8MogHZoLx+MhQFLztAix6dUVXIa/ MKaXVmvPlExpy7Q4k77KRz0orPOuHSwkolj9tGWJ+dgkd0owoslIn2Sy0MkunwFMnW93npNU3zHK t+6YOSctx95O6OAFFlPyrRj4Ig2nWGSFr+sA/kKiURMJR1jrJzsBrAO11mkHGVaa8bcQEa+AugMW x9J0QxiDIsEQ1nG0JUhsRvo22/9zEpCu1rgn00AspQcKMjvZOZtiskliVQ7WHhptekA4zepoPa/B KVDa6hnNz7CMaufK6Qm1OjFvtrcEdPmwt3895wGLkBjoqFVyfpv3PdxGrdHzTy8jskqbxMYL5ae2 yBh34rvxr+cd3KMLLlPIrUJgjqjc2joEW0FWowtoo01ff0I94OPyot8c9WvO2c4mNVfJ2V0lbvdK EJroUKMIsHz3vsytLmIqMS7ahz6oFiHH1msrcmgdtp2gNvVC0xrwfavt5O7uii8y1z9avfI3RTOp fh2GrEmzrMKKNV251Yb2SuLyiut7k+05zo5UeHCqsig7Q3i0DelC8Bkw20wqk0OjJ7jPpRIuPMFZ T3fBQM5cs5oM9nc1/h0q8IWLIVWV1/8226ETUrQUD7D9seIYPmHUXJsVYXIwobI5Rfo4ih+HG76n xt07AAUttOc0ab/ZkVFhpUoR0fE1Zk+SIQOUDWZR8qWi1ODqAlcGBF0H6e7FbQHUtLtoWsZN3Dwm FbXhZtdHB1lzhyNRqn/Ntb30MsMr5I/1ruMxkR2iFu1MoTyyugnw/i/lWUW2dlEyon5vOurKQVfK Nmzv7QEDLj+WcGHf8og+JKOnkKdaOY7CWHdWtjsYc1M2eEkZiK9Jv/qGDB8f0m+YZ8Eb9mbKVBGo 3xTZxUiDGq94ad7q1obuNf2AnWMBI0YTyI90tp1B9D4IB6PKEBxKHqVACHMQGP3ETbKVM7edEqb5 OqNoAn4wuIkUVUh5MSFiZbexv7+8+b4sEXPlBHzK8+ieef4V6cejA0qKm8T7LWFWC4mcPkMNCIGN 8hM+m8qb7OcbZoi0HoBlxTYLHwc0vDe0DpOHM5O3G4sNDbUswJKWODuHhbRQOKxgBSR84RbICUS4 BUjc3oKkanVtn/Fj7hZI0EZpo40Y3elCvA1Jkpr8Zo4+/a2DU1xwytuNQcTft3KYzpoZeC2ojAQ9 fx6VQGT273eqSnd1so18ZwekypbMWDX+C1ZjDgjS6iND/CaVaJGm/yzMW0w/XbALX+XBMg3w1BZM HJLlS2I1AOlcj48LyhtBPKorZkdtdzTaEMUdjLC1rKwdRznHCras7By4vJ4cWZsEgUOP+n51LSei Rdf75v5J9LGSbQh8+LtYXUINd70eUzqLNEfMkv4bPL6Xv9o2rf9wwc2EI8y+Sgak3PDewbfFqrLp 0EL7P37y6iYW68VNaDsbWxgedrURLF7eXPnN9flSDTL78EopQqGd6weVrH1qz25T/aIDKOJp2++4 Fpr3ftwsg+S82OXVEeX7den5wwg3z3J7V6wN7t/dMuON8KZ2uYhbbmiaVT/YkF10kgXERsw99eJ9 3AsoVxZ8xYzOzq/pUufEFinF3nmvSEBsP4qvHK2PWjgwcKEOhzyx100W1ZIDq1JGpGUTEdTEScor jQ/Nr9nTsg435VRyUbfFqxwoe7uOV8Q5cmu7Iu6eEoQ2cQc43QBx2BJfyhs+GIELsj3QV+49FHSq BdPzDJGREiG6mLfHS7mVLe13dX42NsgJtWzs26hVfwrvOmEA+cvN+vbCkp4cG7EwNXQFY2K2Ozt1 cDNvoRQryFrf4bhVN8r0ypncrFhkiuh7ZTzXYlDYoODcGy6vCdFvwoNKnQOxC7A1SuPgQdMS9VNB eU7gw+4uwymHE2NXK2KeF3vom5B/Ez9E1iJHT0muVhMYJu5MRQvf842UBoji5myBNZig1xntHIF9 qEwmvjWQGeMBY6U1o2PV7QD+d9jnEumlCSgQsL6VBJmym5sCaYTCxYKtB/o2pPBAFtPg+osJKmdT 2U+FL6AufrsHVEMa8Bm2GnchXF6XUq65On9+FAKOG+VbvzSCIhZ3VYzyNHn8tkY5KTjUuBKnCl4K uc84MvKrFy84V/Fv3RsWw+FPTVGCcZNjj507HKhvVcqLAdr4uuQH7BDPdcqZ8t7GHybn/njl1IFY fnqthjkte/ZI/YHAsoqQ/9sA61aN4HOXQLv6zhSLQP1S+M3vZg3TlLnXg6H/psy3C6kR0i/aITAH ZtCG6ShegiDzxgFlkHatwtSZQt2gnC8ccEby6++0Q0mr/MOpVgG/JWeNnfYzfMsTwQckE/RNi+H+ /7pOI5AuLesEYXTV7Jzq/mfN8RdLBFIqEWBougyOYF0S4k8goce1b/45ySVFuJi5bcQgMEeCvt/v u9qCyq6pzGSNyw4MGJFJoyb9Qr2Te6d+tIb12H2NensBaw+rjhmTEsyfX2xT7rSrQEURNnwyPl6F 9ljRdVwoDEIaVmbbQAstRuVgRrOXvbxennvBaYw9nrXLmytnnEJJ20L2gwMRxt0GwyXqeneeusmy 4IczoTl25jz9mSpHG/WhG/m80VhZ3BnixtJWwoOZ/rkRYzeFFfrG5bXgeG23NoH9AABtOX/ZFDGN 6wGD2/msExWpHwCqbeNSQ1C9yvxJQHxco5wZxmmzpRLsgwAUgqtLursdMkYEzT+Jhsiq9IM/wgC5 xWCL0QdHLiRwB0xRg/3ot++rAY8s4hMUQGZBNSOff2zhk4SEDHSElGdl1JKTs4hUnSef6AAAipdJ QQCtM+/jo0HeJjksePczqpMhz2aCJwgaIjogWlv8al9m5uQxlVLhzPVk0k6M9PL3BqHmUIoM/7vx 13UfTJJ2ZFp5OZAFJ0D0KdZAFVJUCexCmVbS2eI75lJESJCwY3/SbnwolcqIll4UL5XB67HGNt/D 1xkSnQx3Pfg6T7/K6K4HKXPPEsIYapav3BLo3v+hHBD8HI1v0/FpCYZOeUFc7gB6Mdbn7VheZS/2 JiGPj/njaXwJs6pn1xpaHzuBzuTdyytCq2q2Bk90T2lSJYhPZGmw2lz2p1WEbSLDAn1hyjmLibjK /0N+4iW7kKGOdDbaWAmXPOrQeHyZ8kPPktVO1qakWrmHxTnePhYVHURrpNg7arFYTqOzeiOzA0pR c3QWByuYMwjJQiombviXoaj3k72xwjF7EjQi8vDq5+f16kzSWx+RZ1fXBKZugW6h3zsu33hCXexG xyx3b0eoZ9Shdmpj1Z/dO8EcwDJG8jgk8oX4gPwi4T2Y5jyjTuC7ctLo15FC6ew9wQQSt3LtfQvK VXUUkIyRmWBKj5f//aq+AaJ+Cyth/vHq9duCTrLkxWIfVpyxMpH3s6viFDTk1jJNzM2WPTawseKf kEoA3o62SCtGicLRmO2Sy8efVwUmucm7rD3+KZVN3WD0VOww23krCP5NIEFcX4Co+0TIVnyT8H6o FYTEjG09kZlqqCFlPx3DACetR000DWES8BOdNXj6XWWyEiUXq9uVojqu1P9Bxk4Ja2ciLojjAhQr lwW9tjpITey1LyoTXDd0UefXQJXgiExfMnXGDlsvqPtrivapJWO7jP4K/svvWTyjywxH+N/dlpF2 KO5EwswwTnU0mjVXyHaT+G8OAHFxbDLQfePOJPZEsOAsclSr7/LMkixr3yNHwCR7PGeVvyIOQOPi pQVkdC51HjlE5w1kvtKUfXacNLXA/akFXrgvKsSGbmmfwTRkC4yK4pBU9AN7eVCEK5jD5WHsZK/7 bb9gkiHkH3DLyKAQcz68FXUzVK9v8+SwyCU1YNoO9hiuzqw/7D8eny5ENwOOlGiydjI9ZZdgiNRV avVrqePSGfGNuHihffAfnliby00ZvIrQt5JtfVtvmbnz37rJcoGCfPB4kopzP0S1LNSKNEh3VK// Nfwy5cJXN6mj7si7Jpn8i9P07tEQHxcR/GlJWu4GvPuVE4kkqVvBbqUmRJPwcFKuPau7XGjmcfiP /ljH9v2ECErji1sK3fiu6hrOAbsKEBbXfzFpuF0SVIf7yuG/3EEUM1az0EHhzodKTYZ/xEB8K2st jvPEGBx56LhX/ayZ/YEpIjNUUiEUl0EEthmuswoJpaZ2BRM3mG1yACivSrHWn3EIGpCL/tqsC9eh fZnHcX7dZX3oGwXD5JzzBn1cAn1yQT7aWKCzyjMwHNISDuJ0CZo6vhPnvp+WOBVrbufuVXTbFFQl YG8UUMu/ouDDT5DhZu8FpP64DNYC1Wn6ZPdD0zTesyJcCVcTbAUGpFewuGb2bh2D5awDYBmtVtO7 T5JPNSnWBso31XAmF6MChARzryoa4Xxy6cxPhBu9mP50dVKya2bGEqToUJcERATwu9dMdRt7oku3 C6idjAP4tQ++e5f0qb8v2OlJT7CjvVz0FLQDqttto5jEaONl6C2pXF1CbubSodYeKh1J/qSuSlAD +7S8Bi50Eo2Az09Dsg0b0cAuH0YL8NjE4HVKVQBDB3wILu3DUg0vFGNt8FGZCae5nXa3RDvGj1vT fcxHxmgM6DQ5cWCrLH6N1B/3UR4MEkO1Kui7jnK4DoOlTlgGva2VtsNph6rfTaehj51tbMo8ptN3 8KUZRkgbQEzbwLOXFPRMV1xa4YD+qW0qpr4FE7SGrN0vb9ROS5iH9nXPfqfywXb5QY+lXi6YfKmc YmISdB7r9lJ5UZ3PVTnZnFycg7otPu1IEtzGKL0Mmq6eHc2fGYJIMWSsk95N9aAJbibOfuwxH1gy ZpUNJ4CppKDRNIRGIb74U6L5GF7YlBO/9pZwYbQHmVPh0Wd0H8c/a1fvkG8c+RgiMex31EYNPIJK mQKizXB7AWa/o4I+PL8l1oVMrSjexc2xetE+6SAZokSeKujzMr97jtUf5JhrsgvERm/NEfHfkpEb tgbXgBly+7rGfnye8Hu1ub0woD18kmqy0bJS6oOXyw5CcvjHAYxy/5iEDqiGcokFUWP3Yh2tC7y3 G1FALkWjisTh6eL4l6X0p/7K2j4JxdCQRMSlekDBvZ3/RDR4QsBjiNYviZICJ0mkfLobsAOzzWeX iBNMEPGZ8MnwZEOraxRP8JRlzYFwce9usWN++c2xLNKlEvDt7o0T12w7fDQI/Vko0QxRIloZ0hNE cPglMlOCwp7JbHnW4tFvv8JSM30CqXJEfU7XObWzU7LHFN/ve9HVDNwCVM6LUXCHc33XWH6hq/tW 7w3MPooOkOMw5PX+sE7cTmQ8iaOU591I3Y4J+Ni09mC52cdr9Yh9DY2ZGOiU0XEu9/Nr+gX+hz1i o2OFskZxRoIstVurxK6LmjRxFpC6fgwx6RDe5zzD7FC3D+y4ln4rrfxk0WF9dZ5uTIIHXSfpwP0A DL6srk4CJxkslI97+W363GOxYGNliEpKbmZNhDR0iskMywhXOpcUmRB/l4tQJ4xfpW3UQ+1t7XU4 yeO+QbSAb8FG50iAr2XRf9C+0fDVzmZilhk8tY3S4udSmaJc5zKDyvSvZm/bh4l35Ex7DvfrXJQD pCDJxuEaIqWKltDoLjrMvnuyjLDAUWfBKkH+V+/KJdFVLqys2qBt0Ik6nVVi4Io4t9LE/2OIw9g4 ETyjfIvguCF5jIXNAOOZrL10K5VDS2JBuYgKz9hUz3GiOurzXQdbf2hDlMGiR+l/aQGCQt2kPCtW WaInA7VaVt9q70Y98dMg7KZv5LBY06LjmDUGPHMNr8Ff4GGWUS8XPbGWO3SiiDiAbddyzTvaXRlf WvixZNgg/LaguZoQEhtIL+oyIBqXJbt+KLJdf8nH4xtfInSec8xyoCPaBA2ah7uGOY9jbionkU8I 9JiCEb9SrnNcsw8+AERqu5deQablxf2Hbm5WqcKrovKyLoukeUtpGz+R/gQHwFCpBIpfY0d2o0dS v10UEqJQXFehTLaRpEPTMdaCaSswoRlMzAforL3whsziod17/tS+XoiE9Ku3tPGGFAN4Sg8AOA9Z Q8hAlc/Yfe8YX3PP/9RTdoXbaBmxroM7Xau7wsvHGklPsU6n/jXcPAYxH37xcwxRIycQ9lsFxqQo 0vnKCu7Nt0i3L9j3/J2GwXXbDfeRcqDq4d7nSTfRlqbecyzvL/+Yo/N1eK6Zhprs0vxfUW8qHG3U GoMmLEdSnXErkI/LLBZQx596DZkgOP7iXaXSVsKcs9qiWBawfNd35L3lapMASmhdbGfkzx+mvDzT qHbSqTJMS0iGs1yOX5ZI4KjMS7y76lXKmSvPkhJQTdYpG+f399q7KfvajA6RS+dl20sPilF/VzvE QaQfnUconVFFBIplSSscyuprDl+aUJ9NVebB2xodvvKBprYMUx36xOLmjPVryJCBU21A+G/GQh9I tk/eTCOAjPJQ4Mylqt/71VFFtJ8irHKwnA2Kj6tXPp98L5fz0hmdBBsYpeOHFHZDjS20U4VbMtMZ ZUMAftjNUSSWD3PpfpgbyOYS4uWIhzJ9BR4nwbqK5DrKIiuW4tGxWKZg/zoZuEB+6arhuqhyJmxW Xx+Ls1dM21/5+EEpwrwCDI/jx/+XE/ebMJL20Hv3l1zQ0HyYM8n8YcuOtjFGFCRhsWcn0BFNhq0O 4xzmKTPEG8pVGbmOs9eQhZMB7EEKvnHovy1bPkJgS5gFAbsFIxw2A1pwgpQQr8mDz+0A6wZNdrsm S9DCA/nwQiXYS042dw8icCCLt6QTr+mIk45iroXOkgKB6Dt1zLqUrY7GRVVeNwQvfc1IsucHINKu 7WdsXDHpz9FOSgvb+WlX9G+B5x+K0PSpXQ54GMssE5g3BM1r/ByQeol4P07EVhj9F9Ps6iqCujc2 5ndNz7FTJ4OYMG1KTTBLZIXGsMpZXoTRlSWLYT8ZRMyiB9LenKtaM6z4fnWbhRreu/MNln5Fm6Gl O3ctT6Gq12KVQ25CKTq2WDQ1D2EJ0JzHTGRxSPvRtOlAPMv0X2CjkNtb4xAfhFuLFf33BsOhOkzy YSMWPih+ySHEgEVwRHyBShVWhFauyKILfUs4K8GwocQfeHhp7nt3bulxhDDkbMvDmLvHgT1mx+pB c8YHlj6nRlHmIU1h066gb/peGX4sIRNCwqteFKsYbLbFqeTTgOK+F9nRMUAUR8S8ky3aPXYFxzb+ I74VsfDU/uZfoOHUGekWBbR7zAtahyRPksFOmuxt8QezhsToPwHMdbRzIbgdS3LsQKU6NRW3etIn ugNbbJ+4byLwZD9K876TSWD6+MBXCiJMlF24u+yu+l3VxwH1QGl2sRPs6suhC8++xSkSSmanKkb/ naKK5IlPedTTT8wJWG5Cwjc6X9RUt7SBh+OCqt3/VIUIzabEgKFNIRVenwYZlyI9/G5H4rPT75KJ rtMRRn9/XCJFxyJvhZdYCFtBP1saDwYzC1qgDI9PiD0+WEPWLei2Jm6k9nwHD9SLn9PyJL6y7cVM xv8WCUATu4vSaM8HUutarOVc6nC8ciE4eBg/DZy/nqmmL4glyC4L89I243PuvL9zS3vmEzMcrnHR NqIuu+9uB9hbeYw9+ygbUzLPu3FMqg9Dvtl+N5Al0DoZAALN468q/6rqI3y3HJdeafy/tcgSqDwp qnpHEeadni5Rtx2D8M1q0gFGNh/yH2+Cp3PF9QjeYSEcyO6zB1jyRwmEsiMC7s1elhULj39j7hzi B9S3OJwCpXCIix5eQXCvPCUGaPTXp0a4e82Svqu6WZ9/dhGt7mJFnqAftzsKuLkpC87MsWpBuXRu y3KRXqq6hdTgORKJCk5vsuONKkHQ7VDNb4wVweYffPDByFWVJYlmtzRPohiq3bOtvHjljx8zTzO/ tmgw3bY9+e33yuuiaOHMvGj1QHsPI7kC/XvUoK4hBU6rcjDxg3ka0u0LFxE7rs6OY6g1dmiPH0BG 3awfG2vVocPMHC6ck1toe76xD5WfvZYmv3K1/AyKvNfa32YAki9yk4UXPQByW/b39R31ITx0OmZK VjFMBJW2IOLBCET54WXKRqpte6HUDSOKgDFqE6dE7P5ne6eRYr7uzcUBvP0BXEz86Q+1mgELBz52 OMjJU01NsV72FV6QVlC7egwqOsGg0jX0vwwgvMA8sjQW7+kq4xhvXUJ7oI4vA4DDTJcrbu41F8Yh 45r8SL2vbAIlSOfShEIFg6PuIjzNxs1yTXZxBiJyGgxuayP7wtqPJpbz3yTdh2rcGGGlOZwyQEvK SV1ewKn2kv7QKYLK8Q3nwccs+v52AYy4AvqJXJGBi9rkeXCFOv+gCd0YmpimUUXggCP01U9rf0D7 +99ij3l1ogGHKt/5HgBGONkjj74WY3LDeW6RlTt+BnptLDwarCK+xISTW34/dZmGWsGg0lx44ExO AR600JBZmE38ptb6WRLfkaRqLT3P85KnZ27wk9/DG5Y7xjz0WzZPSuMCqbNZ55ArmZovsOcIVRFp 5vCBaBBCWSJt3zJ0ViTOiay5gZa0zUHxhNdGbg6UEfJGeRkOJvvlYysl+0xWJ1HHgkyMGwcato4t sqRrMOsH1ciF9mkLF5o8dXvhc2WqDROKM9OirvL60bYg3dNeji7LXqxkpoZlVVkvwyjJiqPuy6+u LLK8184CCAjaD3rV1pIjaa9OLpf4b80CJ7OX2+Cukq/DBygjLXdw+jzVkCwUE/K9PZukVUM1LZLm EZjNVhV6ZcaElPN2bApgXL5g2M3BDKywBdqN9Ybm7nRCtpdExuhO6sMOT6wMADh7G4+Il0Fm3Bfj PM8DTr1LNDwke9W1m/JdT9Mt8ym5xwPiObaGtoYC4Oije/WDhSnfFMBtoCk4QUN9iYsCO/ptynRz AFFwNvnZgL3xD8agRvPBxmncDBwuJE5Jyyi6iYPsrHga3RRUTmXQf87gh/Ejds91fCmSeZtdjY/U BhuXce7k2pyG0js4GeaPZFoyGQ1tYMF57s73oSvt3J/Y2So4WQVD220jMgRy1338mi2CSL5Ao3wM YbpoZYTvL9EtsDKHHE3a+hVIqu2/gNquF5fBo74e1URp5x05EWjLJQUqS7GbgjtIH/UuLwn5EoPP ZBLlBacCT8z7goJmmAsBjb3SZf6ts64gsgmOAfwf0/jcTyyvH2RUUy1N01tb5wb5C/gBnYzzN2xq zTe6KCI99+erRoKHej/JHCcJiHN3RVHZwYdkJiHDlol/iQNWWFLHEVbtyTvKwWuH2ENoTJ4ApkGV xBAJmSvqJBUREeO5wuPSu9L7XTIxNSzv7DGk06d1jrg2of7AFQHvPxTe0HcYk1Ax89kYVV/C0o3w qAATyJ6zkDzAZlfVhsH6gJJTY0bbJzeI8ak01zWgq32qAEY2lmk0wPqHwkRFFrhGkoCB38biWEQP H3GC74EuFzW2Aq8GyFecM7JJw6JbH1eJyy+vUW8UKDzhD1S5TXIvqE/PFuJDBL/88E2CKWwirM+Q bkJPynCEe4iKEcTBqtY6+3FW52/cffX4GM0sVjsEx4CXEfSchFpOUYxBxrXQDPaRaOQoxGDJOvel iRuUznMhu1ldWg/V1Pvs2cNKJm4qM2sbwWCj90tIcKHz1pQrBbdqeJX28vKjk5UvEr/sS1bP19Hs vdd6WXmmwAnH6PRS5LQVNTlaBFFzp3aVAVGJHUqMJ1cZYElyzKv+G3fwXTklTtCtDwWyRXCxsIrk 5/XwFtleRxSX/KqOVlgXIf3Dtix5PGNMcFCijtnJe5nZPNI8e69cmlqWxKsenNZVTbnnhXbyF+4m zzZA3/0ORPrtDl+JWLIK/+8/X3XrAb/x7smL8qC9V9QwNnGQG4das/j+XIgspI8X9H80FJWe0lX0 oVNi4AhbecDaRgYgK84AabK0KPBF23PWXojYpAm9715wRPULWkLIxdc9NNzpR2tFhYihvcI51HQ8 9jBmbU+IspBiWd5DNEJgtpouJ5aqi3PEaWHy72lSsuyGrB1+iUqQ3PCWrmGwtfRNuLd/BPAtt1Db SI7Fg0mc6f1ZSdcWWgRD+29fQSFGd/QMQIe1FKZSQSuPVK+PLi7M3pCWYFJQLxzW81QAL5FkgDsH fI46sltpj8K6d7TyGjYkuoGxfkmWnI3L99l5SLexiB3jVP727CSVYkRFCUZb05Hkf5h38lZNYv/s SouXelYtNy/iTKutm0mKWTRyU26x20IMIaRXT5kmgUd9e5cbIZfku1h+pRZ5vZdywcgJBbz8nc/N 4dfi3rfaKrsIAi+kIGxE8jGWjDLU0ROsT0tKTnR78E/WMQ5NG2ddR88Sn5SpK+PYXd1d2vLwEHQu WVqbr6sL4F/+R3Vp2867ehciC6OtPcWXdTroptfsVgruW+0RTaKVfjF1Z6dXr3Xe3QPC3Ji0I+6B 3LFoZm0cJ/chHFq9w44sF13E4yaicovTD6SwzuSrSnqBauXaMs1Z+KGmQvNTDXJT+Q6xTfDpJpYO eIviZPIGlekA/KdvkAVIPcn1XkAbiNodNGzTboDLJPxn9BdhCIcP56wZ3DWuFhMYc+qdoO52RL3Z XqiPZ2mmISNf9PDi1LD1Q0Kro4nSFm7EFsm+UBzVXwHinvD2322gO9LH57SY5/5ARxzm8ysFHVWp XOVjvamTo0hT4m9oalR1kJ7KzXWLKtBAB2aCo5kOQ2m/n46B7QXxyp606GF/A/Iz94STs0j+t789 QUQyJbmFFjdCT1hAHTgObOa+iML/zq4pBiHu+5F0OvbeslAw7+Xjw9kMai0SMOyT6FWKXSsSgsjd lzq+BRx+WQQE1UDxGhCw2nQ8JbfjlRPlTNbwdUXG/8gKj9+3qb8GtOPCAK1fN7jKarg6eCwypmHx jHA5gf5w+SjDnVVNkmNTUJzd75ufNiJaLyeuZMb8EflvFApC9ydaDQmv7UTY7TYRuQ2eoyt23poj dGkeRAsisbWPXJqf9H/WXNg5C74tMmc+5e/d5RS8PuXuv0hR9CY7tV4Snjh1wBS2yDeHFVdzpr3G imAFOHO/ih9G1XsXRdiSNG7RYaLhPoZoUVcSd+7XxUzcCoPxdzoEQYd4OCleNDc4XbDgiCIhMldR /+BH3A5q1ArkRi5ZqqCNLlfM19o6CQhGB4L2P4U1QLMz8FtC2pr2CmEGD5+75+3qyzpVyAAqvz27 89zSOzOAeXkpiqMKfilbLD/i8wkV9zSmtKUOZ0nN5+8yVp6OwJ5DqO3spn+XegV9v1EQihMkhGeW d/GOLxLVJVaFVBqQRk2dKXGoC7CeWpmS2lvLLIrGMMgf20RuEVGqgLxNLgZZl4NwDirXFKPpj08r 64rJgHvQtKz0sT3KjHulOPaFwrd+Ztf6SVQ1xx31fxPLexH6gBqlToOvxhNYI/EShzK+qfyOvILs i8RH7eTZ2DVEdBhgnRuBDNLpWhDx0niJhn3itl5a4BkSrTCZYaZJrGxk6I3HTObeMaebtKDLCAyQ Kor2kIO5txRDgcNxeFKLmJWgjY+HDLUPHzH+ee0T0JjV0inVX9N9Kf3vEi8wkgQeaKiWpEngp2s1 XmJBxWbwz8JzA18sAM0xJXZp/5OOb0ntfMYwIa42CGLkYlS1idZOOK5z7o/hIbcckAWlvuhPEOs4 yqf42X1cbP+N1+xks6rGmHDRjoiPMVi4GPnMaGqd/7jBVf1OiIw9BnoB/qzYIG/QlQJsoQK6Qi2U fXFsAXwobciwSYviLpDTE/VKqWNBfS6NTAV7KPnb6JtNl55fzxc1jCSNQe7TbmYsSyR/JZ2fjvie Eu8BFDbkMqgbmF4QFX/AOhjrUqUfScLdoNrbUXFNFnzUTRb5uwHnqS/sxB8gRghK3x6bcB/kE+5o iszrembHhC733pdjYPZJWmLju/suftQIPXhrzdf3briJYJMGLyh21HQDeXm2m9Ab2aDH6PvjL66w w3Eoa+EUF0sBVxcqc2kTwMn9stHzPrrWekZZu6rJyHPvFL8sdCPVvCG752HgG9xmvqXskokhv+Sm 7lC8/leHDmV2ZfByDaEcOP0ym0lTtiC9hngpLTYG3ydN/B640fRg06wlPM0BegpKmxdSPEdXBNoV eFVQppOfuEE5Wj95/lRn3RgjLD6pfZP1xO0Fm1qi1RgR4GrUqibpaMcEBbqJP5cIhLc9hT7tPMNX XI3RpKV/EptXsZIZ+MfxqZ8VjihinP9OR3V63J1dLmEtayqQGKbLjHPG2HFfro4ar65NadOpVyk0 zy4tVC1d1g0HMnPBISn1/6KCIRav/KBdp8lvbkEiCp9ynRmGwNd/5iClboAXsK6p+bMVxkyhH4Cf O+etStRSUHMk716L+iU/2RFJ6U1oGk8qCg80C7hu8PWQFpOR/S6ZsFkIulBcoZVBeUql5rD3HSxj UPyq/XJHiOD0runUV6yqaQ1kQhNNBQFYLz978r5IFmATKT+Ruv+iYkcz2YG4R3rRF1UbtksY8PZc O+gsHy/us0W57hnb0OEYPbFCmW5UuUteXHoYtp92v/mjW6ZQ7MyH3+ongxoBZr8jkEoQCF4aeTRq 1CicS0RLO4SKYP9MUQWQ8VuU9PkebGvgayWon5YoMQ2oi3UDuoE/29p4fxdteBGE8vr2tMaxAcQy r12q9y+/lCHCucnKkPhuhpVdd5UBJavpoodyTnR3+icFKbRYh1fxL3sDWA1cLAc6PvsXFJ/XtaAL Zj5rdV8sV+fLsE1vOXhRBji2+byu3uUNLMqpWzCw26vGUDlyO7XC2VTQ+JzkG7UTTtyCYs3qzAYa tdlSYFn48TrGM3Gy0eyPp82kk0Ksi9AJ5QN6kEdV3nJteu4J/ZT85zjwonmAiUVL5keCQjD/ste1 zwosWRD6klXoQBfFeC0JOqlR+F2FQW68e5rjROCkjfmls4Fy73EaN9D9zwI99FvMjXx1K/RA+cZI bTtQQsTJzMCDXzkabDbbsZ/cAFL53PBGg7F1Pt+FZCMnfQFkxgPNxPdENl4+6YoO83jUFAHCmllg JW72QPotdRCTdhT561uR+Wrl029eSSc31TgmEGMAOkfaPDz2fnU4hvRRF+pcQ8eVFIqKbQYlIJ2h CbF6bax4rG1mjEInOjmlkMSFcXEZuazU+rAKnS1oKFhjEA5XSnimI6IGtjrPCNF90YTV5tgdzmcm rhUPGIQmV9ynTBNuvAUuOEJ9o+oOXTSxlUxiXsOpyZAbHPKFDCtKPDlBTJHZPfUCPKKWwgShItfj aNdtK0FoMcKaG57xRO24ZTr55sKsWQulk3p02ZNrqsJeVF4ae/xntjiHIQIfQYp9s5Gf6makGGKB 4guwf7U33kGkwCdu91u5y0EnThkOynwJrisg/CrxQE1m3K1/h0DOkwPz/EOB295bN/K8lzIkxYTT /TXG7F1p3o9M51If8P6fMIbKtCyiGD2vQdLdFWNBSPO8i99Ni4dI2Q/TEUE4apPDHVvVSoeaNtE0 /6pKrtpEoFA3txdMBVt0OegBcw3e5UBwPmFgt47SWbgJykrqflAAZwSCea9PGP/sStFX7JxAWYBN 5s27xX4JFK8W4Cv/Q1L5e10nfw6emqOFcB8fbzJ/wf0SGJJTftRDtrbmlHg08wcDp+RNPcl/5dB0 nZgLxYB6xkGoYl4VbOtZhz6RQPPbz1ixO1yipLSRWG2Vuo6obJ0RQ9FySUqLxg11ye0I7cn33Dv0 yqxpX6sI++BeAa/7QHWN2kXosrdYnDBbPG8rStJBFFf+hSkoh6Z/jeECmWpIbQkXhNfldTtF9JCf jS5uea/jYYrGW5C+GyvvZp34XOMal9m0vRmbtYPWkVY1fdFxquA1JFlDfwUtXgBY/Sbyc73KgV3X vTd9UatbIiiI6DidO0yy0vEyPULBkH3u1YECZaB9YsJKZkutEpY1N1p0GP/VNobB+3JROBUaU+rk GuYUJigvpxSyhVi2OSXKiru/ph7L+LKYRuLZf5iClyf5xBn2TxO2LCqD8Ar7F4WiLsgALorrsSmd Upbqabksrtv2wHKzdVt05Hwxi+1EVvxs/pASsx1Oc2MVEiVZDyoOZYjnT42PggMPGYzpnYjlsnqA afLrr1V3+5CwqyXox6M67QUZPJpWoMGa1p3EL8ole3iqkn/0K6Yi3JnbrrrjeT1X2el6dh/8JNSR NPOaHRVQfze94dD3jXfwVv4h/kdk0UVsROzHale5WK2lqZ01p7AsRBUi8gPkGHywuUKdJlRZZ9uC IMKlywBgKGGfW452F4loYuqQ28zP7bV0WGZVs5X7gK79YALorChkCbPubZw06qT6hckZpPOLmrzs FHDaz+0tQneicSeK+KnL/M4LzRB9yE9jV3toh6CQgZDJAupDgOwXzFAEFLYsHtid9XLAcZEvfqLL zExFukpTVgQs7PA9i72+g84YrEqJSPhnhBrxP3q/9rd2S6bpObEhbPQapBV+WjSkDbZtsbsXWvYz +vC7KNRrnA0z7A8240FDxTIYRhqpDZ+R11GdDw6JT4hQJAKCFzawLnN80+hUk5uv0i/4oxo9JhN9 Gv877if4ZgbXB4sbcmIvSaBoXaKrZlR4YsFWiyEO7TuZXIm6rW4rsaSpX2i5rCvf0+QxOKFWXoT2 n8zYGWKmd6wz3krt8bGu3mnpicVEPknbYGQecrAUtFAwIUl6Ts0VsuY3lSZOkYUy/WP6BtaQlr3U dIJsmfLkHnbzM16abrPVOoeM2fEXf8Lp2vfjkcsDiwFsN8Vo3GiN6GAZgsTrYvMbZ3Dm3iSIbpxY +SMlfZAeKVX1iH6E2pCJMAYG18CLjLhP14ApZvsCTn1TNawPbhYhjYGgakxFPiWQYrYR3Ywof5Ad o/INcNeD0ZyTy75hFDGPvcGCGg1nN/pqdiyayS9itg8/H/xDOEEwn+puEBuUM+F3gS7OP2NXAm1O 9rRmhWhTOBnJGyzqoqrbqPAih5gpJckYPrRqTKZ8nySGMvw3vQcP8hTEnWf6hc+FYAw1/mRDhO92 L0sPL+pJJmwSeqK4QL5GGyvgzslbQY7ui8RV6HX0ysjsn4bvMJD5/YB5cb0wKViyIk62boR3GrWv K9zWvEt7QmOyVPzjeMN5AKdpL8eLtNBk6uIa80CMOQcptdQvez/ge+bakXzA32gEzvHZ3qrGC/qO fgsJCf/LKhpnkXTmJWiGbTHQOsNx4PvO+o6In1c7IMrIkkTh6s2MlWDi8RQz1g9a08IGb77cDHir c+HkxY5osGYwR+OJgai745ODGOW+tdHYItdCc+KFXaghCvPBS3kB1jsM7ieyMIgWF3chdpHs1dje 4BzX4sMgP19tBjl7xq8Yqfw6Xeb1p/gKkPGtQPxe9y4ob03T32dBPNYjupPwP8Pv9JHfxeo6ldnL vcf8oYk4pKWGHai4mNFNdeZG5rnxPz254UYYkQ86Mf+jrdIQuPFt/iwB+EhEdNTiT+WUziZ3H6Cw LNnUVD3XYcGnKDJhxKzFPmTkLyYFDB9UYRqYrdfuCNdHsP8nzWR1YRt2kmgpJBfI94oYC5Cpk4if NmQmO+IJ8jNzc47HnJs6rePCu34krNn/I7ZE0/gEia0o8IyUcCMQCX4Gpejb2/SY60ABuUEF1r8Z 4ueE+0xByhAMGhxmdYXh6Kjaie9b+H08SpCHhYEK5HcIH7oXbjhFiZIM/IBGyCe4kqIRtbAuVrlv qU45LXm2Ka0PVhZbWk5QjBKjdBXD+LLtokstfDCd30nZaJ2g+hiLUw5Jq9gxKVvf0zVYn9TAIoKU 13vhRBWQ5cxUVc8ffXsRr+jE0hTP7b0Cwgh+z1vDB8NcV70i3tNiEVHLmXTfLEdimPv75ZTE3mgD LPv+kBfetgKN3eI3zcCqgVe/VN8SqYvv6fsd41H1JwGoE9zUbtOW2nHlKnRAlgcBDTvRLG8K7/fD m1Opg1Y8Ro3s7shjd4rHUKleuDZjjQO8jxpHbQSwK/15uXa/H+jYzX3Mp659GWethkr020IIFsJZ 7VxhFhVz6NMhGr/1PsE1f3iVSEWRYHlUcOCajg6tS2hGZWXEpiH8V5C6kZCqN5vhusEoXFDNHxH2 2H6aIQw57rEgsUELYds9Dv8Ba2TUVeiTXRHAk9Y1qdCog6d+I/zDBTZFknvfOztaPrR5BjokWgpe 8dvK0Mc0dp+rNAaOOHLozcZKLXU45oSXoQG6S4k4WTzJRB8E+2tbpyhH4YYRQoj7pVZgfA/RyCQY /3f8Vy6ZIUvSqyluMkmE1HVow2qVpRimc/po2Yu2KfYNnF58op8yZDuM8K6zvLN4rh2rQfdYiWfG jJIjAqbaTSmkuvPBvNaMY5TeLjSWPOCNYYM4fhf0/oPm3/f2h1bf4kaBXttwai80Vkec4zmM9Tn0 xU87lqjGzaCBoUp6fJ+11hD/oFImlTU1P9AjoiaJhP2MTzPjVq4KfHy82WanmFbiL1P0svRQypUm axSIu+b6y0fgSsZuffX/5vSnH2wyRtuyJ8w6Ys6qBOw4W3Yhm5G92uZTXTAbaxVodOhwIlL/Ew/q TrLhj4hDkGzMpUlTIyAHZjrIpNKk7TGh6/d5TqXx7Jd3zYqWU/599Q3P0Pix/qMOrw9QWBIzWBYC tj+doN67GdcXmV1zimCcmQrbQ73Zz6jKT2g3NQRj5GrDmnMJyrJsUnK3d5RlSnAPpzs8AJH9kTIa pO/io6HqvyY4PVV+Z7K/yZJUZ3UtCd/FAlvSYAJzKk0/nu/uP7c1KcCRn/upKVt/XVFle69qy7FW RiYMM7MbLO9PsFgquHqJCsXXoxGBokht5vyrT1+OghBqkAheIF5Vlmk4G+V1Au0PyfCXkaFsJru2 Ppvk510qcCiGgJZ8N4KfwuHLTUlEEqd5mN1n2fGQNx2pvfOSEw4oQNrgnqT3Oc7XJO8NqX+PGn6V nSedefQUFr6XmB19CGJjtA/8hFYxYnjH5KRkDe1JkYgk0S1vdtC6mZuBfgnmgsUe4dlBZw2zhDTK tUhAtn9v9L3Ub8WOr4V7JQdea17yyZ4ASpfrlUduuKdfGuDjJwjM8tLBq4s95i5NJ0dcLzA0BIG9 4MfcBbS6113qST04zPH8UhzEgsPOQSlv31jT8YeeskLx05LJcFZ9zdIvwr1Kv3BAPGURQZpwYPeN X3aASicxyQcPP5IAQoUglUg7KieIQ+4xKB6jYcRxcT+pYwa2L17lxIPU3F/sn58NtoZiOWFC6EAn uk95GAKwkFqjMUjOUGqFuvhs0hDxmQQr/5rCDcNBogejeC8gDAT1Ldwawgb5BzWXyXA8nTqLMEIh vgCGTQCpB0V4r873HgfFOI2ATXE6qiE5z7JilalORkLCuLJdgeigIYYbpoqgqANQCgeSCes7VTQi M5PbmjmNl6yqlDyM5cEWMcIzF70YRBKyvVXpTnhGVKgU6CPh+c7dk8Uk+8Qyzaky+XNuovUdgcCu Snt24jTA9Q6A17qC8d4S6twRypy/k1ktZEf/1AB3rMBpRleAmPtQHNhCSmEZ68pwqjIz9o6LP6QV 6h8QDwY6Udb8b9LMBR4dh7CnQdYZtID3K8xZiXZ8hV0VPnH/tkj+FQ6fIE60IlGEIuy0ND/0/84O PzEZca7yVNzBs3+C2MUH17MNcoKae+Ki1X3a+6/VzbNjfcERCAiPdRxd63ns+AN958s8WTz1ZgB5 jcokasPIsXBV55gv98cFDD3OtjSnjpriVkdCS+LI5wajYU7RQ/1sLycNMJHH4jMsucAJ2C4bZTCp xogyMG3hZsQASRY6guSJECf7rC0+GMNUgk6B/e53STna8mvv3K9/vRi7Ag630VU5Z1Kln5V1y7va SQGsTl3AX2x1ayn73RGnRciRmzyeTOK3vhbAMItljzhU/V3Y5sIRBcrN5hFWJ7V0R2POBwJMRxuT MEx/syyfmRDvBftOL1rgFqWe8xMACwn273K6SAI6W0J0NIAUPJZ/H+xiL2krCilsqqb1fPGmQmy+ pnDtMfoAZ4UzC2bPzhjOpiLofi2A+jt71xsw1NghZ70P3pdPz5ZIANoG/+TXtrC9BMuRfdW8wWvt akUcdrPXkBlYfBQa9bjDaAal0sWgJsL/KWJC9wqYtML1YqCbaozzZoPi9pO81NnxBhgqZFkc1O/e vkoxgvTfQ9qeGSRSTsnrlJHnrdZKTnbUgVoRxGeAsFz3LPVQ8mm2x3HTqhvxumDtsMJnDUZvGpgJ RW3EXbm/UnycDV4nAFX2g0F+BjRix1AtLN4GvLvoOktGFxYvfNrV6Gx1Uoy5PPtBkPhiI9espEFU CNgul+hRsnvTQcKlQPg1OD/TYvLHxRyrLFBwQm69y7GtB0puaCGhaCfYy+uV2DYFiOP+inUUzlxT VXsgxgTh0GS+2af5e20UB/mS1kHrWR3JzPX8R48eqo78i2+cTLBjQQuQiXQFN6MZk1mzxftPCYS2 tPrqLCpC8vxZorROSSmEy1McrkBBNQf8/wLZUpTLceXbXIUL2Aimo+pLtCoFZEYJIu8aUJ1BSjOb P5U7qRk1V1A1HmmX37dp9YzH7kNkcr7rTzPmzkxW5ama7Xmo38sDXQ6lwEW8Z6iYN/ZbfzrEPpU4 ebUfONU+e0PkPcENErdJhbR/xbUlL6h1lnz+ZGs2wP9n0sv660W5khcBJZHtl/OXvAWaVrmg//kU bW6kRa9O+CL5dbChqPcuP/8mDe+8KTk8Zovmdhx6g5uPAbKedaQjrk/6BGsWpkPtbIXaL57MnP++ ffO1R4qJK8DoeWnvo3mMx0ou5NYwnAI5rrJnkFDuHP23OAW4se20RNh9ToB5Mt28EbsrC1cyOdWG KjaZEVQmTgn5mYcTsziQmVGIFXa1YKkT11KKbnTHcyBjhh4rboBZFvZLCs5j5TyGTEzuMXP2Lswa 3UKkd3zy4NUXVM1vgweWB04zIOl8qcV9QYDqwN25xCJFn+hLhhau2sFIdl/eWbFsCa5kywvFAqCK QYvp/SXI4xRMwWytqX4M3/BEC/L7ho77vx03BvdR0GlJMhXs+YvMa/CSNs0jJTozVGfYsquUF4Xi 451KIP2mb/WuWeHK/cIm6cAtcG3KKxdf0FeBeu+pZ9LSgR8jEjMEBSaWGsz8fzmQmJJwn7J5pboB YXEqXggOXrB5oxTbo7IPCUZnt4xQEjR4MC8NQpUCULNkBKlpNQZOjUBTy0SulYoyCc2+7MO27/eB npTfzkIuJPsRx02Sos/55KDraMRp25OWcGZNGO8oPwOyGgLgDAzXtURjaNLjABCL4nmmMwkdEfY4 jaZziUskrc6rFdTca4cT1gcS4bWLvYz40WtsLlY5eoYCJyxlE2njvYFgH5FEPOpnF98Rb8jroNUo Z6YiBhJh+IcidNFV09z/FNOTKkkSELsBLWXG0M3Mlrzf3C7XtyjKO74qXFzQTnsenRxnX5ACwTZu CTdINAunb1uTPtcHGMMDKNMm+XYqy4BJ1UyyMzEkfYXTMoMAISIYky6wFoFRPmp4DJBXRAsV/VgO XjpybNtUSA1Lc4frWVnUlxjZpSjzDVQQ8gMYcjBqGzW7EwtrNT664Zznxl3RPbrqVi9D/4499rBl 4Dy/4GBSFQEdIQvjDqvtPvJPul50Q4pXy3Z9Y/W0yd86gTaMz1fbfMseGRFalwA2fiY7F2iTWnYB Nnek7lz0Exu1rkUZZ+GB64/VRF33j5B02G5JEI4/KjrsJ9rhcPS16q9SsXV4wTkksDSo2HbBrSmY ZadKjmbzLkbI+zRGxjtpQW8PjB0kbXS/T+0f6QFutLF2++AtJWDuRp9oVP8pUamgHmfVO8LTDw60 IO4rCyR3FClGMo0aiApheeMzgFzKwq3VkFr7ZCY6bOXy2Q21AXGRpfgiltd8T0hk4dfvnInXChi1 LsJcCABzvseNHzdT/92dUKg5PWXnJTd2f2OH3Px0PVDP3IMdcmtrBbgAblIAa3o03T4AHgj9Sckm CR/vysC8g8864BAiErNLGrUTI1MFW4+1mAri6BPm6em7Ddxxi4NMtovd6hw8J2YAQqTbH7WzekN7 I8K83QIjTPvbHZk44NXSrNKe/mKeSjLwD8dBJelIcxzb4FJInTdoEDYk+Xj6E2R6JcVC+EidvIif 321aFe3A7whub85Fw3TyCd0iDCFpBKVmhZeJhw/JVRH0J0KUwAJP7Wy1K/tt81RynLcT60ChJWgE K8R7ZCdhiINv/doYcEUT3MTp4VQCX0JCeJQe+j251BjwM30R66r4rSfkb9/BSkp533U/SemU4Uh9 Ylbjd6XzIZQN8Ka+SGIRFnj4jkq9W738QhSuJS2HeAhqTCHwcAkcjDTWHgBxY/rhR1CWvwdqyuhh XKISbYmrJwreQuFTsJdsghBnN3RH2eeX4Cpixl6QQySj7gspAA3T7Ycib+XXRqdQnyrfT1EebVu/ X5NzrG68uMtCR17qhhqOdY/rN7OQQyG9P7g9JjMGo4fMbRkIFN5ogSkNyRJ6B6XtS4Xe7i7kKTSK nb/bgjejl9uYZoMpqMCqqD5M9Vb0SrfjZInkXDELRWccfWh6pm64w/dUTuAFM0Fkn2nqjuMEMQ8K uV23YpVT1BIl/SZxho7OOCCPbAwZF758sq+EIPLN/dqH5bm/U2UGaVHCLuMVK7o4TW8XHSZYGSh4 ku57Ezv48A2xLnde59n8+DsDiwS0+xShNEHsjhJ7UmbR+vstTtI5e3KUPJS2tmZgTOr7Ri+wRJ8c nwh3ikZ2KNUF/ni264OZ/szKC2EyI5sNcPP5/7Gd90x5dijjbALOXB0RVSuERk9jZc8LxUuT/8Ru TzEsLNL6PYShGdxlMaeUWhJafjf1AaRnb1iNh8l0SDqNKzW3mqoe6CCcAdOUSiqH/75HEMI8xg5m dJEK/eq2xad0fxzJP/mDCkKz/ydLaDXe+gkFr30khFL8eW94uJwAidbaj+TMTK+vUk32CQMVdvui Gs07upf8KgVWRu+nVe4FXlxHVPBCniSh6VXbWmCbTn0JHiLxWMB9Y/+c2zOxPtdeVOommtou2sba dRAwn6CeIGIycL8PbM8kDSaYn8UARe0NANO0o2KN8mY64G8q3m3ZQaKAilUgs4LVWwcjzA2VB3f8 mULxEfinbyL/Dy9tqpk298SilxwOaPlO1vakyiWk9d2fDT658wjBc/U/8YOXq2kXi8XRlC5diqaW MD6XJAssknucuRepuwBya4e1R/nftToJ2j0fkM/KFLn/1G1Bq4CsO5d7XSZjQ6boX+fysP+bUlQ5 QLuHwu4yhXUzNIQ/aJGSRZDt+19Kg/cKYgVKOx+o50gjNkGD/KjWb/DxgBFDqhv0hSC/caAdd/xO 2ZVPu5Z+DG29YhM6yziL+xvt4Risaam7pEJU//nYNmCDWScqUR+rkDG601ooboqZsqO/uRCgZjQe 3RyPBHLecKFXPfBc9MIBIDDQZ4ceu1MQV8a5yCYjx8bxzfuhTyd03u7PfmpgLJZuacvHI4FS0i1f l8SRownqS0smRMtnKLq20HwTR1+vTlmFMzYMYl0knqV/KMkKJd6qw12GE7OKq3H/A82ZfgWDMFC/ /0Y5J6mlODDQ7plpEDzmE4jGG+VEOcG8QFoWqINTcfPl0YRcpbqb0hfSzWrc0ZiZ55Vv+lw4uSV/ FgY1ScyoJUPvWYh4muogPUs7+RGWC9+r89Lln1+seq22XKAKbtTwrtdYKoamDKhQb6zuykH1B1mM DBngscoqZSyQ1lRllqjS3JmzvLqXhCB86n07EdbXrVnE8eys0VXX6IBaKTRxXQE8FT5rLkSZ0zCb pI34Us8buV+V2M5da0EqLB6lqeqJ0HCjBU+MxDbpRH7tPe0O6IqgYeRsb0+eufRdCWEoOjV4oGVN g0Jg0+sLMMGcLDOT2Tre0dNzDFdubgjtpTjDYgFuq1OVQBn6nHBTWulagRzu0IlMM6SEhKpiUgGk iGeUSsEdN6D7x40SKSgNDv7AK3QBuwwfpFhV342bttLQvO5jaZAF7X2W2FNXeOxpzqeARDHwbjZ/ Bp/Jp/tcgVUUbqT6QusH6Hs9aVeRk49vHMrd0U7bMy953tmxSMSHbs0QpwnOXi8SwSo/SqJ3oOfI AsXN8CagO6iMepBXb6pQqnU+vP443GMimjjIfEhv0RQ/oZ44hdS1M4h/j+SlPxxCqZfVn46Dy4Ia FjxEAfvMY7yxGRINSCZfyKHMFTPdHDikdXVAT3Sh5xlOV5dtOuYdlzV1xGHSDokKnvR8MOmUeNCk PDyK+hEEDKEPkHT8ijwxnQ1DdPG5QGCgZ9xhiVQS+9oAtEryVdXMkIP0IyJFOJwSlWElnQRY15FS DE7Kyh0ds91Z66/PkNgLmxMpDyjcUVU2cpSK6qsckP0I+79VRhvexLA9wEfm41HKGDVH7Sl+SsPQ D8tA68+Vb0LctfOezOgj0tTdntvxT1I8DQh2QewgucYWZTQtDOGMGRDkvf12z7KA8rXl2GP5d+Nf YHRngFbN6bnL4hY+U+PYJVB/rfcDw1j5XACQtIohoPhayQVTcAxd+sy+l0v8NOYML1olSGUN9FBC 0gE8BdAq6cx4W8aIGBqmR6ElBW9L0HWlLxJ3dzgcSynFAdfQ0GlRvo94wBL5e66zgDc48394CTa2 aq56gYUvCk3d5LueAN/tWrwOaCu8kk1DDJsuEiyEYBK1Vgp2V0w5ii5LSCbW3IEow4kxQjCbmJMv 6978m5aa5O+b+1WhKskGs4UC62ZVzdxzEV067oPiINSn6yShaXAa+B9SkRhZO8/821EPkUEz3UZG YtJV/07fkX7bu5uP0BQEUmn+uD40zc2jBpH42bW1Lg45Q/oqjbgxDxXnqKeV90x3EaaGlvSOXULL bA8WaIc1wgLMSlkVDpuvMlXmeyNf/7A9k+sl/74GAHsOD1vre6xH+FXkzul6m5RcgiwNvkviE4fN S9Q7FJ0IvkPKcoWX9iw3vhL6F2uxdDHdFw/QvyCSFYjgpWtehGKV44qe8sbCQgEau9jym2PyClYv Pv3SSJksAmbdfeVL/MdWf3VxxpT5AA+oknOJttRGaVCQlTvlnoulg/5eV8dlvD92u/CmFbk7wXsW KZq/CW7vlU2h9N8iYNZ9S8c5Rtmz1Gbzkj+2k6NOZzcOc7uANn10wqd4t6wpRLhyajkcHa0DOIfj 0J6QaOfxh+sgYHr542GTDMScIXxV+FKlqFoBQmxeoIWO+wr/yt1dSNssoZAj/b1TX8yeypLQb6u0 TLB5JpLqIS166anvHuRNRDz7uesb7VuLQYe/2yguPgjHKi5NJLPA/cPJXq8dfFUGjpYyIUw4WLqI 7uXoa5CMNf4VJqoUQWB1gPXgHthRBMps0G513ZucKNdQTze3+hg+DJUv42IPll71DPdl/a4a0sEr k9lTuywWu01J6hbKG45LQ6Gp3UFA0sv1AZ3X0Zg/MIvZkFW5jK8oaAgZ/nmib4sm89JpOb/T4fIa rrFsliQDNdp7TV0oGhTln4v3NSibbMvIp2oKny2gGlwNz3Jo1psw5NgHqnEvam3GfMB3vp0+u9C5 wTJGMnDc6Wv9Byg4LML9nZL4qb45mGs38CzgorZgHB0gU7e0s+hO+RMRWDjnTiifalrPBHAm7tcb pxEqVhLEaAivcRHfK6zTFP9rhlrbi5lFcS4OfSla+6Q0ZWkK0dfcj8hEu0r75g8H14xsGBhaVbvH rTB+LRqKDwfdW4YPMq1cohevJ3U314gDUUFzP+/ZAN9T+p7km2w3NILWst8YbsyEjqLZ6TBvLGWb EUjwf8+JHTbiX2bwBfj8EI9CtQ6vC0WbsXFMdIhFvxqy2hWAQyPueBK6NcK/6w4tGxNqOrMo7BS2 c1YqVRZdIqprmcYMJKxJtc7v/VWJCx8R7xfmtV0UG30xADEloCiTsjXi3c029WZ53KYWGyS/ATC5 bBm6sw0UY+webiKVuCrkn7Xt7oD/FqPw8mLdWIxBnx7XpWHR32eM0nM+nRP7U5zzOZeOwukprYw3 4/Mk1oTJH3oARCS741szbJfw1VkUfoToIMDroK8o3G/u/VVtp6KfAqIunfxuZewd+VTWKnieDdOd CqnbL3z5eI9qrgEEtQjUKtc8ZJiVGG9wtdRuJgtLbh3kB3f9f7lOZAi2U3ae9H2q2C4U9jYVsHdE E6bXPf352mlF6UbRQUzSGntJ5ZqPOHpsEAEDm4YApcIqdzMvmVBQopaGbp0dBpSwmG/dZMN46wDf vXFdXdoM90rjgXdxQWOphQ3OVyg9MEUbiAslXCRNFQT6/zN0cXAmEWbBghpZa/BU7jUNpr7ZWIQy 2dSTnxMujgJlRcTpKdewM4FOLrUZnVsXSj+Th9T6mdwJca+uAvFcVLbbJZVHMmWExnEkghRyqYWH 0Vls704Vahr3fG7YW8Xl4ASyTnUEE/iB9qFaCOd8n+GJqoLbEvjaYRS9ivGrrl7LnXg9vahl7B6+ pJCRVTb+GJOEWPYQFezoW1MDhZ9Jiqg2ogt7wLztL20tG8t4pzumYnJK2zkTRwW88W3b84f3uqNe +Lp2hEWvpyyxpGcxHqAFQ2bmY1xRc+iGf9OhVKAVfOlR06TQypn5JRd8otQqUYMNUh0wi6i0mRFM xVIaBOI2ejj/rA1Bogln2YoYr3t6wwZixES1ApW/CGQDaLSe3GDiDswcFZw90OveKee+cZEHm0Mu LGrhKmbrb+XFpIAlVDYyMV2uW2NeEmimXVcPmqAVe890DLBEe7zFkx2oZU6WCIBS6e8pypX8nE+G YQI+WlevXCRw95PquWdZrJvNilwb2b3wfmVrwTdS28v7wPMo26VkHpIJsvuMVolbe2aAKyxprlFG lasZkWeJZGOJXeMShkfBtPq2UwP8jpzTd6ZxeYbsTopTQutPFi1kM2HSyDGk5Go8jpQ88A2Xwhnd 6kTBbcZOJVvhBrnB3IfU9+SdCmNDB5Sjv//HtSYALKeNsIFSK3Bu053p70txva3rZup0b9M9JBNB cpCiABshy1dzM86C51cbuQcSvZJpk1K4EFgYVknJuph4Cz1KyDKdoohFz6obSh2N+rUM2z7Riowx V3wmrgWaEIKkffMG1ihEPS/HeEccRRrtbKaQpslpnM0IAqXj/MDyHVwtsblfSTkwgC9rKFvvnRgy TPc7QwJUapfvUdfETn6gYUHPssb4iIndmPqjoDEHAWeyMP7I7f5NORdeCzxHRcax1eDVEY9uRiE7 1dmP1TpMuU0uzHWzfpOJ/JdpCRWKdPAgDayDjEHt8Pa5zK+Rgxh6KH0Fu4S9tjQMhQ3mUkKiwaHr pBz4jX2tOyxftQs5se6g2eOeU3/f7AxZYoKYTTaJajASsIjZb/61flSG83bS97muDjnATrCO1qSN //FCd6LiQMSPAgfoCzsUTlOY4NcHPTj7RL1d4Dz9eSAbh5T2sUKsAT+pEV6lzs/dRpdsNt/xoeBS ChUI4a7DBMF7csP3bUgtlIadikuSUiuG3fMrBBPVo2CpZxSEMhDDTFcOmR9a+/6cMujs2PWWybrk VG0DUWQ4Y2mLAlHogJbBXH+91oqJIpxwxYwssjKvPwI5aVfKVyEqjZkGP6Aqk36ncUIJo/YL7RZZ 4AqKpoCpPCJHYuc/9c3l94zSQx9H1qGCTaBHJ3I4pyhNYtYE+2Vat2/mGQzprzNvqpAi6aDFzPwO Jwf9YBmlbWbmDf4O7ZWu33srhZ8rGc8I/eC180HNCMx3mszXnej7SP421O3wccswv32a0pbb+i3E PXJmbTfOaQisWqR5gFYKGjXzDwDDhZnKREgVGQQrydv3FfclY1r1hUr+K9ClUcL/l6tHP4PrJ3wY l2MPJXrD0JKdYCCIhjngD1XDljeOT4RTJKEb04U1DVXT/+cb6+OJN822KPmEOzM4eCO/O56E1Vmx JOeCnMgBip7mqll4uoeHLySZ5ByMcmK5/GwVr7v3VTD/36Q1NP37Ex1CiiU9M0bBP3I4Im7AEbkO fetqO752lBja8Z0IaA91WkDNyWABX0aRuzMs3gVXjnzxnIPMvt/zyTu9qY8XM0x7scBcRwYILZny z7hwZSEfLyfFcpJVX2IKJ0ub5GZmWVUtH0WpnpCzrbVs6R2PssBYtfvD4A7Z887Da8nIs+A7RiTJ H31XybxTjFK/LuNw8XvWdymYCDxA77z7F7YiMnQ2dLeBY6N/QoMLUIgeg4a5AazGQ3n9MUYitVUu WuRGiJIo3kJGSmbz0q7RudW3z+iIf19gYbWGaZNhId+I79rtVkmDw9+hg6U/UhpuaaF/mLrTIwTD GcG0j8y461GJeKT4OVUig8acofaxgrCphGeSmyM5dKDkFAl3RACehOsQQNpeELzaB/mf+ZmaWRRG Nm5cACH9yTHUp/eawxdJv+Og2JiYa2tE9RbgOxaLk7h+1Sz3deujwg79eyEPtwR2hLhurVRaFl/q aX+rGDAgkNjLi/sbyiIGCUzhQ05Sq/MOXuHXNwzT8lixrcGzmgmI87fnlt/Js92wlyg/SjSWbEcu bOzrIhfBLbdEIUzp3glhhQvm1R/yx9FwQdgOCVWmV6OY/kGKVbPoI+0eFciBg8wzVEq79O/I/8iz KqlSvLgiaEdoAa97x80OdD9V8F6lDxUOe5K30Dxe6YApllTWo5ZyE9ZSohYDTb+iS+7T+ONMJZjm usEkWiG6v0OtSlsjgqjCCOj2aF33t46xksAUzgXmfyTHfNz7Gp5ZD1yMIOi5NwKj6SPVc9HtkrFV njzGHofnoZipLOV2bVnGdK8R4vO0wcAthEPOhOePYXCvhW2sz9otTQyG4bL2PvzBi5LLwAvB88dh 9cD8aWopoJN4sio2qjW4WvVNwDtCg2SC+L+1H7jlaRdyQ+QMciZEOv5gm2wmL7U4i6nRqbsXoQR7 rshPtXvo7cJqkDqyi9Rc/PsMX/xtBAnCdPjzZLu+xJIf4xQH5xBMkZMiwRxrSMBdQGpHdRzoTZyu amh0JbExB5QncE6nkty0LUYQnTVjapNQ2K8JfXWikqa7H7MqMh7XjyLm9kx6lMI93imSr/7xQ8z2 C4YMGPAE6MnbUcz3bgbMfb7B6KtPlbkEGzSxZdvwhnQ1M/yfvrcG0kwxKB9yWbi4j2uQxYTwy8qZ qwNNKzgit3NcdqOdnFjEFn7jD/X3NEVdvf7u7ToBI8sXxbkvhftXUFYgB1z1yLm/4kX1vZ/fAQlp v0vXH0pmDWCQeQghMqV4HA9EkEdzok8ibWewo//mgF49az5ighm61Ij6y7XahfSvjUZ5N21OyD0O 7Khd6dCHHDOke/eTb8+BIHIM5iXyvoqwiZY/ofmJp692kR8B8xra5RxIBD9yjhucRPCp5+URTz5f LVJ9MeVQLXghEJwFzPfKRCKQox8V3GsVtcsMn3D/M7UhzxAhj28kjevB1mdEpDg2VIVRMR2fVD+h RyBwkWqXnVqTnriwDfNcaxY9xNMTt637mE/Trd0KJu5JNk1ra3ssw2wLbatDcHvnp1wuPUfwxh06 SSgNdbSgd1u6MTbcEWYR7hy1nPzhqvRf8wPPRR5kTJDTFhphkl9POJamEmgU9XU030/ZUyfHneG2 m1FClvpUf6l2rUHqU0yitCMYSVO6FjXtbHKVQ4UUhOHtZ9SCJRxuwXdmC0R1vGitHsPTrM19FYGy mHixG3mL0M4Qf7yk1Hv39UtwiWzMFDGsiClqKDRTSUSxt+Swb/Es2YnebS29qGUS4doGO7ULzVE+ ywTJ9HR+0iaVtYFPpccRk05NL5yymLuOnYbJOBWaXudPdoCHA5Pi5CNfQRrHHnXW4Xi9gqlQexju aEtr7SN/NrbxVQpa970T9WsGVU3Bqu6lN9hKoQY9v8GDyjnsx6/GrGHDrLtw0OVlAIjWtMHGm7YY ApkWykt5iUbO26M+QmiC2wZnPkKEVGYtWfblFEV1tZ26OsRxXmoCfrHadpK72gVfOTm+MsuMe/bQ tNOyzAxt6eOhsioDtT3HZD7l8v9NoGy/89qQv8rwvdahjnj6l6zkbpcwACmGOOH1ALHLcKqc3Hnt csG7h8Z0ZP8Rd/tLRWWlfsac3QiDCM5UMEDJ19+5jVwApDH7Bvf17Zg6uNNM0jxNNufHN3MwmfKz l67ZcXPldIjOIt2gR30fozuY2w/+Jf7n4Zt3B9+xmaj7eBJXRSwOXZNpoao/RgaiavrgDvuo1fvP oyi6eWzIb3Rkz5uaTZ8ArK7QJC82VEI3850Cj3UbqvX4lb2dF/cB19E6yzxJQkaEOFMgLBalj2j5 pj1Je+zZP7LlxizUasyidFO35EJIDmqA0bKQDeY19D+er9miDmqSoE6R5BA5pE7X43cn9bzuVkZU kFC4/8IOW5OTuXNM89cW2TKqrorOb4uL3ifAFHcuEmkPyH2aRjtVtnQoXhhk9/TZQ5Q2JXupr4tJ xJmUKsmVQUUvm4Y3662Im1yI14tR0nEFpiYBuyHSakA6L/k9df3fdPLCX7PDFkHmxz/B0Ke60ymO bqLVlQWD5nvy72n+UsYxFGh2lRD2S157lm5om2RT2AGPvD7UxvCvy9Ew0OCeyyCXltKh5ACa8qnR XxVsc38NdJVfEwN4DDi44x/Y3s1NbF5YIUfsrfYcd+RP3TvCCGX9O5z/fpVZpFLpv4T0JeVuzQ/J kK4SJc+w7Kl7F56PDm8neptBkq+NJgaVjPgasZqBG+QvSOAX1ku6qxpIVCLHxXRLRI4yInOd4K/C bddU4z3hojsZSXFtdiKsWf/71LH1YKYAzlggjGyK/W5Ho1c/cZKU/UYZ4BzrP9OtiRcNHBJcMHHt 7dbVeOqgh9scJqGyjidQdfEzlLBSsDidZSqCQ5VdGlg7kQf9zPJ0D7UqRlG3HiwpTVkNc5F+0jcH 4HcDf/jbnHLLn+SBFiD3q9RB2TEtTeBJcfJ8h0Td7U/2K4uQl/Sr+/eMoEuKV8B249eC7af25wxa zSPDffCXaI3zCxu5xXHy84MePxtOQ6dgFOs+I+cThEQNyOsIf95JCLKmQrtg9e24K/YhWroCDprO FHjars9I5S71WsiyZrN9FMJ3E9W0cqy/vAof36AMjdsTGtFkF6X4D9CVs1AYXY6ItjLD0bdPn1Zr DWnhQcMKg5KvJ0pDy7kL+vC803dtZFvwpjvwALz4n+bFP1FZQZOUs+ICD+mAlmq4ez3vEw4W9PiE A3UXBDPJdXNOQPjm5Mh6G8HOmGqIQJsQuCi+pKyU0QsyxaFOAoaW6oVMv73JrxgpoF+36Ae/A+Bw KgglAPZWWSQyBpoWTr7MsEtJCNHwH1BuxSkiom50+HF3Idr2K1r/xNt0Rvoi3cGJwsnfs58OhcuQ zGo/XVzgjQS/x4Il2r4WwGCUOqtCiVS/Nog5ro/b40+gjiIhsSEf6rZ3dmQvFlpIQ7yT5G3MUDYC xSykwJFIQoJZIZV3ddSW4jKP4CMFjLqnVJwUUAqCTOlR4H6SaII2xFee2XO+MrifNh7PXSXdXvCO 1qQgahc8xwh2PxcQLr0NmgsUDyWpWtNopFD7EeEoNGG28Viiv3UJJqHPm9/xTf8wlDB/qF0t4g4I HLMVrWHt1oMdQ10xSl02LDWKyMWPESUVx6bJA/d5XMvlDXz4Zwir4BMvRqP3aWrvYdtLp4vLvqXa V9UMH6zwwUNmBz2RSo61P6De2+u4pTE/odc7HevStw0pQFQ+CIZ0aP3UngJbtzevXNtjPCG7CIgc 2UX+MHT4Y7a3X/zjvrWLpn2dHsFn5qUFcHvcmYDY9Oz+Fi2yZiIjN7w3GCDRr8CTbzZE4m/IDRk8 8tJNe+Hiqy/Hy5k0pOVc6CWZSAOHDCmcd7wSHCLQ9835vfMwu+NIpJpAmxynhjU5+h9prwcCAf70 SOz/IYht64oRP7icnkV2cou5crml/uu3iVVJQ//ZsRvsCutiMMAwPEShV4gwcbxdzgqB/S9sRvLK XIXl1JbbYugSshxJrgh8wf92hvPJNVl4rmfeKWB4aABjWbaS48mSfjF90VGCDmIrSnjvdABppuUj 8eSYDjkRt8RUJcuFNGtPp+UXmBJyxtT9qK+amQAl2QG28ED9EWzC7h7riUV/rXxMHN/c+HDTbzAa wPbXWIMlwHIaLictLDAy5CH8H6awtjv5JRE4ZjbVWwNxIuFGfZTfS4Mezn/ie+otmMSofRCHTi0j U5xlwMTWj5ICy5ut0jTdjypljrr+80b276skeIiTa9ejqNwfu2xu6f4lByHiNvPwCyNedLLgPPGw CAazHGQmJuACGEuOsOZrx25VWB4DrFWmGEp26w1L2Vck915ML6KNoT4IX8dxzZLcDt8paUmwqkI8 VtG2n5o3nsasPlEJwbCPBBKDXjvi9RhVcNtdTke1Qfc5F0jo4E9/UGPlEqqX/6Pcc1u+rXWRpo+M jOmvw0LbCD4X6WxWPYLBN7CZ7CKrqlztkRvQdtrEpB8BsLrpJXiYz2UPzxBlwX5zD9TIlEWiPHpX irEei4TzDtWNQKFPOU2Doa17f+0dxZA/gPTfgXP2EBMLrpO/bV1HSLjtsqMzuAp/I68JGXSWMrWG ocCraqO7SNq0sHMHIzeTD1/86gYvCgXGdmAQIn+K403n/6CE0c+58n3J/I5dbt+NkDmj00UCjwyj nqA6MYPtlv/KWNFITHMKLOwW7xpOAQz0vQzU5SbodCsAcqK0r0XDjQz3eGTHoKBoo0Hh0edzM4DN 7LrC6jCF7NCAIaTQGypFLpa0OPTIdyYWaQpYobca2Yuv2aPXcdc/z+u7ZSBRk8rOxTF4DezP7g7X w1v/Z6IGsrDvonj8cTFFqaKQ5WXJpwxy96wQDyrQ23/MHBhIUNY94IKMDRORimsf8c7s6SBqEzdO Pxfmh6dKaVZeLJGhmm7CX8s0JJICdq/dMgt5ILIQGOKfp3WL61Hlutb9gWzhCRj4j1P4eQ1w2R/3 hWOM9QbSTw/8CUvppUOMyKuSoTk7qo7hFRDIibIajVy6PKH3wtID57PMPL5ai5ag2aMt1ixTirtw RaZU48XA906IOfsSVDpIh3Kas38rAOXWtCjiVCbT1poGrKUprMxR+A0GOjpuMx8+ZNRcucmetChO rXbL0gUZX+qGog2kWka+gKRteOGtZPxiPVPKpejD1zYGPRTh0f3iAjYVr/XN8DB0DT9cHvrXODeH xRrYwFktjSkDAVfKWag0WpcxZC2zh3CXr5XDBMf8ZLljlm01K2wN8teLPygEijMmR2FsrsU4I66h qL/tnSlcE19kInE8NHjxHsnIA7nnZWYjSreyKfdW3Ean8t1xfruHmmy/e5oB0wA3kdisa3Lqlk7V Ynzc2woLr+OE5JH14AHkKSBo5YusrZJjzLz4Zr2hycTgqOw+qGhaPOFDDfs91u5B94TojHMMFRYZ iZC35IjlGsAuNMNDgUS2Dhoke7Nc6w1sgO+0GjMM//sb8VI5VaevYlguCWvNL1gHPkEftKh4K4e1 dGhdDCdsmEtQZ8ufHCt1k/4sm6Gon/4Q0f3EzSVfBewmwiy+XTeljZRA0dgNTnw9EJQvPeFGdD+8 ab14ZxthFgGglNoh9po2iwwYXwIpoWJEVDVWsZMxrmz+kooJxXbSLx+S2vM2RAHV+RZ0jSi/PBR9 OhuLvCIbd8nj1PqgInp19eJpBchzDxJY9wjbMpJXKkUdAPom/IM8Dnab2/e+fPpNGbnCsK6mZtQW zuwxDMzzjFKI6QQQIZAfV4Q0TETGoyu9Eq2lBP93A4EGDfQvRQUAbxAvZNAe3AwBvmiEOUAxW7Bq 2K5Q3TzEoJitw7YaaQ8mfOdSxO1yptuMQ1G7EIYoqX7owsauYl6OVBmdvoY8bYYkeR70aqGQkLSL wVdzwsSnQGubePN+n+6MCpQS6D9QoWW7Hx+ajj1GMfsjKX/lJE9XM4KxbSF5BSLJaFFMT6qnrMLq ZATcxpyGJnn1/p9x8X7q/iPhqs2AJkjcJ6O1XY2ffDvSkGeaSdPMgUArd/GKnPWippqrAyxCJHF8 oeoz0b+2tzNeQ0U8iWG60LsVFEzUhob1uQu7OW2PDA2kmGhwW3D5zAI/C+NT4DVYuDJAaOgFQ7ST nb8KCTaOV1ZdACik6OofsKhRiZaaPMk0Vkp2JCr7RTPEfscdUZJ4JYUpZwX21w3xthfQNoFvcXKj KRpicfqo26XiVQNgk7UtdLvnJ2FF39/TQL94sKwkoi16tlqXdgf1VoXFVB7I9bk7EDmSE9APOx+0 i5nnKsoGyeNStvEr95ZkfTMpqJFdOgkftqqumFEsN84SekJami1Wnh0etZHmFrwGLxih8bf6PF3f 4H6Hj0p35WFElUpXE3QrARWbUwv9DCbXa6r9CBWEPOW/hu+42J1clM4k6hxLm4G2IhvQ5DJetZeD 5j1BuP0oPrIy311u2SCpUpQnbmkJ0mJAbt9OhNEnDy6B1wuTjztCMX/Eg4WkS2rOdRyvVFqyZPGh oSfXrIh03QAAcXMo0cUXGBlEcdjweeGh0KFojH5NF7MBQJ9EEQ1O5IBHlIAWimYFuydEjbIzLINQ WKl8GEYHCt4uWvs/wdqGfbRAAnPMVzqY9Dfon4cZb9vxT8mlAnAuQ6lgbin6VQY3kqvEIrcgGwLO QYrQd8OHN0kkF4E3AP7jotcYbpePCpCd9ZZf4NquOnGL2XkXUsa6N5DUHjKiWhVi90oPdfGSp7Vj jcDa849w2+Z61h+jL3Ltu5IekH09/6eJ0CfBpbpMRouNFTjBIhimt5RB4WTRu4FBQqQNr26oguTC SW0tdxjNbQOdjFC86DV76PDum50L0iHOtMcnz1ptSokRx2sdZAneN8yR92pW3MT5eOy0OiyYl/ev HU3ncAXq23TUasf3UkKr6MCwHlQ0l+vO6f/M+kujBoh9wiJzXtpzIrnVxB8yUdN0Yc1YEfwTkvHL rO2xCPs2wDd9aN5pgQXslQ2ugMsGnbQRrEEaBqMNemOSChEjlot0v/HsyG57wZEX/ryXt+dWImEI 3coycKfTJjhbj0OUxHHsITEUTFMZg+nx8yUa1a4yLg63k8RzKh1DHSiohKFA735bhM9UUjRy61ds 8PyDBDArIPM70Gto++02KE/1GbUfS3OMusj2082ki9CU3V8GkYDolOs0xuRUWYOqyV4nEI17gErY 61OXcvjpG1ig9v2DUtAhIF/omGlZTyaDbmGQh1ElDFsxIzqLbv7A9387/UEZPLyvNtXg/NO07He0 Rq+P3Pla9i7ufhsiS2JhGJ3o4Mgkiz+kehv+gKqcwRIML4jXx1+tqmB261CDxvQKpEOg5C2ooz2H hgNkQIVKfL8wUUHRJx9YnobLzNo4f7tHt+tjBZ43/aL1DzRDH4WDQ4lBRVNKf6i6qeQ9zmvGNkSH rT5BIWIMJY+rpZ2DS5P7auZNHAZ0149MOU/O90FRp+tc3ZFdLObA5HtN7kepjj+j76uqsDowYGxk PCTzWSKGfO2dNj4hclgLIf47abktJMhAhf9LfxakfyVygvdKhXNoHYa3ytMVJqIqvD0dT5pGyk6h NSiY9kUkbvJ3O6JxZS0wrLvMXkyD+gpVud0t/g6FY3r8lPot6wFDC0kj5g00AXsqdPAqTLNgaWLY oTPacGAXMkFkPVDpOQAnufWNZ8AqhJVnO/R6rTxb0IYjI/NffGmmSKRfqtnFZG+EkD/PdZCEie4e yP3k/i5L5g5aNt3UYQNLg2FijIswYJC0HxhMN8JW+x/l2WzwdIIetoYWwh4383mBsjO8WZdEmUBh gcUI76mlVrjDHTJy+CP8doXHf1w06dLRPUYWFLsI+Y4BZOqYK5DHQgfFFkZxreOJ2+qZOqRjOutS z9NOZAfjZqUU0BKQtrti83TCW6pRt97EYr7/XtyepYU/q4WuPzdwFpXuQWAX5I932IAOmDyiIA2r ORwRVqa+znJwbTqV0m3A4vFM6NXbL+4f7AeTUOzLEObTOZsRpUM8gt/joF88zHoXEMlOm59YnmLU L+oEudk7nGCsZvfK5sEduuldr9cMCC939OouO4O8mRY9ewyAFGFMVIQ4P/895Sz0Wp5krHdvKpj+ 2GxsmQxxzQZArG02TjjRD1IvOp8SEaimoEBI2NpDcr+apD5+IOTQIVxec6j7E8iMoEt6yw+afZ90 p55sIUBNpLZ2emaKZxy0JQIiUDTsCqHtysl3xQv80GKmXveLpnJyMBogj7H3mGoCSxqUAgPy9igY sic6zJNp1ATvACLMrbxrzzJAsoQcXBIR9vGEkK7I3lxGy9eikb+iZfHpOvk4q/tzeXOEjPIRGA3F weasMePLO88CFK7R4XeIgvdMpNBNX4ibhfvFyJIbUQKxWChKZa1/UWlVVRZsC67sCKXKTHzoGyZC 3VhryAwqF6MvPZypie5GgyBrE2Fy0Cu2QA4aW4aJ5nSKWQiZDXXy/X7VQSO//nYr4tvMO/a0+vKb 2a/CEgMLSc7I+GhzJyOgkbECPKkhFvE0OHYcrBGFCS0GHrQOTk/8p4dz4MntVJWKezlpoQLLWZnv JwffNbZCE0W3ObNQ1Zw4QrOlcZ/DVnJE1sfpu33ctYbQtZMWZ+XmwS8toM4ekLVR1qpdfF7UQNNa z+BHTWkeCpneBk5ScNHCXeWFaigbIT4U/Sm21/obvKlJEQHX7ahY6L7dLWVP7qbjXyTYW1g8FOk6 TRHWWdz/xCriylvtuCPaJYkwFpFFucKXmnyf649kX10j4PS2wwtX0Pr82O9mghqnJ8AmuIJiDD7C rumz6nOnAA888TeGoRzxU+DwfEaxWYFrP/U3rJnje4rb4qgBGxtz+XDqbGlV3ROrvtA9HKmgfpwe Qg7ylitUJGeniKVPcUc3sqklYNeseKlE3Mz4jwF2G0vQ2PoOEXAkAe9/35JlGjjrz1BGAp867bC9 rpKpy0xDoXst3m5nGO7fF04EY2I/Thfwyh/NjnAUlw21gN4O7eP6dGVqhCKH0LwLGIiLLESQMzHp I4TBR+AdEX/KEYw7DclPceZb+gc59nqJsZ++WQiX7tIk8XelceoOgNFRIKuzmlCtnQxShRTrMEIR r1V6vhZvBtPisJPkUYmlHOL20px1hpWPhkCo04ajM4zoC3rLFWHxRjKqDvVx18aIwfpR8YDgV5I+ 4I/2aQDHrlTSCyT5rWvduHiRJxMUUWjwXmLkPgldVAGCw+oQx7ppmfpmntDWS9YHvn/SKt6yFN54 AateiwNSdoEa/m4JtJ9DpQ2VUTKcdZa2pSy9K7jsg+8RiWwyINwvN3hRujWl7l3WZVDn5WeBFvjK zPO3kfuQIK0cnwbnT1klz1wtkblgvn/I9Aam1UuhrsSJSuw4JwjFxxcvi7Dsb59knhtEghYNHR2a DhH8rrdKID55WurzQAI/QVqvf+GjndGbKo3mRIeQ7gGIg57RCX4PTFRZHNaq4uZ4k0cGobAPjCgn 52u6iwM+YFRMW332IjXPlHhwc6iTDLRalB4kdJAa/x1RDDvZpThagKJb3fLcRAxn4HodlqJl874h B14DvIu3UljHg2wq3clTNsocjaAXhVlBlPS2RIZ2GMhiaVafbGooXQ2mVPOMmTNZ+78jAViYihHX Q8V7UhvY2omf3mRNiUcMoa2wQI2yImHn4+Kx6NRN3syXkihHgBHdF5dxF6S193t/oyWBzRQdPexP qJQCCmTMJ7wPRMcBrWY5ACnDgTz5zBz779MIsu9PdLr2G5l0fyUCQoKfdBxGLXBAtWbqxcjdpPYs 9NR12lPOmcUO66cuWGEME0WxxFThXwSuyDhplchJqGHM1br1VjM/dza8m9APrrGyIja0+Nz/k5Br EXTddUTNuaF6IO2hGBGjOx/DR1nAWvwXSGeTzv/087vS8C6Hl3MJjp9J5pBDMyufiMwdsUEccjeu spP/D9eV6kiOVGUsQ+0tEt3ZpAn+cTyqPGNvivoAxEkMBY0QLvbogS0VIIDeCtGll2ev/zfX1w9w F+BbAitKLU8fZFkmEYr0GZRki5GoqeTfmzse/IVLJzazNnFo4NqTVebZgA09QGI9vfLfkCpMOg6+ BrgkfxA6LCVmV8aYkVO3VTvGhKUhAvBjyAUmXy7hOhGcgrLO+Hcnzx/NZrtbAazypwZeLwZMTr1i Pmvw/Gn8MxkPtq3Mtj66a8J1wxw8erNHW7cVYX+b1HQ2Q/Rx5hKVp/g6X49G84xWAAAWo1GTwz/W kWwTm+PXRFB5F3s3T4I2aoMkbcj5ustQNDDTEEAiCaTgwSL4EYMaTzsK292zb9h1FNcY1jEB77iR 2j1oVQdKA3wTZTvn9DuBZIY/ef20z1pLAf/Vfy6psE2lk6n9k/FA2jyJT+BZBiI+FAWlMem6dl6+ DWW1ZzTK3MPP6UkLo0WdGaTfiZP+b5rIEdUJ8fQWt2gp5DbY1B5/AYxIt7FqSmc4qiRi1fUwMmJ3 mSrOt8onI4UTldpNR4kIBrupxHNa9GnimymWgHf6L4iznA6pna24eVtU3mxnhk/OyCNyglvOhArV /k+UbUlxfc+DujGLThgv1N54YPnuRTA5nvTfNk3NjoYwxH2dcZrBqTylBh9uOxLL+ERFK/1NjhS4 pNTyaZOul8lMifa3yMbn0VGU2t3wZvOWq4Ew9SWWtnVkY2nlq/povGjkr8xdqBekZI2UEhlKOlqB 1zJDjClBrXjcylg0M+k7WYoyUIGujV6NyYNZEUAGZ0U6RJRrljMagOppETW8baCi62vPkPE3pgHK wVCntMOHpnmhlbzPb/593lOgs/lk5Zj0npMpVj1lLECGq/blvdx8Ra+LkKFmtTLklRxHkskZY52Z 6s3tY+3qVzWuk7ig+kzrAxNOraX+e+O6KTdg/p/CD6MYuKlIRAWtoncoeOGNoTrMbCou/bZ3ub9e yHwdLsu0ob5WTqymNNBrpb9mD99RfPcNTY/Zw9dg4KMSR8f9HZ5cNyglDMjlMDNggfxe5vDqjrzv rAG07M/6PtVRXa9rGio4+e9saYZfjRlIwU1GTsFUCqwzrZ6Qd49Io1wwSXLHfisEbLRumMGLv7/I hg5RWBqBbWWcQREs5fbHMIZfBgEjczRJW/1S5Mfj0W5RJokLL/IYq1h3DgHIEiJwCjRiVM44+VvH AhyGgbaAkv1eRgesFTYIyNghx6kjYg+XoK7tDdiczP4g3PLAhLVRu37VazHEM5ZzvGDe8O4kES4N IsefrdPrY/3pLnAktj0aiW5yezNc/fujoHNvziderVkMaKfMXHrUOPosQMiqYdjDU4g+XTPA8AEI ZdgbXE1ZgfdInu9EgjFT4HIH335iBeJRinnmC9bCzUB3YsHVukWKSm00N9cddi9EhHowf6nqTMkm Fn3Ny+q2f1Xhs0npZtRZzIEtqtDpbq9gprY0kVJFzUsnqipwv1PbADmzOxXciODi266oTMdYpOj5 SWAPIeKpHAOMFcD6kdUWwXK1iorJ6KOabs/4oCBZWBPRaCmao4kg2yZLD6cv89oUm0x5E5pwaCzH y17ab5VikB/LRU2nqsfaRlHuwvNrIfxSuVk3eaklN0SbFcFboJ/Cmrf5Ib3VRif3wqXkqNEGRRO4 nnVWC4Z033p/A0nzPV2Q7uwgEKcOA88N5R6SiRJ35MZYVH1SDrp/w6nej/WhJ7wpQ8vPyOPkYdbb utblclkBvBUyvho+hJXVGxiafREbkyWk80pl281ihQNiKmpc6BrbLohqwx2vJkqriwpCFMRzW7lZ OvkRuR+Otzr+LcwxezeKveTjKkQzYtEJ9UQVtbbu8E/zvwkomAho+IFuU5cdlId9gs2PBS4rgtI8 caZANjkh8QbM0xRRS5JLuPqPbxA0stsSevwBUHlUbRKz4nWRKiRuokhijeEeDWJ5rQwpqkInt51d 1BYkYqHf1FvvrAMYrSO+/nU7R+iShYkLRvcFY3UfwuvoeL8pwi/KymHYWoo12GCdbeiuVDubHB7g QEsyw1GCdYr+CTQet0P3VxE0e4O9giKy+9/Dyxs2mNc/ruMXeazYCe7omlKa4Thlxf1010mOpJJ7 CJ8/LtvLifKDjU70093tYlus+bfv/1aWHfyL0wfgGQhA/rlbWzIm14bRSSCFCkOiqeH2JaqNK7YE H5LZNyzfbG4VAtcVUw0xnUPSXoToEzlxuRimvLCgqMWkmxeD7L+eAoXr2a/Tsql3uNKbVDt6TsoB RYXzSxbjF16b/zC1cAEmLB1zNBVKemc6R0FRy/O8FSk81//K76+SirBCc9pgSTCj60R7xCQ1LflL lQhhrBJe6LQH919d9rbUvYMvBifjK1pczfCWupaCVcPwYyfcRAQ96Erx5YXCGPcq3+2hp8BAIflL u1Gg4hXZy2iz9PvZKMEC6BKlKSJGUa45xym1qY1nvewk7JgIyx6onNZf47bW5WezbuaNZIpkU4cs rbP04qa+PWolFbeMQADuMVBL+ICuglm1fVJpX5YknCSAX90VQd45n/Fx+XPo7DM63CyR1l8WmxuA sBdsOIrrAsxbm2SeGCNjOUXzuIWXwowCBn/G7ZkrPRWEL5fx22N6UouE0Fj6FC2XVkzPwiLkI+5d J2ceuQZzOEsTsICGsRFKMDbRge1hj5nK6E9CGGSm+61/3AGcP8LuN3sXXSvEUNKZYuAv0rpPiaQw tIQw4SkvctHjidOfOb+BzCPPhNcDayW64XUB0+FPYZuARzJHKr8iiepKM6TXY1ks7T9vtBurz0VQ HvCOsp2n0vpWAxV4P6dRGDlfuwLNxObruNfIgsHWlacqcuFvla7eMbVMMXc9jeAqYDw/yQjRlEXc mxKj6JQGGFFxZCV8yGPI9oCaTVnUzGEjfHUr3pz0kJCmbperPKrflGCg3YLLly8+QYOmbJ7WbilM VUyuMQXEGqqTJyyMfgRPjlwU9OdFDzKQibToPdo4ymkWXKpm12gLxoEZr4Uh07SnhLnhG2JGTcjq 3R01dbz8P5BvO3dpQp3WpegirlDWBrQLmQUKHsHkuoqCsau7iKuo0nr+azJTLXmfgPHOYoZ8plNC zInZ3NoqwMnny0VunLs5SoVeZvWfTOkLi11chMQKG7MrSzfDWVsTCrclJliB0GCpsO7dA7WC9oIo OLqd+EK5Vx/WqDQbU2l/O4VMS9ucG5grlYjs6y5lKWVxYeP1GhKLuk0D/lB3P+QeCJQ4sQc0SfKn nMaBNoy7/QIvsYV3Mj6rwLvSiPWDfZFqqflNIohGUmrhCgGcgj84OP82XjEi0uDevl/zq1K0RDTx WGcB23ehG2NZnoI4DiF+GkH9MzcbkGMe7Aa5SXjmMtWCf80LsiiBQvLDP3CsxDbwp3T0fQV1Hw40 CkUfCOtUuPNIKNv/tkYyCgFCERlBxjMlTwFUuCvKvuKJdZghicmkfQiby08b2f5lO+UZjcfe3bRI SVpxLyRi1lyuQaaVa47HjHLUl6NcKBxpUEVOFLnp9l11ERn9A+vZvUlFALKFwq2Onco4UB7iycAb jYIu5xCefnqE//Z394sL2HENpzI6KMn3aC+OXQ1jsqdheTIqtfk/a6Axy7FRy/kk3ZmFcRbwTxOh mtvNzSFRxTgwSZw/4r6jclONvfqV2BKNbd2g2GQWk/PS7Ih7fkqFXSTUw2RZrrgxYx96bVMQ08WI D6mgTM4rF9cre3v71+6Cnh+8sCZzH+GhY4U0bbCPun5mw+rW9+myoewdxwa9V0p4INQ1Z2XAEWDz K9vrbXQPfEyiNWfPDhkXkIjBeAqGZjU6s6+i4LL0lkrcVRKvL/Gzfvfw45jsW8yeLkeykFeXimx4 myXHwJ4q4pn3xlcM4JSYogs/NrJ4XBZn/uyUff4PB+AhZQnz5tov7l+d2oT2ziLquEhxOVUvXfih s3GuD5IQdWQUfllQwdykZDCR/ACxm4aLJoECs5acqbx5KADBTIA1Z0xyC3TsJsw76RDzd3pAkixi wZRrxZvkOqBS6uwWq5CvYUmxSLtM8Z/fgrCtK1DliFwmnp9LnR3uaGy+L+EQqZHamlxE91eaYnry pXYKGeORdHdo1CCMx8Nt2WeUz/AvXJhvObBDxofyJqZB2ZE669qG95P6N50+pY4dQQ3HcZlv1ofd Z7Tr7ZEG64I3d+dujRrQwhQMVDTwb2j16sH67SUQ00C3AZlfCf4jsNzllT1LczJPvIUjTPTVpMI9 pbCiTjGa5DEMAmeTgM8Ge3Jf8xYlclyxIhXoRMuzSGlyFK2CvKiSEr/DZshxxtY6zFYhn4gjZgR9 Lw5Bvs3qCKYGOHD2DLWXpfBhL3Bwj+i0R/ZgSt2dPaHHqW8ExYUso7nT1H+XYKF8TUwjDDK/um93 OEwgreGzcwHqdLJ065IA46N5HXhIfo2rYzxGFvw4JA7oseNh2YQBXAbOeTmlaTwPZ8i9a+JpGCxH QI/p5Jyv9hztcZdCz//U9sSk02b5jpdIw56wV+Avr5xDDTycJKo609BzIgq9/6jjWtHWVlMVLmIZ pW7+OBeXKeEs+b8pFeCqc99Uo58qtqKffiaVfbio7+AlA2CeyiSVth6sqFNKThkFIbeP0YQ6t2Yq Lg/C4+EZICuwJ8rvXoqR8uMvKqGgBvpEVZN73IOpJfF17Zlib3p3DJIV3P2VaUg4lgY7OOCAiXCL DYs33OgzEenthca9YAO2IMCT6h8W9ZTOUiH0Lm7Yn+zn1sW16wLw4JK/IwgHD1m8p748yMutAiMP coizylfKVAqOM+xcPwqArKJzbBHJtmDpeGA7hjX7RqQNLfvkfGFIoJEu3VNQqoJ762BEzAVqMjFz xj4nFtcXbmQ79X5U92jopTxJ1G9QTRfeH6xDYeZV9xinvtyGALnpbBHxDHYJFPSvCzFLtQlVIW8S uP1Y87V+OrZDDSsPuQoOYnL/8LPvu7+/ibc35I7V5cthLLwngLh19FOb790JsAcdv0HY8gMvhq4e Jj9v1Wu5OCfWTLz+ir0XvhMQoEH4u7Nx4HBjvra5Fskmwgpi3tqQhfxH0LoynprfuHBRoNxWBj7q Vs3RaYlbHeDDRJnWR9die3su7JBn261+h6IQ6QJhON9LOwIGkMvBRanL8tMdybhSo2rN/n5GqloV vc4HrNqu1mV2cuVntINLyclT9NBXNR0AyOZy6oUaCKeV6DzZw8ewMP8Edu1keDJ3KmzwzRayIBFX qsgmEr20bgjELFWr/uxuekQ3iVVO/mRweXoiQscY2xt+n6TjNoqZ9gnd6LVH7pL7ECP0U9JeG0oc q08bCzZeQ077Cd/m7824HBF2/3JbverForvD/XxWMBYPNuJ3JA9JM+G4Bg45Wy9qpI2c8pKKVYdA CFGvaqw5eXCHFOYQ9dnR7h5STLEzAqLAbc5NUQcnZ0zjkYoyHOoPdfcSJ7OxDgUZgT5oq6AKZfyg yZAeeUWPpkZq+gJO115xxjbdl/YKMVvtt7XS+lElWsvunBoftOUq3qPi49oPshlxiz9lki6vNGhV A94iVjFc+KCmL5E1StRGMwHymg8CANiKQhPYbDDzcJc/QFWpMs5jktO5DVhR0/6YfUsU6WALj/Fe sAuKq/8ZwNrn19zwn53XbqESCfNIYDYhKBenrLIbDYRmi2n+7QHOY+i+OomHj387lsI1DJh5R8b3 rvfI7wwhBgKjZP8QDNWcdQ7PSpq6QyXDdx3J3nejqqeWjHW1DnvslL3azggPrUplI+sN54NMqA56 flFZUu9tofJi3/4T90VxKTa+c+cp5KjYjxXp3w5O8Y/eDPwEGoCDhqVsZIsWZPBHxqT8ymDKSK2e 0EI4JRACbw28vGYa7ib3snsDBjVRNQL3N2x4v8wM0aqxDnC2BDKSFTF+Znk86v+abvzfXfBSR9uR 2ON07R9t0hBvg9vJSa67C0nccq0SUj8E/bwX0XYDgrXZQh9UOYuuTfk/0ngj1jRSgy1Pnxa+L9U7 vw3pBzuP13bAT2se0YH0ZwYyFvgqL0nJgvQTlYLjG/frC1OoG7Gauc0GAqbPwktBTfKNnAaDij8A 6CSq/uZvE3FkdmQUHP7fAXbVOCbHufZWrnyqBb3PwK8gCutRcBZizJUT3DPxs90ohLaqrdxNeKMq A7co93KEA6C/SDXoXCEgUvgKcOe36OzP8Imj8jZYbEQHsM/u4cdQfkYy7+6afwKZGhYN4VojxJRg yC50fTm43HwGcpZsRvsRFBDnTTBkFF+atr4JfxA+9dZcsVASnIX+oDh6ZTxfViYZq8hcVTHr6utJ Dll1qIHkDVU/ueF1al5iQZo2AXAgyyDUsc5/aDRK6/1MoHJfaVQ0ki3mcd8YwXuS8EkX55X1fiX2 XepoYOSCm+VHEC8qLveIdqqhURp5/60y7bhEMiOKoxeTzBHBKJpzfyRayCXa1kYXPoIXek7HVBZf wSwfekdnyIw5sorgf3kGfG0Pq0e1EpVECwJq+5fSDehA/FoFU5UPrvB+KsvjpBr3nAPF+ztyCBQ/ mbXx8odb92Zx8ZQs+QzQRMnTiKXicU9WoTuQgvgWxmu7SSrLh4c8TE9H21KfXpcPF17US4xSBrXe 4OlBA0wQydLo9hVuUV+LgghuLHT7KW9TP0ECZwI+B6WicfWBhelyaFxHHINJLEU8ybFhHiFz/dYx zeBBv1R5LhdvvZfOIs2KYfAatVhAFoWPPlayDCEabkxLO9UfhDMixLAiy1sP8xr1YDVppYFmL1a1 mhRQ7hEEwd4N/9FujQ4l6C2rfDdlxcIupYHDe1G7utK05cFyA/gMtH6g7L+PwycFNIi945O4D2X6 89ErGPrpw60huI97dM0+P+MV5sxRK1ZjH/0S1h5MkhK1Px8+8IkcxiLvxE7zEh9cL3mg8Amn2a8+ Pk5zKSHlYXJCEblpCBohQRJc4L3sKlrxfTEmnGsue0UcOvsbEdBBT3ygy+Rin+bM1FsYQAAaxftW gNZ42gwIomfIOFBFCAneDOBoNkbpgGn6As52jKCNR1zvAGW3qlEBdamZyZufFQyTDoF+DZU+q0zw v1c1cVknCF0nurbuHVkEvtC/p6lzu4qlfCCCjf5rZJuf0B1sdEp7TLVninRyEpMbY2fxST/w2BuX ZsThITbHsl170GbBAJ1GCoDPUt4XFUqesHGg6+Z1ABjrAogOzM8wzuZKrrwaEM/1MXcnE/eON4jO 1umG8t2jGLSbVdIFcQ/1T1rB1BV0c6LzCbx0PDCCJ1rGFbI6bMMzvmJaIkLcyBvpFi6CE324FMeV EK0/AI/htqe2O5XsmAzpXfOJ7RiVCEu8G21xePp1RM8UQZ7JRcxREhV8mEmdvoaHj9ecbdme1S/g BwN4av+tuVicfif7joQqNMWwVu6Xbpr8HjxQmDkPPLH6RQ+B1iR2l8EFy4WhNGfqmFjRCq57VH8J mmJwl94p7RA3zUqmVA78CJ+LymC86AHknMiwCvDxph+H1FelePpK+Hi8s9l8gxyf5SlO7h5H3or+ rUg6HfZ6ixAIW2C/Ql+FTTImo4ExwAHwTKMLtGtr7RIeThxgNrjlZNn5VM/3+xwAE2QymqQAhBRg gCKhs5Egq4fBEap17rUrOwig7pgV1xnysJB2GJkyludMaX3iiTWjq/+KvxdYJtRYv64E0FH2QvFb SXfk58hYBF1VbwGxQTucEjdfXbpRNZOKZTqpVjijKCO9bXwpN+9q/qihV/YFSSMdIbVlvirHDX/5 OajrOSHLYe8CMYfF5KtKTDfxw4y1wln66E4F9Fwi4qCGfP3ln5sc+7ZRgDTAN9f6OmNwUiE14QgM FR7yLAXV9HRk/1R8/mVtwsUWaZel/zy8p8H8ZTyswY8QJ1KQfbDeBEcKLWO3PQdFc7JtNVVJKM1D WBL0TMbO4Y/pSxXFtut4wnuiHMRt6jmgvhpaBaCmW4YP+pfiPKi+FiPjZuMOCpkn6ky9tBoOl/Nx uoiiQ9/hxKKMzgTtUF7qBVnWMInoowLzPkNt45y7nMn6E73z47C6RH6/5KwctkkMNW5WxbORMr+S yMCiTR4WLj03/cA17/31KhtYIMkP4qRxMwReRUFX0qBBfi6ZZg9atjfjX+hHy2jRKWUnLvlVmWiZ teUMmCgtfFTlcBPRGNjyZF5Dkp8VUViIMaFGybdVX3kNs3rowMEXPQg7fpbF4Kgrs6fw6y8WM5Xz z1uPGFJ8a8AKoU7Vl2iI3TnIPWhvv7RSxY5aA/DoPm6Vg7WlOvXDJnCmRGF+Xz68Tfr/XHZZ3Oiz //lVwFSdKSgfXmS/VyQDim6zcLabQqwSvqV6CBO4qu6Ffiox8tBEBlv+e4TquejjzIvzDwOH/OwK p8ln/pedGzugKIBmfP2OY94S1dauam2S1jADvgyVSkRWISJDAYKcNY9sUYOTwh2ie0n1UePx3+7q iOz12MyShk0O0ujQVCkvElE5T/lLT3pOxaQf79zuTlNNO/I7lVLF1qS5SbgeC1vxuyZLy5gqy4PM jrekzWz+aONUgXg9mmblBCANMShW85JpVU4V5l4a4jDbCrY9XosHQqSfCmRaRzkzn9CcLFUQhwlm wSJ/i7uXIZ3lh9B1Q1By0I+ZJAl2PVPeDjQEpRpevj8FCeMisF7SlHP4RVQAWMe0waZ94D2WEBiH PfvV9hrtGw3WchXxhFBtwI3sbQF79V29P+6gUlSLPQ6TwNan1V2C+1X2y6gXbugltd3lvthnPVIb IIhH8cg9uFtQRV70PAtKsBphcjHrWjNuio/sZjvMEZvCexcRuUKIlc6UfTK2E4OCwjamvoN6+SKX Ew2he6Pr02NgbUcJL/zrXP5rabhL/pOuKSLWG9RR/7nWYOEj1IViJnULW7TYwvofxOG32YYmXvC+ UHhT0BJQHluliAVcKG+mnZaDdEA0D+QuazXrY6Fe0Dgg3eXiI2zGK7qntzzOzveZ0B5lUl+V9lVe QtpqtFdEw58ZKq96JmqU0xjADFpzfUvoo2gU1SOEObvDExorFp1KIznfSgWVDg5iIydY8Xobx7+U dBx7MDQuWYM8+fFotN1pnnNs0XnKKpxhKay+UI9cjtW/BFEadW6li4e7XQpotVHQzSJSF+5X9Cwk OEnQoTmSxRkTuDEVGR2gdQryKO6UQ1zVHmqGko0IH9NgbxcnOS6qwrFzkxA0OrGKEcodjsKJRcdS cVlAQW4fw/KQrKqDWXxeOXykW3QrDiEXVUSArcwHMp85ur7aV8fcwG8D2N2C0Q+fomKewOdYqrwe 9HNpdhctu/qgzltZKSL1cHJ+17KVNhhKZiPINagJta4hwGdSzohr9iBkH4KUgmcARGJNd0daA181 +doNSOsqAZ0SefnOvXHOA+x0PHcxBL1ujGzKViJAEbalwDaCPGS0j3QUjqM+TTk/WzokHrjMXTad gsvqXEdUToiSnnUWKRejUTl7urTM5yWaoBcKVFf1fQuQJFJOB8HsUsI9Kr6eIiy9OVWJM3Ysi1rD GNTEOxc/IqEhLqysxoJYEOHKbsIHW7ppt8e7Rye7Hixl2WoiEK7vgbVGBc7iH0TexFktE3c+m9cF l9NmVanejvxBpIGBF6IDtfHF7PRrp5NnhZjtNULCTO6I5Ad4sDx0C6AfgBZ6Gl3lVQ86m5OvfuFZ 4j9raawJspIan08Cxj3o/l5zQY03KNBgSc0snvxGPiQt9h07f+rI5upVVzEjT3Z9eHiCmX553dsn +5L4Qyx/iGdNsDytPR7HVxaRBOyPsJ9ctRmIeiTIl3ChaQb8LwdQEmo3Aw727F79XeH6RjT/9Fak OYnrQFD2HKKDNme2RLiFlcG7goCqadc9Hknbo/Akbro3AXQCsy6oIB4flMgZ3TlAiu5TAY+1YJga YMYbncrLytbRiaEszMPI7k/np4EAuVNOzAZVgwiodrZr9s52fCkTJABP3Y6Q/Jg+A4Z8VxN2D2ES 7Wj2UPx/eb614VbeS+QUh1ekzx0coPLqomCl/p9nivzFnwi0obNU9pfHcZ0J4vlxH5M2Kc+c3+t4 cH1sul9ZUki2jG+rPAtTCQym8vLTuLXCAMEt/Iuio1YyFkxA0J88lOS1aFqXxf1rgnO/jmF5139x 7q8eKQiCZFxF2abHPMM7IbcEiyJOQUxwE8mQisULry7oWTlpr7SIi+gz86HGwsPZMKxqStBP23At L5/AIhpijyuunPo/g1h8O0GJeBkqo09DR6C48kRf6uh64FF4jfL53gYGSQ0bGzhig6LfqIiKR20X AX+WKuAQ3AqXJQv9yWbBTNvBglqfg19XvZCELJnHN//M2bC18jhn6LemhyLd3yYeNyLd54EWEQjd N/F85yEn7NQN4CwozUHcbbocLC/py6r3wqAUVrNzfUH3rkBXOZ8t/rq5ulsyRsn85Rkm6o6qs6WP mo4MIDzG2TVFCCJaiDdX6EVdVVsflRR5v49LmfvFHO+hKy/kACkgwH+xxLl/sJ2ABylhSvYhRL5y l/Y70AdKeCgbYRa1pV0mv2cR6nbX3iZd9vTyO0822zhJZUWdZOCPQNfr+NOlZOn1r17pkN9sF5+r YDWuhXnUJb38J1puhfCElWL5+HJ5W4tRgt2SmChSd4v40HoIG22R0BemqvpYigz56QQ2np9XvihT 4IZbZ4xPiXWpl7+6f61gbwk8eC9lz4sI8SnJ90/2B/xBwqkYIN7c3UrxnxoHgA3/5PdZpZTZXOyy Gae5RRbM1Yrm5jfz1OejfcZOOavbI9HSNUFfe2J/mE3boIOPNM16wo0IcWBy1RhDII+1CCNnCKy4 uVxa3GM5QxXHt3ZgRCIgz9MV6ZbHoE1titdLrhBTm46rD7TF4mSGpDsMYv9owHvXITYGDLZhc0TJ uOnbY8yptLnq6b5mhRTgz8XRpbGGU3TJa2bAkY5QR6FKvjIcZPKG3O/PcW0+74AvhOUGCTDBXIsk qKHEpTJwawzSQEhNfj2uJA0bmI5bJEm69pJXqjkQYfejWwG5OIwkt3Tn7g9rYedS8axtlfXK5H7B sL7KzI9v5p0/QR+UD7DtY2ge6gikug0Pi7CAihqnvrrpEb522qCVM8EmIhoS6tI7kFYjVxtc1dJ7 8hfS7+JsqSNtMMw5DMxUE85qYoKbAKiSGf/KGfCSn6EDUWDsTzWbS9HzT/t/x41+DyFcatCwbddw OFneopxG8vZAqWYjCmftXbAIjzkrtGLQuedIVAUugCO7ffURjtSYkBBgs27i3xERBM1wxOMqKesB W/fxr84GCO926INAlFuaoG+Y/WhdkpRaSA1iP+2+UG01LElwEW7/iedzN9idm0eGUKPKgp7V3Tbe 6H6MEigFEoOGA7m7BcqsLv7/g9FK/mT3qB2Rv7yzTwBdTbcHET4jcrIWotMpdsx3SFJ7ufmXOw6H O8ls/ghr387cTToRSePiem0GZYSspmFMVccfMBxUpX28vH5H3ivsFKotwhwJT8h8PL2BRWUT033C 65fd9d0QCVniV0MjBgZfSTtxt3CEc35zCX8+QvMwOIcnC1YYVQ2Bdq1oFmSE8E9dBZenehPRAouw O1/GnmQ+0pD21vALoYeTDsJ9DDCmiOJTjLgYpKBc9Ft4U9EJn7XihV7Tzl79m2Z2ihtRX1FCh8KC xQx2GBBK0qPauCWvk8QGM0NXfweIamgo8spIG2ARPnFjXMfsCSb6jp9dGbqppfmvrP8/LTJH0DYW 6ftltk9utrYI1koSR1Lf60ot+RS9i67dpdo1vOR0a1FfkdRb6DZEXCHsbR4C9Bug7/kd1Eb4/uSW 07SfnOx0nt+fjyiM45rKyLWVRhqpL5jvrZsk0KkmUdXjniuknF78g7SaMYkqWaLRWigKrdct9BR/ tKmhJuu/p0oU0mU4MU90Q+HFte299QvLArMUdogVZDjfz4hvlkCEgjUIblaE5zcMQM1yuUneAMOj KWvkoEaVul5I7JH04juoWfDTjfKZL37Qf8DBuQ0h2v7OO+o4qXeX2r3L//PkHJkiZeDdDSGqyGk5 YKgWJN3EogPwFbmndl4Lh5WeBxHsXY+Nm8e5plEkxUstgmdzXOIwHEpxfc2CtO4h+nuAF8E+eiPR shYgpG84GPygVT4HuYo4hY3NcNaaaMo98uszUrDZl14ck3VZ/JaVjnUqqytYCd8bKJVBrI51YTAg pBQ3xNpDXqLP13nQsFehx3gzFkvku7yN3ZGee/KHu4BkcHYDHMVwNMAXQ+n3dN4uuCqA8w9deBf9 7cSWuEHsrPTjuUkI6blEpkSuyrbjOXeenDJ5w2F5H9TetOkC3pr2qkiIuFdtHgHDHz2GVt+Sx3J2 BOZ6F2zk0/HYCcQlpT0OkAlRNDHaQhuSdWoAAIWMm4Ch6YOgXDRJc0bcm+8V+yLv+1G4JYd1zlUQ IEZGnE7888iAXOBSskTK15RpczXbgZGkq1P6bpgyOjjlUiPlVkai0s3yN8uZ53IF/hRkcyMW498T 9SiYQOYJ+P3yc2peVb795aXDHtqWRNheccxLyhVmj20czr9ABFAIL317yvG3IMu37Yv/f0yHxJzp Pkxg5uqMMGL0JyC88MAosWO1RJ2tMeaPkanvdNnZnkYl/+d7wcOcYUTaGPFYI306r5/QjJcwEnMo Q0nFEuc6WVMscwKhKNpEJb/kGspYspJShCW10vhaOp8ZX+M6JZYT8NGQMMNxx2niiS7kLpqPBGzt yUqXNPSXi3TJA6zxtMx1I6/nPnTZg3JU3DqbNmJ4yrkOOtafae+YsZRIqnzI4U7ufZvm/DcE4ehV pQeHPHEbEYGc3XmbAkkN4fURniqJAdIGD/N3RkbWLEpsdt8uaGmtw7nVmHbw8fTZ5BrELJKoDIhO Nmasq64/j9V2nVoURV1OuOl/7oc3qzJ2Jnm8QsDu7uPpLUY+BTCBHDWi3KjiH53lbRo6cO506d73 mdO64UZG114qQW487NBDl4UhNvrHMOLKQZK7LfTQSzewNW6l/8tdD4NGSs+r1v//OCI7W0YhtB0s 7bkFY/LjO9AifnUyE7236PhU/+I4/pVd0cPI3QyzgTDtFPZrXwYqthyFnXY5qMUHek1+KlpwG4pD jNW/89jZLovbH1eqKy9Dq1OELXD/pCEY2TCebzbQLDCm2vg4YWFjEGt3yIe7fppYAkbhIJ9hM//E E9iNGRuUWmdt4IGShJm3KjSW8bdkV2lhvx72wH2FzAYDxDl9Isxrxm88/j4oZqNUDKldGE8J/Mvg GF4prR0rND9d7Ghtl9KE3y5KiIBBb7j1tD9M3d5XKlpqsnTtqmbh8HVeJkUkoevX/yfeXiIxllti uh6UjKmQE7eHx/kA3a99rw/iAr4cJpVmprI8B2KnifHoWtXgCEEpUaE4cK03GTbICVuoOCQhx2kE Zz5fu+UpifHm9LJtocw51n211ezedP/y8X4zKewa69ocRp8rs6ZGgryhFETIZr0mo0iTyDHwcLke U8saxaBaOBsQmBahjDp4YE5Ntf6z06BiJS9s/D4RL+yp9tGKt/n9ZEc/OQ0lOb3ZmhtkbKQW0wxR 3lUGJtI9AP6LF1IDC4m3V3VoH5nPhMV6cCbFphVjb1TfUvB1sCyuobxuRHrDb5wFA+flfd+QZgww TJY22IPKvzc6gI8/XkuGrKahFJV888mUKZKTtJHmF9dnOescEsIdHU5agc7MFdAFevV6CJDz0aKj xoe+nDABj0Rt5cCbvw5HCkvq8pHZzgpKPtm4eXrKLjU3eCcPXUgTx/k2nvD7kyigeVi4jdR3h6Ez BWMuTWVESDn4dDWSGNyXczL7K59lr93awg4mglYvVl5ZckQ6zS81ozT8LzvVxVIbgrVGI4j83zzm OnJ5rE62DOVNDAl/m0VTXH5ZuLbTPZQsUuILxYw60C3WBfjUXBRFCuiFZiDqj5mje1j/dSUJv9Wl Bi02+IRwBc2aPR6RV5HrmwU6nfS3sz+rOcMzNQ+l//8peQR80zKu6EJz3SnUgk9btPtDmDk0ThMH ohDf/IJwAkBvRDrV5ySOXkdxcHyrNvqRPJH7r3iYzPy52q51S+7agfds3n+AHCZ6GWV/xBIhUv8l yjko3UUl7GahsqoBBKzuuA3nI11wI2A3NFeOREqPeIg/3TSINoEbDIlg8WTH5TbnSfzrAoYjvUWH OLqH33Arz9Bm0zPO7s8yesquHKiSGP0x+ocBi7F4DCtWZCzrsQ+SoZFxYcl0sclbSvsYqx/s4oir 45/koLDjFlSwA89ZMN8yVbRyTbsQuiQ6vEEXjfHapO45Va2TQXBU6KAfGGXfO4riB0jQh8yfVLLw gNVqu5v3UjxWxzJM2wxhk7vwx8ABVNUOAIA4J1ySzgY+hPa8/MWxDJnNB/8nR/XbnAdrVmqBXR/q vNdnh8bZnldbSOjtl9gilDmuSvIsu7oDnFqiohwpFRptoUn7dRpfoID4kREIJdK4GP03ItwKa8Kp wO+IgqX6v+tQdvTTd0f+Y0ZY5Nt8vbXGQf6+WmKIyI9vkjBaXj+Sof4cH5064xhwkb/XsFdKoUO7 SHzgtm1cQHTm95BJ2qxxEkqO7tfSPHg+RPEvjzkC/E1qJikdwgXkHpLta8ceQmjmpSuRoVgr60Rc bAOmC/OVtSbLsyKd6X28bDFHPivziymbHlxM3pzJsFjixqelzw6VMfH3127uhRU8qhE1sbV01FCq MAd8MzHlJOBXvzZAmiSoeXQcgcbkWnd6Nzh/mcn6HamVLSib3p7ysVsUhogVhfFIolUbzv6kqFak mNWSjiy3VQvjR+DONJA5g6iz0w7E04atY2DrFbSlrp7ixcqmJTWJYDsXEZSjmRC4rUYKnmtWCMRg J2ZcGCOrfWOvsudGdJQx3ykMv+tlEttsRFQIxl1pSm6ZMIobGKwE+iMfv5v4PAXjQCiXwWq2MN/W 6eg09LSeLZ2xKcyhxXB9Nl6qLneTCgF6CR5UXWob96xqHdWoxaSSRUPPcwsxHrSoKN8elQ/Yxxhl 8OuMPBe19rzWdYORLOirwlxdhu4d5WCBRoeSXY1rAfsuhJvbGl7MjAb0PX3/anEh8J1KuvFrhdUT C77yNjNAjuP5McJb9S7Gm/HFtI7TOSzjY+tUqDM6m1rMLKg83MspaTe3l0Y8MTmXqUWG5ZMVALbd KjN+4xvZK93Cd0LU2E9qCS+Nc/TcV93y9F7OcGghv+ZtY6R0t5iGjcjn/++Rlq700P1QyGyCjIEC N2DmlKuAvatDs58GN3ctDmVy8yy5JiWQQ6ucGmQsLdxizGdIQNAMDD3WCIWVlmPe5pOKQflJSUIS 9vUH0blN5UG9vWLYVoQwB+5/FZ8A3nsXrHPj9wEprbmBHUG+tnXPIdF9pTt4TB321qqjWk5y0za8 g16Klf2UAoDKIe2dfk6c+uJ5XSwyjKC78IZbUPKL39VJVusOT0E5IwshULDwPqN9h4bGHb/rwftd tK5KFlMxARWlaAeOLxlFKkH2ZUnxKNF0EA5RIBZzcahZg3yANFg3lZjAnCOGy58OO6WKtCt11IuW BWUCRLs6jQ80wGSdsw5TWHHmgDHNSmBfhnFC9np6PfD7ilcE7cKCui1xn4Qw2jtvjB//G8R+pyku m1tdxDRghqkw57wCHzwU6nvnU3iuREepJHHnXsohD9B0ZbWf9mxkEn9xsI/6Juvb+7ACK9IniDSE 3+mbc/+awIL59KDHX7SOaZFUN/HqDDR/F/jrvkfF49UIhYZWHXwQLpLxkSl/9aJef2XnN/u9Numo pnv7dTQN+cT7EfadQ/jyZFT9A4Czepz6aof2Dke1TYDEEigVobpe/m9GBYH8NxTvk0xRVYVf+xDM F/+FA10kkGQ58dK313ZEHfcOmQvCfhztZl+LP9yqwWCfODFGFuFwVHeodtASwe589utWBvgln2+x w2bQBI/QSLv8qJJRs1oFNVIgTUonzecUmV4whpe2soQiD5j7K3ptNzwRto1boi6gtnEZ86UaU3/8 U+SGf+a0trnmEFbwAvCo6O6Q5tBAe6lhfndhL/usQEGkGOEMxQ9XREg6c8svspLq6mAUN0Tsiq2F 0x4spfooDmJ7aKEAR2qJwR1GSD0uPF+1SHIGI6f6g0FZl5vcPgL7VPRu9VHNUZrsHQ6uQj0GBHWa dmAQUk6G5q3OppWBs8a/kQnNknQbvtpb9tSSZU42qNMNbWqw805CHdgllSg1VI52eEiXdPTUwATX p5956s0cgQf/MY3Bp4TdVODZ9ycVN35HhNcPmW1TIqcWwjmms86aa4hBf6NfIU8y8v/J3vNGbOKL Seb1eaAgxnFFKLpZIGGwjIpvy1MIlzQ9MVDujj1F3U9O5uyv3+ycdG3CNIR0pkcZ/H6clN4h6S00 2MbmFz1kP0i3GwIbK4AO2uEwdcUWCLWl4OdSzgxktxXA6Ib2aD0LTDBLWalczw3eGUYtg9U49AwC +QvDd8E2+DzZqTA7dLH9Wx8pdLShCdEbww2cTjl6wRmVPECIQqUVmiq9XQ2W3bbvhCZVxuoWID9p zz42u0XiJh+hpQnkYtbYWevjp2ahPvQrYVHPkwCZggQWtn3aGPNnxjNeOBMUqRtE8seMTJ0vSFJc oOUD47Ba7U/3ndNZTrfjk8PwCI6jGnO0u369oWVdDTn/u1ALdIkGRjJsBxiBp0UhoVN1Oo4+ZZ/K WGDDl//nfjsEq6bmavXZkI/sVZJbWmXrs8EO0ubXeby4nae52NHqFmHCn5Z3ENfxwkDwinfbwsCy 0vnor3l3KzPt8b82GxDgugabHx8ZzQ/goViSt1Lj+4BUhWuejRB+pA4UADO42d9WwiHI57fX97HP tEJwjrxwlMVQRy7YCaUsrV4K7lKj2tUaxV0rBJ6qeudXq0P7lpmcce0ZNvWP+7jCMu3KhF5GAwzC FuVccdd7SC0DNklBPgjDeR0tc8oxnHFT4Qj36x5aZRhPxGsJNDRWbBEbeAKtJ5adiF0p5gHKbFXT EdVfjIa/zBnBJggdG6TTDq7WESg2CQ8m2n5L2vt6Q603R57WX1Y5eYbmC0MNYUWLEaggz2TNh6P+ 91/dEFADRm3NPtGULYjeFBupVqs5ZyJkdb3pNIMUgnAv9wroxaMN40Usz9xoryUMBuNp8Moj5teq XCnA+u7j3K3Yh2gR1ARQUx2yoS1I0rw/TAEtIm5Lw8jwvc3LAalA98HRZRLAe0bJvS5Tip2Tfpbg WBj0PhSEywNS42WysJYWeW6T4cF3sN/Eu/SFitQc8HGaGc8Do682t04kFfqgEyQJ7MDXJIkx/pAj lDEgNvipSWbkm2uInyd7QaVEQlO5iGliizHO48t68CPNyoFA51TGz1lTV6Y4wXS87OOpkxVfVJBD gfxmUve8Zy2SB6TH2cKIHsN2ykJilqyxkR3TCmAY4E6zZwENvu67cYG3WG5HzU9Q37sjYG5765Rl Hmj+iJs/oBrWXwRXLNzvK+6MOowclnJIWiOCIlYhGIspzpxsLTDy/YTVX1zSzC7ZVkh/O26pbrBL 2PMvgTqrDrabhSKkcH3jjFThGMShY7Fpa9SvYv1CfAyfylZXqWKW6BrfvFVoIba7Bbdv6tuGGW6p Ty9kpTEQ1QNk6TKMINuljBLP2tdRYHlae4XtvVbIjyIoXDl+q/E15167oHShC4VTj3oLmKjgiTJ0 k1zCrtuseeb1ceNdjCAtnXrKrzDL4q8z79n2tkyCwv7TiP6tOLLJNtRinXEO8F+L2aqV4Q7PEU7+ j56PHO6dXp+rqQ6NJ/UJnwNl+y3rcMj1MCZ2zCcXyglbkLFfdmmChncno7pzbPAzRKl9TBI/UHnU 9qRtEf9ckYOWuA7ynvQEC11zT0malmYmnLrhb2EHg46Zzq6piDzWGuv5Gb6zCanalqwCP8fQ4sTU rS8Xfgsxy2Jq1hI4QlVnu8isSy423rCxcnA2Nxi8i2dg3e6AKJnLxtWeuntHPfePjSkF1Tk4PHq6 Sfx5OACpT2viOHmYYAWG2YkZYzZzWkMLvYMHeXIzUgd3SVvch8huYCSf5YYkzddZ7y69OvXj2ITD ScQAuK1ivfSSRtF3sZLboknb3F7uZcoxlg6fK8RnXZr1socHjpDQzoVZkR01O5KI8ILLnIubD58x F6EvkAmnPS50JNVIVuXOZuAElXq6RGT/bJXTATOWlQWREgCcEjWt9JUGZEMi/b36vu74oEC3gqbP SKXlt6waLXpDGZhWeeMdsUFcFug8vEPG1Of6wVfo2Y8KLSv5TPYqTe5lqW4b8Co4/elY1KdzFUPD 6Sw8ta0Zc662oH22Uhv6HkqQCEw7BHUS/rlDhwGcze3cx6w96QlG1D/9QBXwfq6r/spcfUU3Jzah JwaERsAx5xJOHiT1YGLok+pngLV92ORGpE57T55ifb/2K0lSqugo/4ErWZ4Bb6c+Hamn+j+MbypM Uxp6LYZ3LmWumOL1wAW3KO4WAdvRmi2lCuz91ip/lElgVo++Gtc3VrTHWgkVzta8Ol5QWqFAuIIS pXIjwkRjbdr/Ch0rWjE4dNpQJpvR5dj1UqI3vgBc0nV8LoyTW1sdzcinI9fUhARGJ48mr2ILyYXK 1J+EXqD0xTHJT+WBa7s+GQ2dfOx47n0vqcWxTQ4MNc3WzDWqWIsz8e0HBMZHinTx0pudS1CPn2SJ m+BB+Np2EUB9WeRyYAubZmllLqNVKaSLrWv4BCIz6CCWhjf/QTHGwrR61iZJ499/eKfxU9nD2l0u Niy1hhR+A7w+cl2y7sYgfIlSap2LoXYB33bqgbVFbtDK8d4Aud6Ua62EVI50v9ntI5R181EC/qy+ GXohTWVFc2VTf9DwiU+hvp1Esqs47Yzoyd0SUyfi5EeiBldO5+cJEyC2zSLEqbnsrzvn32kIpTDd 8F8ptPloLlP25TOdoJZCuqpdOF+5z+6PRXg0T4mbpz9r3lRkP05kVQKNBYTqtcCtNiAv3KJ8k95L M0PG3lOJ0jdSIDl8wWooA2vaHQU9TqdbcVrOsUeDXygJyl8vBdpnPPHb6yJm1jQ97zFVvtSRYJvf PQ+8It1Ar/EnsH/CofJnxMGtpx167ajZFTeDizneMxZdyeVR1zAd8wGws/q6fBTS+y4bvPPQ9cFO ekOe3BJ48tVA9vRudzV2zUZ+MRhi9cjYZw6z+6mJQv/+ZuBg5z4QPbMb3X1myX7ecxdqZ8TM0aYS VLMXTfvW60VVXmc15llaDUPbO5YVvUVOGPFQMGWzm2wZAb13QoMJXwh1w6schi+V/LOGkw8wSGgy /o8bBMCUttQ/tcvaBwl55DAqSsxLEgnrKdjnGTN6jJfTJDBZddgLin/64RrLTy8kHzEW8e7SvfQ/ IQnpEUuYDbc+ToA98L3b0I5PfuVF1eriwycqtnf+Zq/8ievoq/bKMv3EmMZf9Wpd493Ytqo1OeLW hLHHg707J6IekYBs7z2Mp1Rvo8H84CY8VI6j3Ds2Ki6RZrKBTIcrdlVOq+rV2DZbMkr/qqjfq2fR wltHnWKmh6uu8Wa/AyRdPiaJV2mn2UaMhlWly0kJ3d4LU/j4JLODvCuHGlbczyPEehBc5t0pGkt+ xowGm/Ma4ULQ55Pj51qs5fmKA9ab7hIic+ggUqXEw0Pt3o8qP17qaxZEK1AOaBAusanDNF/7jZy2 pjxm0pmNTyyF/OadVT7SxVUXGrOlFt2BAsHBKDes9cA/VrxWqpvMGoexPBXaMtzYbdr6LtOtAT0I DE0ngJmkWkOPECv5UNPsdM084l1Jz1cpNQvfCnCURfZA/PgOGwAiirTLoK9BnxxDuzjle5gDo5fR FP6ojW25ARely9SXEl0hQwDSxMFyXEB9f5h5Poq9EKqH+xJjBk7Up5JAKu3AmR20HjV4YRQKDQte HbxOM7S/T7YTfUv004ND+RGyJIDMyLvwRFYyTShZTHHqbJqwTvT/c3HoZkWIRmmqBBsgmmR82KkR v//DRtFGoEeFVz+V2cZIoM0VI7WONtkEaNB5IWS6Qmlrqox+wE+yWA27Z5pqqtmo9zzQ7xCIOXzM RgwpmhsZvgynGsr9PYJyEwwYc/5xAwYWk8iiseFPopD7obPDQMnRnItsD71UGjY03f6Fq9AJsASt COXzh2UKbE1supho2L1UT1HDvBE/07HXuVb0l8HebysT6GkS95XI2Y3aathScY6z+KpOgsbloR0L 6TJq6UHmcfyh+C11Apiq5AaWuDAYbxq3TYjbl/RsFMTgUyPF88ZNmH3IHnZ21ytQlWYugyrTv7qJ uF7+UhQPCCNbjeBywoEdLyO4cCdTdXiSl2aCN2pUp2UmiOaD5xkCvSaZDJG4hUoPeg8qJkvgUPx2 T1qa66zTGP04LA2BNPiBcZV/6GD/QaqlLKTEDaZ/Ul0i7yNBtAnKV614cf2Yt98lsFdBuO9MbSvs 5iFamE0VhD+AP22tgSEutdq2NFVwovOUDJHwttA+FtkspdmAfE4Qsu8CROOOXBTHZesCnGC+Op2n lAfv7Q3MWb/rbRVWCtYPFiPfycw6PHjazpg4OPvdjAoBGnVOZ5D33qxVHYzgBbw1SAwzlQAWLVrA kzolr5Nxhdf09UhSjdR0TnIojVuZXDVDGs691EuFUQc7pxq4fN4j9lpFyY3kr3/4OEtzSDog97Jb l4m6TJlRuQbeSuKsExZbX+0xONn0b7JHarS6Tu8AlHvJTepFdJU1594q+LrMNqKiuyWHgWTQnL6d 4eWSdHP7XCRgcYbrOolCSUXWgDbR4xlYKjd8d9HLkDmffecbuB5WibvhUx0hSDBeXMEgokwLdVvF szrW2x8f7EFTRAzjlplxkh8iirqPX8Yznh9hIFKDG3q2uEsioeS8uyR2cgf1GigLmEzpXX9ySgcd +0iHYHaBmwvksYaL4omq4HZJzDzfMWnTQGhhNYxFe0gerwJ958qF2HrGwzXDG8YlOAsEAx+azdOv 37Fdgeldj3QWA7odYdtUQTRI2pXaYbz0yUWuPFnLB3GsSrhDxLyTIzik6SEsHKMOwyOV2vXj6KN4 ENDbJ4z7aZLENpZegd4Kq7BGaxLatCeNWSEO2R7EogWNS95QHCZzulvTSpAvF+a0Cv5wwi1wlddk r3ixJFRA1IPbaiOThfNlWpEqKhai70ZM/ynx9Mf7sUPbgpvJxmNbhHNy9Jg9EcBnxu/vH/Nuusgb RToY1QNdZRS2pCP1yc8d2oxTd77aap76TxH2eSIU9d97uH/lDP6YBdN/J4SATUlmhPoNEq+HE15U hdJtGRqhBdZ08lrHUnV+EAFLSv5S/Jkfpstmvc5Se05i+dw6N9hkXe2DBjOhlbupB+K86wBOczN7 CERef7hVcDb1zD79Y0VY8vY59w+hX1ySFhN3d9/md56ftqVkMoGWmhSnxdFl1KZqvnHquhBSBS3J phXXymVroc5a0neQS8TPWWuamRJbJZ1JI5kFleQCMxBBPbWgJsIcK27dsosiABLUwQQOPqUSfRHK ni9jE43dBvNHOrNKFlq7JPpaJAGGd+Fu4uAE1P6gPocebu57aSKxsiXiYOeSc2Eu8NVO4svts/Rs Y3KiS+Z8x/7MyxiIj4U0/Z95fjLGcrWsT++RbvRgtEZtnEAlylI2vEw6X+PxvTXpIei6bAWb3DOx Lk2mhGyWP9aRz9CPTzUkZLPtOoT7lkllh/0pIkf3iXOpALrULTMPXTkzv4xviGixDvBRp3oVXdnQ mOCnR+B8m0ezzijMz9B+gJIUwzODgBx1OJ/mi9A5Fwm/lkn7r7IJaiL3cUivrEkBx9JipeOU/eW6 lO9bz4c+RwASBNjn4acQKfAiDCfO3TfEnLW/u1pauUAm+BbURBy3iR+oWz+oUJ6oMEiUaV8Wsl0p eO3AGVBFGL3527k7U8YOvrUdv3GWD9XMJV+QzF+sPFMvc3nsviBloleKtH9fzY7d+/+p/js+j650 zkuIriakIj2PB3Gqp8UeCr/23WLy6/W5NVjtuyZJDQ0LqsMxHm7m9rMX7XN4XXenD7rToF9E+3uZ QU/uRy6y3FAL9l2ass5eUJrNnHIkw7iKU+iQeC47dxpBX6HvNEe0AHLb5rXljSnqOrmz7yblAJU+ Zk2FtETly+QiganLKCrXQkT3tx50I4+4OPO71j/EBxB5a+vL/83Pbz3r5N32Qo9oee3Zrowesl4u GNvFCCIOovENGGOWTX8AQFmBn3s23soRvlPYZXS9nJnBn26+PYSx7fSPmqIdMvfDFLpmzQnixOdE 7qdMQlcUopPyU3mBUlaEtkeDWx/sv/f7x6fPhMXKhSYxaYaE82xOJ2CL9C5wEU69raFDZNiOWy8T Xas39P7Ee6l9ElQnGv/zJyBhReLWlY8gFO2eQzgp95JMGpgD7138Rrga4rIgrUoIhj5jrlcpPbo1 g2/OP5bF5JUnuHkoNPKThuCeKwxpBjW6joHDI14OCt7AcpqGqVoPjXC6wVpcqyCxkfuea+5zbaQw gitcyvrl8uHy/Xt766brGmh51K5NP3oTCg/+ksGavFC7TA74Ypqk/4ZzT22joqNi+SBFfXuiQjWR w8KDgbrYuLtdniwFIogbdDosU6bFCY7trzBQ2ed9FqcEh59OIo/aL7RHaIxGXj6bTr9S1vRMvFM7 vvhcrF3zVrzQsQqe69nrJZj/XRB3C7NNkQSwQ3W0MS0GeIRxaB0MRVrNHKxbrbemeXq9jSlkIA3J 9D0BLnl74LPh808KIfOb98DQ7ODT46iCPzOr1CtLiw71rkVMGSoJX5C6m4mugDXYGZGlonIr15R+ aIgRaziTiH1mANGR0dyt4troofSMfv06+nH/QGoa3bQFQBydrr7lhy4S58oStwbLdqRbBDBy3Ovj 4CCpOn+WVqANfAABLqf/dNpKJtdP6He4Yg+WNvcZu4FMKmooEgyysxZKLBIpunpEIEDN7A0yPvTR 4LacDFhLseDCj/m3HvfIPKIVVPYusyYwkXik3x6PXx3BlH+rNNLN9KtdHbZx0+NxFUbIYT0yvDkw V/PnYNpEwnA8HxmFjC95HP3M0inATV8tHCA//ORSqZxLutiytkycgaU4H9YqUN7osqZUUsP+nr4y sTyJr9v3Cx+tH8u+e9zVfh5dh+Hr89YS08xby+OyTyDGkiHTChHsIhJCN7qMphJxoUE73Jw7Nw4v Nstk0pazt08IMFdI+tPwtBe0YbGOexr08Y0q7fTIfOnUbU5DUAF5dfSnNRCtd4kngHOTVU0FqB1y 1cEoHYOe8hoQe2Nc2cO82c8Hz3deS5F0MSOTjiCA7AMq6hmzNMp1Ela3eMyEgxTXCd+B2rrSl/Cd 5y4MOomgjnSdgVqGVZhcmX+4LbdGepb+KP8erKYLflircVmymcCzf8DkkE14yBWMHGFf+bpupQUj yWNKDLK4B3gF90/zFb7OxBIdygmEKyZE4n3oiMBFRr6A5Hq9z9AOu+AacybZ0iEJAL918lQFGSXp vjwY4CEW7PcoSgxDwpvMHxW6GxNsokx10qSqGY9r6QlGAUtv9+4UkZ9fyFNnt8d9ripBaAAFt7vj lN3QGvs31+cMvCQRjIKfoTwvh4jq6Hl6jXZAAqzTX4wEeQxJ00Jye/72GvWsJAU8aD1AleyF8COi c5gUxYCiJsBe//scFnUOw5eH/9kr/YAhmwbWmbRZRa+QQoxA/zxoV2PDPhGGYfMA3A9S7VgUb+KG k9CDJSkv6mvsiLeNc3ZHb5ymr207oPYbUcIuMJVuHb4Nlbd1OX/72hWQ/s+67JzXwdVg/H+734DQ emgRlTwPkGqFYxCckjGzbJKnECcWaNC4ZFDHyzxI/zVODJgR1K1LGhX47nfVvi3Fqlb06O8cZV82 8VcsylkhrHoioHLTi5ugxAc9QB+PBZImPd7H81G//8EonfUajd67BGVj+okuLK+mnKYh+hp5i0mh aSN68G+IKJIwY5KiHncgujFU+NZhmKv3KpUxkGrY44t9HrfgT4gG/01/dQneNtgNS+isYqWFBCX2 jbArFWtu4J+IK4VSIqiw+ExEciOusP222MuJRmNYr3gNf0iJVEc5cNwucOcx6YriLHHl0xeEIslo REZb1SFIDBasYO5g/9neMTyz/g18XfR4Rb+GFdjcpJd/lY2pedSkRdjQF7FQdIeWHzB9RwD6KXR1 H+GWey3pELzX5XEJ/asnOq44C7lmetTJ4JaiB+oeckAV35cKOwydOSbQNkzRLk5KH5O/7KU3/hYO WYQPNwcLyPJbVcXhWtRPrEUYTk32RJfZuf/vb3YjGCQv7ijVJ5tE+oJI90ufKnWBseBMrnH0MMgk u0WKfltW2WIRBi4l6LUmTjgBWgXjR0Bc9gBqvho4S5Lnjg6DdOenlMGdynOUwJuUw2yV9IHuQfcI 8KbEvRNAgqwxJxzQg4rjPJ7IELgQHAZsX8dTyj9YYDJeZzU//kXnVM7Mec5HI1nHNNthW+nVL/bz npJP6SkOCECiQpynaJQyStofyDnF2QQ7UWMU0UvKqgzNJ9p+gmhwDV5HAX+z3++bhA59RdtvE61B gE86+fOt9y7mXrfQ4q2sXaAsyHddtORTDtX9NYhY+esUOV3e88TEzu/D50l1nWnuPKx9pL3qCX6R wSpGO5uiB2zJLt1pRdLgrFTWu3CbbwDSgdLNRqsxmoFfO7bef4LsheL341GuA9g6gq7QL1/F9ZJ/ 3GDcmalnOoaDsw/75+40nijP3/uX3oBffLabZ6TDCHKIMxFYUhIH8ehhgNlxO3lh6GfG0sbRJ5Kx u9PXjyEnr4xwDljgK3tfRi4iveRzqzOxNRa3vgkHtkjUDIn57+mmTtPg6Qo5snuG49liAASgeuV7 IyAh0GkTZP+2tRmjxsHgOtD53FkK5uWuhqSNiXqq5ffUZhg0iw95Mtq07WsR9bHmncBNDAFyhKiF 37Q9W9F2HvhpSHyVLek27WH4qiQJtmW8gHIfIYzczVC+uTfrotYoRF5Y2Lcs/A62bu/ZH9g7Iyk2 UG0lkT3uQ+sEJafrx+qS7OUxoDZUARyg5mG6ENencErbhgQrXZ6DgrHAYi4uWFtZoskaOTCCDbGP 31Kpj+1LCICCVnpZTR1obQG0J3gNIoD1OlkhuD73iZ+U+Cf/Bq6cgz4Vi0TXu4SmumrAmZ74Dr9C J14Epg7hGJlBlgThabrKkTkaNfaNmEu65ISjqgEGWSZ6F3ITU8J3Y2fKWavYUOUkQdQm9qTe45Po f78jYZWA14gx8fIxEif/H7ybwNorc7tQ7uJIa4wetM53aqavrvfv3UI0e6JfL9vHxl0naXn/dxim t1dvit+RyOp53Vu0+na34hHKNF5QmxHHmutQvfwF6IFimlEbERXXxQDcpWdFkkP62600rs2NUTDD K+qsrzn1F6co8EyZuN1hJKg20C4eMdXl1uwUYHTvZf5nXEYLdRbPjwFvHfnPbDNnJyatWQ/Tanzh nCvL5UmOG4vSayJl6v0OKrKEHJE6bN+xNBh59zwMyr1IDUD2NKhKmP/YoUemTkIJ0MKkTnf/6Ujn ktIeHwoISOch2pXfc1CYbqHl/Ljwpx6H2tayrPEFL6n+XO1euZkpl1CTQPy20SulaOzxmwCizd7s ajNg255PPCZGQy6qdCU4u8afnXHcois+MKi/IUIQ5zy3XVUas2tqDRXez7z4NRHqyDa62yA4elXH JneLT/h9cxdLIqH8pwMhhwbIaPPAWvpKgiQ32R7nMWFKmW+PxMJahT0naP7q3S9qeqG3g0Q45faR oyBsJpeUgy5QbQsRd5k1mm/c43XI0RV3Soo7yaLL3wEKozqGAYluLIOLV9yBAikSsZFIGecdItSa am0MLg3fNcvrqcBaPwiouhhgIf7zo2iSROXzDayFmuIhEx8swt0iXUK08aSp+bW9hqr1ZcAGLTUe Z28F2cTbFB3n5CjNQpYF0itFv/+zRRG2mJAGjyEcq+vlJ1YGlmHFJBQvWJzIFmR+5mvhWN6/mOg0 crDKFvg0lwkw09Al+2RG3zEtx3EbDeipy5jsOHM1jIzwHHx4YHJgUuf4XiBQpBFGB0PlE940SdG0 5dEZdDRWphb921YirwY4jyVg1WsFdHeyWEZpuE8N9KjZq5CJQnZovcY0+CSOoEg96rjUAlHjFZ/H DbvxUrjKuzPzP/0kpZ13h1Kz8PHOHCQZOwKrn/9hLcP7Xht6lF76i6QLkcCh7IoBKoM+Sz4avP63 5+kffEp/5LLV1HeMYbu/i8/HDPSMVZu5QSxPP2n+cBToxOt4S6kOaZXsNMh9sDwlBeK1fX8l2v03 1SOw0bcxwuJX7fi7mDpmjATiImKSkGTn6HPZXYeMUqF2OeAOE+sducRfzd85CvMMsTAAiqSTBXdW 3LUdz94LC4hkrU3WX+MugLoCfZVHM6NO7Ew9prmLwYEhOvpCiWzrxi+S6p5K3B8CgOwI+1TWlGDG n6h4fXd/kBE8n4Ic2vUesCwBPpdmVtQEewEHEOlg27b6/HVqFb+54piiMZiFNQLSyLZtdkWHGl+w tIpyIOyN+KcLOgT2fZXc00HHpw9sfhONNcC6aN3Q7WRc3zkGSV9u7hePZkDjpplUrKry7xzm4imA +NW5kXDlxqF4GDJEe65GEXsPLpISrtQguQJTiMA2ca9B95Rx2kMezziqCwSjhJ9RFaWtzvEYR2b3 fiwvPer4RVYI8zWfh+EvAwVCOzmIJRKAl5PRfu81QPkc7LNHcdYuMXdAsda+eHEKJf3eSPX2oDUC BwSRU9mLmzVcHw9AnFB94MJz1Zl0moyfvPt5dF7BFRXEeejF36Q9wS342t5b9ee/Y36KHdB47EgE rd059KBLUMJDkPlVqz8s0vTaiZXzErSvZUTODDZvbBgvtuP0TII1l58BMdGmS3iTGfwIGsOtWNpZ EoMkqWlUP4QhBU8jF75GECOQT3YGlvyeg2HmPa6COyR/oVoFpiP8o/Yxf0HpAP8mdEqrm76cr6X1 z2Ka7FGlSpdsauWSqm0cDWuNSMgEqJf3cyZhHuLhJgcOszSETZoWHJnqtJ3YCPp1A6n3k5DV485q bjMZOx1aT8Poo81Z4YW4r6oVEiQXJB0amuk7i9YXNQ3ki7j4oQQBKElz7piMsJfPpDh7HVCTyNp2 i7zVlaVkpUqaKXUbojZiMwlTsuTz8SiQsDRf0Y1QtZFLAb+qHXzNCFA6d/TRJD3IAhSvQ8LffdVS EpyKgz+g7nAfFdM51gwoJs/JLEgCNkFnc4eCB3L7dQI3L+gnQ0GxMlERJuaAUw6B2l60XLpQCHVQ 59mtrRrKP8baCTSfFRv2vO+WR2s3dRbHHWrnUsH3oJTauyabQHRr0rynqWcmsDh672r4sCfm5YLK PzcmKOxidq9NaNcTVNZuSb1FCYlLwG4ma6LXrcMxC/cvBJHKpcWVEt/pwwyhpVNPxnxk4DuhAaTV GI0Iw7Q2S4sZzALCvD3N/te5E0x4JSdh2NwhPh/XDYq4keha2wlZ8KdpJ2TJBa+YKEQrT0vHWXYb pNgvABnxSx9VWFvJKAKh/M4lHGibhn0BV03VJc0Rqo9TSj7MocPvR8oTwoHnnmAePg2dgqqzlK7L tjKYbMj5hWHvoa9ZWkfE34mNvZ12MCMXBi3rBl+Z9xUnuaFNBHVEs43wTwt7pJn1lkjmn+4FGnGL tOBLQqGFAPJtsazvHj8CptNnfeg3mLRK/YAKADlox5RoU9eABQRzNUEeCjMjBg+Sk+wrWzN39jMn VQvfHCAfxxL6jXItosjytGr6Z54JWd1mGVGk/WfXouK8bfFXRWoNeQc7+fA8CYGUhS+kq30v2+S6 fT3vlLsLgi9iAVV+bnTOT5JhkzPRXr+GzE3cl+7Be1EZujBbN8AAMtcpCrHvi9PixTdorH/4Mrm2 B/+tbdZzke3vUXEpTBEbAwJoJerBiXhI/EtH9tOxPs2rIRpK36Fm69gE826uVveAy+9Lfxevx75r wvS+BoRkQGcRGlGwBs8WZKR1Uqv5PgrTPsYEq/8wHjlDuweDfjSTb6yaeFZ/LlomjuUGKax0WhCx buDS98hCEXPbTo3jtoK6clSg4opFRKOsohrfyHTlS90LprcF3ipQckUPzREP5W0WcsPgvwgnUnwh kWB7bCIEJSRUEGzuP3IrvncTIcLTU22IlHmm1kvn+D2BFMJJxqgn/gayK4po5v8QI6ihe8VOdRJ4 +jtxVHGIuZc4kUuoxR6XO7wfkOSo1D+JfEohII/Pe2JimdI+Vxuxa/7AanpmNvOub9GQkfeLFvPF LGwUTRsqJTkwm8f+ZLJCPXFVPjPqZ17n/mVwiLaj5H7MtBEgigvstbBLDQ/sxtX4pgYSpp8Z9wFs aHNFPXPebnBaDef6l+6zGozq4TcuuJLekWN08pxNaPhJzjcwqqW2/bIm+EvYsCY3DDxqq5SratHr BDNY0adcbg7gnisx7egG/oElj2cZ6eKajVQ/r2Lfk3ROP3iuEIwt/zxVoU1g3fuh1m9NZ3sFikWk k8dkuQ+PJPSHhtu4/fwDUNj/f6x1nFj5aedJs3UoLMb+W81p664o2JrYRd3gWFIORzdQzUVMIJgQ SKy3tAuHZ6y/cqj5KX6e+d0eOVrtJJGkJRK5cIvglvnUSXhN9TKk9GdsIGJKbjN13oqvObscvXU2 61xwOiz10wLKxAbZ859T1DpehNI5iJPMRAnOIG9jBSxd4VbFgDuZifeExPbJYbL8F4fkUItkQCxI 45rEQ0dYAbtrgyWTOZyFxWhNRQDI/rwN64vlVNvBBfZVANMED4E7thOkRddleWIQ0P7pRZd698NJ XW3prns5/4Ue34ehMk/2THnX2sAcIkf0904uR9IDQ5zpvO8FPXT/LuKqHMXVu/HVcDCrzFSVZdQQ n0XWXVhxX+p+LFWG+cRTXw/zkAUz/hRiLa3cghD9ZQ8BiarTZjU7c9vTK75pYkN0fOmGzXR5UBW/ YLoCHDo5I85K0Dr1qPlhQ0m6v7Ujh2C+tt+mlB9PZDKo+PqlE9ek0QRX2r1+PHpne+k9lJD4gMxY +LkHLqQlC2UdTgZCbYLf/+BYC6TUHcUvvUWOWFmVDfGCieofwENx9KkdxwQwTwu3geX/IyKEhB5S GndEir7L+aM0gc/e9K19/ljOWhCfTzLSubfHsXU7Xb2sZVtTA0pepuXA5End/dFXAD2sh7QNcCN+ EQlAO7gGQ0Sj/ggTLGApkMdfEN+8Mo9v2RPf46ZUglCBOvJaJhYhigjcjOQbQda6c34/8pqmcu0l OPNaUfHUoqCABQDTGeiF2OvJzV/87VfsPkoN0E8M3ybYKhJyfdWwyvIGoValRy1jLlmdsjMnWdmK zjF9nByMYtuObMXrdSympYNA0kMP3ITUi6Pynzus069YFGuZcRJ21HTS2hblcv6s8YbkwBWiOECL 84QPaTx2EccJJLz0xgEWB5WpP+Kpid12giawIudh5Dxm4qcZlK6VC0+g2wObkJIY02O8Fj4iRkYr 0e9v5JPTLRerwIal9zMPRZJ9yd8oVGy0DEIr5z0IEEQ7OKCKLMLE2mDBSssT0M6DKrSKDIFk/VB8 JVwAuHs4F3oBvx3A76/k/QOGBp/TXarRs3piGZo/sr6vWuDitXuZtcFO6OeH6CqtzFqIx9Uxczyp oCm6gRm/2bkVWCHCmduSCHkAivyW2GY2Vta1c8RFzDV66cDRoQilX1l1VDKGgKtWapsQUIxtI2WO Gy+BP3ikGw9Nvh/2ZTivpwWG+olfB3OA9Uz7UM3aN7oP6h2O6qi6UiBOQBb9Fuq853tlZiP/0Ehe qawkuluDiSvMKYgDj2IEZ+ReT7guycvz3y470NayQswDMip8Fst+Q5XTe9p67feZBazkbu1jcoCk 8D5DpZFNpT/CWo2p92PG7LM90aSMtbGnEXiMb3Ztmf4agkHQ/a0iyq3FvLTtS8+cfzreJPETEYQi aOevnsNczoMv2PtcIAz5t3csMtgdMedVndvwnvcfBvGTBtwRvCe8SLuTn4lFSvEGen4VXWTxH53g o7ZExF3QBwLta8zAPMFphHqeKcfvT0vsIeQgS/wBlzhtVXHyYybN6aA0OIvJnI5qYHdu24fgvcvt xZ2xTBDOWX/r6iIQp7QUc4PTirNfrQISAhLGq4x1DTgkGAlvSnQTjT9fNvTlRH3Js73hKHpVYfGk rhKm9fVQUpWWpaXTmskFragi70qUKQrrUFLbXG2bmdM2Xlhbxn5otKP9VA0IYs+vFK4UcErQw7xG jnZ6U/6Bi9MwfCAU0+FfpvNkACAheJSfJHZ2sAlxaqTNTVf36LSG2vEUd9oXBfAZkVXsJpI4kj/M lR59D0U/UF9y6wmwR1oAJ4A5UQUtH+zYV6olUH0H5NPM9sMqEDNc7Qxk4piYY9XdFICtWIBkskd6 UzWCvkcqX+MVD9FqNtdJhi9jhzqCe1GdkwTegrVZLyF6N5N4VwlzQ1vYFS5JLpwla8mlp1XtYZjm sY573Bo5hsq8zKZq2Z3+makKWnJmipDLX/t5kCT3N8AhATmo59o1nqEj5D7Y6efahnJQz1X0E6kZ RoI3Zfhmgn2gyTT1bMO8aV2K058kjT7umxcysQT21mo1XTDeY8lX3IKWex+qg0j45IxNMCZPvT6r Xr6FTJgmjD7svx9GwVxq0B+zYXOF0l5SuHWjXgRXqx2FZ5EB3ATjZkI+r3nOMrzeHv1fNlkeg4p6 c8TA5W1TVohsv8RmMAGy37XZvGBb17FAlbLsCMH7OVz3mBgDkuHEFJqH2Ls/Yq/B9R239WIWTfVL AErK+JF3jvipn1ZnNfT3DNt1UqFXPkcYs19TC+1M1LxSx85LvlBsSIuMLf5wmGFN2Mn8iaWuS9vv vq9RkKcjO+QhM6bHF+R+nKO5QcfATiqe7UD8Q0B/pfwdmlrjB82Mxpt9wCwlgPNlRrnL4TrWZcu6 DgqEh0XYWNg6Rs1eiRVpLXmWS10SlTKUEkyao7jlPAuFBl04WB1G9C65WnQ3Fo20U5CQi1nBDdww LD+JrEBQIeHnAy7cAGYlJZIt2SN8Tc4hWVwjHKDuxIBGTaeF+QbrnjZ7iBpBNxuUJLArm3XXTov1 y/VToYSHxWZOwn82AqOlbBOdbuYXTitk2OJmyTyCMnkoULNZ1TFbu5fP3hPbkJV0wli0lLsmS5zr Q8Z9dnyOBtcbfZndRbZ/wLWCBpaAWVvYrOObzMZY/S0uGtRFF58/iaguclgH23dBBr2RO+qrSoED gniD1g7nco7pzI7LFtzGx6V/Q7oBtkIeu2HvCdPr5W8d+3ihO96Qs2lBtV4CbVWIPcZuXR41yDR9 xa/DZMsJlTuNgvvetA4TgaIefjVli2da1LTrQl6po4mwZQt4ygSq+JvC/VvRZYnn7NyzM5rGV8dj VCiJ6JaghG14JAjs7s9V8x8W4QiT6BwWci1ziSuy2OvENtbjNr/Su2pzoYqFV9JlPImu9t9Ii9VQ CwY39ONffGvMvsdK0b+vUsgWvdQ9zsdphLovoZqqqMTWpQf4mr1Keskv2y7avBM+USdS2JggzHG/ Y1p4hipol311eHZp5pygNqiB2yCqu11vN9pxtu1LZVCkxkxTfCUWWlF5yUodudBnf6LX73GK1ONz VZtpah6gI297hIfC5uKKF0+IWxB+NomUht8/frlUgzuBATrryOf1pbzSXJI8X5DJ16QH1wmq9/S+ qg5qfZntbRrWpIFRfrRZ7kN0spsDRnKTuEnuHmkjcAPKJ6UOThR6MnkSaslF7Ss+oCj1EwV/SQdP IX710Kg6dRUT9UNo6EOtxSK+h3oitQ5hIK5eraEllgs5gXUCBJu4XUg3LC3MdFGW+9FhdsmNXBAU G8d4kYQ23YWlkKGTcuvxIzpqW2ppJzBhD+5Sz/4a4ex2+OGVT2mlf4st2wwFcd3pbY3IwHTJ992H vV9iLRYf70DIZBBHWojVybvKmnCp7Xi/p9wOSTqidZAVNGz2GY+1W7X4kiPtAk8o1rER8mIC+BV4 0Ulk7GbYr3z4DAdmKci3mJWjmILP1wT3E2mdP2OpY57E5F8SiDLifcpb+wIQZ+CI6bcUZy8gqbR/ UyH1wTHTXx3TslNxIiWGjHsprz+CBMIFPqd9O13iFDwsoO7EBO1fgpwSGpUx/NZbluNq0+MehA0I xNlOzqIjISpaGMMi9Gm6d8hvzKCyx6zcvpIiPB03tGvIzGaF/eYmi2rNgNTRDcR+O9Ni5XZ5OcRm d/5qPRMwAEDkSnNtEHAynYvxIe7rPTxvKnhiWK/gWHzcA7dCbuTDh+0ox3IZ+2T4Iw+wnNRF9O3n EFrETvh5snbIHcYUIqVeL9LvrY3e5UI2Bfp8fZ/wdk05X7SlYidHd2zXn8kd4TofbrW0O5EX+L0W k4cr+EgjwdvBNkCfexTQsfB6tvjUVFSgc00JRRE2Vx/SQopRicVAQDc0nw3PBEGkoQARJ5lMStvx Ba7gDnKGQ+AXYyMQyEMLk7Gl06WSbaYq1Phj8u6CEQ3Zr3lrYT0ISbGTOfG+VefYHdhRdxCkOzfa +YLfdsH0NMUB28CET+ME8S0TmoOEtaTlNouqjDYhDgOK8Rl9Bu8ImER8S15r0MGASoATWM47lQKy kCQJDzOK1kXWdEQRU4j6KCe3kwSMxC7ff9+wxZp3r3qqH19gTefMkRSh+TgL009XAz39EZynZWBm bpIprVU1T3KCLHftu2Z/Ej+oowJ533EOAjUJhA9bqaz13oQlzk6GnVDLqt3aZXWcChspwCQOXU1X 6wLXx9g3D6Ii6aRrHYxQf+OI7Mz/CLuydbWLd/YOBpvA/+ugJ6fw45B59LkRgH5xueqoVPguGMGg iNRyfrQ2QH0YxinmnDfbFPfJS9DHncEPzCnTx9xTCwXToYn69nzoIwl/M9focaDOYwoWdjC7yBka 7CBzN3TnbThCGiV7ntlNg69+8s37RqsI/4q4UsVHXECIpnXURVYrw/sHNb0kgkiDhd1mO6iCpISQ 8slf0W6UlA2h9q0aNCCt/YxqSF68GpniIcEy6gwaEilzXw0T2uIvVN34S/SWDyg4exzp1JnjMAix zG5mQFjmQvhi58sLO1Rm2IDqfcgUrC4klIeXogp3VJFqbf3OLEPsGAz1Tc11UDgYP8uwl2O0LVIy nZwzCq2tltRSvjnvnBUnXVufugmaEEKTYZMW+DRgMiHrjXbygTZPxO86FA4LryKH4ZLeECGKEUxy gGVhePY3NjUHcO8W8VRIuy3kcKJfMqYrrNQpDCrCJqjcZQpqbOhWBUPwgQBBQE1fmxaQLqcKTPHl V3nDpuiLp9cHH99PAWILb6f/UnuED+7VyC0tJZeAPTMpslgUOmwRJcH0/VpA5B/vv18rV+iASDWx /GY1UDEcwMVeCUS/mDSGJO5e26KBmpkRdna1ghE9TdU4ddGz8UTcjtTtCRhWcxTakiOQv3xBrcUj 2nyY7FM7sFTrHQYgDqI84WAEJp/RiZMry6RIw8e4NDtFGGtmMDsTkA7OjvpwXhseB2xB75vfluQm rOt0h5TwNFumxhk3v8i5/+E+mClaIpHtXQSZsn71cPf1Uez26qXudrEt9hmnFHT6auK0Xgc8RMwC 6CMU9qUSe5HwMwPgsYoDAvgFV1n5lBpVm80mIfy+XzuNleXg7o0OBQGVb3pHO6Ir/SUQF08uwehj IeDKJF0Wh56pQvZBfAfn3fbIqeyk2V3kXWT/JRPBMW8U+iH3NNVDa7BfwUQUNa9mtViMLqB9FISu kUEyrPLRutjqqaOm7/kExmuJQEVHo3fArnKnCzB/03kc6o2T8kDJJggcFmPGmXdb3B5cgAJnV40I woq7VGjIByNTg0efW89RAyvrqSzjUzklzlqBgVqE8k3oZsSjIMsdmrVK0IP+Ow4hH2AKnf6GPFZq dszIj2Q6S9AQmp5EiC+o96BE2ZHJ9eDaUBn3wBJDHdfgIH4o2v1YQF9L5JQGlxAGXDLFBK2HpL8P o1edw7sqF0pM1TsxedePZAjaotqgbUC2y2yNog5NaIluuhGDcgWyTr0iFynRdtY+P0Td7JK2rnN4 fICpXAGg11rydxDPGtH0bhez0i+ySj7o0EpVkyy0mnV/RqMU6UASPXmyZOwm66OEIDeHSVUHa8pN zxMJVMcpdd2agdylYXg9dAc6dKt6pkLabEuODHEgGsyg2U63PS0G6TvnQwJBNtiOFx6dl9KDcZIR VcMM0PDxYX4azohycWjXPYa5BbbL0w/3cwHHA+jmRzfBUq1MsmMnMKsBWjjBA1nt+kfD8SQm1XmI ytrAxHNOARQYYJhUzBkMitDEbxeJ60Ph6PHpI8ih9FVaFMJCN6IMNvSEsgM+6VxDOsgAub8bNUcq SPpjI/3DTG/9HLJGChfe6FDfXZ4drLQ7TbwbaZOJapgxpiQogWJ4uuy3wEbEhtKL6Tuz/MBK02FU 3a7NVtM2OM50U7wrANyukmLFzw3XkKPqyEVtODo+CaIe6NZEFOWg9V7d5cGDbPWFce9txHtcAydu 5h6dHwt62NfcwZQ9NI6zk2QOfeTfiWaz1Xnm+WXGrf2Af9VZVz3yqUiGqoOUZQBoafrc/0+gq9ol wzG/l9ar8FxwZ0Zr4ouRjh9EpZwk3Bi5kLfrKrpB/Zup/kPtLVDlzdvoav6ky8BLHo7GMJgt9mAO fEzSpO8rlaogW5bXDkdPOdi1vHwWZyUt6mB10YzuMWUAsT52XxP843wdqUWSEHOx6FnQwY0atiTj q2XcKTUxXNO4egnNX/4RSnkT1qiT+AjKuYq+E02EMTdXzJwV/ujRg8GdPRpdFUHXjYSWyh5efxBv rfX/LNl+EJ9Ur9Rb1csaWF/k7Pcor1KGTIWeTgNCB5mtMxDhN0U7bl6RtRmKtmL9pNkVyQqwA474 aE+xdusz/Sp4k/TP+gbddQRXH9OJ2Z9fg7m0EqYagNSvAd2k8Gad/QShfFBp9001KtAiInrkjujF bfpEnnxIvIOQypDXOvqNUetx7royWFOiHC1xFRt2WQAYnmpvKnzAnv09DG/Qrb387saOHvLdVDG+ 4Adf3rDMtSzEqZQ9AgcwwS8GzCCC7EIo77JMJu3l+Nws4s4Vww3hnQ9CpLxZMdr8sF9Lg56wv0AN c120pnzy1dgPSfM5NIsaNeJ3/5fPFTXtkHascGhGxHrf2BG1GZ0HexKkpGzLjb7qpbY45OPlO40e KGn2AxoHjuHtTdp+h8Krl7IuOilL8xC3CK2sgZG+o5+AuQyXc+T1ZhzT+1mIx5+Zz0Ot7n6CvWFL TBhRaBc2owGrDg3/ctq6QwGJp5t8Am0FV3twJM36GfaoBNr39RjA95by9oJ8oxfpqnmWBGw73ngl s+NJCYfQvr9ABBOAtf+T2CM9umtEEjrQ762xxwD6lOjg1Ks5pf6u6Xmjv36ErkADriIlAtuBi6wY JC9lPvZFvSayy+GaxDjQJ6X6opZkP6vkj8wF5myybEvhCEXV6O+DDkHtO5lWjn49HrZy4dZNSYLq HL/dkHA25hqJnB7dfoeKp/qYYI1uXmIACXttjnqEc7LgxS+tXItk71qeouSDUyiz7nDI7BFm/Ep+ xWMHGWsnQBsXvh294NjQdMHpi8fEhH8n0uxMeiL1jzWLQZzkXOzehRraX22aTvauv3T0Isr8amPH Vf7GZPJEuaalMyszJ/5Hfj8aMTdF+6QzZUjhax7x/g1TbeoVXnGl+oyLTaDa1VgydgQZ1Xduhl2P DDlw2eYJCpISrGGfFb9pqZw9i7x2q5YRyTTzADq7eppHrx98u1PFtWvc3U6xkUrO9vKG+ZTWppFI NeUtQyELmIMk5zQLiZy6HTadjxYwWPcAzXdbJeVqnFbi6lzR6ePv/qPcljL+YZcFaZq5Xbn2/oV2 Nhd2w554Q2KdMzHEx+CdI8amdC+SxH0Te2+hHSsWDuS9uoLpYh7XJZgouyDH0t6zLe3IFtXQe3VO ioJIYSYNHPJg4zzbsPPy1YHvgb9jRfv9ELkBmeMNtAhkhNqAtWAZQQHh1TdgFsr1JfQU8GYmgH9q SB+8Mpe+K6eyuKo4MEDfaZJZ22uDNiid2DuqagzKj5MJinHmCE0o/eBq2loj3iYYb2s9N5GjvL8U GV5Ll/gZgP7RYHDXN3rZpQE67tS/2RgtfgKA6EJ6w2qucLSCDV4ev5j2tBrrh7s2hO942P+VL9El 6iilIV+CgslOPSaNkGn8NUN9XK3qCls0azfvX+UmiZJzWd6fGsOLLxXyIXXUs7q5vjTpR30zzw3n LuDvxIxuOL33kkV+ofJfmbgE9l6xeUhXVKQdra0+VuYuNG/7v47LXf+VzRoFYp2QxchaSMeG700t oFfrit6PIphjU7t9WbKfaR5MP3SkBz2DeFv2DWoxvu+HRKpc17/NQEaY+hE/yaqVADlZV1njhwEb j4FsQSF3cjM7MpbPdFuBcbT1XLKoQgL1+QvaH/536lsuHWXEyucpOJKIbHFHq2CCiLCObJGlP+Pa 7AJ1nhuZsI/nAFpnFwYkP7CPu6813qGHVOR5Ie/Hxb/nDLe6aOQKW9V7/YuDCrpikQRKFZVpiyPi nYakSjX+GlunOMuur/rlGKelPZbeEdX89iZXV+TVMP3ZMJQc9zGLYg30O9R6bONk75Kkeqf+Y7Pm 8I+I4oeZM7mTxBP/OA0OepRNqL/HAv6bfbDS4GRHhJp2OQSzv9Wbf4iQREOQYEx/bPcrYQSeLQmo f925pBMo0PnLiPONDjv73mnDrG0+HL4sCNqKrX047MqUem4XC9guqJhfghkbBUf4S6oFIcWykj4R d3EWiuMPpbD3/3jckEEPCnWPIh26WFh54uaARpC+7MPqOiV/I/wiZX7W2Teco6a4M1IvCuZdmDqV yX0dX0DStFZZGN7In/4aWxNjIoxyg5lbNFZuZ6k9HMjoP23/gRMNG04ZIfvbAUam4pf6Q3zl7nJ5 uTLviwWLefTNntx/7xQs5adjt1HZNH2UxERfCbnYHxR5TNcZj02D+30lsUPGZTsMAS5Nz+TUIpz3 gT6g+at2tpa3C+io0t6Vgmo/2E7eWcC82oSSq+ft7Jzq9l+CnSMMDGBmToTMnI319FUL0vzdDYE7 5XxhK76dbUokdc+6nVNobD+kAlm+hCDAgK7Mr0/DqlvA5D3mIYvZw3ju+Z8uND8DNYgUKLEXfdy7 lEufA53Us7UgLFJRjJjt9IajAirPP4MZZA6hj/i03uP4l44bQCVBluzWXviwhFEx8Azl3f1F1IS5 Hyo4efR1KrasHdLQXKvdez4vMTy9lFs+5JtN4CcBUZ17+FEKkY1/+qOb1mTY8NZtFLAZg/SHUHfA xGfHoonHXknJ9Z3cL81DemGqt4CiUJUDbpWKzDVEudG2YXSyTqd+fu6C4dh/y01z+NhnfoYgd5Ma xDw7kVFwuzNMwWgS/2jU3QnlJYw2bvm6dKikzeIIq1r/ETR/W1mYopmRjPlKoIUVCOPK+miRX/AW oKdnOZb6/eCWPjY0YrYUYCN4XORyNVIf1i0cvyi1434Xi2JdhuaAzl6MV5t02Rhd5TRFdyCLEGZd nXUrlyx0m+kRk8eznfSu3mdcNG96+NgmhQNdaS1Ji2SyOI49LlRFyZkQGucBKR6AqrSMT/dzlcCE 75RW45s4sD0QkXlh5RwFtc8W+vQiMuiltr9gjhXDNzMI8dgW1vnBBXHWqQqzcy9FnakVO+OuYFWG 8VhYB0pULzU8HiVCUeIs4FZqE1Fx4DZtYoK0Y2ooRCdm/eHxr3jIGredi/O7MHLBprcsIfPQ3ebp BRdZB2cG6zyt7UQrKPul7AB4HGZ75a+Soad8P62yx+F2+q1q8HO2IJyD2HKmWWhsdt535+0W5B7F tbZp4r5Sy5qw4MJZb+HqDJkpGl4gWovsqiuA54ypDMqjNemU3X3jO7elUnjM0PrMBiEJXmzXU723 /bF+bWIlpQbf6sf60Z4PPWHBM4RAVpXMJqB+p1yTHuSPBSSyBy322KsqZoolAJPwxo/MOu90/J2J RxWJ6Rt6pFeUxq03DDc/lv/baSflN27hUWLqfVKjAXOyWNzK1M0p4OOrtn7w+GoblTbWzi03bfve v/2cfpYjaflBOUMqcSLBCF5KdFPb+kJS1cx8UDvWlerglnpVlSy/1psw3CBjxmk2KAZGSDNU9OIZ kcCNrDt2bUb6Yxal8BCJUyXAQSgYQjW7RMix8al8V887mrk5IWSUhzIjfzRCUmbujUA1K+G+DoXV yDRG+OjLBuXUwYiZaoWcvpch5Z3TUanNIBWLS4McPkDVUFRA3HCPh8JT2ZISJVPJJOafs++IMZCA isfqQxfY1PwVu+K/khcMwFnsCwaz0k7UWwasymxhuL3JUbehEkkqo31VUEzVWBa6UsRVrZMr0apL qNft1AIBP0elyHRrdE94t21qhb7snUDPtgv3rVPS4o5A6A9ZApR03eZHWw3GZEaps5+FMycolBUN rDrLzUyvU1SMR9aRKEVX2ci9dUz9dqBs3ZvwR1f6YYQNGR9c9VTnuSSN1bdIf4ZtlTfDGFEHQud3 ZsOUnB1FD4O+qeFFrcTfchsLPIWeFzWu9eDf4rEtBhwZBl8gK9uJImErXqaiQM0lWgapGn+Uevza 4PW7LEpU6SN/poqBVFl9bIKRsoWlCqc/oTFU7Qs4NsNtana6oVjSPZV212vpHqrdvYE/hSyyDRKp CnaTUqeP8WR5Isca1qZ75V07bQbdr7EuIXDKlROFOKmROadzoak6Hugk04d1dDHbW1khAtjPBeMZ cSqxhxbAc9EQjE2ZS41B6IkCuFBHhD/nDjVZcRE4FsmwfL7LUeme10Wp9ohnOvXm1LqdBsJhXfXl LjHmSMN6jWLEOMXGcPkII08V8pFAMYTJNFqKilSt5cI0LyqC9kFAR42GQoh6n71F5bAviu9oyZKS lVdheNz1815B+mRTOIrT2xLKnsCZM6JJ5fMyfDCvG4A4N0zfgkTiNcO/3yYVsPHO00puKMdI0gpH gytURPg0PLIVZA3VefKdGKAO7zk8VgmPYcx9z0Q1bGAY0nf3G3Y2yzDZUibXFPrz2SJet2FSw07N c8xjq+lORjv7PrtgiHOYcLlL20CMTvBsZ0SzYnbnnBJfoonYN+fvYsxzaK8Pn2uKev4HPAFIUwcy R3GA3IwzcVPNp6sp72l/UjJuPnPvo5R1ew3PdOOq2q/SLxrhiOOZxQwy+AJfoctJrmsU78NBGPuX IkPQMvAVECwORGxkTZGdANoOMXKlEPeye2LgRcxxPoq7Fr+jmau9mnIEIa80Gg8hmB8Xau+RMJ2y JQVqAH7F3Me/q1vlzS0ngJJNsdhRrDZnDs+PHGZvCQmAWaIrK90XCvl5Z/sp+8F+LOrD6Z/tMiMj KdnZr3SGsQFwU/J6012LvA6cyTyiLbKdVTB0yo4R770R8p4SZ7eGNyQsbYXm3Kj3qcWwW+aHPxOD YivOws3a+kQ2KeCFio4JHEPQlWwyDMXtk3rjG0X6gH9fdyUnKZE05N1jwGOSo2NNukWe+Y9R6cwV 2WiL3hApdSs9jlJa/EsLSCgmy9dqtq70sgmy349SqJYgH+hFryDawOgZvZD1IiCkeysO7DV27m4J yAmtwI/D2d5Vdeq2QR93N2oyBU80BMMmCow4BrFAxnMMQeWt2DnbOn3lCx9BNnzTNEifpSUDPrW5 TYT+4s3C0rEiM1ded6n/XMYyGgUOPE5M+XLSbVDSXXFUqjXKY/3UwN4LSprucAuf2dC12ISCEH27 Tc3f8YC5iJVbBa/fzomnLrU3t+3VE+nAd3d+86LgUjMoZ0TMLCpJO6LgacqSLasPAP7/L8GEDnjq zN6/Xf6bTDfgtT8upY8uY2JOLPAArEn2+p/JxohHpPgCZrdm6IaFBCHVAMMzvbn/YW+JXPlBDhfU l4jwwlTXWUDspLXN80mo3p/z93/DxSkDGsIQV/1EIJlVWs7FpW3B+0iobndv/g5csVlDwFi6XawN VWlpxQBpakMLLTbqrx6MLdr82lhq2nCW6KUFZBGtqEqd3sQkFbMIzTDRkgr+b6SngDOqAc1H3ERm JkJIF4j0Eh/FuETARdwiwpf/U4P//MfxdVzlzLAqHOo9iU7VT/ZTtL7o7+1iu6uibNAPZTtR2NyP RXZ4J7+XcRuS61G8MRFVcTBjZ2TmBtmaK89UNFpZe234Iww/cCLkuyeCVy5+ZSLPKj6tKA9/WL6C p0tbunQ7ILH+vA9DWdb99cUck9Nz80//oEfgiZwUXjWvSTsG9H2lKlHAcLoYpfV2wPaE0qxsHGr9 gmzCqhfbljP/L1u4VEg8WKzoewBIAWHru9DCW4XxhHdxHpmDFfL4K6t1Lh7aCNwBrqqEURW0kNRc YzD34qPAq2iz6H/FdlVKPIB38MqFqWYwGFS0H3l0oIGlwfK6nZT+/HxehFmycnFhiHGsZhR+bV6Y jT1HFoud5aIlDQ2PCZeYbN6hfON2/uWMDHNoY8WXlMd1W1zls8a38n4+eRWx5nooZh11W1yG2gAL 4luEYdeHD/oP6nlTU4sKzdn4HWIcAmT+3heVooyBg8VroRhFGxF9Y3IConOWLlPcaDjaJo/xUWwq oO4Me823IuordYdY6oTvUzem6lC6W+sWN3Hygwbhc7JZ4YxsiyLC5a4xPW2EX3igykx7AZhOGfZ/ CiCgaWVM2rzRrIuaXXjZpH54hb/e+mu3nKMFR2ppv3TFHh+9jQ03rxFVXPy1B33zzIOb06ZTrsyk E+UmKBP9hQTK6xl4LFVad+JngOkDhf7dmsfao6AqYQ/jjySRmfpBWPDLR8ppH9Cp+CBJpIb+4vaQ 5vNfC2QXzglSegbE+ARlPYACp5EDDWcLUVwDhzg6pJ+aDcOo4IS4Un+chUpSgSCm3hsuTMDq5nEK QZ/1Mq2EQYvjLCi1g+8j+1GGUpBn/6sqjaPzzsbyKaff0xVF1f18ZhQ80LKYZG5k5NmkQpXYZAnc P93dYtmrY2L9EF35SxmkeVgcd2u+v8vDVmcXKr8UhzkWFTpBQC59GpidRJgTxyZ07M4TFulDikan sktbA2YNhMX2iORaDJoJmA9Ujsal1XwEKDLZbJNnPiOBTlK8cpFgY+0A1oRb6f4XUNguqvrZx/v3 EjqYojFcmWNXLJkHbGSKkVLArG1XQdohRklnxoYPYuqLScd+5Krxw/aZry6K9kMAjgZfIY522U3u WvgIwHkoiHXKH/JRuXML2VWMEn/gQD3lBfBWGUp5jt7fpJbHfQZg42tPub7+yWVoO9MCjI/aPTZK Sbmm3yjUjDT7swg44zejo0QLgsrTr3BcxiBt8EUFxS6BaAa3lVs/+JziCaS87jy4pP01sECtBXZs +YxEhDTQ9wmS/Vm798FWanLa+wOQ8a1GCv/imHZHEyYVX4mL4JsYzHRedLchToBRJYQxehI+ETIH NpXUjhX9RJ2V6R8SD7+WNBmg/Q78Dv28LAZh/pFjg4/G9UJ8dHil9Bf8/vVsjMWQ+YOoZNtvvPaW YHwPtNbnmPX2BQHBrX7y4y1u/6jnycSY6Ka3bM2YbY3q2qX6jcO96bSd/YoMjUBQzmUCt6BUUNuj cX3aPtII5DeWXbwIMWkjTF+bnguBqKybg0byO3QcMSwivmozWF1vUsbm4XgMNjfoKHJ2i6zwJmOW 2OCOm5rHfqztoVvpHVHS+dPbKVjuqzF2meSHtvzkKsGB13+OpB+gmzHUQDIuQU833vIzhnXXMw2t p2nqJB/nYIFxXvjTwabYw6hc3ohofGX/+QlBjycA5EWr1Xgg7yVtjzLf3dE4SlB0z4sKv9ghFLbq ktb/KbvJIDXqrvDKpf9/SGSDmsdBbnoff50krBATtPSM2YEh9kW9MzjJBHzVFIoZAEEbPSo+R+Yw iX1jH8/Rfh3vyS3qqLIu0kscBSJVpx7YMYQvLWoD0MhNh9hl6nTT1VAjhc1vKyq/c3afT6vi/AMC DHjAwRfoBDCQxru0ZPXnTgAah+Tpl5/DKpaDbtvVGkwQrA4Ze7P5DlMEsEEXa2G1xiA0H27ry1jQ 7VqEUa0Yju5omfF9QCmx34qRW9Upm6cboL/CXL2QvQwYKZsuC7xtjqRTqDdVsS4FktETPsYkZgDe p5ps6pEep/ggPhNP3K1LVVDUM/wCamZ4yNG9xTgN+uuGuuQ7lz7/0Ted8i8wY7GuU0EapEbW5i0a l67VGta3H/w3qcHcYvtn+Sgnp0bcruu6Wxoo6qKXlKfYqZCXKtS8scEHfVFQ3AZvWOefy6JN/0SY iMOIsHN6bHLav6Xxy4YPD+Kya4QaIBfxY1FlQPirYzEbDDjXAOy8Scg8v8fHn+ESLslKNTOBDrBy dPB3FUrXPAwL+/6fRCh+hwZlxBeTF8WZXNJxI8cR21hlj8uI41OFMl7p3G4UPKUNnHCj5L0z/k0K 53RsVWzvFEA896TTTia0d7tKrBsueWJR4G5tBjWdUUQnOEFb8pWZuU7h50kJaWUwI8cX/aqS+eCh PwCY3EmcogvqCwJyiHgaKD1nfbcDvcvLsGBu1YJ3sk40glc507S+z/ovrgS9fWK/2yXjnSGFhShw c+pjGeMJbS+pCY7t1OloyPeOh/jj5wwcVNhNRIsai/otEHUTQujaHVEeoh6JNb5wH8xRqyfYoTMe 2vzWelhLshkVP9l+15mz7yuxMtCuoGDgCH1QIuEtRMPhcW6kD+dnzjQjZayiNTn3r/LOQtefHAwA 88fbuzdNy3tsRaUX5du2wA8mCUv+zEg95LX6Wo6NBccXB4bRYgjZ6PsjcbevHQJDfy6Lm/jQzr16 v2FyserrvmUCzeKuIIgHN6MVbYZZ9y+nuqgbsxTS/c2Nb1EqawhMVNHoXbEDCB9JGQn1xs3RGZZK Vtr4d93/t/PzkzNBii6c2FODwKIUoHO07/X5TVfOSAIiY9FrqLC1w9bPNO3GPvTGSnDYKEKRY8Og SogB/NjbpaP5+3E9o4UKaPi6Y0oHlrYqionyXYCjrCyHQmYwPcg8+U4BBLbdkoUsLXO5CUEisSFG 7Xr8qLJx1EM9vmyTIZ5BPQoiDVmBnCNskMKM+WKwDKqAxtz71eJgFHRlnsLOOSB0WkiUFmLvr15V pRiD2YLVGhU6KTWhaN8txNtDFQrmwbf3MaISbdp0RlsKKhlUhhd9DdMWldJPAFDUUcERmPawZ9qS 5X4SwoEnLw4drE/kxrO7RrrTQQsVblLqyuwzTUDksZAi6NpUtFQZcqFKp+yA2ew9kkspdYMyhOCe s6hJCErJcD3rjHIHrxUwUSFN0GweVcuOBhRlROSQkQCUhNIi2aiwi1hqeUM7T219Isq7+uRSUuuk yeitqOWaEdhu3T5zVIKEPh4w/JmIJ9J96C5mwOGRm8eooKoId/eY4OIUXfaKnIt8CDkJBgR9g8tB 9yuRrHkXtthyc8T+gj9AlDVE+JrNEPLJMFAoaGdIR5ZB2WcfXznUY5YCt8YgmvMwvQXyAXDN1h7s fgPCUi0QdSl4LLp5aWmCsdPocSlBg+iwXOiiJZPE7FvIS1SXJZvFmdM7EadcSQf9DuQ5IkktlgBZ DzBfCzwhfYDmqFvyhmd9CguMwSLcM0ateM4IX6+3oaB3t0HmS1Vz0pRoA3VaGQ2F9M70U1fO6CU2 9j451np4yaZh2zXGfo/cvW0T04mbUOK4xqfnvQ3rOG/3yFWXHnySL5Scm4vt9yj92S+4qYfZnh05 B1MX3+lEajpKV5Mt3SANJlsYMY2xqFu8D2BrZ2nLLTtG6eE01FSzvSR5cCEksZImtSzZZ+i3ef+3 3XGfr+OxapMz1VhZXAgWZLMaTz7ydhQKHY8d51WphbSWRPjzxeapWYJuXh8wsFh06tfIqA4dzf24 KgM/wJwA5Q8SfM63OGN82ftSr6zQu95SqbglHFUYqIzeZq23Hp9xX0bU6mvxcpdk/cqxCSm2VIaW C0c3+tLfjiNJcZjEZt9nUZWyjaSJ1FgAqGTqNJheGeFTZJdvWGorWli6AjRrlES4njXkm6TI293T ffDaQlntVxOMQASM2g2zDeujJYSNrE3c1E4sM/ViuT4rMcnkv8Z+aAaY3txQ3Ga35ja7WAjb7S+6 /+uJBTaGmV3ugfBRVbSVUW7Q9+/bri9HsgKIx8gPwAgWw+YmwVnRu7QnCHY6OkQ20vyKrSJ9GRW5 vFiVw5HIx7Tgrj6ZUdU/5p8mHlUQ+GkPCOUdOOodQOLGPrkvI+9hHhy7QYylMMiE0VoPMjyYpm0W g7zXYVgNbUhyaLYhfvo5BK0stKS9XKzGxTG6hHOQLMbWsGT3nxVfrxkeWwU4aCdBEsvNImXjRZZf 6LRpTqxXP8qyCi5qjdheHm8lcZWae+dusA9x7OFIXntvWcwyDu2U5FvEc2shBUi1qVYM1iw6Q4ui WoOdDW9ITaRMefJbCNhMxiBEPfIf3e2S5PmIR3qhbACdMzdyoQK9qjjjNinUrosiJ5Vc9VvMy39B T9oPn0Vjx0Sl+qo9Q+LEOGcxsewl+xENVRwbNvK39IV63cnHyJfEN1WwlKYJSAX97pxOB8BloVZa fzBzXWHOF2T/WOVrKWhcm22TWzMTFZNncvIyOhAkdvzXxWFfW25iShJM02hzMRLmCZk4lahWYjaf ZzBi91DWAI0YqdF1TLJG1qZGXZMwn86QiA1KTaVfzO9D7bvGGGBh45dt7OaxsyTpVy9uw/G3v6cK 1654MVx7XxCpzolCOvksrSynSQW3uLurr56+aMyeEWW1OiUFKDrfqlIKxempLsd0U0HJ6egWYiFm WQf6kqDZE/r8qRZGknDCYyS7oJYGjH4IhVzNJKO9h36vTCo/gY0/xB2pmtyFwwBHzRzt/ClNDkRE h/Q0vJ4Pp2z6lMe8VnYTn4sjb07UihiwYduV3dIPdccbAVBWxc984K4bfcBYnRj5rB2ESPmPfUX9 tGm7gSsSdVNq/ho3/1k7DkZE8P7G1yCeq1yCwq4KapNKKxI1YGRxa/bMaLZ5dlI5wDmncSggtihw +fohgOshvQ3OqX2zSyT5lQSb+WtOjpjMHj5VEj6TLVlw1yNqyY2dIWFUTGgoCIudwone/M9FSvma hPmGdAhd5qgFayP4Yp282sWjA/xp5i/9g6i1T1JHPUEkilVj1t7xXfe98n4hj7Ct8DGwmRl3wIiJ WySgYZlj8qZtz9bVIQxev84akAelLzXqnwDawKzDOgGiXTzlEJyJo1P14oPz+WWnbHp7WddnMZ5Q +v1MP2tMWlPxbdGq/V+WvxB/mpAjFjufPvmHq5lQcpKe2laLW7fNIN9pmnagUHUyd3wGD36ZNQfP BfXxESOdCNnEmZsrc+GYyLrky+yBdFjQOJ8sNmwPmEVKROuVlo7TdQ6O9WH00gheat+KH4TqCTVw 2AEcud91Gt8WxW4YYbmfEAF4QVBfsKJymtsUp7wkup/ZlQdjKnzBwXCQzvIHP32oZwJhnknOQFwz ZFrPWIzj4/tAYf1LQDFXG+GX4adkz5e4ApzuTE5TbxPBIAeYcU/mrrmpybOqRyjNBVUEfB9ySklN 3P0X7PD/vebh0/h4vpxlIKgWoPjAK91d8WvUD4CES/znBJilp/fNzSyC9D/ceRGPT84in3h7CJvo 9P8Y2q0/JIHCE1IIaBf2aY3p5c1IzKjWz6kByrVKFd7ViKHkaUeXVE836f8x2092rtcRHXHf0y6y YFiyUdcuQkM+dHVqEJAdajsjAKjDqo1vDuNasAhqoDe6ajJLxlaZZfJf2p8X6c4EjKa8AV8Z6A0N 1Afl4YIN1yaUNZTyEorGxGYjh0Xybe/bwcmrfQNFpXnoHAUNao+Bw+i9567ru+GR2P6VVg2Ha8YK OKXzgrsHqpdyETrAikppzMsLP4LoZ6ee5tZBf9FEko3bJSpnGNHgoKZcoIbGxQgviLafRQYi5lF2 xehOFIAbhjANRSf3vJLE3PGbzizl/8c+TaT7NT616LnGjrFJUI2DvZ5skp/GSxDtcWwhByXt0yjy UZtJsoYFy6o0SVBX6+Pg59fbbtkdKW79r6G2kukGAqC4b0lvYB0ue5faxhrpIce8QDckwyMnev9V hNFxMCzXjrG0o0vSlvwlWjeYj1O0JC1z9rPLsVX/fC3h1in9WDOajTnjugsE6bbnxCC3d6/2kKU7 h+Ej4BG6TyNn85lBUl+IMh7gESZURpeWguAB4EFig0cCaVD6Pm066qXjaHu8ZB01+KppVewS7ahv LyNMD5uLKrOhongfVm1H+f1Xxc2K6tXTR75DTWn7L0eO0bkUEAxjqMwTut3wajhCZkn/VaM/YM6g dHAZdznfS5ZWc6v+robd1LUJpx74ePGl1dswmkU4Uch15ce1ttiYi0guOmA3rpjCswllRIoslzDC 91N8gdgitE6nk/k1tr7K7b5VRqd+T/gAzaNGZRPGZzr4U+p++pJyUfW6ar3FJoo5W8PjTnplDAe0 1ERYn22CvOxEMOdU8zYRZ1RA/CZuAxLf61RsD4F3F5eLW5orAJ0tYcJns3115ZuJqs/zqx/UB73Q PVRQGt1V2Bnr5dBXqD9UysrzpPXELimyxRDD3LJqhlsisVvANFRW7t35BfPBB/73IQILTXrbU1B4 wL0kFhGD2A3txRDeJqEyJ/5X7dEbVamdpIKIsHwlgtBuUOnElo/oFtMLsNJD+1C3wnknYVVa1SeZ 7Z0FZV7FtwTrr7hTyChSqGFaxNA/ris7ye9h8Rxf3rJIS5WuR/S1PY9niQH/TpN5F3da6C1eSZtU cWODQ/hbaihty2LGX0ksXG5P0sag4qOj8rMbBP6ZnMHvwahjzqyAdaKeNDJKGWhteMIrpTCJePJa Qv7CjOwxUI70+iTOHAsRYwLbmIQZawSIAKkCmf5pDCqIwy1LP0MhBWqUv/vNxE0PUPg+D4kj/7Gl xRmXn6RQRmghFQnaSUqEO/cpyai2S1260j96nV2VsMkP/CupUGXndY8t+C59VLiqSmltGEZxWj2g RQU2u7LRm7FwJwT3/lm0ZVryLdoVljZd72t3YuH1MI5JzRH3aQ7/2VE4Tz35sJk45hDsowGRHKT2 pFDtUO7RfzpaXaiPnzdJIvRz6zv648rYOZZ6evGawi9mtm9IvPQwBZE8VUo4UOta4ead1P6Ya0MT 51nBvvf7QXq8O500X/ia2E8RT//uIXNfOBGg3z9HAPiPq4dfu31DhlCWdvvPzoT1auSEgmP8Da8G Abk0x7oEp02yhHXSHHRhZh+yi0EoBvFm31oHwG8WVnznfLN5st9QP2u47qt9WGjczyCd/drihnFr 9AZSzMEOrM8YsRaR7WLmB+FBXIh/yd20aqWVr63W2vhIBd54JBgmvMW//R+Ynb2+prcz9Gm2krwt vzBbTY65yG0+AE8GLprYC3JZnypOYS3vVuEwzFWtZRJQUXnT9NhxBLhDjlSLmZld+QG1Xb7fYu1I DHdSBLbvlk8sIzzleM5jSN41+sYzYrPRfam+EzynWI48uKUZc89L3W2aGveI4NXaOm4N8wOb5kTT Wr38uQanyrLq/sFWO378/KJGEdWqRxj+t/MQ5Z7aigX0B8/ivHJggis+1OYQuP5hXEtckC65B52W ZVWwde2q+uKtjwsowcT2XkNqDvwpOu3Cd/Ci9TSccUZZTcjxXUVYXpfY5WLbOVvjzhMavh3alEFB x1Ub3qlvZe0UredUACxsVk5/koBN4xwcAfD2KO7YKr8wKTxKG+IXD/Ua5sDUaPj2FK/d4uYWW4fe vi1gzxsq2ZJaTAvSo3VN1TCuIZShc1uqlGCkNU/K++KJ8tJwVd8q2KItfw6A40AlKqBYQHSmyZoE np0joGhjHRgt3Sa0mUfX3Y0UgSpN7/wLzB5qpjEc/TtqC1R15T5GfWDrLvdwe0HRAs+bBMbKORI6 2BZNRkVr1aXjiKctmqgmWgl4cxLLhEsPVBG14+VbVMuIR8dJS2Mb5mpCk/JopLX8TdievieBOGsx f5w4pttZ7aE5PbrAQPgumriJPJ1csHknpjlXWq+vunre2Qvvj48yGssWI0sSez7YQK+oRjz2eAZC uDfDI6naJeqooKetZGz6PTbj1GdPQOVyvFUKNq34n5901Gs6mcXjd5u9zM0vuwlvHxT5RlOSCc3v QN22H+HWb7s6TiP3EZwcCccdH6FV8pf/UkS8g1N1Pjat1x8l9+GC8dWLLtv09lAscS4qXn9V/XUX 6TmVhPo0RFoP/c7FKt6Jn6Z289UizB8+3KByyVXRrHt0krDkut4QeQeSnwekP/ZqDgbfxCsAGBNH MkZQ7dgAcAcUOBuhnDvf3dnqRGhQ7UJMkULmWP1x0F6ylamsgBq6m3x1s/4TH2omz9Un5P8fAmku Z/o1iK6ieDkQE1gq7QkixgktzLvUksTwWf7SlAyO7USqEtnN2WTb6GigO53lOMe2FEh7kjVKhuqf j8jQCWAa8si/IZNlmFgol4uiEe/NO0QcVer+3K9RjpjWNS7SqOPRj/N/Ba1DNFNgpF2vxkbmfb57 m22bwymi9dmhsVHjNAEFOI55QPCIkp7NGlXVzr+FoUk3w6BsAxJt6vJ+XsNXew0zl1vjXSH7zDXe 2hW9aczTA8DegKnif2xgwBj+cBau1x9mmR2/1msbjOiGWMPEfCVta7syF+7JIJG/a3Og2ALg3pCy 9n/eyWLSqNVe2YwJad8pPb597/YQ53pbBX7zmhP/0Kdt/Q7z05xPClZxQWO5tEM/MvUUqbv14khl 5YYWhZRx01QKfRHatQro2WTKygt3kES2An0gY7Tvg3vdhPKKRoNlmBZuQrKWTCChJNgU1iSgrZbt WQpUImGuWpyOqFAqH7fdZwkmdxDugG9KOwuvWtsl7bqN+9zm2lbzQvpXK6ni3tFuqpqvEcDRtf7D 7fPlEWN+c0/hO98mqldIvc/+nNQx8iS0t6vczFegSG8N2TbHAS8z10Kgfr3YVwWEcI0QQo7V7xFS 8aJhmG5KuCgWMF/Ji1Z8EwR4xXtojwWz44vutbuwV/S08CZ9BPXC3fvsMgMGtDLPbnzFXonYOqR1 R7QSfFW8C/3Cpiz90XCQoJ5VcNpf+qSC0hjfkcOWrvi2RPZ+8wWYRfnXFaEvbsVWTRJdcnsQO0aV sVNAfWtZ4vZsuKpidJok2zFZFWsC7++zhFPWuJDL4zxYYjO/o/m0ILHxccxXiVy9/xYzHd9Tdoov F9pa8r3L4/VUaHAG37MbCYZ9ZdCbK7P24eY7hRRIf+4QdtupmTEMPRhh2mTdo4YWFR8lQftJnWl3 271sNIz7g4oMlhROX+JbflDVoQhR0Z/z34eHROEvvHS5jKuGiuQb/7otvX8NIKmlSd7HVfKi9zjt spqg+H8fKv8Pk9WbCbhy0gHsSvj3X4KprU0ql0cokJLMk888HDHj72je+eufqSF61q2lo86tTtWv 3Plm48hGg9X8b73KQtlbC+/jUwyGL6IodptfhvHIO5/6VTipAd5K316SqWuRvrhf23gH8JJJ9bVm pEnKHS8AVBEXYb5lMrhxYX6qRmedtmCvGs9WneYFaVc6FvvCdBrzaQTmSBYDou16olD10M2iJAVe QFg0KmegyKXp2n+9abhapq9eWQakB05ylNBclYLG7ylZymewSZ9JQWCMtl2tTlqvEOFOytCo1uVY BsutNjpH8ES/9cLgt0p+0hauXiwWSWwhZIpM2krkz263LR3ljLAp/Btzx71fikKaat3oSWlO1Kvp AeZDcUQJpRZdDKk+zVEDeNgk6JXfF9GhcBpHebppIlerQjyESo6ZM9ZT1VG4yrkY2RbdEsMeYAMv tVA3d3sjbC2T70kVMkIdLxp1HDxXC69kMYuGJP74ycGzwW3y7ZJHh3OGS3wva9WkJ8qXJFpTkMe8 HF3P2LgJpsTHYcTeKixWTLu8GToveajSzrbWRT7zMD7zdTIjiBWhehf6BT6MS0EvbV0THT2NhW5R WYsCzaODTQmQ6OxqCdS5l/Fx7mGwSUIkkoLDfunNWN6/uFcE2wN8b3VWZMCeESzESVXzEQun3xiF DVc/eaAzxHksUTz0SnDYtCKiLw8us0HauUpEFAU/d6KNcLARmT5rghohG5GUSfayB+qar+8dDfma HBDoxKjkor5pBfB1NrY93J52QbuZUVeD7nT6eDdj0Hk1wI4qw3f0BQi38fn+Voqqt54dfevrxviy K17onLX9L4jgnLgzctuLYHVk/jyvFDzELYrVWOq3zwB6Jwybs5ji8u78CBcDwaNU2m1bqrDqgec4 B2qJCX+xL0NxAWOGO8DTasbIwRCebURx1bYquoOfE/G2P1aUa54gJs/aPr5oqiAxkohbR9ql1iZl faG/ycNA2yX82tz7k2AdEk4tf2QOgr8khy7uWwI1gGhZij1jQHG9KiJUJl0di58yMCcPcVVyv4Ev T+ZNfCdpWURREbqJ7RgGBaxbFQ5FvtOlHuUMTiEk7DT9y0Z8vnjuTCelIY2ZBEid8lKeeIONe+Qf sqidr4odC7suBiT4++WTZXYvgmFCRYYCn97WBwSjntf54qDg8gfNsF7Zvft+x62QM1S1p/2+9yWI 6JngInMBKvtZVTHMh5TJKESWlogvrmiB9QPoHY/8b5MasbSEpIwaYtOxhl3i3lCnR9Pmri0syzRZ +rdBG42kpGHaPIU3xa4reTyEcH1pfkjFBKFyB8CAaaEf91aAd9gU2aClAwe0rnexr5TcdxpBbcWJ UfOC+wYM86JGbIQax8KQAh4F3XXshSQp8pFw/TEkHnZSoV54xIU2keDIGTFw7AKyKQxGXGUqmPTR x7UB/okIDvq/CVdWXKlL6xqLR1fohrhS/wr+9VY8kIfnBij9DFGm3YmxTPQcsfUFCfVdwuupZvO/ 7a9VAo4QgRFPwMAm54woOkyzQWAMIfsFOxZdn7ieWl/R4NfcvhlHLn7dDfPplZwuJjAGPqLYQ3Je sj9UYTdS5vQ75XZHAS69m//hKNNKH844cU4imMOHrcvkl135dDoH/0MUPY5yqcycz/+7V4OrFiv/ t+m5UEXMPbtrZPaoXN/NEpCTekJuEkhwJp84+83xImlAIxZbndNYD8WBLjv37HV58TBQv/FlL0gw 7OkFte15g2e0j/p2fit0FCYPUGpCSy31UuILPLRYZmjDzoYhEO3lOS33Inx1J/DZIPH28DRmI5vy zLyF16pSTvY/QJaBl5HFoOHLHq+KEoF2yI+Qufp9MhjMkzSw3tlpsf2CWnFbpJa3JrQiZC7CYjjk hc1/ACzXs8MWCArvcZlI2Xc3u6iWljXk+XYteqNgrniTQwbF61diWQDc0s6MmkCUX1Y1gTtSUDnk Jk23MGKyt05LeGNoziINNPNlDVol8MrF7VS9kuCYeUPd4hdqBXBBMLOSs4I0PpvSD53t86aZmizr ao3BKjJlamaJ7giHDbxj0Ce/Prfxo0ypAOWtlBjscS1CP3gJCoPf/uJAcY82GIJKQnVbMbIldBDu tTAWfIedM28UE7pBS0Pcdct2Kmab+oVhzVP18z7ReZtBHtrIvIrNim/TEDw4AlK5zZEkOGgCRfyx Fy6D+3pIc/eq3tC6vb3mF7fl0Y7CcYaausT4CsNItsXtkHXnb8mw6t5UHkt8c8XocGd7bqmZegpg 4kRjx4xDK8mNmIwlZ5iQgpO827C6zQ4W2FpVGpq80xu4rwVa/ELR3ACnsserB1DfZG6WHMfvjCxa 7HgpuZdQVm6UfPxzos8kD6WyJKGAs+vGBmx4aRiG/TUik0XPtwVqCm8D5R+S59UUB3Pbg+Ww2Xwh SBtIfQwOyqG4pFV3Lqwj/SeP1UlkZfYbUuoGozlGi0drsX5Zxa0tZvE/Wgk3QpTawXBV80WlwqAh G87AzK0rO3iLpUg5JU4crIGbtlJCg5nC2vGP5IHaTjgV7awMS9qzKBirAyujekAaKYi57vXE1FO3 65n0sAzWAuIU0H4pKKh5AsJMaq1+98vMSd2/71alXTsNSYc9eY92JNJ8fQhvBvMIUskPxGUMQweH RZ9j60GyRtNpR/sAq1M3zsOK5gLyht7tqahpp+/aW1MYRRpqmHJPWEyl6uJdR6FEQ55mmfTeatvO D7v2ZsnwDLYX568TlHb1P2yfYd9hZQBoznZUZXCB/xKhWWDw9tj65bFT/RCiiL8Cy5WNHWJX9Zpx VuQL8pkXsgKeUG535izDTIEY+hWfzPo7p/7uOOjaBIR4S+9lhj3qri998q5Rn+ZMSyUYthp5ua3G 1tktRXtTBw7zcRTiNcK1tssHjG+BTp0QborEP7LK7Pr5IOxrdF7A9OHxIf+BdJqBD9/2OVE19r3b QZXSpoSKDfcQFYUCGPZGKYEpZah1GvyozMwRKvVavCYbqtLRLhQ7wmVofvfW9ONT6piYkrgGdA/y CymdKbS/G7yM+WriFarIkIODnfwnC7XOXRy4YJ47oqxHbf1uQbUyHd4ryMlEmD7OxLKeGCiQ9+Q7 dKH4B53sqVhPDIMnm76CgJ9egM0FLY0Zz7bHyXG1jFhoTII0FslFFfEhBnwR8Z/97MdNmTeAJw5P 9Iu3FCV1UBLdoR+zRAmqvo7smOA9reXwsUs2sxL853HTuMyJ9Mn0CrGDPJr13jbCdfSS5+r+DnTR 9qEnL1IO2IxyhquoloFI9mW+iGZmwhnJV0w8FMzu/e2cB0n3FiQEvLmxRCAmp3aIFvOsSGGpMY+R gV84G8CpxzctOusnzruwlCPNHa3/Lmt8ZXCvq2EC1paj39H5LhFDc5RtfgJ6FJ+lcH2FiMnEu8aI CmD9eym5bhJo+/5viuId2E1s/GsFpO1yGzILGkiosEqk49hHKEwoS2Tc/4Z1Q9nK63+ODqgAhr8k R8HRoHMZNYh9v7DAljPhCk3Hcpk/Ya4h+WJDltdeIJoCIAMbHt6BtnN8dlacTau3vM4cgMkYgQL9 6czmjm4i8cyzA9brqOJd5JScpA5g0/raBRs52dvPAclQkI1Ey0LX4QHY6zXMFEGo8+WoPuSz55KY 8QuaXeUNkSXR8B9uQYnccq8armCRQzyQX5pite6T6Q+F4mp8RyEpH4IBVIcriOx/ulzYNuBf3HD2 Ke5RdirLCeOtKFzix6kQ67hD6XSQbOlq9SZFcQAJp7mYywHX5SPn9ZyBUzasn+pFC4AfkuO3J4Fc N4+rj+GOWMrLya4n0FVv4jjIRk3D0bUEl+8jPs7MYGUn/FvBWrpTdzoarBgIruQKnijkg6ev6k0Y 7hyO85EJB6w6vLjAkYSFvvaY1T47NfJWRnvRvtsys2Uy+U6/WytjZNaD+K+7S1pRQMt2w3oKujRz JiZGuolvGTw1yVUgX9RgKz+g0F5GTRUtl7zEGkuELSI03JtBoV1FaW+WFSNk1fNTzpM+DNgSv2Or no1JASYHKnXbQG31MbWfkc7YJCWKOyJTijUVpbR7aOpHdXJ00gAOe+znUTtt0t/yNgxS8kyoXLCX s0klJPLfIJ80biNpMxhiTC38ItVJ9gF6YrcR623NAU88NM9W6cpCeAMA5Y5HcvOX8I4pae2jbVYI ke3vNjtOWdIzDPrMPTYV3vcQ6WwULbosVeFLAs0G/d6ZnWg0RUGYN1IANEV6/j6hTfK636JtlUm9 fTRLWdYJk5ShiMxbvFOUUWN2+6GKB9B83tgH8TXYpKYChr2qEtz4Zf2GkaXG2n0JYneEgZKoNvqz 5M/VVorw5gmSziNnsQmsCsV6lQugxaz1f90bWRpO3LAMHUD5AKGng2BfbPeJqmkEDllO/T5LVpd4 rPeMP4VpGyQW/fJdImMPwgd//sfC+AakDeTd5WvhIAEWrY8Q8hjs2Yzitt2kugcEDxhXK9O68oN1 x47zktoacNGPoeUFumFlYkwOIvl06jTNxP+fEkow2rVhd1hnww3S2N43eA4w5gY4XZT37M8eDR24 LK+DlyNDzDgQvLyW98vaM9AWSLmARRGxOkCBjR6FVyZ233PFqLKlUy6wmjD3cPK236uCvne7+HL3 f9I/gfiCAirXxUi4EvpFNPuADehXtbky9kWsXi3B4K8jlxNwM6VLcv0QFd2/2SdioHR0e9LiAgFl iGeIQByI0omuC9dItmI6I8x1TXkqZEs9A1kC7PUYlsuICpkUxc7wJjH6vpoh2GQJB1mfZZBxvqJv pGArhsorpAsePmn7AT/Wskz8MlcfCtp6AV1H3FD6nrQp8QQteDM+Q60aW6pNojgDdtBaMgvbl6qb NYJwWTxu9SWHoKoChK+0o5XHm+btTsHsLQFaEO2P5TUKIHOYveTszUvPBNPD2Y+TAaXlzoxSzDDD 9l6QmaBZyQOLDGVKmayn/zdfQDlfNlydaskgo/K87PCmcr/CKeSThdDQrBbrKLhZ32Y8Oa+H43kn ln/Q0JyNpOpx990RySvmqDAyPDWiRKy1HkJyPT7MNDkFTX6ZjOSRrSLml39DfHNPmtW16FLLLZ1p y2p1UPeOjcpI2tFtG06aW1oKnxaaz56cFS8zU8+Uh1R81PqnLzd29eun+mCKdq1HO1FnroF+Yf5f AsRpv3aYemd05LsG9+YZjul7S1nva9xJ6KjtmVRMY/nNlmA3v+ypKc26K9XNjmi6DeW1mIh4OIW9 q+hqe1lvI1fkfPPL/Lan9OwcNMyG/JiTSPZVHjQU8qMsJJsamCqk5VP0ohbYyHEMUx9ZG4kNMprD BUNiLoxbX1BTbszGzYFnvDpzLPk31wOFcXFiyLWBffwHmHFKcpWbVCf+cmHJRv0LRrvn5I6IK6c+ KHOezQ1YJ8TZPnTFOmG0zLBgPW3zWiqDk+TxISM5hJYIK6GRgqKCcWiZzEnO/YCClaEnyhNBVtun 0sTEF0Rw1D1MBxf7c5bNYBzurXE1vn7zaZ0AQxwLwFC2E/TMtbI0hr5RAQF+YzpQJZMiy8TnQFPT Es3SsrQClDYY4rMfD+68f6IyiCzSEIz0ABdT71jmq9CaxI9E0Ya/zDUrGlQkZdpNfSR6kWU8bfvZ XynANl3pyTLsWjRP0f0wXA7XD93Er5sYLyYhkB9Sxy3jHDq2KnunXSE2QOuiZCCWd9CWGnfMzKQL gtPZD+txtxRFGcQBI5wdYkRqMH/BN0d238zqzYVu/C6z7X0yFW+9TGyxBkKar3c/Yo9/GcT2n5ky odxZ5tFB7j1CU8QWysgcmSNZUkrBusGQUmHxtas+Zu3GjvjL7SHYgq9y0gXJxcJCtRkYVuy87LQI ATeBmut0Tb/C5FsqeWa2rOxiER4vlD2aXt+O6Vb/mCYmSeT98+V7CLkj45tMuYq7mLXk55krU9ws eitqcL86WpMTCAIoY9NL++/bqtBttwd7HIpm4YRyXd0Fygx0rHg79PCIPxFQo6LkYaJaLs0tjY4/ s4FmS1mWQuyGA4jUe5Y36dfRuTQV2f0Dimyk5j64DtvFfdb6o4JvYCBY3yQV6ywrAcVACpoKpD07 XYjXEdjwrwvWg6wG+WydwDmQl4BNJDlSyX78atv0fD4OuLmPUqmXWTgxKFtzb1zoWlbzUHgIVSEQ RRRlm7zzLZ3cPMEOhOutG7xQl4OzxWDq9PiDRIL1l6XA2XMoSM2LjxPz0ym/3cOwQEaYovvPKIcl EhJAOu/WHVF7GqN4v/yXwoiGDu23xpdiMu8DVjCf5lO6qQXSUYAbSXzdIBxFRRxKAZ7OtnzQDwTL ivUmbdhTy+WFBlfsHARMh+LFFE1UwILyBDgXt64cjwCQMDk7vLq+rwKS/hMg+1XEQb2dHdVLJY09 nAOc666y/1zZZ16O0WNB6C1xNvuxccIqnpPaFOeay/8SbEXbIdmTW76fgWv0SYVp+D9zm1xu8MLp kMdSBjVe6wM7gdVKS40aEWrb5iCK84bbpY++tODxJy68XoCtyvXqOSdLxyBW86V5OOW1MhDyWasD qJAYBSBBisU2Sz37aI4x+++snGcpBqm9eXd1vbxDeJinYnncHp6xVmLyqS/mNrRsIFNvM8fm63fB wTYPbJh4AbjVBszqLp3JNxIAVMlkdYPDYg2jSr3A453sENGG+rYlzjgmQFpnzS9eGkZyLy9I6Fu1 x5T/ESHFn3migTdEMBULr2RI5K3FguAI5qt9mtOShgZRb6j7ywmSUI3vwiXbFW9AKqHI2hVmt2LM SdX38uR7iZajFLJbzUT+arZfUb0R3QVBvuajprY7L92E/XTuuXzZI5HFenH0/U+gxZl6gMF260bY TY++3dLgbhq5TaFd86ZZVHlSyYblUefieKdBBJtuaqbcecqoQ3aoCF//IH/7ABgWlG3jvCdKMBKb kExdHZOorLXn+utGwJa2KjX3rzO1WnO8Moxj8TEYUKYZKL8oHDSBg6EllIJcwELEPjviFYf/XWpL t6PNzQ04aUz/5eKDhD+C0tYZDc7YO2nmsu0NzYCDUuslPY326CQe5IyBe7JTEtL7+Cr/Ku/sY1TD AYB3/fFg/VPkUkV51Hcr8aDaQHHmQyWgH+L3t9EwQyCjej44HBwQCsnBb0WszjorQui7SS1NYwX1 JgVJVx9KmVHSzGHbbHLjV8YHtPtgJKpabSL1+/Kd9MyBCeFjdPfAd+yK2Qw+G/0633htmX4RKN5T +yKqzJ/n7Wc0FJjVBF4kFb06ykrGsxcfJvA2Zy+oCM6jMSoeFyJ3yJfbaT0QY/tMn5xYNCobS2rb Myvq0JLvLX39b0/oFEji4ZNDjn8vePqkX0YEezJKdTM9AWzT1mo0+kyrxdlQCKD8Pf0MURrBtRYM KmrFt3I3i2J2qpk6/KVhPepNoLFslowQcE4bvmRsPW3q6/q1tTja30MW9g8T0ajygSC9omlvqL6S EcdlWqwvRmmDcLtAL1jTnMXBc7W/nYvARYfBMPn5cBetOvAQh1Vd2LComaOMPe27nFqg2y85QCGO Nk4FL/o7V7CszB3xPsSq2zo0HFNsZIjz9sHaWbgvCarRy7sLkzV816l0mY7v6Y65v1cBacdGhj9O chg9j/yggnBwnVmkpZlmFWjFqHbC7HKectxv1iHxaKnhwxjF20XkSYukJam0jV9ucASGNEtWclX4 4oSaaQ/Vwgx3WniAAJE4HquBazoBngDxpPD8RZwoAqyKM8j+ujgin0CjHmqLHCXYPL1OL1C6pr8X RO5uAaYdL1wgL0a+43MoA+5aHNunrzlA68owuvBiZfOZlqiuWTQ+qNHNRVb7XekTR46okxwccYnb OfissF3B4UyvXadc16SWO+IWgNfhXNmbXai4eHsdZsDVOdliT7jKR+LjY+AaeFNyKE9FdpnRmfSl sksKzL9OB3fkXNDytSUMHzp90PxwpTPUpYu9HnghF/kZNLr+y4nqoxk2wa62WAfOSkRsJ7alVKHh lYUkr/Bc5LMO0ZrvG3RjE6hxXqSk0UxwE8vDvqujcFLjFUDOdC8+x9OaFlLWgFYNddG+P3B/hqMe dkRKfwqxOWHd/s43zFmW+37a76DE79ihDfEI0Dh2FhAAadfI5GAb3eLYBkJGzeLGhWSxCTueAyfk okF2CAEb3QKGdn4o/dqZaorQ5T9Ai9pJrxrmKZ8uET87uL+IMws8egKxXmAo1OA7v5HYEqblT0JC AENvgKNaupD9yacuW0zRaM8JBaRvTW+Fpw9AeNQmp4csOcvUBEc7mpwXEvEdB/8JmRSHt2y5kIeV KdCLjyIoiRHHVtbCyxlaA5UDMUl/+GH3OuYa+7VOhw5PEy6Iv5wYnqIdZPRkJEXD0vERcLAH9ZK2 0u2fDNKQ27GY9bH2CozGR2GfRPg3NmoEyE2ksRfY8oNecixADgd6HTCgAcdeD6Q0JgaemIbQCZKh rI6MdFN/Q6aqP5CM9gLNju1Lc2i7hFwR78r518GumHC7y5xPQSROGQBn0oq/KqDyyJ2T7LD9rvYL epEJhqVyM3jB81wj+X6MWrpH3KWrs1oMeHIO8OGQ9s18VIUPYQRVITCIdLX2F/s5XnHvNNiHAPGM 4kf5kuwkQX2kahmsalNzsQDdFGyUJ6AQ9GPbg1ExxeXaSPFIiRXyZTTw5M68Go399v9ZBaTV0Vxb Fk7+vVvPcnnEcDOQeKH2xxth30WJdPc08ibMeXHFT2p7RjAbKFydurABp3RhK22A6x/iqivNRPbY 5RHnJtrjUtOINL7XoEFDfGDNmLpENQC20vcvozkI8/E4c+0JQlWjo8gJDQkcM8k00VVT0uUfOIHA t0Xxd0bnk1KbjOookOd61iO4adcb9zhVfyhPFKP6yXG7RNitgYoa8GHAomAgAJ/73txEiAG91SZy G/TH8HNupJHW3muk7H7EdDVvrLUyULYzmb7Aj6BBl0z2HSjKKgC/fA8dKjV4nADW17utQQoJ8+fE yUZZxXKjHZrqEgRhUstAUI5H7tkDfjkTQjjnbT6dd24uUI7OE6eqx6mUfgamMBftE5e9YR+zOmmu rKf8C9N6hCB3pd/IYj5N2gFJLuVhKAOHFT3JNf6aDSJIGqTyXvocUT+dB0jvjRAkww8vBdgwSUM3 /3VyCRvqUI0nm57SmNgitbZRAHtkHSxb3W25u/pjvQW8ZoN7H6vksdAnC/hN+JUKZSVrgo1qf/1P l9H9zKh0LkXux3V6t7boq/Otso8TL/0XE1tsNpN9hKQfCbyH2InUBtjGdnhzZpJfPZKKsKQLKQx7 yUgwFbLZ9zO+QCAaKDfxPTAEh4dhqUo25JJzS8Vfg3UR7W8E/bcQZmoqMhcEWDQ/EcFIBPEjYb3f Fnb9wkpOE5g0NYxvgT64PrO5aLkuii389jd4uIEKuRLMrCLOjJsBGYtS6sFcelVE9lHZjXWLi9al qvGkrSwegK/z/E3uOgg8DpLuuGh5L+vcTLyHBPRdqY89FY6wV4y9G7SiHwK/M+8AC5QBh9u81Uk4 yJZupqgK1ZpohTYqF75+oy8dMGdf+4DZ8XzerIYQ0Ym0rz9AnMoZQ4IvdAI+wGn1PZO41sgeg0V5 BIkEGT4UPtjqQCIIUqV+ZtbXnu05zLE+rP/iVIgLnaSTK2Fhoci1VOMxLPpF2TZOYYTfuwq07G/x mgw0uEP1C/H/zE67iWd2IS17dvZUUbIyhG2Xm7Wg1e6NsAb3KwdgUY5bu4FBrPq0yvzTnL3nBqD1 ff7hrju9dy9IxPugSC7bkv7pmw4I9nNykM3y6NvM/wRTux1jmpMAvqgrY1cjAG886Qa/+GluGQ9y GwoosNo5tAuihlr86U/FiNT8X6sKP7f8hkuZwjkyETbXW6R5R4ZLllSoZtthbs5Hzjf7bxjTQ8wr HqN7cgfw1vdjJmHlX63lKOpvICiJDodhso97TcrNdgLkFpQERxXHM1BJJXHE0rUvgokXhTyqD+Mx O/t4F1wVvGgyY+cmARpbpiFye7Egb3RlXCERzBsI29l+fw1nETC46vnwWNdEtRG6h+NLAzK2aUsn X9SoOg+my1NWJyJXUrrsiobHRJgjjZhONQDlE6R3nv7BEhUyh12AKvwlV5/g9QZk9+lz6L36syDH A1e9/i7qotcAY60RS6dPwAlH3oNNoIYg/dXH/Ah9civ/xim+RDVRp1kqGZdqLVsfZZYOIdRQW6t8 4RekLlSK+wo9qSHDsq+mg3Nr28zV32gP6+RSoIZuD+PAGtSZaxIrmo6icu6am20LtWwxT7IGa8E9 GcXxWaTFqY435FZYLYbcCem/6+9V9KlS/JLP5za3dpXhX9STJkjS7pbml5nm00Dl4LvLXfy1Ypyw P8TGk6BdqtAfflC5gEic7h4dNSPf1cgAb91vHNRG8rwtiIV5PvWmWgJ7SK7CbYxsdg3U6N3CSp3p +K/no+HZfqHzYJQ5N2fcZXeuWVBTJEpAJ45r9uL5VQ5Mk7cJ2ohHyA+vCEFxQg7Uboyqx4CeEPtG 7cnDcArOoeiHOqyBxVHmSJHuMAipgZgMV10XxKCEoHxBS5vE3zxnbgY1bHww7Q7DVjVJC0tFrSGH 57c7ggjregUZ9elznQ7UQLssDcj0LCqM7usolfEx5NGE6J3hRwZienbJQqVA88R+q6GvzK328W6D fqxty111eaSHQhx4u89Z68RxyRVm9cbHin1NVvxYjKbEf0Yp+EjB3i9VMhBb1euYGR2GgsLfeDZI eX3E3nAZOd7S4jydDy8Hs5oAOBz8yIhFRqhNlXhYatq1cjksXKQLm8sB1R9tDN7o7uZDZS3bJo6l mOF6y/X7BBH6ikawqub5bpE/JBtg0jpTsZKxWY6G6BVsEIX8QHdTFMQs00u20yHo2cZ7wu2WMRRO L4IotRM+kOLCcCB/4dL2Z8mWJC4M8uoSHkZ5BdQcD1aj7r4L+InaXqFEm9CobSuAmn2xgcSKtoW9 ZyRft23i/4zNJEJCXLrzylr5NmiF2zR6MsE7SnSbtnQnktSu16EsItgnqv1BUucqvC+iRJac/zZT CCTTLRzmsTlOOL5c1ikHxHdf8A/Ems9Idi8XHJk56hBcDZQQkiVWMKC3rT3WN5aFspEDRBdJamc8 liBG7Q+hDCQiuhKUOr6fAGl840S/wAzK0DmhXsF/+e+INKUoCPFmF7Z7K+5WVF7N5jcCzO1XmBhc JKEM6VL5mkc9jaggoAMW5i6SR9USq+BzW+poHNeEwiExhWkwf5xEYuh8IbiqeUUZmutd/HbZs2Lw eRejzGLKoOv4WVfRJ0+x4TJI4k8RLxnNfpdlYBCLma9VW9Q3NPxnTCy7T7Y9nuj9bE8M7eGZvYV9 s2AnVoaH9iq48Y7mxoQmGzMi58KUbaKy0GDnBBxG9SPk9eKcoz8ZNJwb+TU1e8tZtVILmHpdgdDB Pnmxhu6SyJ0KoLqKNkUBXKoJzaN86AHEAQbRqm11pLqMUOK1d7gnuP9SE9N7EsbqbM5mLfZ9F6ng liymuCEqrX7CvTUM4aV9P7xwDgwJ4OjWhTxjA+Hd5tPhPbpgAz37zkBOIJHFoxRxsH2inLkSgdaG B8C3MiPu9s+4gGhoY+vqhZ0PjYDyW9Hy0bRMVhlPOEnKOcdnbgYu04dPVp1mruHBM5nJSPPBeQGI B4uFG9hOoZ9ZYcD5/C7Ikn2RvK58QrpZMCILSe9CTi70bTzC3xv755reGeEVtgCi4C8LP+2va7Ds DdUSvRwZ5L4xB4vkvc0YLyV2X9Qr6rh3tJD38N1bi1urq+F+F2fCA7VGZx2H5Agip0yTsbEWEZat yBVeXBuZL+fLRFrMPlEIwGbdxw6+mniQGLeFkLmEhZ+C7RLwO02DMjR2FnULwxDwLcEFSWmvUZlb +QCXIqbzCyg0g1Jfn690/u4bwd4Y1pCtANNkvCK+UEb7wWm09nH0a1UsEcAowWou18p757L/sw09 x4fMhTG+zg6TOCOJnekeTCIhMYA4c1A017/e9Wn6LtM1zMU9Miv5+lY2wah4Vgv8QP4CYTroFZsi /6LDCZtQT03ZER2azWjkJfiGk9uWp3GY/Tb+Pv8xEA7qMpLWACbuNUGZAOzEi3qc9A84XLWgkLjX JT2THKrTRYTrFMbfBMpV7BWca426xZjd/xP5vnkp/bKMKHEUAgrpM7icrzDlwNMrPtuRE7wRyKr4 PUjpM7/M0HDKl09qBTUt18Gvb0cymkKKYLIef+joYW3/BrUaRbvFHBVSGDkxvYWrNjUEWcI0NvGG 22LOU8EQ7JVimoVWbC9Wr57IbMjfdV5/cVuwTcgek5+eONa6Qjdktxg2n1hdGRRJTxZto7QK0p4i FKBA9pQmB2IYqP6ZebR2/5wu1PTAYVEG+GPgz4YcLo0QlcCwZECpio3fKZlXkK2seN6diuySbXDH HcVbUN1OT8skVH7IcRqXdozcsu/YQ6oE5905dPhkfIfkOFUYkAMUDh+L+z9O9V57JR6iN8p4Lj8f zRh1Ku5IWaj444kmdCOHQDRbz+L3g95cnX9Cw2hVa/kTjj2QGRNsYpuIhlwhIQ5dtTZcyWx2jMKJ eRMKbrPfepLTln/QxC425JBDDUHX2iNzpXP+BDx534vnW7RLGJImuh2by7O0eO6y/tAgM+Hxev+/ mhJWM9VQ3l8KU5QuaBeo5OyRVfEt+QxbRyN8YTJQhlMExRdPO/WwgSS2uPdD03Q/087PiOyIi8D+ r7U5xgdgFEGp3azDoEOdFaQQdmJtdg6ul4J+nr4Nu5p9/qa7WcCNkcxJ9ecd93t5uqRJ9A3SCYdw Zcf2z5i4KP9EW7TuGJzw+Wt4AvNNk9eAY0yroJ+YxLX9DPdjjvqg1povZBWziRzjsYAVohOgZenN 9pNmnFB+MqE99xFCE/c4q0G1U/c6/wB1ZFjwLvDnUL7f/tZybBioCidPYwrOMmpJ3khdlF0IfTZB oZwiZ5e8IaBGefArOzXS3HP1MJuQGb3ESKMOPPFaon7T8Uy5pC39DPkZEGwPcI5R6qEAgSrnxArr aJocG4XZPtpfTxdQhMFvNeIHiC+lHw3Y+zrWoZQS3QGEI4tB51msO7cvvkmioJpvP4i666etMmJo lzZot38hjmJbGAm6f/y31bPZtsrHzyMlIVOcZCdqOyPHMnmThkHR2t3zIzPlA17EETTHdhPLCcOo h7MBKahYQigJygCpsxZUIwsWdISVTcK6G+0244lfMJCjuDX+fSZUGT0MAKPrvEJW7IhlTtYUG5WB LH1yEeNFvi6KHyrO32GuFZaQxRTC7H1RHdki0Z1jSMw091YEWBGrLuv3q5Pw4Ye/RLUzbbguzyHl ZrlVPNbeznd7xJBLY6Qu08LVwo7HynDO/SlWFuI7jzlysB/as6dn5T4C/47YrG2pOBORgO1LbkGM QwxnUjtzP2LfhhrltpzMcI8DaanKrVQnXHfTjV/5hj6deAKDlXtFFn3IVpoCp4XhGNwWWn+hQY49 +d8j2nyJmBdj70WFFck9f4kjFBanX+uvnQX0CUuDL+oI7Lp3hCbHOVlPFuJatoZzX3BexSiqphkG GYxS4llUge5qmu7EstuztmlzjcNUwn1u55DsHmzZEoiL0MGCdeq/6LNXzcAWMBvb6ts2P8woQPPD Nlfh1xjKhCIkKKbspJ1wD1d7xM2mteLxiu7sKjwwiWE1J53ZnVHdi3pZTbm132Y1NVBc8cSuhr6Q t/YhOQK0UD+DuwcOkezAW8KOreV7AsUqLN7Es9FIg8bDFwhW5C/cngr3+zBRncXuj1pHSzMjT7QY PtkOYUQAFtzQRo1idPyKnfHgvOzEWlyW3TWFCxuY4nAf7QYIzby9t4aYiAYyegGV3FXrERew7vLj wkzlF3vHOAhEV4mXHbv3jwXbe4N37LCli51aDDibgbRNuVWz62Zh/IE9cecuWDqLU9qfFs60uR+A 0GxLPEek70RyxAH1oYAVVT0GPSG74j5TnPTkp39g4sof/FO9U7dXa/RW6UovmaFgKDrQK4OpMrLU CknV4+XZ7NmM4vhzeBW3/nWkOLZSjzt7BjodFLvpYE7W+rl8Pjii0y1who2KXP6WKTQFhYPDNXgj uE/7TfTyAqM80MbRhjrIaPF9klu2caapgr+PAR1YCOG8vsmSSg2uvPGGWJawL2GcWlhCDZKzfkQ9 S1Hu4KAutdEliXa+geYQhJ63Cpvmun0oSutVX0/rq710/aezstA38FLcFlaRoCXSPsrOIDoSxdUV /0UweWPgo/vxH75Roj/qccRcUSxoHf0JdKELZgX9xDsKnCFMTpQ0lD2CJoULQcHSeP0P3/WVAomN xT5Ms9y6v267xUXqCCJ8ij9/WunyGBPH1L76t1AuwDkNPPMuwBIqee7iqSYBkk7Xn+sNNfxIIR4U /RKc0uJtOnuao4m7O4yrdp1OWwfudlkROX8TvMk7QO0U4GP/FAp2xyRGUUs26pgwCGq1X7Bwz2pC pj21Aeul0O4LvUGzL+ecIXcgYaSdm9qpTW3AchkmMjcmCw+HuIs5AZUk5CYtDwOUtGausRItWleZ tfchb4WU2qjJ/7/bRarvNnBw6BLD0wEWcpCbyhgxBZsxRBMij7FZPqlQnvBbmDJT0i0hBQQCHjg1 s04syw4TiSwaveePMRDd4if3SQXe9B97J+MYaRXUvJixdSGY7VcK9Gxheds42/Ui+sEzBNTN4sxj pQDBuxcIpfpOeaZRu/Ox4e4t1vrwk0wGUlXHlp5smozYW7dAWmNQP1wZAGT/kQekX+cajCDWSC8O AwSEjtYn9fBlM9oC4I37A+0XHdl7S/f9/oGpcnDWUBJhMkAG6Rn61zUF7jtiBkQ8DMlrCp+Lndqj I6ftVvUREdEBSubngoLt9UQx85YQ795x/LEqQSHndmBt3D6lsJm0fAfxYqTZFy+cy0LYQVooIMm6 XKs46aAI/doILy7EDrT/X84NpzjqAU7F3O2permlfdx6n8A+koey13lvIL/XIw2s2YQ/51rbQNXX MyfknVXkvHnaoNcIeuo0ffQPy6TE4i3Ci6gB+Eu6R1LkNeU0yVJpemg/nuVAP3MybY2myaWU81AN qhDDibEAvo6CkXq4ydGkwOVniDYrzzboRwddjCuKttwDFpAbsP3ihreZZ/2S2hvDzdRHWAg6PL5t 269bmQd+sQ1W6c13suCFFzn0LZeSbBlxiz1MOXAEo5Wlcx+eloaXoWuTYxuqpKzCQV03Mh/cCe5+ fJDP06MnUT5dbGVgw5uv/JzFHzQsgGDCwBLz1YJBJ4haJpq9XOVVS86xt+I3Yl74Dd8oHQVvNCDz qms3w9wOeMHKyJdCE5gvrus84+DCEXOWnvXP0H0DX81CnE1orzgK/hvfgADBpxlSnbyxzpT2kfm2 DnXgrOyIcePTv26pyvahEUTgp/WQaUj0WwzbnfLEId8w+1BhY05fVGbTz8HyjhHmvhDMBD+nUBDZ 6bEzdw+Y6V7mNxn3lpdakmWgu5ePIzut2hTvSjeQ32UkH/sfJU5M8EWKb6ZxRMXJEw8C5oPRMN8u SdEQOw5oPCDOmvxfhAbGu8LmCeL/8wq2o0DEFZjzBa6IYmHDcNuXhDATt40jL60toQnyabLrNU5d dSEtwy4kD0ULySIV9OV1rdz+fkrmHOsXC+Sk8h9LsL6deTo1HrVTuYuori61Bey0UdCj3ax+6YiR EIhXRsiSwRHbcmA5AD8LZkafCn/A1iSoCz/b8y8UCo09p12RMyKee5gFyxVFVfPR/FNLzGhOCBA9 clcSKObtE4ai9pibXpYbkpUz3+BRDh9oN9Py7PxPfqRiYV3x8Tag3GQiviCio3xjhWNcwhFoW8C5 /y6CDjU0PAMTa+bm8GnLIBVyvoDKhyySOOn9pDHDsQgXHzhTS+SvZ2i2vmfoLmwqkI4fDVefsqxa QfzyJS/DZEYl0d2pADUJwYmvrxZpxyHCLq2cOCDs34Q2NFTkQgVB8TXW7NOxeZUJzvSfWCHVgL4U f6U/0rAV8Xtf5WsRG3G6QBVSWYDg/u42ky6wsl72DfDHtSIAbtIge8PBtv5+tWOMkdE4G0fRiq1Z yS6yybglXwEFmfG1K2qAHq4rrI1zuKQ8qz2XqOd4sEe292oJjUs7Ej+3bJAuZVsZzP/eVq+xB7fc m6zY86SQGAjUmOlMSBGYL6oTnv/LeDDuwH3ZIQDwiBSHhV6RNmsB2JnvqNAd4b+AddPtrGk0PaKW uXNKE09i0Z/MvmXqu+IA46WoR4SJ8AaczVglZ8QuwgbYUHO2xbTDvmkvRXhZAEjqLr9KFsIy4XZ+ 9ELRwwUKeFc9hnF3JsAo65IyylI1QI1XAyw4gPWddFdoUpKRFnwJ2w/V26JTxvR46YqxHhz3+422 x46moG3zNE7HJNkry7uGL6MhZXa+7T+cO2GDp6yf2e676r4zOQC4PpmeZp5MK3QJJGombTil2xkV r65c9UGzxIv/vox3yHfGDU8/nQC+IYK1DvHTjxC++NDFma/9RAxOoaf7o3+Y09nzn/1E5PzS/fUb qxeH39RY/MNbo8NueG4lkPnyVPH6rSg58e9t50mHUUVV2ZOatfALSdP9gVsJmlzevihVyhx00h64 3aBlFpX5jF9L+trUoLB3NRBXcMu5nif0AtY6kkS8bL5jp4HaSj5qTlHSSwjssZXdO7C/bgz1puQY yEaE+oEleKkjqSgqu2AJqHSfY0yFkounMzC1dmGYl6or2oR14bkkiKRuqbXhLBaq0gvWjy8nn/sl y4LKGe18Z7PViGqee1oDcSQIV2vMUxxMGNgMajWWUwwCKj2p46BMTzkfYNw+cTCQ9hWsq+ml1Kwf RwRzFutLowFS06lEL42OgZNkTErR5m9LgAgrImcru7uVvfryCQQFkWlUS2+Wo94c/5089bvPn+4v +QF7jJ2a8U1SMQj4jdSdxmQr00mhYce++Po5BAevIbISZqtr1nnOixa2O5MDUhbV4fPveLmDULZr 4jw6p3L0J30PyNGJBY2FMPhA7dNtEIer9DkzRwz2VeyjgOARvnCXmM4P/ongAD6oRCNVDq+SbMpO TovPn4rQHktyqV+c310jFcWf6D6/KY9UTiRHRbe6TJtZlSXSuJoRTVv5HXL1rdWGOW9jkvWv3GWh OYJ7gwQhAvktnYRhrLFVVSQB1lAWElx49aGjXlN3wVp5DxXk3aqM7G81daHLniaBnCb2P4FOyNk6 xyHFgi3PQNfpViFGuCGckCzqKsnEeT2yHaC/HaZB0YPisve81ezfPpDxnUHMCY+q1Ltk+4b0UPVK rz4Nsq0nPe9wIcQN8wsd5Ot22m09KpWGtzrysUUhXi9qICMNZDX6T059EIRHf5I5kucclxRcm0l2 YeMXNJm+DM6hkD+9EXcCW8+O2pkeP6iCdAnErIWNR/RbBLjUzIsn5jTyhB+GZ+N6CTCTFzKiQRQn cErZ1uyaBMQUav/XRp0MoNIENxv1PR9AttqJgQECAfi4TBp8g9GSOEgyUakUWzbW49LHEG+/3aUO JSJpUlg/JNZ4uAIw+aSiWZbNFmYBt9/nCJLhTRnasPxfTv6aACEQxo//czZa+KGw/pv+7HHhSJWQ MvPsZSGrl80lxkvgFSZVub0oWj9vVgm6DJ0q3VeQ9Vt+g7md7NFzLjx1vlZ50CeVz3Lc4I0JMGV6 8mfRb6bGKyvCF4ML7Ybj6BYKBf0zTrLtAXl2f+CMkXzlnptsjEZFgHPTqkxl5Meh6Vln6FTAmCnL +FMxPI1Gyiyd0w5CV1nZl5PKafaT8WxW4D1TZqnGb5pshaQF7cPDz+XmuT2/SdHo86inu9W8PxIU wYVOeVz+4OPUKr80fpxfNhPvdAVkeKuU2v1ueSSEctkKowU859KuK+amrBIFcn37dvGlm0aZ3jP5 7LIlFqCmONhFpRr2CB3TWgtgkOrCSPoaBNd/N2w3wGS2uYfRAm2jkcPUZA+O2WGXDtndlKeJvgeE yggXWgLAMPoU8xyEge5zCXI+oj3/eXHB51qJNMjhbNoT4/WW7qUlFozNuNyBimVxsFtlaF0VG/mO 07XjtJ89QtRO5ctJ/H8o3FAvUL1BJf6d5i1v3wUynMDQ+mmZtXtPUiiCvNAy4BY/+Rt+VYf7MQvx 3cyMq2txInzCJkjsJthixBU3Vsx1Yynca5Njyn2BHGHHvv5LoYGcD2qB77MGjp88Vf9oxNl3cxNz zamoZwIE/spexdGctaSk2hYUgjBHIWBQ8oIjkGyDmYJdbZjFji2n0bQm3L3+rTs6ghfuUJ23gvCO KliI4naLFW0HA3OGoEHMwT9tKlqOxCsd/D3DYPcTn2frxvKsRIZbv0HIky5pXalXptrNG4/rzses z/hW38XaUqi0TfodUHF6Zf/gbbJWeL+1bL3hBetSbGES024z4l4OMJCaRENxVtPP2USFnHA5jiUn ZnNjKmS0p3/RuI2jq/a0Rt2dXFSMo8izi+qLX8NVuRDRTi6+3orkJftX40fqVtJVmHvIrxJurrNg d0feQftkPTZkudueMxzI7zE2fET61jBnTrpWCH7DCAhRX5eNBwyQK5oF2X8UUxh4Al/7uNGk2LuH B1o6lkj5AQpfmEoc3e9z4psDJGjOaL/Gsld+E8X1dIQYc8THe0v9/l/m+/uNK93MOD71Uj56zpq4 msP7wAqvObAjb6JJO5OCFoTRX9E5CrOx89L8EYfKs9Ehr6oIYGPd+WnFs2Pjt3CytyEFMCEW8lRI wCAvUHNvM9ni2ww3G0wvioj3RvCYWwljwX+898A8zjUgj1Jx5T5a73FtGjHuREbbGQ3DtY2HRbLb MzMi4h19ES3fHgSxO2QiTuFug1YHcemfkQI5c6UiAaKrMZU/kvwSwKQUe0s+399iL5nV9vpLxApQ TXmxH5dgcGIrPfEZBixa1nrkBRFwB2J0vEJDKBRdzK+f7v7k0WVaUb42uN8IV4lM0mpUWLwcVqLL oW2R6t7AX9SJ3ATFpEoIlHrFvhMWHxn91rOjspnWqSAJfAfaKGcOW5md8otEt0J0oPZgCE0T3FiC pLk/pbLM2ofBpCC/7fOtEmbpNiQ8P1culrHbbr0lISde09SMz1s/LVM6iq/h4we3p4FRjyUVASPB koTIgENJZnEAUBQj8xBeMHZTnUhVPyUqmsVRTlEJXrECFpGCGQTTizMQzdzOZzB+LLieZ/NQMkPj N6SZeOWDhttI9PVROUhPFLZdbGmJoiGOZHl4O3Fxpj4dt1pBHjtgJwgWYLAzGaocxNgS7NSH99SG h7n5juVlK/i2tI+CH7uHgIVqkSsqmHA+rjplDCwDkX1ivgJ5HHfINoEHYzvcpONC/S3YnRzxkzDC p2SKcvIzTA1kAfHl1MnDMQA0HrklemjK9xsQPxB2uGSCW4pc0HVuSYajzPIUxRvfyNa2hmqWHH81 DE1ZOyUGldJvvRllvF7kNttSJ6nqkdFJ3E35SU5X/7QxcFnABxHvLiHS8l+04rFbsJczgjXd179O ZrMUgpMsnO9FrEe8zm0KADqOsL771f3FHG+36w3oU9d/fiQ1RwoUlu5SsG1KrPPxuMGqVQK7XHgL rZqj6Hgor9e97RayQFGDQCVlN4f3QXjVgcN2jNR9sJDcW95aZCOOLirtILPt9umq3P1h01uoDFiM BbGpZNq/jj9gHOU0S7ax2W3fasMXSm6hEx8A2NGhOZn9YkDE4txbYmOgPrp3boySMqMc4el/R7Ei 6y7Plw+pRSCPcWKNY1oO3rG5nlNgnY6qMKnbnm1YOS4W/bCu909yQhTT6pJ54Lbg2ces/xXshQCJ DIhS2i6JXdksJivjl7RNnOs6KKIcb80GM+k/jRMMm4U+G8UTheCcWzU0TFvVz/Zcl3Hl+sYRmvSx W+7ym7XXMHmvZB8Cwvk0xNpA7L0vlAtocafE1/ZGFnM/CxPnIg2dP+ItJ6OTwF+TrFFeZ6uYbOi6 OwlBWtHGkRGtk5MB/6801RZ8U2nEVRl0hVWJ0Ii7bAMUKjYNFJUi0dwq3fP8kMqEC4m4dEeVfpNm hSKgRyz7DFsY0XLDimBu1Xg+V8bSGXyDIh5Gphh/dX1jZ5wluwxifMc60GpuAR6tldq1UKDxB52Q s3ia29yQk7eP4xJ9YEvx8nbtXwe2wBcwiDCS1JW1AZrGXfUzgc5mE6XT/DmtmEf7xPdEkKQvz6vI QUPB8sGErqbeoPzCGpXeOSJEb5n7knyrFKCmjyvLicJlY75mUNiQ+EHTu/1t73JzXpRuwzR5cWhn XOsN9yexd3UA8b47jXGq05JmRgLHUNutofXFeHWAGKBpo/yd9XnOnCzGCquwYf4kAxWSs+XuQyUR EoCwoIkxFqQz85klfSpqyIxT1fm4YpCF9Ne3xIdObT3HKb8i3sqIKJB5HbprG+G/TCo/O5WgUEfV I2B4S8WYCMrqPUZnpUGUrRBLS0nXQXiZki7yO4OdqsKgl4f1eCdB9QclieIT07R2VdxxNcIEk1UN 9+f0MPxexC/Nid3Ek0rx1tgYtnOLEN/8F2CwCI9JjG7hDvB0kMg2NqqB1JoIt72omONN9Qvefyxr CwgtFmHmJbUPm7Dh6+dtKefYQeOD1vTJ7Jo2yjrDbV+A0ZiaeD4ZcqWXzLu+jfpTXwYbg+x28T4f 6VY3Inn+ynxzUQqvTDOoWAzii10RUxjlzFBbg9JJnUmGODDv1E7zLQvDl10u3zHK8kO0CQGuK6NZ Dw6Hwck0aBFtv9kS4grMUMYRxYIlCOo/ghijqQUMvDSUJOmXJ9QHPOcJoVwptScbQqrNmFrVTrzJ HQ7kzpDpeAyzsoAU9GKDqUaqqHZKuSJPPRN81FD17fgA74nLZfbeOldFJsXdU2D2+0judm+Ew+No oUPgrP/wHgYnM7OmicmtbR7/JvYzC+uStN1qNhl6CcB81JNcksnJIB46Yit387gAM+fnuJfMvM4q +AHFsqqeRA0ID1J/8zV7TuehzC1/+UXDWx54YGFe0sGsOq5ZJfOOkXy7nEEMq8rDA5Re3CZVl38I c93ugv5w/Og4EVtw0oHFugQH70E/B7YcJlQ9OPNXUGhLawIjp2RXqVg0rA/YrtEb1Wr9JlxDtZoZ Iw1cbt9dSKztYmollIO7MvZ9ubFhdRIACMjBIHHm2NHIZz+tR9jve2BlG0OmFB1u4feDEAS9wrCI h9vIm+Az2WVrDdmHk3IrD7vRiWDIawy+63Z4IjAC8MYhTjykAySRQhYIUrCa995pJ7wCYwcOmxrA 8vR9w520v0B1f4mWg7hoZILoCGVLGcGqGDLlNJ9vnHwz2SFxjqu6kpLxWnTcDivabxUIV84VHj3J Y3WB6ddSgGnuOnwGJCFtvVi5XPNmu7gWIyEdJeR4I+hGjhYmz0qV956LbqFXGvLoXkzpV6ZFaI+5 OG5fGmKfya3mEV4wJoiZYwHmuPfdZ3jIJY6D4zhRd9r41UmjqW53i33j+3MJrW0D9gauDvW2o7Nf DvYgtwgFm8+3dp/Zp3CUZcUEyO0lcA/2XHE9ymn3QS5ayA2SbZScRMfE1tMtYpbob+hMdVkv2tKy RNGcCpVTej3rAW5ilJpQEAhMQn4Opq9YDmARmg/zDciGufaNSy21zj/k7Am5oafv3intiBPMl8DA ij6ddOWEBHrTbOoPdqfyK8hcELeHSguFA9ModOhBPatCLC6Yq19naWcm6QkeK6oHoL81mJCambth hZNcybRG6iE7t45UtoNCEH1mHmuqv3uzqQa/FmsyBqCt7yv0MnOvSoNJa9kJDPewVPzWZYzCznde jMPI26Tgf98tQkGmAFwU7cT2F9KIhNISp5qmqMn5jU7xR2wEXTte5i9BhwLffnzRu7AZMa3bUqgc m8NF3v/7kI9GFfNv4fwMMS1iutfjCBq8H7gInXg+kYcx+FRUscjU0Nne/ASVjm8fTSZxchZSspFb BLchxh44saq7Cv8JU4wyY8O254wUfTaaueQJpp3SukriN14uVsaQvlZtcsiVyu2oVESnd1ay+g2q yHqmhAHzTwLF349xEjtDQW7oTihjBAfWJtIPbhb0O6k2NLdoGP3fIMgpyHxV9HY4jEw3UeQy3WNM 225vITj1a5maNNSgyqS/MUrCX78anmBsloUPzs3ZcjxpVvC9XUmuDtf9Oo0RGUqW3PiGgNCgx6ln R0B/wpJ5eJFxUq/lMLEJFPI2Ds9KGFHmKHhvrtHYG7W8tPkzZNWIXoY+DgMrmRoPmCbkSS6L9CDT E8BjbJa09MRc6VLU6Y2W+m1W2SoIEJ3+0iN5hws3WDnE1PXWkvNPvoMQFhfchh7hk+VqreG2eo0j akp3FE/ojj+uqsTop2wNv6kAGfmYBsTBJAF99nfU6Vmul43Dz3uEGreXIcykjqs9ppBQ2BOrWdYT 8YQfHzydF+74yiALaCKQKdjK7yDavS/nCbjMA9+qAw5hXxmXY6bVSDsVP3cbEMz/qD1IQErrK1hv dGjgNnVZeyQkZJerTohfCZgfbk2lbm19VPoWSgz7ucAvuJJ2hGmym650Vhj+CTTrr9/OKwCotLOS EQT5dfMxaWre+Z4p+GedC6rIyHQhMgsHRHxK+m89pKXGmBBLc/wEFNETUiy3f3h4dpy+UNkRGqkO lmjyqH5Di649721kl8n+QHEvfksEcsxHMsKKGmQo2394eh/5DZnwAK0o1I7Q/SaZ74TS+C4uPCA3 GlQ8tF6cFFgujAoqWn9nfneh03fBML+GPPYBl1uUAgJ7Y7T4zld9qFgrp9cLPjJ1psPIOwbv3mhJ MGCX/S3BU7j+99DZ5N08+lFoELkrC949JNNVVdS70aCw4eX+QPSrrLaXbnhzwyxxVEkNQQKivJgo AFYJa+xWpQ8iESBl+SgMm/2UEABJ5IeW0X//JStdbSlNXMvKsJXgCakHeRwLTSV1phn0zu++i7sF FKL0yLDsntXccmYq4GQzWSfgjR4PcmPDorAfxJopjI9j4ztVHTlOQznJdzMdXdP85V0q0qjGYG9K ZY0RWMklazV7chVSCHrRfts707pUOlal8mviJ2w61UA/lDcWIQWrXmmfaVEDdDKHWJsPNTJPlE+x NNtdJ2PASymwjD2UScG/+FRGGSJ8rYyB02oIRJ1B7wJkt17FTp6vvpTDyGdTXXTylQTpzHJLk+07 oF8Po8DIXDO474fF15h8rNL5ElYzhD77/gUMmkZNeH+zY1tNN8ajBjcjNR42+fd/Qj+JMjNvjrxu b8r+zSdtiOWPKk75c0UYIo0eyZyViaZTrxDaP1+JvE9d+hJMvWLN0GXHL02rY13k9qZsUAFEH9L+ VU2xPxL5suIdXJBHzJEdk9lk7SFMrJLYclufju7dq/8jxWVCQwbbP8UwamBVBwE4m1hfvafYbjTW 4Sb9gB40KgJxigSXbbvbd24/69syNgCw1OqMLgmAVreNKbSkZIPFrVsslQWaMityRydGAk5BNoj+ h4W+QZezlLpuYG7VvCFhlIhy/eAqtAyU3a5by+73bOJCrmyR/zbEfJdB9epcSnlKZQc9R0TwAzdg cMl5iKwdEleDhxgy0I5WS16BNRdb7PJnWhJJl4ZJwL81RwngqB/iGMNquycOxJDo/zRcnq/AFPXL LHwsUr7hoFUNKvF0a43zoqWuwunZmi25Xt7o40uKgRI97j4/0vZuhYCJD1PwIH7RynnvxxAbLlmN Hj5JNQM3SoDh3gEyQvjf4Hr4E3dl54Zqnygu8Ipu1dT41gLK0h/dcSBgbOWAkNAHohTw7NEJB7p+ SQjYYMW6kfNyLUVY1pnZZiaVxXv/Z38vt7NDP4pbsFDurGj4BxDv33KbYsWwi+5X1lwL9dLjcJ+W vuqqvfg7uCanSf3821K9sanoCF8eFSXZDxZgQYQ5Hf21gPeVQGFB9AcAlU0Qd93bctZ0Q7EaDyBW S07O+9lv/YIyXH13vsGiXaW6CSqXso+AhC5saQe05o/whEsVy+CGCBVgw6A2L1w87GqSagU17lYY fh/IWloSDuvj65FkuzYFYirluOSlsCsMUMA8rJ4c0p71wBa6gX76DJP0Nm02HWgiSSgfvYZGhFeB TVxMoPIFZ1/TRKWJ3V1KuDBEEMOElEVWAi2Lt5xv0zNtOi/JJdqIgacLKI1jvFJ4c40jFVCyC2oU 2rFHHoNLT/ozz99PIH2iEQ6X6Pf0CmkKRKseAeBUmpADrc6VgLsrhh5vhWzOkCQRmOnYWm9ul9DC ExC2BRqBCtZRYtR0ZTCvF9Y6r9iDABg2ozYFGO8ysTFriHpJrxxggkFRNOu8kP2sm4VhfAM65iw9 27/lBQu3sMUGzIk5hva80yfFpOrGmZGHM5JNx7EiDUvc5iL3+lWhwgLbq8uQFAm4lR/ux1GaFU2v wDFETqxs5R98pils+DqmIEqIGxfWsskxn/aRClrI4KCsxo8uors0Caq4uFhOO/jYSRzSPu7Tr0Ib 4oIDRWinsk9G1qtcvwZS6WZtw16K/K93z2MX6wO4kcfkDTyWPaQAZHQXxpRjPGdTbbyjMJAbcaXJ SIqZ4i1rwNYvlVd8PigA49vV5pM/xbmrCuVwoTU1qdfZOVnVcQc2t61f+bQPXS7y6BmhEt47Q0O6 QRYaaoAjNqtjPqbUX3VwAm7Sozdboo/jaCHmn9tiSixXI2jyNOEYVE1DJHquWYcrl5MTChlZKwd1 NJKTYrQs8mNVGDDJX23HO42Y/dX0jHWK1JJldizK948fsE6yidxi0rrN/oLIov+WSK27ABUngtp4 cv3owKAUYt+W+EMCWZv1tDjUICp0wnSodUg6i1xA68PwDHsxxyw5SV1gLrtSwUlHM/Ou5Mh6EzNL g00t9Zi0HOF3ag8N1UisBEBddc2zq4T2F06bYGzCyl/JIL/Unk/u0/c4c5E/bL0EYKdAFtMNIDC1 ye45DZqZ2ADdCDBIdNKfWcErBlvfAhfrKT6/Pwn0LFD6L4klvnIIW7uUxIU66TrQxkhvNBUup7S0 Q1zblVDmBwVM58EhjtSHWcTPp3G+rFBBCgDYCjXTXRo9L+4k58iO4r76CZ6SD+D2jVVF/s0ZMlS0 puN1LaqPinLMqcoPOvVCNfIw4DUPvIpbDGbd/gp8pgcJDvk1tfNuHs5p0tV6hYID4SBquhmLn56m 39pHCgNsaXAhk3JFncbfsGA8+yE0qpvKAPY6LkeJpro0K6CuQwxhOv/mSI/93slKtYuFeMUoh1iw ycDLnCJjw4m+EPG6mp1IngFgatvciNzKmIwmu189iEL+E+YpgWe4+9CBdQN5lh2XxkQc+g9crbry jkcyhjpapGdXtUT1wO37zopMJCpb6etM8xMjsydb9SJgL1f4nAdMuU83PLqYVRgAd95U9L+9tc4e 4pDyEqvRWgQySoaWbIUiXywj4dOe5atFKZtyk9TMTfQ2d8yJt1u3Hj2Jy4cy5bVS+Ih8588LfIcq nIrcXS6xzKGkFClIz7lFdghSYOTaxYsne9u1VWQNgl+KOexlOCzXAKhG/w5yu2IJDIBy52JLajD+ ht/H5lsY0IUJzaChvMuXPURoc56wj27gUov8Qt0aNdgyfABO+TdfMs5OqYpdX06ZwZz+hlKo0ehY 4GOhW8gUaTfxbSbuAS9FJY/RwH4XJ73JS9UmVgMrCCpWJSVN9yrMupy5vPT1Zn8kdqiaO+5pYQ9R ksB65qZI/s82NB+8rIP1m94P8RhIzKQ00KEzzCSpIs3e9IcnrgC97IogC6/xJrM5gEqLSQMeTEnW q18qeMt0roTEty67c5nQa53wJaDWa/jYKVFgVtjtsA3klR+1VK2gyq5+TojTkWM8H+BVjFHo9QDL 4uOBXO6Cl1eXUkAfe9BzsjKZVxYq1ebN/Xp8NzjnA54Est7O3cbNw3yPgzT6PGFuOrTe+Rkt32Pz WlErOoIRhXbFvXEeduAgpf4lkgPZXbH7qohFihLvjSn5uP0ZFTbR39N2qpsnnA6dLWNYd3b04Gj3 2iQAEUos9LRn56vhcV8X9wCNiyma8Nr+MM1Xd/XQJCFBxtLCs0YuES6f61DlEvNwEYZ7mpGcaall +Ka3zuZ9RdczvoNoOBR8ZZVpn8jHA045zoCxAlvZdr8mwiOuUqbkkkq4W8+lxUu2kSEh/BK9ggV7 KABhzXz1WYHp+QTc/LnmoScwSgdjZ6F/OYNI7dYzQ2zeoFhjiSJoMSVcNnyejSUfwenT0DHPifSg 7bmGj5rjFSaU9GkcJ6VzzylplAC6wtrqz45Yr61xYfX/Xav5G4CwcbZ/JI9SWdwn6aVRQev3lbqS O9KNhNHfS9Dfuh9ggroyFta2OFfr/eEJJ6OlnM88OAMb49YTEn3bgtymkTGDHa+tBCsSRg8OGMV6 +UY4OpSOyUbQexZS8y1UYRAI+GcDjmqR/IU3zvD5AmR7PqGaztvtTO30qJzPK9MsxtDN56OKlcvf I+rcVSGBMA70QifnWTt8XtaW9aFTnK5cB3SQURsRPKphKSF80/HtI9fx37R29Ukp1L1DDM1V0ZP/ ZlsGrJy3WJyhndMJwq4h2QDYOyRLpKLRW6rnMEoHuoLYE9bBh8rZEwyTCjjYWClyBc7EEJKxSsCe lvp2i9V3STzjKHz1Fhem5Cy6TsPcwSKKxVD2D+JM/UamK5Ivk2C4oMvdC+Rl8/jtFqmVRngq7tRD WQRYA4Kj5npiWsSiOdOEtzMFoU8dLOwHgXX7kzcw1LlMyIrgQWFnTHgDp4pwlPU86F/vo8EUL/fo OAg12K9EItcoccRoBSRDzCOgZsp/07oiY9xazQH73LXvCMrp5qbPefS/MGqGrVXzlkSFlerP7nRO yXGGzWh7CAQGc11NjS49/EKlZW6RlsZt7G161uV4uvHTmakTRsiOMUVKBGGqX6hCslaDdpC1WFW+ gAx9vjgAQ1QWlbSl7yeihpetwGng4n8y03GcH078IEAYoF9x2to5HBSTAYl/gOZwg+0FoYGo7LiX MnZdybR6Ni3vjYWj/1/3jj7LZ2BDlOjxix3YePu/Y5EzhNBQ9Fr9gBVP4VCqwlfbL1XNUOeAqkm2 ykQ9BU9uhqJXqverSzHF6FIe5lVVcRVAjPf9ZYApswMRXky4MISF2adCBislhzh1+hZ8Gt6HBEWm oZZ6AOnfH79nQxQjMivh3cs90mvbNwUv3Alq3EvsZWASV/eUIWpb8K0hWNU1pgMIcp1H6OF7Cgki DUYe98AFxnzxquHLEZr2leWpQxif7NGHzFMsBf4Q/105kEcPisda+EiOOz5knOt/bmAulNcIO3a1 HFP45M4l2hLKtkzFCEHIOAa98zFUeuCppSGQWLiBUQvi6KDGRS46b/3XKVqIl8Hi+v3EeU7JBERj pAgc4zuJyCeWSxcQJ5bP1Y3F90XwSQELxCXIl7MKtQ0uJTx94IxIQwgprrF8sUf5MjzbQEj+V8jw BzW/y5FLeu0P19TQVs3Kz4srdZUiyhhpqLGcixb5MbNZPs3v3Vx/JOTyLtcarhcfl1o13UgbI9B5 Zv4JlHGh8awok/NTGU2TUCk0hpVxz4cGe8SIBcQOk8Yp6gCEOWr683HPvlTa0mTG20zNMD/iUoq8 QrxTYDBPw7/Z3k2V9yC2rubb3BHmO3j6a/GVCBmBeGfalt567FbVxmTZFObIMJZxIL4hgIAcHNYZ w3SKKa5tOH3GV84n8XwZgUKdzttHg49abyX4yj+hCT4GbQnTlGnrcAVUeOhrPUllwXHDR59qy2rj Xy8iE/gpVEXClRwWmmtcnzHRwxJIoAiFdzA3yTUUN4BL7wCvdYPBKm12tBczKgi/XYpt1IINoLoR k0w8RIP4m4d11lT7Kq2ZENEMaJrnEtoGvialQAQOB41vL5HlE+jr6jBBaZdI4YBnERfrc/1LE5wC zF6xOSphcGTM2LRaRi8KILac2N1aNrLit/Oc1llMp6dosd6qweo+bHScPG/aurlaQLDppoAJkhoK drXQGyg3Yzqsrh3vO06uP4Gs/ggpfU+7lOZAjWbiqyWFTxEb3k53TTmkA1aRvbO0V87Gn8CIOd38 IdwSNONOA35T0FhGGkeJxGeBP6vT5JUiQh9p61/gfN/YBPXMOqDu9DPGMABtpDxGOy5/+tecwNkE LfKgE4GvQBpRfmWaDN5q8jWDGnJ5iHUOmjmqBZ33jezxNMLYqx7419fB8/LTOjnHgSEfyTWsxiAT KslDzpoZ5CtEtq+85wXyD3WbCoIm6T9ynNnh5hWN2/AyGtv+bRdEm6wMU0C0UlJB0gRAK/gPL8E7 63GkH++f4mgXUrIr+aUay/lvEuCwmqpOKvwXBZ45IM3V8xQhhZlvMUHRsYqa/Ys+ksovvy9Vktu/ mjlRtNiVpBo4JwNOrY7eKnOqyAXymZm44t0SUu1InoGcm4V8IAHAdlwzqkFaFfFE4HzTc8xDqMGd DAs2Wu6ExBCxyt+EGzij3oJd23saKucaN0/uCEyY4aAjJ8BAAv9RNBVVwdboWiM3cIOHoRl39vPS I1QLpNjroPwIJRQ/xHmsy5nhCCTbkouTcXgXrKxNWX7K/wPkZdMnqw20uSbrQkT1q+eOXAvXNnRV dTB1c2agK/8LoDUdo3mYfY7co2dpXpTO+Nrd1XAlee3taPX6B850pqltMXLNtf0EDVZNqgVTr1DJ JaZflWF1AGESqanJNxWbUUMUzPT2uVN/VkhmnUZK6MFOn/m7RMbpYORkZTKotQaM5FY1XaulrwJG XqGvaHekncKW9wt6J+AOQ5q/6YMNoqqXntgurhX/StUlJ+nIhHOPM28K2+t0Ewt1+S2cPdXMq5vN StIKcbgn60T5W9P33pEbhxv8GZ9J4mvBD1NbPHJ88qo918jyabD9v3LLQkjdFiw0uTuvcpcA9vKt D05K7OgkwvPHnIOky7p17jE6HElKYFNnPoOnsPu5l02cONUWysGW+fuVPPnf24lAA0yvn0QrdOqx +ilBwaWvlSsZoKRVvVL1N1FDTZjAHqSJIw/yN5a8UeRyXxl0Ni2L7PLM268hICuhgHdUvEvcYGlD WN6/7djxwRMlAvOEhip7qCUci04p2YkKo2H8wjUBMzv76bc8D6oIACYbat+grucwHZn9G1dAdHy+ 4ldd4T1wQg2WcgKjf8J2Es8Ak5Z/aik3i8B9ljJca4vT/0+4ecKHe37Dl13fbwcBex5a4jZyxcL7 O7Te19CJNEAJS1UItgCgMtGOlLYMUlNYlspbF9xUOGKky3QoLEG7BCT2FBeBjt8maXmstCbDktbR YAGHjca6YRydvYUzhURXv9kCFJsvvv9w7qPvZ9dtBX/IkZnPsVYVrsaVxuNM/iDQGTcWvrPcpCMl LfFKSUeAG5ohAxmicvp8WQF8vCDTankkCQhqpzxOv4TBsGhppFTQIEOyyONwKigNg/lnHofKik90 oN+W3c18jjjYIXXSnd2eJedZaiuMGSn8s/58TKgls9UYqzjUPGyuxmTiEpOgFsWxjMNS9X2wdZf7 nE3kLmuyEM8jYrJV6hfCOR4CjxSxpgtAsTO+y0tJ0dNUZRhBwWSYNIbrS1DoDT+Lu5RHDUxKZ/O5 OSOAb3B8UfWu1txHNcMdgkT2MMh/+cC1L+ieWUK7zIblrSxpUY/mbSC/x8DU6AfWuTnooo3locHt lZkiSjOtPzaFw3kDC3VNCGNNzHuv2zv3uVJP+z7PDNAqyjBILF9IRTVyFzi4nU2mdmUz2GmdjPYc C3/f4x3vAIIXyP2iAQYcNXnfA3ufUS7nOP5QiSnJdCsUAF6HPkL8xPH3Lb946R+8uuXlAlgMTab4 u09v3uiyPJaxuVJKyBkpDDFYTWgMBzSsnKviYA0l2UOD3U60dPqB3bgp82usLgZFye9EfTLOXvAH BWOYFDbCXhJGmXR/L5S3A34kaNbbU4rY1ehblABQb4PRTI/y+VkL+PGiWTOngL1xur8mzxkLly1u pZAfvJa9yFnG9WoSjwPbaHQY01s98oSyPD9mlgrg/bpxWgBPjxCCRs8JgRXe0UgKojQjRezn1CeN vFi6dAz0ApjDNNVCk1VXfVJ1XyA7d+vy5YHr/Iy7vsN/3LwOAwdHuHT5aL88eUVYTBQIAjP6qqQj R3CB4hrHQy/O9RcmZSJzGolVeLDE7KzsBu9b8to+nf7X5r1uI2bZbcFEe4T2uLQqBpa07mh1Kmri ALXbC+TZNZOgPyv66fIde/OfBMn4guR7g44tcJ4RMnapB+AoOdsgKwAP29EeCda5V8D+rN8rPaOE ccylHRJ6gOWHHJhKoXVjT6hRjswWSwZ0xisgym7oOy1M4dg1FoPtmd8ml1NM2Rkz/eIldjUAGvBv B3FSIyqTP//5LbQzEGQfff8K42UN2hF5jNiCksR2+ar42AU4+DssmRp4vAcULUF9eDJ5VjgQe/Wr kjiC9yRh760U8fUJ9G4NdFTX+p4ikk6oVaxaEpe9SLwf68AF8kwq2wAcSfDCMcLDKx+pWzz2z3y/ GlHQ5masnpX3H2Pc9qalT9y/J+wQXs21N4/1IpGw4lD7QjnsFcsMVkrgmMd468LWy3D3pyllYo8J jJDVPET9Sd9YYJs/ZjTn8Vg+ySrvKRRjPBdjuw/nqxNaqBL6y4KI0ZYMOzAbTLS3aiv8EpYxcb1Y 6k/IExb3jd9amonjjL4PcYaAaJU+iOhv4s44XuGVyGCJMm5gZ72xJH9qu9/hHz9p6LTel1mSiE/l a4gY0cjJcpmgwYgfuBDND5uRUH4Wgc44wDkrK7fJp9F2KujC9gjT5u0e1KpxCRXvxvP/TDrRVW2m vWkleeNlJfT1WwWSaDN1YHJA4/QLjeUKViUmYUVWdsVHNqalPKgMUo3r72uJ/3TAonYGGeGLgONG 883/Uo7eIEo2RBBGhHCJkPePzDaEFOqkJUbrpKzes0xeO5VJCLm9gr47mLRsnz4XeATtfSWR32vW PP2W4bW/3SID0vImDe11w4ka10lPtm2sT3oJGv8tTR5bBov2BU7AKE0WsUeO7ALaNRZIguvaVhgc ajLG4Zavz9YJQ+fNzsLvrKaEnLvLGcUfbcE5mHAYzaDRBwYB5IHm60tmf5g9fbX3Ab+pKmCh9G5+ 9Ckz1jey3qD7wEdJDSssHGSjipBvLiqtXC/vbKMkYAnxuozh4OnvUocE0ul9C1u/8nscmkuQfbg1 OEwmE6Ple5Kz5ZyAV/5dKIV4lrjazlA1HBfTIy5glzRWDtX09jPCxoTPGPo24XFZnEUuwcS9vGuM 06Y20ENScCShs53RV2OjSKLmDz5zAyfpUS0vtK49haZEPuZ5Ajyq74H/hF1dtOwkBzgiKO9CXU+k iiZd3ds7IUzlLqY03rYL/U71VrWwchFoLA9O9XDL4E/48fJnet9hcLrFkrr6DL9kvGwjHzY0ztQi ovbQ5woTUtcx7hQgVCMlubx0IQ1V0H7zkiyMmCqvq5xx0MHK30c0qDpYCZcMCHA/LgquCBYakwse dWccfPTV1aOZwL59ynuDtkgorwQRkUYlpR0o3RTGdj8NFqxQ55psixBcx6Ow95MW7MWyux1pYKms 1AN0BG1lkFG951G/tnj51QA413uSCJ+HeU17hVXFbKxl3rUciy3qaWRgKIvS5D2LNkzyMboxGGo0 XZ1bh1yMOVdh3zAw6EMbEH5+Y8vZoUH4rreZtW6KCQs6piZ7qaEBmJXADFW7N9v9RebAssD9suTW UW5A16OXvvqPqZfmbx0saYu0Ca9DAT6maeHk5NHV+UqlZz1U3LMyOFCODInmsT6f5TBz0fhO88C0 FLdXvRARHkHOaQkUGv3rn/whxr3PhNjdi7rE5fnGb/dVfIt05CVbu8DJtk0dKtd6x/hkGDAX26d9 zeBYWpO1IiQFFcefSgwVSybhbqR0/6nVIwok8B9cqlfqGsPPE5dzeSGAHg/nWKCE8OJ23yt/13ae IeLmr6hsQxgdwtfqqT7PmcnixSOpYdmjhmMa+/sNsFHUJ7TBgA34n7WTC8IlWyTztJB5scJwzkbd FdECRzvTgAS7GzkxfWpt+2ZSn6B1NpFa9DFGKkYzOcxBDHKs29DxO6M62Y8Px2OQuUbRpmzxq3Sh ltquNeXSBEIQSNcq0/SzfV1Epo17HFvvprqjER0EldkI/+lqfeb6cQOP3qRxg1tiAUMOh/9MPZcc U4OTAOEAd5eFfVIF9Z67dw7TojegBW1iyJskkCt4PQG6fPvGpsZCX4hk85gAam5UsDFMJvG8I2+k hvae88YHpQ77VG7djLK8ueXPBhVYWUPRWky8hOn8hBMpare3imTtfv86LXMIVhvzkKrsmS0dneHL HPwd9AB6IgZML9Zkp6qqK61k63NajmBXoOZC7Nv78J9HVn00lHYYqR2qjPdb4HniA2SODHE86lCa meQAyu1d7uukB3XR22AnWucvBvHD2YCHwNaxjmVQeBJD028e/Z1KmgLfC91euYXCd2Ad+mpDVZ1y 3/xl1f9R8uoUY+0OvFxt1lbF6ffTBkVHjdSaZAirtkjmrvhcUdnngpP9ZmPxrD0bpTBg50I4mlOS Vea+g4hMTMLri3sSstlWDp1sHkGc4uQ9FZFeR3VZ+OTZj0Tx6F6n1uMuJib1n/IxSRviXD/HaDJp qEAKlo0xMZAakBaBmbXZoVpSumtvpeO2UJjmbXCBvumQQsLwc56nOldqdvv/YQonD74KsKxH8Obn DNrYwRJD5I6UfVupmsU+v/J7Dgt8mualL42xd6QuksvovI8/YMISiIg5XDzg7LkVvfPDacGT+LOJ xth+TPYtVx71ixSY71fQIBIxCH91OQcXW7RbW0FkfSJPBO9YoTF9Tu4sen+AzarHHvyMdlncvl6Y Ltl2+m77lLKIOkEhLN0+EVKEA5kblxcKDMxd+EAVgQla3YktHFVNi7M8HGKIPMpNHf6EzW99qWCA vldK5gBrNMU9Uf2bK36AjTjnYponAgeVQdukrvfTz/CaCTdB+IyD2jvMZQVdZlTVhySXG6+VB+hV s81SLMgHBo9lmkssjWpgZ5T6gho8xzUTwcG0QO7rN87xkx4+5a12rHuZ9SAcAj498ild44rynDr0 W9Cx+4zUl1FVfUb6s1ikh6yOz/UaXsYVnIo/tgMpt/JnWtIXysuvLWoVb8DcCw0QsLEiiLVpk6lY 7OknkEBF7OB7d/Vtyy6s3jz/o87ateeT5PDik44zm0+6ELVyVA/iX4geSnVITm5eV+pwEod0BdH3 n8aUbbGsfTPPNjkgT2MoOj7VOInL6Rr3gIZnQrDXcl/Avr5W5ZTF9mtAcXxGtrkOejuNqrjjWJKb ybske9ceM/gNP1nv2flKPNLaYvlSUg54pkiDcxa43Y5LDNx8YbGTTD7QZUaEaWO9GEb1b9Lxb8hT NvZ8XYD4BWtlQiDMiOvSoYs7FedwrYqfPJeOfMJ6gof3+WaxSRBRpDTcUnrSZvWDap1yh8kdSuzl qp4gDs5+JXEzaUStd9o5+/kPrYqGFiHJrmD6UJ4g4djicVcBjHi3b77bpGsiH7gtMnhDV+QJh1Yt 04q7m6ETZ9zNa1YTolWE96r35MW7NyP52qatFzufOLbVlrd73lYfeJo8FDoOL8j049foiMmbhIE3 YJW0hVIBFrEN9bLvVP4KbWGu269YXJmoAqy5tUbFo4D+10JinLTweV7wolt8kbYwSAb1H4X+3lMk /MYzbPlNOTzwb8JLzRu8R7cPiF5rJDE4Syw/XZsKIJzuhXqHgoh5DjVCA0cJSULb7Y6KypLcb+WE bcN2g1XFdlIN418eK960J31Uwhg8kAUk2Ko4BdiOCKPQ+y9/j02jf4/6leumXUlLe3hfQe8RfwwB PQC6HpJuIivRIxgb6wdFN/6lwqslyqMrA3F0gEMLnUvx10MqJq/DvOv49Me3HG5ysQDFRGFC8l50 4V2EhxrTAYg1h8cq6oUJ1vtSabkKYvE9Q8jxa57ii28OiAt6U3RdjzTg5+ZHnnvgkgCHeFjEemZh CQw5uIuETjZ5IYhNju08CICutPYoakWgTxI25NLBTOUqhkSVsVAMRzhqBiY3xNgUinqya33qI7HX CPEknkeqwhShvzLUqP6+iyLmAACsSMAzjCDLqVwuxWjtARNdbPbv738tDdDwxYysDFlSLBHgRXvN bds+6tKWDVtxs4oy6Y2eM56FXVw24Uwgx/UDHzsyGrO7biH4qnp0Fpx/MjxB8eO5IJnsq+GZ4QFN B5ePVaVWCUS/AcotdFs3Moe4Q2EJNx3DmbCongDjLp9o4CXQltd0tMdqxSB844sMr2sCVrlnDFOS V8wwApCNuhNwS97Zpnon0afGI/LDJq1kpxMy445JsKx3x2b/gRgHA6Kpk07NdBsQP7XktgIvCiAO soZvJXcjmNbCLITGspik50XV1dYeUx0JPeSBKtVMOLQDwzC0FdfbQ7AoBkLKRZ1DfT40O2AC7XdJ Oq6dhwNO2/yDNkWmaEdFOUGw4Y/BypRGp3z8J+X/0cwgOmgMEs3kp5aPZ1qGxgmaXx769Wr6VdEn eK47+xd0mIhu1KeAHK1fpieXq5G2VlFe2BhIxEwv4Z6jZkGyrNmErNaIPGnKhnAeFTXkG1IQjm+z U3n5PXxusvVYnO7bXTB8XcIBxamBebuVpNJS/gIviuxLjqo1HnvH+XMpGT+yCM4qlpjxNX1VqmG9 4hMwNmnDtISHc3iB9PBpNbOPUZcytT+U3939cBvszqsDHYHbQIiCJSAQvGrhU5zylWEl5/44oP1r zgDTFaRBgslKngoaNXykyy/DQFjYswLYdBYg2c66wSqwOkb4hzJEhillweoe5NsOB07VAsFMQtI6 UsrgYmXKP0E79sxMGgxZghVXoNeVxSFAhSnVfB5azgPEZBwgtZbiXmjuhK0fyMSBo+XJI7W2gWtP DFXTjijQdw00NR/sWVeeufu6MEnyDG3xUVn4wicqEvnPM2Qs8gyVuTU288dA2GEVJ9LsDIumrmkF AXUNnwvf5avgckTiIERgxDBvwmeAThKb+j04/VEmtYoA/6H7r4s4uNuSFrXKzTnBW5R5llTmukFa nhhFB8+ixe0uqDYgOD+Pgtv8tXqkwBSyiRKLsMl+Hwzn00sZsiBKNSlYjgaaJN+fC8ybdx3AB1Rf hTElPb1xCyBoEAKRCqiYPEClo6PjwvYQ4GouV6Z0HhX4nkkaZaBnvvTYN+L9UaYz128TwH/cQb7f jyjUzZWpgM6jk6XG2yw74depd/c6FnV7RXKjnWorVKRRBUv7Bj0Nv4+3FhTgetgz4DRqVC6gMHh5 ax6NcJvkiZLOSqdbfWaNi8hADP2y16bpuUZzsHXnPDnxYfQEQpc9Ju4PCgprGnY76T5A8Va52fXO hyEqPQGrqHTAPs4rB30IcsMM7cI8FT/ypDBPsmSQBstHRWsv8+waf2QPpF9u70bq2GCkD9SgXaqw BlPt9lkp5/onKGdQJjOZBQRhzwBvhZo0JsWAbLnCUfcSu5UYvx16Gwv4lSrcuGDyjIoSl/9o2xUT zVnhLCLmYLYl7nLrRklHh2zG75xKdFWpXKeMNkWKd5L9kyBmd19b4KSuC7ZkHwPvX1jRx85+7nW9 LyE4Ag4A4cnumd3hSMjfzXynugWtRnapqp9DQDpaVzt14XvGkilf+Fua/SlVHf7ETQxbUjYaXZk/ 4ZZ247VSctAhmg52hes3fi910HHD5Jhec9akl5SPQxWuaYr2+Pg0pOdcp2qT/DSOXTta+Ezj/6aN Det7YCcYWvZYI41FZ/EA0oz9KWvINNTNlAafem+BwuAPgNt/3Ma9Ztn0PnVC0FXRmvc2P7aE08gW 0sf0dsT4vjG/o61KNuvPO3pj92jcn17y2vX4CMvVSr5ovA/fM8SADnHbhA4dQg+WUzVn1x9JFATm 2q6l9+68E28dGnD33ZGktomAHkDYDe3Ix+W4+oOSri0ubMf+JY45JJXSP82G9Za4Ew0gdhoVqR0H FHFT/yESHLyKPZGVwqcS4jquo9+QLOkzguu6hQvRQbne+Jw6AWbImpTYmXXNwrwGyRyrJEPprIuA 526VDtxv1ZH5+46qVcJxaogUpK8YFkyvZRnHl7ECD+2JDsxf4/Lo8do6ryNkxDlJOFg2nWzooUR4 C+WtOs4mteggEHPXWLQ13a8JI3whbLBlwMeizuiNu3DIR6DrlgdtngfPFfQM48xBIu4GWqs9xNxS SompLlK7LXGtBECuwl3ylXbhdGTX1ANLPEu7o4wil+UMaE7zEu/qBTmuhMik7sISbLAsWToe3g3m svrH+Q62y3Auwaph+jRMZDfkZUfp1bC+ZxZRP55qxOr6BRDDGe6DRRD4DDqHDrNKQ/nWIR95BS3h CCMx8VIiIXY0pAmJbJGA7MY2/qhKmBd71b6tbz5uMxLNjiPv0y5NbzJ1P+AFK84DXT/a6XvSGBf+ Ykju2zIDKaVXbtlgU045n87H3ocEn1ipTqm4VA5ZSYj7pUlPCAcD7R3qLRZBcG921fqaVxp9BXly ocZhJnLJfKM0hGELETWTz5FNl6aCurx/9QMeuotYU5Z0ClqAtMr3rxcyd8DxQn9//UbszMn0zZDH x5fk5csBnrSR1TaE8nl7gcPMDA47nRsWLgH6x+OfXXFEs/A6qTGyW3EmBaVkXGJM0h6Dd1UadTZn H1C1uPF9wtZ01fvj3Y4367FecJrqhKD2lZ63g8BqA0L3aTq2Ql0b49Rmcwnk+C0EP3ZPIFcpDW+w JOUxorkrcAU8G7I1mgU9bGRyRLS3cbN0NjY9zOCdG7sTIcoFi4CD3UiA3UqfLJ2g2qb6Hw+FzcH3 dqsIvW9UnDyJrYS5+fZy7aOc1DPATheqi+Di/p6zkFhImdaMOPdPwcpfPPYkFPyhGz4FIx1IzPKN wysgAUPrOHDiKrc/y73YWT9KToeJEFd138yfshr/byyLn+ifKp+rb7WJQMUeSp9YVYH3WjRirvtG XMFeTUMpUT+JeUQsuknZOTWQNnKtvAXhLM0/5wJ8ADRw0s9R28P24OjkLt50J8kZo6foCYkErdgB 0ZBcRGRYG7yunQWFDSTv2nJ48xIbKkpv3VJ7qVw6CJQsuZaWme/gQibPOeJ057AVWbWJSqHAWYi6 S9R5EjHTK3SNYSWvszxN1+qr9ELKzlANAWm9AGOhRc23TatKQ5hAN+0CHNol4NXA1zG4ATfR2o+5 YQqupcDgCmd8vr5txIwSybcUdS4a2jSlQbrf2rRXnB8Ae0+f+1m3hZMQ7taSN0STYJIj2R5okjmx eElVmqEU7R3w713OFdFY36bOFiQLZK7942o3JWrhazN8WToz1P/L80H1aLIoSU7jDR/RN2PLVd+R p9NGkXGGMmPVaKYM7cJFVo8Aac/U5X+IbWD3/Zha1np1ijSkqQCiYZclXMdDo8kC1ZEjunmZUs9D P6ZvWMmRqJKeh6/NLgKGUoASM3UgN4tI+glNcSBtkRtVis1t732JzsCD4Jsk3r/ZQoFBmDleKhvG fzCgmLsdHNb2vL2RWPC5qDTLztR0RB1wuz7lUsRHeAZjtveXUOiMOnYnrZ3kYX4eBYGz+vTVxC3F 2yo2nXZbms/Oe0berjxcmxpoxl91lBaA2YeY2niXtK9qRimilqTmr003/oVzTKwUjeUqhevNAEaT fLDyT1+j9x3o+9NmPS7rAycWrFk9gzaO+D5pqSeuGVnUbbiZYnilOhqR8QtohibRyNizWJNOrf22 Y2eI3gall/5A9RuUceeqehTKEppYVjmg10uNBVXdx9lw0D1GYjUFuVHeLXWwztSaz1taAHnT+12o m1XZnh7d5KUNE1hublyolgeeVrYjtr1TzxnbIDACuhF0YTZ6WxV+m934f0xOJsr1vGBP1yHIE5Io aPQ2tzTG/YPP+CcBWfyrUvC87sYMb/QKFMCb1LS5pUzyzK87GwdAGeHgYbONW7QHtph3e6K3SnPZ ns14u7EaEunrau92afLmznjAye526ciusP7ZLOqqdx7ZgPF0aSPLDbk7TC++CiRgBeVgnzIK0mr9 gqWmfrmqGzLszhl542m9pJE0k9uBvVbRQunKYqaZYdmw4AaZt+rZJMPZIiVgunpf/tS9scPh+4eS HCJ4HjYs1qVzvceFwaMjyI9Sq5ETt5hr2L2lWYdx05/Eya1JtFElF59V1J3mgOv7+hStYzWbbS+9 62wDL967jW6179BPxgPnaQMPsNRyxc4vtoXoiyhIVn53ryZ2nFfz43YR46osl2EfcGsKooEEZsWy RLXJv1btSw1ndty+YaDUG5WwjdLJ2DZNDHZpteddH/j/lsemGI3gxC6CvIoHpZUwYbtwFVtEZIqN itKYKiirh5uKznrd+JMyXA+wajVc9Sn9H7AzCtANT2Ob7zIa3mx8cEcfCvzv9AA8WyKSs4BIaffy pJhHwDLjf0n9AmE8me6n4WEde1fLqv6vRQos7mxyrIp4gFzWnpWbSMqsbs3Fq+fDmgrr5RdcMb9S FVpP4uCzPXX1pkGFY+9kDhTGtLEtV7HLcFOQ4mG/LUcikeOFEZPND9KZwnPcg8MggSVE8zfi/kid p3zKUwUaDViuor/8k2SS+qs9G+htG3vAjwlnvv50T0nfejDO5kD8cyZs0SzK1E7uyD0lJM38h+vk 3BwddGAWMgppWGFtg/1KjH70TKuJ5ctvB8LzgRhDQ2qxYtQ5mi0iYODptHZGZLHON0kcdTKCerQl Hnrd9SbmrQqSsVyp3/gVVuA2Txhw7luFWTuQCXFPYUi798U5hTUlp+4YXSIaWg1PmP1Bh48BU7mM 3DSaLX+TfkSGSdaUAKJI9Uw9EvkkVk7qDf9CEc5+SR/t9n0rIwI63e2XZsYbW6FO9VAl8epLgsx/ u6bMy2jUEBqBTSha1sGmJ/eobmNA7cybU+HOtrNj2G4+dzFg3vfGwHO5yxFHmAVEIu+6qHoXTZdw TD9hgB661C+/pTFPVKPSOOnZxxMCJ8CI7kSV/a7d79nGFzEDeNxntIdmUZGcEBIwCsdC+KtGUl0y 4cP6L952cqf+sRoiTYA0jkqhmNp+LPhgAq9YvWm24za0jraXKMv2+keEi4NhmhIF2l0N+rdeDEnO 7A1cZmLMB8iDeRSxeVbDmUNiAUC15wO7HfwHsXydWaE45eyVqQpNDHn85obbM0qllNW/Z0EeeNzC CodFntQ9A4Th7eH1+nP7LX43JcGI3F+WIJp3k77hKW5HB+2jJmn5LKNKM1UhKrmnhw6aEkdb0yUh A+kO6EpTRC/MCfS5358RM6ZKxEA8FJehUis1CcRIND6+O3CyuS1gY2sh/x+sQUF9SVChMeDS5Dfq ONp+DoWoHfJFBblD4w81Txa7qykH8cn58JQe+FqH5lBwL2BScbczsc4fJZswA0HxnL5nrqAW8Ngw rb56ehNjfg8AEn43/HDdqIFvENkSPTntEswPMXWlx4MvQ0/yw30NUUJikI5EZidcnzQuHmmJ5bku VLZ00FNQS59nPhH6tAeIHBFQW1ujB/UnVCo3AsOA2S3LgqoX5fihabrsUSE2wjjspXJ/uqg+TzI7 pjdZh/hcaP13dnG8RHmpgEWDJGZ5C91Vj1+iF2dx4GdkdiOBkC2P6Pu3aLcLHTMK5yGowivfRLzp IyX6AYkb5bCgjNQfxNchhIx0d1ak3v+LlD6AqpfGsD3CqB12BPUrBaOkW7LErYzQRfWyHAS41/z5 D3zVYaRTwwHN5H6qDrwpcIIAOoAm1qhFcGmBVoosE1r+yD7wpjHfFd+vdyuaEUlmtP6XmtoX8rpA /hCVkrjNkenbJKIf+AvSWxhnXAzvPXGkmPuRyGK120kkhEddq3fbtvFbLJKmH3ADvPvHLGrKYaIU gYoaphgv3tJGKOBlDfn9IbeYsghmeEN0FtYkw1pFY5LpGP+MTZKjdp6kc9VORrInJ5o5hvlUEBlt scKQM53qhrUwQ7lh4Xhk1TuePuI5S/BXsZMHTaXu2FKzcWAS7DK3sYjXObgad6eyQumnLRVkMKMh J6xj6/ZisL03Kk7x0jYDBsnMon8t92CUE2hb72tOc3+WfpcaCnD8FYrFfrBmnwqx8+1bjrbfvMRe Cp9GF1SZmwJUmw9wF4/g4o2+hKXNROSIslo4WTpjGtVGJ72ZT2XMQbHb6w73YOlz4l3uKekyj+ms ID5SiKRIhSCFonZsJBa/E/24cV/v6j3IU7qGJq5g3tg3iG8o2wQnbYlmBMcQloLAH0x1VLul/BMS kTRN5TbXW7n2ifgb7IruOj2J5mPA5AE1p9uGyvIEmySfuUH8yjL5tMhpVSpWxZvf+9+wcG9JA+aC B7zEDwWOoivOQMk8/LHQvMh+YZAdQaGNiyHCDrtof07LUcfvTMECF7h/uWMXKP/hLJj8bhG4Kti1 Z+KgdbDoKMLN1V+VWkWcDtun8sRkD4kjTp8EJ95K3bJ27ZAMTszRUA4k9eAxwhiszNmMTs1BZ/+V E+xUu9Z5KhocV8FflOQ630kyniDAqyNzTEetVy4wYVdEsraYGsHkB0m5OkBa7YS5ES9hslZdqkcf Opqh4vl2vf7TTCAfaiNHkxkh8kWml0LC7D9ymURBdlv4XcpmgS+AgEutWBfL0IFJm39795Iu8VN9 8py14CItkARvAYbRcHwucI7Q0ShcTwa3PeQz3v40JD0utHp1PGtKEfuhPwTpG+DOBCuS7Rh0tZ5s oW6ZBqws/KnEuwdvdLhzYMujajiL1jWRfDKh7Eb8Op8K7eqHWztTJbUCV5diGQUja1Vp/2/4dput s775SjdOpUhqOt+GYlG5LYMXFHKCBinF2z+mz74BZ6F76oSKbp+QIw9ulFeBQ/6bbTLGwMed5sQ2 bog1Cw1pnl6EWi25OHkOPhtnNHGrJCu40whmf9GEppStYQ79X4LR3gUDX8QuGg64+29u3XauzvyA kIPQnqd8codp18eGkwcZw87540noGlIat9bzRRNTxuu8aTTd9iIQiJ6pv45IowHCeZE2zr9+EimA z9A8UkYhA3j5OJesWTLC9IsJK1foy1SSmJylyq0cEaa8qVA0DFrN4JRE62EvFl6UUdmcKEowDsc9 yNukpEdNcgFsa4DGzy6MW6TbYD1+Vvr8u9seJVnLI6mE14a8ut2o0JaVt3Zgk3QLAVtFFr81Rdq6 ZmDkQpT8vRI6zgwkU5YmrOM5dBUQYzCayZYWTmjGDMgEwuct9Q69izkXglTS0yoIiK9ESvzcdHQL q+Qr5Mx17kEUxEBt3g/Xi9T9UPnRmwjoW6P6Nk38s6qsDmGA9SkBwEh0/T8PoAMWt0bZL9dNiptI RU63u5ZnzAcf2E0tGY+BqEDs+lXd2ittwUxNZIHD9o6ZQejCWB2hT1x01/Qqf+y+6uI9/BTq0XAR Bs3Mv7NXnrFCMclPwBZ0vcfWiT1qSDORteIPEx5U0J0NOIOOlvQD5XmkYYXwcJPjSj3xiJ2wpn3N 6iQsm1EJ2rPhtLVgI8z1vy26xOxz20SRkkvPg5kxTgFYcgPx3igMdcwXOZc+dAkATNej9Q0/bz2j R5T23FGLouGScco0cEUTOXLzDUlTEimL/8oJQtzD0uS6oCsvXvdfCzfhRqDQ3lxFuWgejfA21218 d0tsQLcFN3AYoSW8Jpn4CIWHU/Ei315wAVeAQE6khbSOOLp/o8QiBZfuwCqP/K6XYmpmWmijuTZl /prde/i/c3rXImYot5ezkt+pbPeuK1ODIzTM7pFTaW1L/xqjlOfB/KLK6lIa7Ngj1M2A7EKZzCp1 DkbTYtssbQg94w6mxJNXyEH1QreXCOIXPwO3AaTfQ2dhYyYXfmwJD5zNR+clEXA/5DX39Bv7JLDX cjpViU6RQEssvLMMBDo/YAE4tyJRHGBypJ3uGuh8rHz2l5Ajmq/bRQjhdYJpwy3t7iumO7hwISy1 YrmGPZJEEbvLwHQEEHvfJeebwCG3SL1+HKD0WK4ISJOfyaIJm36bNPo5Gom+1Aqp5kauEKzgd2l9 jaI+Sn6mfAv9eEzWj6EqRMNbvlSQ+a8qrjWHKBGKY01MZXuxzFTAZUjBM40y6E5VSSaT1fBvOtFs joaOTvJCENU492g439yiKDOpNCJY2OF+Cj2lYG/VvQm30hDs/BLSQ/fLM7yLZ0DY9f/f//iXHF/T GX6OsuCwcEJwPBsMNwtT/7EWMDCKZNBYnuqZoWjrDj78O3Qi+AjWeYD8tx8caOqOYYdnJ8S/FLaC MWpzmZ37hi2mhYRyrPhskFtT8h5wQCyWUD7QVVhFKLuKNkK5TDzDZvYuMfifHkSRmW0WVwK5GNjM hv22SCACeeDe9khN+YtHhYphr+oz7bLH1kce0b7qCIRWepnQLmQkp6rfeySYvJGdl/Cdqv37dxdk UcEHZEdbWwGpTxNjJ8+XvpnZUuvNMHjvh/6sTIYnHkgpmLiC/mxJLz0lW0SpHDw3dWUDBPgS7oNw YyM/kB/5c8HTZj9oSh4OWEMIHA/jpdQjsFggLKrf2JYDLEdiXhqKIuuKmTAmC9F0gsJxKtVk15Sq VSB3y3I2NVfdgGjkODh7YOJMIdhf4Prq0gN2BTvqwufgUoph9VJJUm7iwQdzrnrfOhl1KbXyNmf7 P2UnHEIP3k/6c7zOSadIJTmniC06JAvCN/JgUGy9ldSwdI5av/geEzoJ17VP5jtY7aFtP3vkUS4y TNZ4/bp/2mnTWyJ0bUzYHO669RciWxfgsJhHxaqybfIdYI0veDqRkhfqsHkmC5uTOQclNVwuf1wB IbDWXEdiu9HOfw5ffkeBBSQGH9BDM0M3TYR5AsrXiNnP+0shjn9Ty2B2FVkL/vnKdKNQFjxY1WwQ DB19/9xHfyuOrWD1ZcmLQxb5eySAmWtttylrGWN7ikgGlb799KBGlliGTjvIKl1zsDOZxLXpmmRi YzP5k6noNT6so5KcJSxXZVRbyKgdF6K3A7jatHWtR/kfGJnsu+khnP///VtB0qGUEp/sGohyxQuR TQA4nfnUwKCbe6luywTl+V7UiRh/sQawbcOlzvijqJw89Yqt2D2P4zZ19VV7tg9eV6uIU/KfHh3i vdHMeQsZGUhGTTOmzGexFsXpyGrOsxgcCIfzvXbUY6uIZWH/ynMxQZgmQanVBTGv/9yU9NWg1Sli SgTbSB8TwFY4XTks6O7/ZMDDEcw2HUcIM1u6/A461D/luGHKrq8r5HrEN3+PnC/YpraByRxzxV3v PjokAbXLkfzY/Uj07iOhFB2xsPfLt4GGUSMqPJf8m5RWjTXllTWDNcLhoAdzk75VQGoaOe6MWMx+ o2H58MpYXELMcSRlG9ErnFHukZQRDkUlUsNMPRDEhj3BmxeLP9UzFzTGX9kSCJwn1jc7MoCKjcz5 h/m9+PKISzloD1kmwCEfkwv1ZbxQzVJBKBqx2FrvcFX9Jh103pZ/oltAOBY8RsdVsMziyXMHAhCJ /14PdXm5jJNEYREKKl5MaW4I2s6dBsNO2xIr03pXn6HXYbzbX6qtnaoIEDtVFNiGH6NzElOigAvD NMTulzy6t37PfOEq2dcR6OZOo5lsVAd72xEFfw60Twg+ii8/hC+WOrVjhXflvM8uWsp8etxxSfj5 7vv3DODi2ng6pyg4/yZBAM5CTlNtnrqKdj0wPWuiWlzOScWHEV9m5Zy/fiM+oDpC+fDSQYEGwdwm 0htAc1Z+cwuHyU4N6L8aHfNDoaz3J76s4j8jQ2aXjD7Aeyx9WlndI88Cgkcykth1C+CrMOK+je40 BIxrxN0mMMtDeBvszmlDGmMr5ycFmSYHlCdKfd8rluaa4Vnr6lw6tvaDgLc8SCRlQE/GMf7LrBua KfKWgRPkFferzOqF9HmMDAL68hENWHOJhJUXIAt2WxuN2uM++WggecT7X+65dRyXS5qLvrz6h2MZ Kbvc1c3rq4yhridUAVRrDICwntEyC8ARCSulJAdOHSniQU585M9nSBniuGNZyObIxEWqT/L0Iihl /PlbmCQk7pOgdFzqDdYK3r2V/ViQdAa1P3YCcw2qOW9fl/1qH8cym+0wNXKRpCcbdpXgfXFCKnWt YLQ102v2vR1opGLdDiSJzPhKyUWS14Tl76nyNs/U32i1T/yUpkj/cLFOTyqqfr7muvsr3gCAfb4b BZjFagne0NRUitRMrnRiXY6zLLbhn3Ca5m/pmOu+ZlCJp5dlSCbtV/IPRnHoAr2dS3dn0iAIyvbt rDn776XASIO1s4NPJoeeMoc93u+6df/NNLutCqiXrJ0Dt4/FAfdnVrpZAIMrHDYJ+gFkterbo9QC 1Fp5cO1Vo7K8dppmOHj6BOR961e2ciRHEGglgNt8ddTmWWwUYup+YGgehEgQJf9MIN4v0p+HwfiW 8ixafzlC+JySxooVt9/tKKCoDgr238LDKjRLsYgcEcgnXu/VGQ839g3pUAEhvwSeB1BKemVPtTXn 27nONjjzDpq9kOGwa8pkZxXM2+kgA3HufGI8wY2TTe6IL+mGDspGs1SeqvWDVqYA7fsrKH8DmUI4 FlpU5jx3VpxwF2BGC6e5a/4k/HfQoTfkxJVXivc7g0q9L2PdhNVx/RSqdr4AsgIS+JKG5fYw3J+T MjOLd+1Laha9xc/Yi2lATA9c6L5DNZAxtlgZ8b/5HtEiE4w0BYDHMRZqoAha5KH2lh8Io1CPH+JV rjd9eGemIyTv3LO6YN5PooqMN2tVX38SVipFW0Ztk/2aahhwz0sEMqqncli9sp3PE3zhOOyzLsBR H3pOW+K3kDKx7W3JRH0MMwo+cbvc1JIgE9sDkmyyijUVSaLaLhewiP6erDRBjPYdJfHoPaLfT/4k Gc+RK3ouz9dpxzRiPcRUbyuDZt3FxTnot0S5YdaA498qsmf+hcZzIWte58GAiIDMZR7hK8DrUON/ ao90SmO3KZQJhiuK5u1GQxkx1pn+4jbS2EaxVI3B0F7qx35nDUvDwCpBTrhzcQ4HYPrPi4gVY/7A pZvih1YS/r/mkfZhFbdGQZSfyX0Jg+Zaibso13Pq40MTBfK4TbQJPn70CSp4EUYG31QQtixWqpNv EKd35UfSc4Kw1T7INRFIdn/YOV4k58PgsPKqryo3sud3JpOvUciGG0SEAbwWY6by6iG9LGtoCaEN oiqyLELJuXtbyTGyTLtbnSq7mEAkc/AlWm3QFDpmbLAYjOFFT82B/QPLYnnmfSFbszlfQZC9CSJ/ aldyc8pqdb+mpzPsOiCaxzEer7EsZzABtf2/R9Pot64Wv/LDo1oD7cQrG7Gx5CU/NUwly4DSRFVg 3sFnHd28gZ9JluXOCMsZt81LUXj5Zj9SZJ1S9uK2ZfAitEgWPHgVjeaCemjMyBWC/7aJ9LnYqnD8 Y1aCHjAhrJX1cGR2Zaq9LQ0kyfv7zWKO6IVsV0Yb38QIhwZ+7MB6OwaZvhR+rpRZVCdorLKgZ0yS gtMRiap59JS7RGdNzMuax6JNv5s06+qfrIt6RJlibRDZrbitsgsfWxm3lnBdCu6fOlYL1J9/bgZ9 jmRuOOsx+tD2n2+eQotcBjFPtmbBpdvYFgGu4KWkN0KY1nqJ6YqKQePVcjAH9H4YqTjLGt4zlNfK IQtSmKUcF1p2NXcEPF8OeeSt0rrGOpMXPodBpxkD1cmue6zurlLPFBeV8ZVpZPI2Ztj/u7sYT1lS Jld2T+WYokTyrlS+FjJyVwmBRTQ8/3FJD2ga51UJaTiUiAicbCvyW45xwySkUCkjmJBg8CHDaTxf pQgqJvrMuNefJDtpcqzm9+Yx7luncOM5gd2n/SVIyfykvfEWheTZInwu6VqvKWLEsShEO/5lFWSy njmhSl3XvPyJFfoo5Wc1O/a0bZf9uzSwTw4AO1fPNJNXFoio+DgOg4rrenstUx6TfpipvkCKz2nT vZgI4t8QbUEFBcd5k5qFo5T3ASPJtCd+/18gTgny+agGek6Tk8DnpzsfDJwL9BzymPzgzD1/aowu m8tZ7+CdrDk8SgHxJcRcWaIqVFAwADzJ+tHU1MBtH2F2RbhCSPa5LIjskZ8AIipnuiKlz0Q6zYQb ggSxzgPEhIFyl/a3Kyoh84EDgHc+sYCvC6tt3rEOv+hygUYxHJ/lebRhVLKsaoHbBPmDyZ16M8tN O9dOVO2Gi0ogChX3SGhUh4+bQ3lVeFDoYnZvbEHa+QVrYK559AUNxbjeIwjZ4TEKzZjK7ZiwNaKz 2RteCpEpi8DoohT4ST2duq4WGYTibXos6aMvdEE4MEzmagIRR/YOs43EOgNJsLYLaxYKS1yx06oE g86tET0U9deryx5x9gKRO14BTFrz2uY/TlGCQ7B2oYnEl4PKVlbJN8HBPiup715SmPNghbx3+2+2 /sydiBD1LVex0PPy4X5bJRO/jbWreBOHaimws1QjbzwNFTLOcUJuVTSMsNqgWKVWtHfPu8/abD0r FM+22LQ3mjs+HrvxglFGFvbTzQZ8AGV3s2jm+7p+MCAl/uELE3I4Rir9lXl30RXWoYpKZWRiXbHt AQo8MXbSDrPcKeJin+rMIzBfjuVBuCAtCMNzAOItrn2atOts9+Zt+8bmMRY/imsfmohGeZy+shNW rUHOp12v3AB474f0qi8eKQ9a/wcaIuYNdrK7bmwvVvugWB4rTyix7d74XmDTGsrIikApD3LCUWTs taxqijwuRpkLzjGbw2P+4dITyK+lfBhRCPvYi/uSc5LipbsjqwKNvZv6JChjHOkgSxmo/lTaJJoz xXtKtMaHWLAcYAPNUpWjG9lC14Rd9y7GHkx4LmP0Snt/VfFrcjDtaiu4s0YbMKTCEMv0WnVKKzZA bJBSs4zDD8uv0RARczr+SGKdiOkHC3QorqmpOFkA2l4g8wYFuynYjg68FMPiTeVk2/DLCnTt17T5 Crhb0AHD1xPH82Ggut1s8V3T37xuUJROKO/cjm5goVUu+9HLZf56ngX/6G23kE6rAz8SaqxAzpRW u3Uz4waRSenxjy0YZmJol4jDdWDw+078A+Nwbw+Iv0mOZ93a7cSzruk2a+7ddrSCVr72iwWZTLbJ TWNrzuCiqkEQ4hK4vJD5gXzO7VwQTkcpGotwUeX7KZCzjCiztkHBrmwNY6cdbFFocl02nnSqnoA1 t/6BWe74OoYneyt8WzqHB08UfSZRNUGGbrlCxgFvlxVuHXnQWYXAWr3Yo4S04Pztf6O0qVEz2fQX 6GQotdgc+4P/uDP2cCRa8btxnumGISz3sPLK4J8wKQnMCe3jL1hsx1PIVIxTbzWpgoucNHBNG9vR bt1DNO5JnQq/hMJWA4Bx4fnHlTnn+CfweW/F634Qxtw7H+K991gj4Ygps1cI1Kh64ebjDxENtXJp ZJXcr8cyHRX9Xk241bohpoZt+3AzT304t0KayM/v2T7cKoALnOyU6K+RsViHpBdXmcZvLkZPlU4t ytvMQUxMfP3S/sO6/ASA4bGyQhfuxH52TqTKIM1XMwsJR7rVXAcpPPX7PfHOP24cf7xBdA5JttW6 NWdSiB3Jczbpvx3r580tM2eH1xY6ujogsf6Lzjx5bo5IG3Qr41o/JmfHFISyi0LqV7XsaCceso8c 9mR4SBvQ5PGrlgyl7bJbLn9ZMokYrjMICmkMlHzXh601YXXLOxTR1U5IjG/+Z3ShBMpT1Lpu856T 4nrCFHtBMUIcXSLLgPo9xEVPuMn8stZOWf8EIlNfAwReJFUL+qjf+9c46trH0mNOOThq3Ju4kcq0 o8tG8sUchZOgRzxcDpBMsu4EzWDO2BtIUjF/tjVxP1CYy9NP+ObPRcdr/ou1K62hFrV1HuCpJ7Lb ygdpfs4hrjosUUR3tz2OZtV4KXDdsYztz1lANQGBcDksN/vOVKiU7CETb/Eh/134bvExCC4Dxukq iLqk4Ns1TnfSyRaqv+Pc3fWWX5Ib1YEIjGY47wSTrqF2y6wx8LckBTYdT3c7cFXtFMazS9nxQbtc PkGt/dymxO1oIPegwXGY5SoZk2STZ8scOcB/oMKV84UuJQdnZ0adwqH4GdAxy+hHSCGTzP9mJpdU m5RcwNxEUQFJKPnuOKleTCoVvOH+bd3KJup1Re7EWpbyLYU1a7z3+PGqM/9OiS5t1EQqJ0oSxRf9 qcQtHuV1AnF+RPdrhGElxvwuen9BFb80F6D849G7mvelI4Zy6h4B0jBXAAHu2+glRX9/8P5YErIG DYLstqgSQaOeEb7J+bSxBNCMb9HCgrDAAB8GgzqpGDqSF5gtGnhjDdhAc/US+YF0r9PQI7VqMEsk iuHW63UAFfFTvkcWzq6Pwf6IbT3MwtXnGKGMAuWlLZ7Ns3u9C4290RlFA0fJwD6zFSRuBF5M8oGl +b65QA1CUeinnyZnH6IPa4Ldqbu1StB5L/VnG5srHkY1Iet6yNR3JhtMZ9+i0EVoMFbIpgQoY7b+ O0EfeTcqIkNiTSJZiRRiB9H8s/4JTw6ivc4aTpYnPgusRr3KxvtZYxuyUG9zBWBDo1EmgnTmfEhz 8IJ1QYjVGM5vQEwKJVAMfv/tNijsg7QepruOqgJCbwySb25mpgWi3lv/DP41SxuooPAA7Vc3eJ8y uJIC4OjITq++frLaQfwuEGtGT2xs9yLU8JLoWv1/VRB2RNIxgh/3DXJmLQ9JXnN2xYs1Kg5Sp/7d Boe0Fodn0ekUxKgBcf6Q2vJ1pXU+/LRP2KnaJQxjXtK4xePrEbzA4NHU1PPYHWKd47wA+uBwQq6/ nnlkf0lGOsAIQU8WfzQJutpE/3LHLOB3ZBCAdWwtWm7UxFWUrXX+8QHlZi6Wd8bkUklrbTfgYSZ9 4S4A4mUIIBlmkmBrT8ZctOKOkK1GcIhlOqGqUT/cJFCAK/a3Oe2OEGhrul4JxiQO4+DvBV2NkPcE Yjuw3aCaSrlXLJ3Iv0KiFJsprzyQKg4u8Z1EpTlIU91OZck+LopTjyeWvm1ktIxrJbQdHjPY4Hgn 0qBEQb6N6DZQ5dvNOGIBlKY3XIM01cHYdYAacioLqqaCZXNXL9vF+6rZAQz347IePswwpNuYso2g BS7WTt8Kk7O9tJj7qVlxe5u58J2JxKXmR2zn4Q+nO/l5A8bSPHi/m68mJnsFQW4VHqDz/1O/cvqE h8ckI168+x2tWc3pS9gYXCMV/4UtcG3lZ9h7sAnINmyU0fif3IL48Jb4EvoPJtAMKwB+1+Y6kfCl p0wganyVz7RxZsCkztJbvM659lY1EQ9uxCc+6OMc7y4EKM5RebhdXI9NzWoX1n7pSADxYHeqRC6A wOQX13klI7IZKmKiGQFMjN6ikNspRjvuw7qgp0vgIyLkDHTCDaMfWS3U4VEjuAAaKXz41+sVOYj8 THKxaXbtaaQFWU2/4ryrI3yZ7CS0ffHo8o5tQUPO5e3AENnFpidZAYW/fAo9ZbSZOKX7iKGbOl74 VJIg9IMW9Jw3Wq+FDPjbdjOSFuIPh8ObzmDcFc1olcvCVsAEix31Ly/5/qu8vamxGwjnN+19U3HO yOQqZyf8IO86I9Cn7o1LRn0XQxXGx71yhneEhQJoxzM4ZxtxQ2ZBsj1rUeT052Nu/hggZGq04Mat wyF0JtsOdOHD+X3MkUfd/dVHwZe9ZkOkB8XrbjlodhPCMe/E05mCk5Hg7AUYJABABPPXDS4Xk7BK yzy4EIZUkI51O9YYLndRCBIWq18qB9O/kF7U8slvDY5swgAq9TNlR6+nRpcZWswZK8l5ES3UcZ4n 1iOJ8PV87i2dcTeArfH3mQ9nJtOFTOtOi1LImvLqOkpaspf5KdqjW3mYYuUMMtQOwxX61O5+Yihz 0LP1n9XTIgHm7fhDveebdP11+IR8uxFCKWmYJheq6MWM8zS+JvmlGKlsXs05AXJ2FhWuR5eyIuE0 UAoPjmZ8k+0WzYxUuBH8IavRq/aFGu8GauaKXpuwvrwRVDX/fuJ6ms8l8KXNnUBKJtjtYIfbZqWy 5tNAg0dQgIp4t9GREAf0NsMKnH4YSc1aP4Fn6tvUVKR02p2P3ZFGfduOsxkJNhgSRzuXQZ/GWtpd 7iPNl1K38R29GX7oEhc8pV246NB60l1jDBEFb/nD0r3B6dsCe24OGUgu883thwm+o9260oso/gif d3urTLFw+pm0VjCeUpUth47R9CS1mEryH4zItxPRyZgChHA6EfdI7RKzvQsiqnEl9hfAkXUwGHok VKj/kbp25dA9JouS4ont0r38ocpc4Mje5XMD4RHfGo8AYKnaCJ1J7k/KvTO08McgsorRpqEHoXUU Qth+JzeXsrvwvZAOYfzqQlpUcv8lW4z3evYinvI2uhpOtJDIF2zFl5m2hLYsmtjyWS/c8GV5fxOR Gb62YC1+UxORxGbVIbgRyehr51jVKKfHig6Eb+4Swk7XFZvLLrctbRZUPgI/x5Iry/22pO+TuKFZ SMfD3TbMCnNBqU6A7c+xTvAJnq6SaYCPJCDlCLsvcpjPfiGVPfi5uZKrgSxcLC9mHeJJDhyb9zGi r0waQh3GDO3I3id2IFO2rZCH1ucXpmpM8kb+L7yRo6kMue7aFcRckLe2tXXUKcu9bJtDmnJsS37D qYIOGJ1yhUzlcSNJHubMAfQiMnqdqP6+VHyAu5UCZvqP9SnbGDeWJ6OfiYKEF95zgxMkVi5tk9Ac WeDpr0xmGYaJRIw0Abgrm96T90FT3q5PQMC4StNpUPMCLBFb12Mq4/HLCAlHDQ5DkFZSMEU3ZgKx Ufo0P8gI4VMZs/x8kaj4p5iNtIGeDR/F51sO/3Y8R8M3mScad1QsiRe6sAqsAyw6xdA5FEZVaSto r/lTvLgUC8RWl+MmQyYE3ZeOv3tZWhqxN1xVr3IaZ4v0zc905E5MP0eoGZql9lW6wR39K/vlGrrL Bt7XeJeHa13jXFAYam0ALsSUiYuyMEX19FKMnagK9VEVq8py8I4Hcl8G++sQPcNeaSdKgT4v5OeV MvFnGzFye/LaKn9FgU4xIpkTKOgzz58Q538wUQx8FbeHqx5ltKm2ZZ9tlhu2g2wbAc0AaLZi8glP b+Tc3CzKO70MLaxh0iZTKhBhmoCMFRNOGuxSbVbXif3lNv+jnZRNpSLdkdXt8qX48a7s5Ot7z60Q cqjb9TpAXn3CrK/NEBEDWT97kKgDeQv4Qc5pZ+Kcqi/hx55lqeTb+Qu033Vx8vpTZ6yuVaJVJSuj fIotPkR/q1BlP154Q0ZWd34KAqrb26Uvep3RQxckl/onCq1dU52FrymFAYNztmvVhKzs8CA/73Vj PMUdQrzAbVyZAo2Lwnx+g/35ZkFTLZU+DaLyQ85SqfyxFIXnRDBovn19GvjJqwazqPcJtadKrIGa KfswJN7+VlCkRkZ81F0Kc7atIMptu+Y1qvw03O9sP2Mt9JD98ofwN5iaKm1iD5xp+aJ0Mpax0qvh Pubaoh4mXpjiDl0oA6opSqKv3EmbEivQtP/IU6qGrEWZQK6sY/BXF0+lehc5rH4EB20/DTRRoXmm 5E5L38rqapWKQwB9KyAk9zUsMdGZHluskt15Lpl64JcYDJ36EvWYeb3myUEW+pP0WFCYKV18ETkv xlm5itSeT9qujhg8Zm+8vLjXnwVQNRZIMF78L7Z9eA/h8kN/Tec8Jx/soXhNcZK5lvEGjqgjTXKE 6eNqGelKKP2jeV8OFJvmE4HF6rjT9UVs8fGcFuEO6DvRs3MD3gz/sGiRyOIKj17qEQt73ntLizRS Jn+1o0Y8/IL7dgJlZ7Rct9Ev1OPLki4Q8cFGjFKEmF6bpgc3ntWzWuiCRBe/1F0PuO+goTv931A7 lUh9iWyamIjUkJw4bx1TbjYB9Ow+i2kliYVgQXNuvEvwAFxsbCdDKHsjeq1FtfBNKPuf4VBGXn+4 tQkHoumHQpIdhNfZs8W7gC4HlMB5iEP8NrZn6n84lXtsqXTV1hoOAwIEuMFOReZE0J0vGvVNxDpw AW3ET1PdG5+X/cBUlzSiHuWFRzMKmV1sG2kLU/NRsk2Nh3iGIIw3v0SbwLABzbCfCzRTcEHlzr82 sI1dY103vMz1Xi3I/Adck3d9X9JG8ivdXVPMna79RPC9saKBSuZTNsOHm5HIHD+DuSIbz48A1rP+ gnrnt7lcq1rfHAicVN46rMlaN5/Niqms2ooh7gz1i9q1nx4PHJYyz0u+jyLpIJ/nfmkfC2c1/lPf w+BM3hKFPjfV6OnLw/n9PimoTYSzzD1Y/O2judeOKvVW+gxYM572ddv1HO/Jw2U7Wq8iZ+yix5kP Fo9/FIOt2K1lZ98lwObJY22KWqHrzzc4Da3KCLOnzsa9vdxR3+CSfgCCJVX3sV00sp+HslRUDfBy 58+p2tYh0g7gBmvKY46fK29Xyp3Q0XoQKFxsKqZUFilC+sivB+MS2xgsjg5l+SjBTAikJGXRjuIX MA44vbX/YL4E8OfiN4xN2tz53DyoTrUCPxPwwDaYfF02coxPADWTeHaENCO9b2tqAJ6kaw1w4uzS CgWI1G4dBNrZff6orgRn524GbAwIDeyMc5ldwuXUWx9z18Ru/uI9STNLU/3WoWYdyRvDtBZ46cXD oHzaCwT7msf3LhGK+MY7bsOKEYWoMZDOWUQFknA8maX0Vy4MDe54w+92cGvEl8yXFzvGDvw0po8R qOANIDC9hemTeLtInPFKwS6WQZlvqsrXOBq8nn0LXiE1ouKI7wmyMNiawEeEI8I+E0kGXsVBXtSu gfQpqfIsnACR1W4gnVBusFmvHO0yR5uP631IGnvmOX7k9kWi9Z6GHikN7SgtModsD9Zne+2w2CLa rdsHxgMZMW1lxjKh7tQBGfcjbMNf6qy3UvntUNO0WyOoOrW+ZkI2o4csY74vjrYTPn6Za26QZG2H o7M2XBTY5B1IR69ACVGgmRbQPqheY9gl3nCYN8uMQJKKldHqiLopPBwK/+eLbPmEu4ApFykTzmED cniKwwYTN+GoDn6oRGjT8ruzUCnJJ6lq1cTpYO0gPdOQwKGMw1qvt+OaylNPF0j/XMQN/FiqxNEr iChvOE1wlZzLAgRGvAVj/H9Ul5Bl3AfyEz07j5MgNeJEkC/vMbSUa6OCW88PzKWJeDNSYSw4hDIf 1th/GMW+OJg1B0ufsbc3ECQP5ZlBkS7wuMkRSYjzfljmyxOGv4IJ/xdv+l1HocXG58DaZVHsRxG5 2CHQ91gMqpTWQ4h6S+RK43UrsvNMl83JsLiLVa827fnnZJ/mV8quHy8x/MDfOAnG4/0myEoFbXe4 N0JWYLUH97pLXnWIidm+V4oIOuFJhiteiaA8efpSpj438jYHF2HfhsBjhMVILhh++9OKfjhSR5OW eFcWBjM94fUprP2ZOt2lcspKidQ5TU+OYgnyaXKP48JNV775qs7lwslOjlHnJ95FVMLzEqAU1cbx flfsjkuS8wIH8X/lY0dksDEEgvzVrDdURnS3qmBR+/aEgZroylWUQZ9lKaKla9guRL2LpuQjP3mu mzdE2wr+HNPn5pWT4E/nqQsJ/XuOf0yie1wt5re/8+v+pm8+ms5p3s0zdQNf6vD0aYmXcBq+MgpF 7YB1YWKK2DQ9aJN/cqwwAp531txo9fBGj320xVXL7We4f/dvHSotl+I6jr3A2f7JWDrtO+mN33+8 RLA+E/Z42CLkCj8gCbvYVNDfaFFFV0mm8cYXfcBLg63VIEMQRcGQC7s6sQ914hswnoIsTb5MAkHJ lb1A26SijcPMUAtl/CI9+TKF0pC9W4jMJbGIGHnb8hrjsXiSIibQJHdWcv6Q1K4bkDnxIz0Q3XLL kGFMj09O6g5EsLnj1XvLyOQso+SyBTqM0ZUtKbhM017xa3imNIHDIpV7oMQswDdm6bpHguy8I+X9 x3MtwOikzD4P7P7m4OHo7fI4U/j8Gc00WGcwHV5w6/mJ04dXGgvR7dvV3NDHqK4EF2l+qWTRlhCH GJ8xqxdg8/cdDa9RsLPHu3G8qAORp4j0IJbb9nrCACBvbKEuzsQwP8lEEKA0K7DKurx+Ix/3vVy7 0vmd3UmBS3/HBBFnqIBWMyNaufNLgRvWaSz2EudCev/xcRAYAQ2YQXniTrqVhO6wQgzmY0yWvtIV L28ZefXP8uvxlRsZNsVCarEMFKzpQ6NK9lIQAU2zt5dWldQ75im4X+FiFQ+jaZZ/KhB8fB+TEf3+ mHL35OYqqP5zQMAMgcfpmvotisVqOaZjnghHR9fAA+Osmjr6aAQihrv13tAL+AXavWPmgWCGzBB/ AKQzh02WHdVoaI5zxul+oWXvDOTPosUFUtP78nE1gF46B5wGKvo34hmlVfnkWFyTU34ik0otKsYm UjUrjpEyNZNWWb2WTvImlMtWGw5L+AQuYceLd9zPgRe54AmQdLM5ttHFMeUHjWGygfrLcVaODOxH kEPn+gE+wcYDA9y6XlL6zqaNsv9h1DoWhQvkj5ClMIch1nKXiJrplMeFKtS6Mj+pN46pGdnliD5y nXVsOIV4HngdsnkrUx7+Rq4ELiqN+Pwetuu10BaC40sp/7PtZdGMcXlyPv8ygRR4Z3bufOzGVjbr 1yVrx0uWIxJbJ8chfVh+mZ8Qk3eXrSWE46ZNJEB3OeGtrnRVbeDkCXBbOSeFgq7K0ZsolNyHzl57 h4IYOrHfju176j4iSdruTFXWQxGw0196rj2ok9MU1y9lac032pBGY9QagHuESBmW9QFTNQO5zfVk ywY47noGeh37evRRhVPhMoWyCq8jrdoYxEeSGpuEb/13mTOGoovT2MEOTFvmFf4lXTJleQWHB5ic zHG0AoXmkdzJmWAxYS0PzW0HF436093ZD0FdnJB0R55yzKbovxd5aUKI/aOB3koQaGNdJDwRw7WZ P3WkwAdk3eYDyUm9Xy2PIbuycWVW7v9LohigA/pLHJwe7gP/4iiD21qnxQ7p/w/H4IOPgp6LfDBn cr/HcMUqNXH47yesHtFtitlt+K60BAqRr6OvOnVI5PobE+BtQlHPcNqrmoQRCVZgKG+yBdmpXxQE +/MMDO8qYrVAfFgHCA2Ejm430/T3dZ4QK8cwIXI6rn9hXaq+7UZkKh8B2R8+2u5QXYK7hghd+1Ib 3WFU98cZLbu+Ui3LECTKe+L20q/0NcpWUNEAxR3Np9Mqzf58jhzXRmORHILP+cHT+XB6l1/LCIT8 ++MPzDcIHGJSRp9qlbfumwnWsqWXzgvFCR3PwJKTGJeQFfGFFB9elSHP6kdNnnWNWzrV4YxKsRJ3 gLHixmlom3ahEG52HSaL25axGhfmFe0SeNqjnIMw7q0omqG1FPMlsKTVAmjsYFzPVYV/hnCfl0fj dcaY01lodCJK0IO9hzTTteQJoLttPUnSf93+apdev36GDlLWmYZMxDp6l//Bgwb+PCXcMCmnGL6W upUZSBmnZdqgzOeCHCX7Pb9L1J4PjQjKHFlvdhhF+Mhbipf21aXpN6Zpd819dKZNmE02nrLXaPNK +Cy5OepP46K+jGjs+1gGvvCWDVSwutZ9ELIBLaFO9M/7XvbED8m9FarnyZis9nsDRWwwuTjNnLph 46EhCu7z2EszbtTCHu/FkqYT0rm1t7W4WyYxkllaK7hRdLlq/ERzfSx89S2/1tWSLM/gSEzudbEQ YT4swq7yzOE8XPrRQ/7R+GqwiRu17qrdT9MS7m6wQ94e5LFMQlPHZCwutPYkqlUrXWtwIzz6MdWe zL40pagQUzN6qQZFhzdNsh5YPxmX9JGhNGnsVuQWWmUa/9CiwE+0QJFDHO/GPGJsjBeNoiXxhDie KqBmwsQ2RnUODe8tufQ13DgXHhAhK2mHcO+InCnU/HPHFf5Li4Sz9xw3nCw8oHvFiYctqb5essUM 9EmUmycRd+GLn9TCc0SQVGVNuE/cZAx27X24qZw0iY9Gmn5+bniI7WVnM2f54NMf3QNai7MMS9QS WObTiDD3nF+Fh+Sm/FV6sQIhStsBDQBlVtWa375tB7dEM9nIeAKi4yH4icwxf4HawDE5gWLLvhk0 hghGDSIaCSkfm9B54UC9go9fBbXRHSX/SKKMt89sojbSyhtUpHfI/x4CAUPPlJxhKM0xzC78G8Uv qKWRgwxT5sUGqBjAhRt5/X5t8vXW5MOvdSpVJfSihkLAaEJHJUEZtRSI6X3q+/RheaY+E38YBVWf lOp0zDd/nhfad0oKP1IStDeJLpPhhUXT/YEH02m9qxuZs95/5Zjqm9SvEh3KkJxxxzaMpqJkJbvZ 2+s4ptibIE0QwB15iK1kYFYa3DUVKMrB8ueCrmwn0y5S7hJnc9imHAepI0+yCH3G4Cvt5zXAOwiF OTNVf8bPzizw5m8cfSdYYeiP7uNcUScUa3gR3yBMcQtBObZTzmz1LfFNwbLr2TzAfJBQN6s0YQZm 182VsW5t5tk5ouDzt2s0PLcc1nqlMNubDR8iZxQ7I++ALP6rB6ylJM7bgs5yQmwuMxHqadsIaqZk TN94yhDtw2qv7gKMSsEryJCASbx4b022VJ9U6542j8yJu29EoqvtHw/reKDo89D/AW1r8w/BaRtB gHgbQoxI8PUtFVhDHBj+TkqGUSqJzY3tfFyFZoNaU0Yketg3MjydVfP9yUogLQiAtwHJBoQyjsUo 6wmkOaRbQvBeDcuTZo03zX57KTdv/9Pb5GwAjPoS0Wu9PMc2vKsc7vJTPXvVKwnU916ahfAP6B89 dBKkEfJMcdTmc/4CRDqez3vnKYkJQEx8j/WHuw6ayNgp/8yPtfUwIWIQLiSf0vvUBphkQk/XlXQc VIRDnmcncG+mwe216u23zbZ2WUsRCmoQK+e4qWw+R8jwpJd8zISAjEHxOLrBDfdJ4ZomGl6HbUTk GMNd1WhsUG1HQx3Iva/IFaJ8B0cXiFdzcIfAC8rrkqyWdEdaPywJedpkK8AulFisofD2FHwQVjdU 0cfrpuSbN6THpKJ85J4O9458qOU/BmetCaK0ZnplCbpzjOEtPGvBEKb3ujaSH70Ji1wYdILpxa5w zBks3Oqy+RotEVz/SdV2bDrGrEAfYWWRvRMYaZzZklOTlfLHe0lYbyabJbE3tYJIyMh2rBZ8ql+D M0IAUK+xDhWohc6lRgkF7DxTzY7IWBEawIzX69tSxLyUp1XmI0kXdxpMNrOu8lWeE1McIQUKuinB BGr8Skzjc63UCCWKbA1Gl9udCFCkkX4FqanovCvI0gIui9vQmGYkmNAkJ/hay1pqfPwbWMfw86CN wllRPlTQ+Lg4TwSY4PUDgdZe50DqTlg+YfndRNGB4NXu04UbaO22C+ejrUZTxbilMDyXhy2maiXg fCFYJsW8Jl3NkWroQT93e340aLwMX0l4Eyl1IhQ8nVKqpJLyDpc6mKgwTHexe6g4zLFqh6JUQb+S gbFAcg/GPvZ/HHaypjjCy00lo+4TMwHYjGPJXpdUNK5ISQztrWP31EpcmvBvsDJ5T5QmMPTGWpwO j6647K0ui2Nz0byEEdPsrAwgfm+QLRAucBfxb6qZuyAArZ1H6yExOpEwgmMtWbOO+gTOx21v6HHu EDoQJ38fwK2bUjgmK4+aiQVbHHa4ze8CLeX3VeDJah0ukZsWgc/+z9i8tek69OwP9sBuWThrRksX K7Sa+deAyGhwaapzmvU75S15HNlPqbqO4ohSPj4ztZ3nf+B34ig6j/H+062x/1y2Nqg0YdmUfZLD XH9hXa/3VmNRbuNmIdpCAQgQHsNy89gjxpINiZ0m6Zv4XSooub5Lkh5X2I/UBZ1Jin7Wb0IzgqGh GeqzU9EREfF+5bmCU8a885UokDOkSKgvfMQIDJ3pVQO4xlS3TWl/GwI3yP0uH5fi3gSHCrYpi4IX JoVQeM+b6OGoDbUduYkGePsqKzPExGiO2EA50X/7nAFT/HUhasP1j0m+5gZQJxSklZzIIjkyloKA d1gi3I0iux6l1XNvI47OxNPCmwepxoaAubW6BcLmvnfriirLvqlQRdpC9Y38qjZQ0DqGZJDXH2Fa EZ/6gZV7GluM3ciMa0ujMMc32j/DR9snoJu09KgaG8X4Aik+suspDIOYU+KMMtoKRBnRGiVkmHjh uCHWkvbDJe+B1GnMRuFpDepomAqpYxsjoGTpc/SIsrX0HRYt4fE4+xIRfWHo1IdZSzSA3FaFGXEB oULWJT4q4FjbM1+Tyodl7K+hRbc/x4gNTiIRX6GDBkTMb/HB+JD5Ge5a6xdgh/63ycw7IB5kVD3V x1LxnONQavyImN6jvwKiahoI8CSNms2LxdU8jvP+mCRbnWQREiHCLlfHvcUoseVB9xcy6lS3H5G8 Pb2jROMAizlhmcc76wULgauoz3PqN2X3nQnSRU26m7pegEOdssHOuRY2KpoKRkj0jYpMsQUyvPJU utld/GAFOVY/aHd20OBogQn9D2SpfAKUUNskoDKMrBQ8TuKgsgwnNROxPhQeq7UOTeEgjvc7hfL0 702jIaBAnAsC8tuAxfIlzFnCnAzVWEMSXkqo+swHm8AqewI2tv59P1+oivp7Cj8Inf+nLPAcxEkP 6zRs3SJAEqEC7szjYPLzpZwefenDsaVdpkoVW82kgenFJvAs1IYT4l6oYnwTE35k3rJr9orSBICQ ZDdL/pCUrTSrNNdU5a8LmhhcFAJzvw9Oj5c7ENnxjS45eVR6qgG1L3kvm+nl878HtSbo/CVChFPd F5rn+/yFy+Ko6Ch1iR1w+Mf/O7mukOfVpXtGqUru3KFTwy8ZialEgvHzwQkDNj7+otRDmiIafTlr prbql9ezMdYAMEhLOOMryafzsHWcIf9If7em8th7JsAPxqI5VVWZcpBOSxKnfQqfqo9S3TLc9fCN MLfEwQz5acW4Gfpn0/WuLitppFE63eodY0Xgw8rc7PNnlnuM34rZCNB4CD7zuUVwL4T9zviAj0cF iHHSXnYHASyrtVJ83x+ox3EuyEWbtZYN0MDEAYnBpGvwK16hY9y+A+vSgtCFaIf0c1B2w/H6zioG ZzdF0KLY5hdKxkmRz2BsKG+nOMDjbFjZSjlF4vMUsQseteOWcLfu6OfHnn2o4V/SqlIKotXOL8o6 I4KRVTxBiSULSVQSsrCyQBjDLzonLhRPuj0P6LLzhhr19l4Q0ofMDCM1hkgv1WGMBj3HCVlo4eIv SeRJFhXI/Yj9LPqKhUnJ9XbOHafOPQs5HJvcc8ye3sBrTWs1EYguBRBhKgZXyoxHKp3rz3IHVJSf jzHrQyaGGkr0tTReqJB8lkBwMdDp3iD/idiqnS8RRaat7GYBeLyKwf8TWMTnrBHPlB0c9omAtM7d iw4/aRf7cw0/PSKnlN8koJkj6eVkIa5meTMHKTtr08myCkn5UWe4n7w9xurDJDIdsECmGgPYQq8J +/+hr6vndIXFLeCjhe6dmGOX+oycr8/MGYxcSnOJQhvydpOV7kagNmz1AJCJKhBjckbFHcaaQvZ9 GrKvIph/vgx+ucDnHPjMSsZPdHtUZ7lAPzMyE3Hf7NwhjNFLjadyv7TNIGVdQY6fP3GlDY9boN0X X8JjHD0/tGPZ9xg/kQR/LJPA6bwWz5sSEAeOrRUuZzG9EMi5MiJvp924TSHvZtSqsRgW6bHOp0qW kAuqmm+jFSYsnca2qtuKnZq67udscVoNhaGqlTQQSPKFKtvs+UMIZwzQZSaKG2ISt6h50yAON4LW 5L8xZjluuZaW2Z6b6y85kVmMdbDOkRy3WMidQT3Re5IGoFhJZCRzSosKy837n5bj0M1JoG5WBsVR Tw3ZvOLs7nA1xgYbDeMnY0wh0AIOf+p40562tNmboElEVUKgKUgDCcHPMIWMNcktLQM3jI/wNU+y 3tkuB8Qr0UWC7Tiq+XYFqmoodsnV0B+Q6QKil4aHxr3BjR2kDNeCsyYIeIyoz1MBv5Y4IMzT7YF6 xFfl+5iS7CF29uviBej0hY7IvX/kyEKyenYtSCNDhiqiMhPbnY1g1a9oVSSvq69HXJ6f8hMsGpqR iLwU282lRa4xaggBB7bOecnozyqzbVEVGW3rd0ySRlA7z0aRkztP3bI29whFKUjIuifHuBDiVFDp l1tEsUVc8r1MWvGfpZ7bRtCfl/v/ozFnpuOQtUFEnMBUfK+KlW6EQzWFuietIz/44OSFY2t7WnGy J5IiyDEcBXWFgGTvEGIKM7uuZs2WiA3bSxggHXbKpeoOjhghCUDhytDtWAKSS3GuNhDRRTJRqi1B r8K1gK8t8A6v2jEZfSwVoAngCA9TYg/KIycvngjY4eWC8+Nr+EW5L3v/CGbaXP0nnbgp6E2Cc58G jOEG0AcfD8hwfyZ041LnRoHvBK3hnwuxSBAkeOAMuEZ6Jpd00VP/qSr5s1hCjvmV64Jf9d9BHeTh rrO+ufETM0q6jza3aSJPD2THhvtiWTYUEzynULorsCZwXb7WSHT8YzKVeZTr0ACCGAD4hu3Xu+yg Gz2NhvQzVYX2E3YsRbMXak/j2OXj+LOMwsVkxHlWkgIUQvrMd1gyJrBhL0uIGiNlVTXDPfYB3ETx 2vjbWyz5//uzKtbHXx09JMfjhy/zTOBPgUzRUYJclJAhK8qre27sN23UWcEjQEZCJFt5mijbYXf0 I9hH56miSIfotsSnHFO7wHdQWh3Mq5JO94VXouXZL8VYCdJjdojd4+K3w2/ZluAG6ZAB9Rrtj6/v 2bgA3J307zCKlE6jcnWml6g5diCKUm030IKg1LIzc1KGKBUDjhvxUV63tlKtl5lASQUoz494Hymf kAiD33S5sHdxLxyNKNSixo5pkdIX/NN1h/v6c+q+TtJnj9e1qUWpOrYx2ROuFjxrdIigh4PpsibP adosPX6b0MypfttKg8CviITQW0B3x1J1M7zthuOwamloRnPs+uimJ2/wsdNFMkNy9qvsYVv4HToX FmdQjTXVvMx8BtVw+TWmVtzj7nDQ18M9W34y9aOlQRygKT1iXaHUGbX+s+IAZqqFfSsKkf9j5nu7 XQitn1d/2UqkFoXyjgYhgnPfbI6KxgkcmrFhyB3/wmvEgCQyDSRJyeWs7uZH8bdxe7lQW1E7BbeJ XkH3JTNbzQrqTCXrXVk1yTPeuiiRbhYfu0i/FvIBwUPGaNHiMa+eo2Z5rIbu4F89+dH4LmtXmUe5 DHmoXuT70KnzDMV01MCK45B/kCkzlSMOamTSZEWmRi/87a9hqmFsZZyR5UjqxN8ERSYnzvxqgxjl XkspO6GYjEzM16/PP2bFy7Nxmr/I0dgr91QBCchmcpjTv4q+JAcY09et/2gL2mRj96aQJADdHc6j nAZr65I4IrjCoVkL3cMT+xKgX6BsYVthwwWvq9aQ87U1n2RZnWgtu87LmfuTosuyV0e1cnYGy6Wk cRAw5FcKYHrXbJPNJMMkJMkuD8BJWLgyO/U0pat8PddSJ7WWQl2xabZ+kMOD4SBB1+fNlx4UOUwP nfkCSpyBwe9Mct182VQP3Y70b3Tzziu/8i4BB3DrGU4dKZyRl4NmmjU5RpbfJKO8Vth7ur51PprO xEy0jTzd3LjTlMzWBncLjDbxr68LgRy6WEFFlrIS4548E65wbz0jIwl6fmhj6XMkouWJ9Zxg9vau vr+kn+o5Uo7Sycp3n+wrtvnsoqLRUzsDGY/1ydxQYz85zwWpeM7ZOJt43fs77oFjf0GMs72ANlO+ eLKowPxtZXW4dNCNc75E3DYSs9iJUYM6IobiRjX2Z/3OtPGRGL/Vfz/9k8x2iKXGO2piObu8M2Qn rGUm5DfR5bx0GpstRlVf8rDlJOY26WEY1HENfcYlmgOBzD0XOEcli5/LqOFi6dj8DSK3sA4EiJCE BHRDrAwO16+OSbGX22NmpIpwh9CH+g8NRZzABNIvL4ZPXBxykth5Ro5sJaP27vxlqCk1AuGqA+iq gh8kzMGQYasSKmBREcbgyrtZLpjhcX8Y+/AhQTTvyyWcyi5rI6R/ibUQZAAQvr/t2Fnp4Q5XDSdN 71O3014iCj8/1NvwUJieSZ3K0ni7bvwzHI+/VXEQTxr/RXBUlDkdsrw3dTVD4QRbp5QhznT3tlmz ie6WM7UFR6AyJcc7p71sIcsrkU6dh0Ga40wSVGmaQ5lsz5+tFAC5wFoLmDeC5iZOYI/wSh7HmSwD g/zmcJnGSNnyEwDBsVag9aSfOZYKR35ukLT8IWHNbkfGUs4+P6+CwiHCWhYIlGX6BSamRvkIauXd IXrzIZfyxoJo26d4D8Ud6CA2rds61t9WvAtelLRL40WWGQ2/QejzfWYI0B1xRYsQVCdaAJlam9Sh wHHX9Y8RgOsW47e0DeLjwsOKiE0fVBQZ412HoDko7DbBye45qUCv0OefkRVTNCRM4aDsKdYeebyl vVYJV9QPk0ZUwbKW06jBM2grhJ9k4UFRuGyKeDT6vKMwwShipNkVs1UboQzi9zuk2wasbjmX4vVN URYIyo3NzuwZAX/aGi9qO96L5jB3NTJDAhYHDqyrJ3swsk9dfM8T3kGzxPiuqVRTD0+7U3ZWx16N QXue2r8ke0QB+o9dv6x8/wiAyX0QsonS1EbyMl1L0J18C9HKsACges8Zp52ejghvut91ACoKdauM W57iQnjvCeAp3Nz3xUd1LQqumBm7RsvlVLKhSIc9zvutRMfNPIjLoLr6pKk/SrdAQPSrHhCwYdRi h9i1F7vxhe4SKIlwSIzL0+a75U3+h2MJy/MRZ2VDwsh7SgU22TABicaz7tHVDV5mAS9uTfatljIF aAoT9cNN/kKp4cUZw3ReUzsxSQYKKLnZjpK6K+6ouvyvyhqfNK6A9B1nDolHLi98R3NR3zBMQm04 SNr1EvrS/Quoeau1xzlAYxWzKV6EFtbG14xsuLQO0DauqF0nWenrdFn4X+RNmmGJP07fv5jQYKJz t5h2HfLIl47n4WRtTDhg80pRJwPtAqWh/30XugJSgiSQvLeJz9UjzsGJETBK6qDBNQf9BIhX6n2Q FeWn7024UXN80WJmtGU7CvZjIsvQ04oKEwNAGqbTlEz+LbDSdc3I//SFR8JtliEhZ0O2pDy1J8hv 5J4xM2Iu/1Bhf9myhEJWNDOAYDMo4ADo25iO8map0HmT4tfpRtMWAxki5B7bOPH0ZR7ZUSr9tF9f S31+YgSOgFVZyANplTqVcER2JSxQ1XQndjf9b3jtgi726oNLTLa+Zi4YDLMtRMUcgf+LLs9xyw7B ZZ3XHvdjszswhiSwZtMUuksUUKScSDJRTUAgLmihXEiR6TxaDuaIMF7ecL5XZk/2I/m4wJIilBoK EgykQQIIGBiYfB6q032LwVbp5vDuONes4F7DL4touXouverUfp7Diue/KLK2MvlWv5O17X+0mb2C c50O8sc8ikuFq2ssbiJyeLyX3Sgpe7u6jn10yLV9NqZO0LwoaNxQCzf9VBCR8PLTywRPGtRCICFn cIQR6wyYmkmhJm4wNs1VLhtmUqHJjwYsl4UUPICecSTN4mVonaMQ+ZRAE2fBklRw9r7Ew+R8syBJ skevWsRFihmInbQBe6O4ga6z+7fT2qBqlFQ8sEU9TGbkUHEHQrD7xY+qQ4JflKOS86Vkj8rZSC6v 4ZCLUOFYi3xjgskmvZkzCjv+uEDYwe/eLVAycnFtsljydQOZ/5V0E9jax5vaeUNvWTGjByUGe3Y8 /87pjFepqu5GvsojcPIwbyDbsIbpbv7a1tPmtaEqR4NjTR0h4xytIsoTkxpKWxQr1XcgcLq9K+Au WD4MlLMRHcTaJMP48CeR4blU87yeDYc0K0lG3hwPKWU8mG0Aad2gd/5xsD7rUqpMjgcnjW97kMds VanIlwX4LDFLyZVdmT2k7JFvoMB0wLJGoCw6vbZmMfeTlhDBVptp6025h5xCPMAM90z6un1GoEIN ILfwRAdK8uPjqX7vpAr9RJgopafEM/ea6YNALsaV1O3dIHsg/5waDGCsYH5tNj4LQroOSNOoXSG1 LZ7dpHwbvCVPdtnH5afAIUIZxwSkf+3tU9bOAlnelB2mhh5vhlSG8uolO5AWsReLLVFMSg6a3htO sRuY4KVq7RmTmk4bWwj3skA5xXqTuFDqcDxYiOtq5G8slp8boBANt1CMqwWK3aSXK1jOUll7hBCI aGhp+iQBXXX6S8ctuE+1HMFlu91Jk382f0Z9FPE9nm0eHybteXZ4vrPzH9vdbBQ43Lgbhd4PaC06 MloCZ1g1wqyxVvQaxF3cDs7gbSjtGUPyywEbLAJL0YYGjkDTGWp+PPwPtPyXpauCn21NHiCZPKMs OqCfEFB89PdBGtqRVSVuuWBHTgCjoxV6wzWlRJiQLGatZA/nhXpJe0UCRlg/8Y4OD7PPmz3PZzce 81Cv+8pIAQg5C6tKBKAy4WL+HtNV38aXw4Tbz07mm3evSdB1Y73BS+rdAWvFbv6RK4phME6d66mY SnwLM9T/L7h9/oZofwNP/uKR9S104I3gj1f+wACyFZFt/3H43GYnq0/keCldzLpHpE7D6Sgzfug7 a0zhLFxSWNsrNIInh8/5Y15cdjsyIuLc+0YgpuejeQWUdCzMq1coRbipkHGP8iDwHzp555a5/u5U 509OUVHOxSZPxDTlzY4BklxdO7lHFWIlDI67egGS+nLWnUSfn0yhhrdgoRWrs4YR0CzmNO++wgMC uuzXekvcG/NObdLQcTy8NT3MtgJKlI8pZZlgoJNMyeby6HZ/o0MI3lZondw7qNvUGPKPbS90iNrv Yr8a6eotbTrLFcvRBUUe6U57k+ruU9AXhX03RbTfF6piuOFnW6zslCj40YxqCs5JTRBTndMSN23s VpkkHqdO33ow+hTxZ+C8bLqZNrPuRo2Ok0XxHaZGWyMzdBujthMkzteAl0K2DSFFeo0Z6XE4uqWB iF2A7Z50qEejN1Io5GmbPd9HrWpzHwI3ap0Zw2S5x1y9EWR5MjHH30jGQt9eo6fwifNJ6CegD1Da 4H4KZM8djlczNOxRVrNefuOwyXD0TS20Nn4PBgnbCeTOurf9AeN34e2sJN7SyVCMEj36t09BjMGz eKBpkxdRuzcYUDsJHJ9oe0VUUC0PxKSRJWi3Jd7AJhFV5evlINpe7kuOmDCz7uO0z+mo+n2BRClc A9wvmChJJedpiTUnvWlZo4ZtVrc5VvOfp2XWRtWYd2SF/WAkBRc4YslmRNFzZI8mfCH6eJ56C7fV tpnu3N6Qg9rRlUisPhTifXvi3Ix7NB2nSIxbnhXEPP9Noxz4GY50K2YBeSDof5QMydCFTBU8Z480 +qifxoUMWYLPYoJP75oqTn4+KATlpVcy3uN/iRjbLiOsrmqU213dlNCoR8CYGY++jz3wPfLtC9pf Xz/6VB53bKoCW+zgog3G7amk/WxLAMuE8qHwueqz86W7gEJorHxN7gCJut48zkWss2VyE0aHarFW jUkC77ToZB94NPU3ezQWdcro/FkutA+LMXbg/NarD9DK4MiqWP0z4bqPihD/nUQzFgy2o2OUeM+s cvMwhkeiRNQGLLj3lYrcT3jZHkE3gf7WwCh1eJ/LCDEPdPQ2x+ybbheWkyb8DPXKbzPpKX7tR+NR yq71NeDsfZoFUoBguaofv2vzmXROoS1nKfgDCxOb8RfDt2qx6RIrx3UMSfhFbtFUeIFa+BQoBQqm MNxMQmVWwPwR/rANN4vw3zZ26xuKaRs+EozPB3vLgS8jvLUTADHCpuRdMfBVwsLe5Df5oBuCaRxh z6f3HBqK2gjsovaY/tJK4xX7sxW/VuikAe3z6xTPOzFXF0kaup1W/SKgI4Awrn+2RAsvYJJjKIww qUoPdvw6UbkwGtM0KhDvr+cg/lOR9G1769g/zMTG/em3vyCj2eeQj++YTrwEEE/T5j1XYsXl6Q/i x+tXNELw+G2v2GLDo0V/mn4CEnUVyqmTIntuO1KvIpqDtSfsktm4la52FQzI9zx+Gl3o0kRwkoJr rDhXxuM6IE6U/lwpqEcPCVSoLUCfW4Tr9n3ailCxRlaLRcIve3wZ72PI+qoZMwlOuQZwBE2W61tl /v90s0Kfl+ZyQCOH9GGh9axb5VeDU9tSBJzLDLKaZ4MiZZ9LdvYG31FE6IhiEqicB+oB1avLGP22 1YIZ/NR2KYuR4Nkv7tCLiWk9ELcItKuNfw+/5TM63BzPce46KBjEssNUc4dvjAaop5PD17XGcYoj EM9RxwQBPxBR5nRDe0h+J1rD7reMNAG8G6jUwaOB/TAQzyfqOU1qsyLAeO4dZVcXZdz24GcLFdEl U/M5ToS33McH8Zi2+m0tDgx1Cao6uM1Y3PdFK27V9Gs3nblurcCNH3XSnVHsxHrXFWROl2hnuJRe laWv9kt/rjSCu+NFkqC7769dNZihS7na1z+tdhWTOMFFCIRmW12lS5WgrlZZ9QAG+ZtjoJqpOm0K BhK+NKMot3ANBXDEH+fhFDiO4ztbWu0wiuhPg/DPCeN0G4psTNZyDoxzKIKRDG485iDfLN4FVlzn TpVeYnD0tIPuxKqcbGiY6hDXGWD5d49KtWoGgkrLJNZFjqyT65KV212CQbVL5q+eb/K5Uk2SCdRf YvPDiGVNCCWgJw47WnwcCX7zPzp5j3rcz1Krhz5yXiCLoyAGgxtJwuN0+UEnIfdgu6doyaJV6ami 6HTZod6iY3xQn+KRGuZ38+sqZP7uYwhIUHFQdMKLxdzwOU/+LR1hA4L4jtiBd3g6FHtKr1OjrFil NE4YoKU7E3kTI5CElMLHhkxJReSmWml7pbYwYwWkD9Y4XivAkHjP/oZP1i8IWWdYFLEUJwSborKm BTXVArwp4k9J0ZhNcR6Ja7OS2hvEDeUfZLtmVoMRjbeQH/6di+wtvev92rNuLFO/Z6dAU2WjVUmZ XgR7BaQv8niAbdYTi1sWFMoWiiGT+hJCgikQGjjM2yWlfwo9r8atisae+3HmlE4F1WpUuW7awHgt bVWpguEaSK+tEsJkL/+MZZDBlQSoyLumx2+3BrpFPh0LeYV2dH/FaflmlPumE0TdVock5eWfaO6q K5RQlDyfJBDyJXrKANqm9I6q0ssvTzPZ+QB5Re4rmY3sJYEiJ+rRQ5Pf5kYtqp1iN49KGJlWTNpY dBQaqvuZJrK9jkohmwgy8LbiB4eBdSYaCLvajpA3Z1rkQTd/b+RkKjDoEO/I8VhWKpuHNezoNYMl oy4KEN/2H+ktqaDszP4j/uH7Rfwgcnp3PLcGatMHsRW+BblVHb9FyupOyQ1HySv0LgiJLiPFdJxo I3FLuso2d0YDYoVvpun4T59K2URAWOTyN/v2ESwi0eeMj08JPUlZ2kV4jgxte/TIBGDjh710+qBS Uv2VPTLN52ECVgC5GvJTuIvqsZxySsl8tCTOHoCyPLEx3o0WaqycvGB37n1uqqcAPhrskKKDKd81 Z8XPeKUwTv90Tzl1tYk1x8JqcKVwBVqX+2l6VhwwkmvonMDab6LjkZligNQ2NXCEaXXZAXnHmj4C nU6H2qlWj+XOsh5uZFi772NNXpURkeBUCdJfS18SOtcFyRgH8qHH6Z2RH5+Utrj7+B/EerZ3ourp ktcEyFDsXO7tpvaLaWr+vHLrE0Go6Sm1wJfWzdzHH1Ax/oe/BeITJw565qqZy8D9tx18IYMbkpoF igWTIl6VhbbcHbxvHpihiitk8nAfLdQnjaOfO41CWPauO3PVoUlno1pPErZMljmkSRt/wwEZdDfD n9CochcFhWQbwE77vb2lcUdYfabqplhUqU36k8lB0bVZw7oX+jmt7UOjZcrkDQSdyzKT69j1rnix wDhMH7vD7M4SjA9myynTVjoGr1o51ZLZKFMHj5/uGS+9gcmLlnb1Q6Ph0GzEJNaQYKzJkNWCHhtq /0gQ8QHYF4ada9CmHdBG71uG2Xmb62ZgbT9BOELPR66HIvio7nqHl3+6aKd2zSBpqLUfHoKv6iGP 2meRkQb0/vzNKRuALvu68yt6GvUpaM/+TUuiwvWHACje/JaRI7xGTpGx+xQjvgun46+QK8y0zfnl qrOd0U7jP5B+zrocLrxLezxVmCsk6NvtrLAaeioQ1EujCMo80S/FnTaX+AMxVYzzafZmS3wvscM8 ZJtDC72BNKjgYUYG+C58779u+LbokdW0qB5iiC1HsejpNfGiuiNE1s//75yu2AKvTy51TxTFnI9N aXWh2j/tFcgHBEGy6Ib5/ywlrZaHtuClcLAxtw0zub+iQQs08jKqD+kDXyoUhI2yNYKs6G6aKopG 3r41N6k3WxD39BsRbBWphn1z4VjjiGl3mD1iOxtql6YavU7sjBNvj5aLsovnPdEuj2vICB//tKFg /zCCUOgW41rnY6BFeE/vxd0umiO8c1spSgYxgsVNOAyVxpjnlqQlT3bAUA3q29iaUKnT4CkxMkmi LB1fWic9FhQLL2eji0iqCPZ4rfvH4yftY17T+iLhEcEvYUJn23hlDPD1U8VOmVeQCrQbuuzxGGIr SGzGlpcVRVi2jPIWyOW3oHQ7d2Izbh8U7ESAbu/Cvy2X0SceaoitLRrh6jmOEPeCnGnqHVrNfhwP q/pireniHe3eS9gNgz/MX4iuiJuTouOxPWSUhJTO+rsy6tZeiuF5cjFOI0P3o+pK8wTe6BoVoqoi 5KxTDdQhS2fTnB5DLrbVP8xBLvFQjfTsM3C11NKZpGwuWd21PyXmC4apBdYTjvctViJMIcPnSh4A 7lkyH5+CJKXJ1k/9giY42+GGZAg0vVal0jyJ0ZVznDubgsb6P4HD6/jN2c/kisz5qXXbTek6kKN9 9WAfhBiu0T7Xz9JTWy6vY+a6qSOdfGpJ8vTAjTdKqrh997zwLXXk4H3skjiJdIgMku30zRi+g80g afjU+Y6p7LEbJ6OA6iKxzu82P+5zClLN2G6VdL4Gg/Xzd7KzjHsWFrBaQjeY/YWvHf/VCyD1mslK 3NaTGaWKaEUMerIlteqJA39cJ0XL4sehD9rAwDQJV01endGkZ2dV1xnH9UamiRp426Aoj6VTopLE m9hKlRYLK7zyd/Ex6/J2Fuwovc1PNNx+Kadwq1jkx2mwCr8jxzfK2q0fkpvMk3L4LIUBGINnQDc/ pRW5aO+Imc1NPCoQThCx9PJ5nlTjvtLMS+k6MVdKHnnAGWPLW1WZnZ7Vi5Q21Aq3xRk50rHlpOg3 e29LQAFipJbRfNu976FAHQcUWf99yDqelgDh/iAAZYd+dqmjfFcy4lMN548D98fRSoomVPdeGbpB CnT80Vm5KOmSIKFVIj1z4OWnCcXPoLYiK8shvgYjoBEzV0ZvCHzhEMZJHSllbEVfL+uJJ+JylzZX UhkpkM4HMpHFJ3hHNAdGtRbSExzb7W0iQRrSu2/PmdPYMBgoarXI8Ycg4OzV+Dmq0TRHDJzjxKg7 R03ZxLC0n3ZwVq3AimyeH72FRsA28OL6uzH6xGvEIpynnMofLQ4F2Gj6eWTyV2tsz/FX/4lzaFTB IMkAJ/i8XRyPG2oahTQ6pBObr8o02QJlo5tnMmf0ULpIfJ+stgNFsJ/5tebJyOUJaZTeZquODX/u sCAhQMnOaU7YOKYkDy/y8Gp8n9dUvJl3crgtQ0ZHgIWxqkqYIx/PFM5BSC4/zRqih3OSWzV/HIsS 1Pa5XeXnIUBcDmFLOwceQGk8yjlwdmbELVfB4N0n5DuHi8tbKWTGQBakDQigZ20xDaFrOk0LGXsg dLcJaD6pVIqjyu65uhvzVmUHkyIaXiwZYIt88bm80eqGWiV2YTLl07P8HhdpRyGWQbXzHNrC8qav VJuyjnBJeKWgSLu8a5w5Mj+PG+DYvzKNaOHS4iqCXf5eAzhQqF5he/kmS0nYxPIbXEPW+yIu7YOV uuLfodEw/Ib5bXfeLcu3wN434Y6fQQipvLmzVkxROMX7N64+MJX1tp2pVROKSqYMWyyjFc/aWXYm gow5XbWPqvCDePURTzJwcZeY/3H2CO7ZS4ZMQqLlg9cy1AuZUxPCojdOqFWEcX4PAby+auiPSPsG m9wZFU8xQ+DiCn6aHAWuKWIY7uzmwjMSzBI8bqYOy7Wzw+I74Ln5xqyHGZW6RTjrV0Y8aTLDW6ys Kdu7EgS9DU2am0IOU5XtyEvDzNElQ2ObY2A1+eQ++nEDlA05eEyJdLuPR9t6/+odE7uXGAjPVLJA gUvgxAYsTuUgUFDW0e6OZOh/0j4blvUGDPlWTOtaW9vI1PczA/TYsm7SR5ptdxtiEd+6p4H+PEdZ UNzYkgj+Pi+Dk1VRKY4PuBVdGAI4MzixHK63OaY/Q7OPIsfDDyi0+f8aNWUVQl1EkAXkPuEv5+YF U7YU7tcn5FGcpALUXb0mgUPP9lGnT1aaKz3MAuJIZawPvrIA4Sij36uI4p9Rqxk0+eRRg99ah25p cAS1BH/jfAgMC2D0VfY064Fu+iExQdJ1h/clfQ29AKQztEhnc5ZJGQgdP/Bj1tc6F+3Y6/Ae8HtQ 0xm40uYn4qW3Ucx7dHZBN7sJsoXMf+IB/vcZJPlfSE/BW6xhpuWySa8Gmd1NriNpqdT2tOOt9zcY PJxL/WralIYmgPrDYJbhvIv5MSFwmWZrmkhVoQ8UHHZk9EhutkKxpJxtNdfXCYtEldzj6yebqBo7 neNU2bHgYQQEMZCUh4MA6S9aRgWfnDAUADmfOLJya17yV22upnjL/cYs4wTZO3XMdqyvUXua9kn1 k4atC1XHvAwDM8FHtNjU5Niu9Rtg+/2bFnEqwvOIR/wvsnwAQ6MHVbICb1XqExV09SX3mq7N9Wih 8zMhMrBy+WXmtSMVlVRRt1LVs1PKpl8E2al5cA0M9Nmy9to75DmQspnkIoO4raHQYM5KY9e44vDl xWiz8C+sXAQpx9cMlDWtAvHQb3a8FutJnk+4RVEC6JKJtCs8Iq85ymrPU/IVsbkZn28dCNCn8CGL qUdOyOmhbikSQtE5M2jQWEzfvzpYNAxFab9XMTOt/ejijcweIjEUTJs6FDhpJgGiGumOIQS0am6Z yo7VtGr/OrT0aW/AYQ+vI9n9q9l0488DMD+nm8Nr1iIYEWQ9p+HkfyR00UdokSX5Q/wj8i5n6tKp PjYCjcviX3okTN4w+yzMfm7b+CwL0PBKXJerlY7NmfSFl31WS96fn312LFQlm896i4nSUyOgmnXd LXNOxdrXS8vo7qkQ1MvpAqPRhe+on5zv8vLEodEPTSoyrdT9RRzeXqPYuGjKxhWQRyKN4UjwgaBm zBAGAOEJtUfWZf4BSJcQFMxPyJC8++66PvjHIKZ6/X0VSv6Xr4X4tNILxEzRefjY78+Jb9aMDFNl F2spzGlRSvNUgfL/t31AJywP3hRidAnb95pCW82N4es5dhVi7Dev3CPdBLEhpEt4FugNwztf8oAO 46mRYh7LgWzoILMBKa5E2bmZfAQbtYt13LynU9cNENGa2CUf89tjCWIx1rKztKIhnKmUExOCrHPA mcjhO+y1aCG+r14LUD2n5kGhlSXeOwA9cs2y2WKOOlGCVeflCQya7EGMDiQBbY2jCAzdpfDbDbKx nhiD4JwoZ6cWS9ynohTKycL5LSEI+r9MfMPoCAe6n9sTene1TCskUQSeg6v6Gogd4b1+D+bMLUCC LuPdU8PwA4U1EWPcp+xSj7Xrc1Z5u0U2QF4HF4OWnlmod72fuN7EirZHgnyahTqKNXzgkkzanIOf xhiORoJ6D7TG2rI2NwnX0PEzLucN3eLYSN3UKammxyQh8clCERQdmo3CnGaRBhRwmhQbxkXDXqpK 4uxH9NjsM1pTBQ/nzpQmIn/RjA1i0ybBgk8KIeTEr936qIj6Acf8jNgfyZ28Pn7aQTDOheaTq6Yr JOunIP+9EZZkMVLRiEHJ7raQVt84FOZ5ivF3S/QXYuJ9iqlwpnG7SaUWaxTFmWIPV+Cr03IXcO+Y h2S8+FLEP+gdkM1GpYQAF425yGFtLn/Df0zWeBoojGQPlTrwhrH/V7253eupden8OxNof7M3dXMB 9nQzA6dJE+XKePwglOeRvX+jW3D6grvo2vcxqZzUiRzdjE0f+tIK/tKwe59R726SECRF1hVL6ZLn z+UYM6GVXQbs/yQrS6FTkr8apDy/Go27ISMX3hIiIkDSc+AYfutyrjQ55UeP+g6xj+XOAQXBRYax Cc20/sY1xSjxGNzI6IPrYJp3RFWf6Z6DnHxWeWjZ3HJuZM33ajF5M3toufsTLvAxTnQuEOzMkGYR SAK8X90RojNweuc/NFBf3AB95x3sxJ6rRRBNq/fxjhHOsJW7KCqQKWMwH8t0Inic55fKcQ4zF1lt 3eSvzLkjKlq/5Jy8s8sWmnG5xqfh6Cb5+c9OW6q8HIEucO2z/zwXhhDe5qhqMvQaJzasymdM0TY/ WrMJctndiXw0ls2/F7wjL0DMyqT0IOs1ikzm8cs6CmeBttzbZRDNhjZhDhv31XlQOLl+jYqIF07Y xi/DWuUHXi+1bB49nG6ux8K2XpQDufS+6eT9SYlriU30Lqvfc1WUFRvWjJySnJY3jHKpOBGFQKQq GUgUc3VKTJRBx9pCvNWycodor+qzji2UwUkUXpn9JcpvzZ3iyBWkGMd4tYyaow4ZNRbn6XCM5Fh+ x4zClobwBeEHC7xox0gKCUinSX2PDjZIUNuuIZujLNxidSJ9VhI63QGuDJwYl46e/f8ZCfTCE3Na HGXGD0Wr2hbE61w3rtCpwtr51mvHD5Hhi+P1RtHbx0HiMNQJnrijjVGheqrpEnJ+aAOwTwTFcQYJ YPBCjZHcz9zb7dD/+iMHch0aOfyO51QmI7QzVCILqPrIasn5q4bNe595ZZT2RM7ovzQy0yMBpCYU CxEGuZ10kyjq+rHryhgf4BPJ2RetoH+X4dIkgSPBcnsR2ZJItKGW4D796Cxtp2ksire4dtx852Ok 9bC0BkjR9RSEmJqkD1+oKjMqa5Gt4NVUvKHy9fX85jInP3SkhFeX4Kh5GXbl6p52KTIq900OwCOu t1pGq4cSXVjMgS4bvvDdckmQfBAJi89ieHX3ZB8jOx1uDs+ffW+7HdJaWMXA262iKm9Hx4TTKjZY 8KI7DjatyzO7TQBkMtLlisG4MSyaFbE2/o6bzm6a2Vd9vo1bTQwgf5pWNGvTKk2zZEbXH2x1iIay Ug05aha/1OKdxMEMbijHbMOknp08ucAUHgLWWGd+4CnZV+sWujjWKCwboPlvGe3i93VKi6zQHtyv BF8/YKfMz2IAGul7RvdGlU/G21D+PGkOIfiJWvL2pwnDYvqg4ceQ0FgFPUC4eD5cmfon8ABsrfjq XqT2rbyCZXP28UxBnrJ4Dod5s664QRkOCpRqLmytPCKIHVScC8/ZbTrFQbN565IiW73jjogXb2Er C+ng3cJb8a2NEEp2wFC2PDSj0HqJlGzApQNaLgKZTtF7GfdWzQDOTjO7NQ/1iNV5uEVohGRGrjDD rGa1aNQjTSiwbdaO/XbW52CnZkboQfC2Y2c8NZFVkFSKtlA5TtRS3i721bN7UYV3bgVppJEk3TeC 7SwEtpem6nZlqX4+iu4PAeHito9Id9Y9X9sJ1Sw71XaUiBaxURhBUBuYZvKTdcMqpJq8zi8LW7JT XIJGicaVERaFlzaZE8i+Q/gMk65aPCxczOLRWd6YUJgp+XKT1xOC6dcWkcToBs44jSa10bWL2wwk vfpIIb2N+hIhvfW6YKC3PXEn6492cwK5ROFSBuTG76aRox0BtrzXA/ejpoykv09NajolKDlRChbB A0hw80pfUDYV5Gw/ahfaHpN2SAVSVFYzKXS178T0aQu0oRAa7FVm+UpmppbRZqkx8OyYC+oXtCyq ZSnP0xTm2XZxuxcLTb2Psie7Uu370ByQAEa7oaeisoAFlLP7rxfpLdtn4yleAOKw6SLHccfQzwBD kDb8y7UCZoZ/ZdgCSdHtCyHhQCJ706RAZ1/jzpg/WoTdngIUBIScKhLhuH7DofGPAQOkEJo98oNC TSUbE77sW58NeZg8VrNCDALwPaTTwlTCF5sZkM+u1GBxLjpNdzVFa7gb3br5gCxpIr2bn87Acc4v aKPWJ1cFucY9RgiCS6jFnVtEJsxdEzucnU6bbqi/Ier2P4ikWGfRT++yT39odxK/pK3FsnorMzsQ E/XUWxzQgYqJCD3YXusqBYysThMTEelI3ZRho1p8UCxkKghksoVqYBV4zOKtOHx3hY7wgznGKSXl ip+YRA6XGp9TihCtgue8AvgrfQQj3RdXuUFWwbUgCH25Nh1GjACdRIY7L5uhxeCSxunJaYikkDUx xIJOMWqYa91gDj6+9LlF/lQDA4Pz7PyTJxAgh4cvF/0ZIFmyfzpMOinhhagSr+vInpZTUhLhBmXI FeHXlA2pi0q9Htiz1P5OsLdfWx1mnVDi6Jvz9qz3ODZk/9aAVBvp8mFjacFUTYS0y+J/3yhvxQ== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/msr_reg_bit.vhd
1
9914
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Hnh4TQam6QPzVzgIRmXRh+2bQDg61RGxPwzQtWaOL1v/UJzxBjZM+ndhXMuMCKI1S7g790r4UyiP fkNiDTz2zw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XWPK95CR2SqA1QJ8dexwZTtrMRchzashOqmnXQHzBSOwg5+F46pZL5rH8eJpCuiD8km/zpxfdj6F EhAhMQkEvd8KGmWULdkPpv2F/eWIfRDKtSbQcDJ2vkTZdlXEV2VcZ5q3YoP6bHUsB4i2M8E9+tAE rflHrbvXNxnpj17W99Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block r3NnneWCG6X9TsnyNb2BrmYUmJrEMjoQRl5Dc/0jm4lKWQWIjoUImhkXIjEtsE4y3Wi40D25IA3z B/LW7sSiqiAz4H8Ih7wzZcDEuYdM68cdqVmwtpU12PYp1rVk11bHLD6Y28bZ782We543n88C3UfG w/gnHyZPlMGQZrjosUjKSULVfT3uwDwDZ5fXBJsN7L1rwcP2YSXQnAlbTAWNAtXVuggN/YakhYHc JF9KmAoEka/SWvGCzJZapMrqF6D+LOv+puE6V+RN6N4a4gPxU0GGcIRah0qbmRJRoc1yNm56EmXv eHT8gIZAuun0rJ1VmxfWuoeJyy576F+R2Ja1zA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUIjT3boi46VCtHvJX8Q3gCO3T/7IGNBIxZLnwzpHtkyEVgf/t63HrW/06jfhgVbNFYVEIWrzP10 HGqUUDgsMFAK9vzeDBtijXZwohpCSgxXEZtz3TCxSg+mi4BsS5ebtpnIbmJzhEWGqMTex6szKK+1 QA+DfJEkF1IrYakIWfg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HxHF/mOdA54gRqZ7fwdCSiKIbqgMRw7pVSCB6yPW3gghIwhn/QtV10rS82lmSWpahzTZXZj+nz4p 5NuWaPwVPOu95aV0HfyuE/bRHsdK7phIMlecFRIQL9gnJn3zwDOlON2keTQoKu3ilmczVNS5dacU dZcmctY3WMDMNyHMdS/f9WauH2GgugPgJ/vNPxk+K9y48FGRtBVj46vrE1RXdZSx9k+OjhThOtrz gwnfWQqirz+YF0LtJK2WI2zBivxCdAtHUlfKwHZJs90ZfV0dwLn0nOeld1cJ6WbGnH9WvXbqnABn bUnCNwYQYD9QhAyiCH8WShqm+hJBAtHeS+EySA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600) `protect data_block rKPV90yJMSgwTD70U4nQ0XaJyF0zQBqrwV1ZqCknd8tzgHT0ojdn4mrQiwlJKzhlaMVThDwRv7OT qJE00/ei5S7sgRjFX3Ggv89Z68d+ZUtw2e3expyHZKe42QflAtOo0lJJjg90+nHEREyH+ez1Otw0 Ik1GBuiAy2WaNBtBHa+s3dXCipJILSz/PLGchDXKaMCIc5hHoz/o9aWNfuFGkH924IvlupRwKUfo zkOv0ckqYin4+Y3xhyvJj1Ncsk6G0aW3bh2aYWxQdDKfhoPxdyUxBEfM6OVVClw/3nlshpwW3HZZ 4kgsxs816DVEIYMZnGQF29FGcOk+Iy9TIcz5sPJfigD3tEe5r5/GfS9fKhlyeYHwtwVl7GYrv5+R U2bdJeifpIqTx4Ibx1d9K/nrIM0ePaAvfgrZ7G5o6s1cqNlEdilviKtb75tkLgAo4OfDzYLNAhSV 5m35mPHRfdEUuzgQL1dPRL59kJ1KsK3kNiF7Ii5XkHTBgyh32/KdiAxHqKJOYnQM6MNNKfAwSLtU kPpRtmSS/lKHDxAhzNR5I/AhaqUPM0sjkdCSuEJCQVoK/wfLcGHzUK1bSzKpG1mXgDn810tNjoUD q+y6njFpfSvcNeDRKu7J2YA+NZ30bWs/ZdTTeHkQn/iAe/l0vq0G159d63AWDxAYqOfQBsAeW7O6 BCSt6bXQ17LTnJjG/VTI0/nhe64Atn59lvROaFFeVgm57+a9TC6Ns0i3oBPf3wIuau6kk0uHBgLe bKYwdI6t++f/YacE8jzthgAve4n64o3gLGMWDt2ufbaJ1COeHkJPkoZY/A1+x74Zuw4iTKgyHz44 8TFAJEFqFkmLUgaSi+fALiB0S0yZWIc3j33P+E7UltHZlZ7UA8zq55osYGorn5Y0UZYL9J51GQwS V7OD7uISbRk7LuzXkVljtJzjBPRYYORhgDDzsMXuIrGjI5qO8Y+1OIPE1BrVIPtsxP41ircL3mT7 AXEkjC7Ajgry5AzpJz3mT+vSo/RhgsJ0OTGWFcA17/8guFnoqiXSNg/1yVrTwwf7Ql5Xf8dsG11e V0xcTHtJ6pq75Jy5y+TCuV2wpzMlu86mclmeSaiR8aDd5Ju+cHLB74PdcHm5TsEJWUC+QqnggNnI J+Yw9ojvU8cB/AtYrIMJka4Aoj/rkoKOb8WSBnZ9TNwAQfB7W/IaLmsw4zEXeM1scEqTY+t7DuIz Imcnx5XL3FSLS94oJ0rceURZa8Uu7nh4jDPnZ0Abh+Dk2r1mFpelLGUfGWta3iHWQjCDY1UdM5H+ SpWyyW7+khSy9wlBW/RydDFeXE7cFPMXZbkFy046KyO5fypjteaUu803kM4xBnq3K8qIpytuAYaW faywitiSjF4dUrsEx6I2w0tnYbnd3jwSzLJzDoKAWwvjq89BWjP3nIAVrM1LJTZJUBOHFIKOdIRd Ink6nogDrYGT1v8fYUlDmx3gja58jf/XBLE12VjYLewQieVS43YdNgk3Lz2jVy3jlUSUuU2LAQfy /aRZfSH+GFcwUhBXTrR2n8TzJTFiNYYXJsoAkgtbHBi8y68OHfLgh04fNGlDHWI02zI3PycdJlzK D9GRdTxpM3mgrN20ov+hoCLkkakuafDiPdIYw/R6k4DJgPWHKQdXOzO+Y+ys5g0FVmMBHhhEQtoH AFUOW2MlZa2DFIpl8UDtqwKz849RSFzidxiFwme33/3gUcmIUE2C6iyPyPfbRe+urIrVkJfjhR35 Arlz132vas7TTwztJRR9a4+gtt4PbNL7llYGwnBtl5CB01Flzs5L8bmMU5FoAtPfjRlTRpqokBo8 Zn2Yx4DC6Z2A2CCFu6BMgb3jgHFOXkIG2lMdyXRlJZGhnz/P7ly3sQxjt0M2Vofzcfqdr3f8uHdp 4n60XTOXM4eLei6C10gsOqhxWsbvxflR2wGkf+PJYHhtU24ZomjwThgAR79SdiO+sXBEhjlvKA0P Fu+KALIeAKwtuOvbpgmpaIOj5w3XDcnnOsYco9LG/VZz/IpNQihzt1qeCfzIzLZVthgY5AUIENzY 5Hva3s4anI9XyHU+bf4QLbkgvMmZN8SRSMcjFPO52cLtvd45meGvgvJGYKicHsORuYxycnj8ikSX mY1ZK7MyD5V9+xGuQtu7eVXH5eQ9d2vPjMnpyBQ8aOw93wN/TxfemEeUgJJ1thdxCftQLCnEWe+t VZpsVS9v9GwBN6Iffs7QM/OyzsI6gwtCVfkwiEXsOQZT+2GWgBfT5Nqor+bLm4hl5Kz13hVkdElu ubENM1Zwej8WXq+v3qx874EjhKn46/SEq5n/C2uMuCksZ9YCZON2LcarXC2kdPk1FPU80YtWhc3O NJXX+Pzn3pDS6wZAWqlhMcHSrdieh60Lo8OAIog1EskC+zCSY68wSl2sHHSnCxWFOWLL+xGA2GWE PZ+b/smo89vrEivywknvKAfo6bluSk06D31yRoPNEwG8S9O5+rXhlgJsgDBk0CVvd536WoPhpcze qdDXLmBcJFICTwH5JZsJbhIJRfq7xdu71+c/jVs2Efiwnb6VlNzZc9F7iwlgJc2R+V0a6s6HKGmu yq78quzKjuMgfFCFdleGJ07od+JaqSeHt2omksGuouB2CbxxWqPy5qUoxxlP2QfLpembwgfhPRt2 eS1oaBRub8TqSP2IAN2aHxg8jHedv1UqYw+lNOpoXIABruQNfRYamL09UTENX7oIFOA7dXgU9sjU NyOriXEebQGgYvf3gc/J2nZfmTIjYMXPN2kYhZmPBUblw+y3+Gb1myEVdLV0nSAXdPX2UVZO1OPV lhfewviYtACeV9afZsIwjfFnmdx6w8XI+DM6MqN+d/Pv5LN12asfeef+/XBfMM+dEznn9FGwTkOX ZpMo82wJuhRAR4LJQeDXhCC0zZSrbzuBr6OXWpsBgV0bTlwScOmoX5/0RexdWQGiPn9mxwevWm5n 42iTx0peK5POvljsA3meusUxpXq2uwiGEO5IwEv/M0PRbOVBzJ6CfFfrMGjpQWbSMDX64wp+wyUz 8QfkfYRBIWZKFDYCwhoU2KEc0yvaRoGy0h3a+lEK2nG9uYgJSxlAdSbwa8QtzhEy6A0Q9JmlJEGK K56p4aDHuX2wl/msKEWZfbYa0bNeouU97N0fpPTBfSWUdnqHNTMG9q0I8S69gUxJ/1qwGaShPOB1 0H/l/a+ccAYxCejLu7zm/nzcda0mFsk7DFnJpkSYio3OZTc7CXtWc9aKQYO0EI0aw1xsmERLkl7d EjG6oIoXuKlOV+LavVu4DAqZ681r8t9kcVb6M3zSu6vdllaAMU4aZR59Q14e3u+nPD0ccvRiilVw oQNX+E3ebpYxGQB2zZXUUFm+JJhXKNIWDSlqKLQPgOOCwCznTp6oyQwqnINsVfTlIH5WRSRFtRIb 4G7zAfWI5NkqhwmUp3L8nXxr0f0LK4FPrxnUn1OA9vvIeV7ho9bqiR40HchvXIQ92SM+ZF/5hGj0 ykvXEdrAU9PGlgzOgdezvl/SBUcd/QrriSO+QYnSIhQ5uX6ipWJgzE7sggaJ1vSoDwjDQX/ejflI zsZysSAQuvj3DINWGh9NK3namZGOop294qmSzVxFuAJIso8MCXYRQ42+/3igl++LnS6VdetTwfeB d5flfIuHQFLjUTy/WcwfCfeZjZbPEQwU2IrBCjtFC7z/VYsTYnqz8HpqoouMh8071VRpkvG3DEuV sUuAcTJTFgxXIUbU9PHwUG/Y5bSiiK+rXu9lDN8AyTbDpKJ2S0cy0yan8vh+L+8C+YQa6sEiX6+A EG7oavJ2RkfYVzFF/CmiJtQOjk+Fn0Ywt1ve9ynluDBTCohEicYKH+ix/caV+fpCvVCwZOMxuNsO StOWw8FV0ud2DxOuSYeuCK+YMLXdQKUXyDMjmdtweF4u1ufO5J33wEecn+hDokbTJXugLN+/eoiV StqE02Vj3mCTk08PifHFC4Hw/RHnOI2+r5rjDeuznb0Wg8/Jp3o7Lrr20xy0haY+kQ095y0CswKz Km+Ot9GanIv5Oe21glrLQOQldzZq6strvEYfE6K+2tY/O4VSv3BfuF6PqAGVFFmQaBbuaieugQDT uLEdGQZDOfPLGJrmAkSXaUJb0v2iMLAwGEW5USPtXIiYMh/ZDrVhI7kPdWxbbbrX/eT5RNEPFNEK pp9K+LaWizc7rBkqAVIdoKvj8JqdHqXs2Q5ZqvRJ430pTR1C/6qIFzAsxhwgxR8tD4tWpm+oWw3S YzPsdHWoCOa6tQGqu5fP4eDzg9goUuIowYjbu7E1kpeJJHMA6aCjFhaErUgw4BK9ZLnDl2vi4SKg NqvBP0jyie7FCHL1b/L+SwlOMwr0Lj3Rzc/l1h3pvObk5PMp6+Mo8Vn4ZSWtv2DcEt0q5xBBtE0W pVFOWMhEBh7J5D2v45Mo8Ia7V5p9/3shjnKQZolOphJoceyJZ2dcveCTtrgEXCp0zxZgoyYyxnBw nCgd3kZjeL2nIdPM0UOkNudoT37Htnv6tjghYWekOTscrtGA7eK9YnNB5qB4uHlM4fP3zYHJ9ZVw QMzEV2MoKt+RmZ2zt74zujq8e2t38vKMpovKmudojzau1ru0hiaSQEZXGWsr0hCb0rH1+a/GMflS WcaqRW8ySkpb9HpMTh6Q7kAED07onwmnmZwbehMpJcaa/VGzeYolYDwxBfQ2XI40lATJKcEebmh3 WRNLSil3P7JFpEHu41D+F0UkokGvtW80cUbg0c7dxhlZ9qaeWySF7wdCliFIfE+fzEWwVPf55bL+ WGEenoUaLIRmmxIW1jIT3bOglG9BW6Z+tlibaHp0dAvmHEpa5LsL7UYn7MCu2ZBXeKWSEqaPLUMA eH3qt5D6Ua33B/Ms3Y57XrVAKfHcMnTc21FBNHE74IzAWu4SJZz7HY4+vXStycfABZMAvRgv1JuA ZZqMS3Pb732xp6xjMn2lb3/WMdQ+HatX95QpMVrlOx5EmyBHlu1LCnmg0xjkIajjptBTYSUN/9RD JbLZMQXXra1vpgtIi6EM49XfU6M8Gq4B6wM9D9Eqcl00i/aSCJ7yb2qf8AEyMAK/egwQ8B7Ginbo lNb1QTNqdzNvptV2uvfJ2ZTSpW6XleYNRVJ4EdZspNZn8gYEypco7EjLwE9Sbb/Q/LtGpaJ10tLZ HrBBNjRO1OElpGZ0K++C+ftuM+LkL49HVYITSGl8L9H56WrdXmv4PO5vYfMSe6POlBPN7FTXAKoW QNidpHMO0ep4hhsT8V2MoykoJstqVWuryghiPPeXJDQJWV81XSK7xXkbDalaNDMP6ECwKXGo6p61 gFrL2RR2/M2YY9EnBGuDyHCXLWzLXMXXe6RRaKOZ+TiqW35s5q24s5saNXUCTL15f3XkkrAMsOYF D8Rem+K2yB7D6pPG8cfhcyg5JsCS1VzZUYhx14lTutCAQpZgUD8K+HWpfVN/0RV8vTIbbCY/+yVs SzV3L2/FAkdBXZeQa6cDaSPv+RbxgdlzIbddh5ukkdWVHMwOHTWw6JiJGZ7WLYv6sfD938p3rYan rLsSRNCu+g5LtrenqriDKYl4qE0YDoDMAAUeIMJbzHc5LqxOnd6fciSsuEoUDX79wX42X528p/Wa /ArI9ETomQ2kF4QfM+JI5j0LkUzYwddHG296rdv5dIljr+BawSUC/wIDe9qbU5dfzXWDi2jwlepf SPxiWenzG+UnhfRf16BKlyOY3bqNw2DuBVt0Ij+iO/co3P3uo5+FmJbobauRVuuK0zuuzmwxi22C 8u8m+D9uejUye3u+pFGUph3z0sTPGlHLhmJS6PhIM4eW+JPOBj6GmE6Dv/NqWcIHhqeks4K0tjiT 1gBumM37s1d4pqtvfF8uEz4ET8Xl25Gc529fq9m58FVQHxhUdefnVF6+Z/W5CQfLiiHw9UwOB1Lb darXzfSn9na2/lD62hgjxCTSwhfQ8umvZb8v/i5t9HkEWhv1rzRRa83wukQwBV5F9rhbkDuYplJD 8ioDfpEdBrm9B8aXcd7EMqqZU42CqowbAxAUW1szmkMaG2jRU9yY3J8OEWCUQaWJ+eBX77OEx7gL U2d2YBRc78pCsmSy7DfBdlJdw68gsdDxKvATIDC9qemLTzn4njh4UJRK0ktQJHuMNvrgdzU2i/V/ WR9S2cKIWzRDkauzy4/TBPPpLST/wPpIxxK50qo281IfLabjh7jGDVrQWlwIlzgksXAaAqvk7zXf DLh0CBxg2DDxFIfqlRrk8TfwAuy0HrWQkzp1Fjo2uz1DRYU4E8RCoOFfJB+LuIxNqnT+XhTMEmLP vH2NTnT39xQEfmp4gVDyjt+M+JjpnxUuVlixPXFrfT5wqutI2ODXxHOrLRBFztM15QJMdYgNK2CY NFNz1FSmzO6m5s7l1kKlFaanKSpPitUHqe3+Xm/Of0IcH2k9zbiJlWUTze2wuUS8Gbf3hNbJoRkT 8dah/x3qKwsMOfTym+9HMbqMtCUSttE8d31nD4hqc3Ob2UYbnq4XGo/kE6HS63j/XOb+JjzIFSnA 7tA2UhuJqm1Xk7JdD9uvdZfju874pgo2qvvAlUbWLszEudp6MUBkQJhLVdsFXYAd3+UsvWXx9EHa SN5TyTA8a+w307KQmdhwOH7gmSSDR7Tt9pG2OI40gO5THZHHovE4A5nIkPCFkDELjcHDfTjyAOmo LGFzirUUGN8Lco6u0MrNmwLO0tp7UpQdWC0ezhBwdK3YMM5ASkEWKV5KWJzzegwT3j0DVbkGCP1f EIu251lC+yfHc4yNkVgONlhUVHadWy1iLUb2ouRMWtO82JndAF9m19COg/G2RyQ8Q++baI5fL8dG EjKsaMPmOaZWyZz6VqREHLgQLUZ00sclt7mM0o6O0b8XVVw0FdXmF0hO84gAU6DSVr952UkjAX9+ agQILJA0X9M2aLyvO0b4rEye8Huwg4YpGbzrMXHr1C/UOKQIEdy0M37vjy4lRDt/N3fGv8WD1np3 au7fv2nPxUzzq8+Ac8+CZm6+BdkHJn0C9+L6eNdgV/bHYy/s04jwjbokXJHfFGS02M434Xsnc5pW V9epWXx7fxLq1ZOmCZiqvHTr3fDwFz6XXcbcxMNMnwn2wuPftS98LnEFDPqPrvoiDbjFvS0GXimB /lXKPLDTwhNnHDTZZNjKWQisWRc2gWrC/okA4RIIuYxJcYRXxXoFKGa0tMHL2MJwvN2Aon9cmg7K 71mHT9+j+IauAHISZlq7fHpHgOagAecl7shGbPiaKCwoFr2fUMltwerOgCtllYoWM29Tz2ddGtKn CBArWyFOVusd+DBLb7BDsgDNKx195x0ZdFk6aaewMnjDGTXZT7ebqCGqSkwO84/tTrBEHHb2FMrc grFrskGh+zPBraSwmuecNOkcMLlNCGr3ONzQPsoQ+TTEINZsJz2eImejOfaq4lMdCqhaOwnzIvSH /wIZAhUUuunm3i+4wMg= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/shift_logic_gti.vhd
1
49902
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dnuYDV+/jO39d+EDne/tmWeso3AGkvTCDrq5yLWUleSQnCGzG1FPLUl3yqA45I8pSYZCChk4T935 oG8Srm3FNg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A2vp08UdpQZ438oLBhUFlsE31lV/SFK4cm0T6ChYpCTVJvSkjtpQxULIE1tu3tkYsaQ/z+Ogf46m J86e0+reZ/0d+Yu2rh1rYf7y5qESX47vK4r8euskcAz0+U02Scr6+lQWXJDWjpBBmSbTn1e+ALex 9gCiaDhPQWft2vlJkII= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MGLhoYV4blVHsEcswQge2LTgsC9uGDtMYfmFD3IJSNdMCdc/by5nYH8bnMItrtPKsG0OUFb3ioIt JY5hgkZljV8ycTKAu4OYTwvCRPFzpE/yZRpTK++NkpyYakzfk4FQK1FqyaueVHwkYzBi2mpBrxW3 Qls/N0DWIChpJ1hCaul2qso9kFS/vVeHrh8YAgVpwDSVpUFO9Zl1nXEBmF1wxuYZAoWxwPNXN1oC ia9ZfN0wT0K6oG8CG75QOfPoD3zz0McMITL9/HvRSwcgxi7YtaC5Df5fL1YhOpF0Qc2ripHjPQ9h T22Cuf1A217HL9DrbQGjnLg6QdpXmB6+aOGeOQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block By22QBmA/3MRfPpe2+sV92D1SyXqjTeuH5DtemoHkmLZpjwzpYkPBHXG9l0tSF+lSCML7gby3aA4 4MS/Fr9o+0UpwDqHavu7tusrDSM+VrzxGRVWK3+xnKZ6txhhfWqXJ0/dqoWO/pYDAEs59S7o/aLy eOAedzvB9BiCKPKGFhM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mvzUgxtaE2X7eL80qhlC2B7yp2tcq8mu8tNTFmvbro6l9oxLN2nCQB3p1zUvGvk7JYnOOrVLp2/a et2MDA5nGfmoXDUZ5L1LTgj6MFIv+rGmtFDW7SIWeH3pIKOX6MUEwafS8pp4txdxHbjEmzGqJFMT 0l1fz2KfF24odGu0oO4DmsHnN3CvYzhh7itapewB1yaVmuTiKMYaXW2wKnt2/itYMICUsE/u7/pt cCKgwAeu890S7IvlZzP/nwLJ2faXl35wlRyk0fUn6TIrs6a/krQP1wUaacCEPEDF4A5/Z+zW4tIM M4AJIxxGk43d60a2lxB6Khon61IKZkB6gfz2ZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35200) `protect data_block UOePsQeCTrZ4ELFYvSBb3G3+d5u3NyAqAAcD5ElBcgI2CkNVsN7Wxxa15mSWPUmDYuR7OfrCp9IM Hc2Rxbx4wARsoycBekGVl3f8iXGZcykrwe0C+oHf476FLhnzqpQxxZzR9P6LabDjbXJx57ii6GcT exgSd2sx7OWTVMxiHbJIrs2sgWeBlgODmxd1xuiWho+jP8aHRWx6fWZwdjof+FlE0MoGxapChWkT 4XN2EkVYvsvoqRhFzfEQeLhMtDZ30QL7EtYPUKTKYmEHmX3WRP9zqazw7w61CJlJQx03EhWN8FT4 XUiP9jA0FKrXh0EBD4IjXfMx3qdWD+onVeIcKdhjGvbrhdozZV7Ist9xnKTy6v0IhIyiWoZ0d3Zn hfqTH/pW7v5OQHcWWTRAkdBxcSHeBnK0OGr4GTRn6GjRQuizjfxmUleDiutvzqpcQWAafxvylyQE q83zlrN7VhyZQq+c14FSNZn+aX+3WzTQh2f3ONpa2flz1i4rUr0cFdJsuoxS90vhM6xZJUGuMTxN PwuttcxDGI7nGqFJIZGiJ2wGeQ/NQ9Yzp/RHkssJI4EsO+XsN+hZ/hD2YF1c7VRxSw/RwlGcKmyz 4tsFECqq4xQyC1NnBLFOxaq+qqr8QFTI/9XAlHBYic5b4EYFEmJ/Cme640uwEnKy79YkCT0IOrZm 7fJBTpLdAzAfbJCiJ7eDW6RhhX9zEmX1nrIFX7x/8f5qtS91FvYsC2PscqzWtaAUrCzPquj9MlOV +wLJ8qNdxJyCs2HBVKcasPddfTXKrNwqtO4/rOGTZX7kaB7D8exiN51J38/ATvc+HZ+IMZyLPvtC RIdqr7OgI/Pb/2YZV8SMwkCgiOvcwuMKX6GH8F8VdsYHsH+sWjJHZabVebB/KUuyGck9LGT+o5el D41VbPMorQxMntL0aZRl2LYvmA2z8XgjGj6dVsE+wKzHYReaxRy/IR4xvMwWl8UUdbgg/qnzijH3 tGDO7ZFAaqaTnElgLx+xUtCGm2fErb3Tp7QH5vdqL2KZrtXOY3e5/8sBRbwPck6mIe+hlG00HU3u WpSgwBKuDWYIwEl1eA8fz3fVkc/0vHo8M7AUrAXw4e6yzGXhn7Ahee27Qsf6GXPJfyFRB/O2aEGl O4JHeREKhLI5+zKyaovYonuLAPq2tQo4v+NYgrXDZFGdmPFeykfvn9pWgkg2dxbCeOocad5eSQbD EFur8P009qkwOfegqe5v08Xylyzvq6ionGt2uEebM4GWHkqK7/7cz89tVrnnLiUhBDfMFU34zKNC kh9D3jrSG7Qqnw7bDGaBzC1CxfZdcQ6rXzN4Zistw2NxVytmxSgzzJ6RffdOhiayFR10gZyYfZYN VQ55LPTDRHzxh5eM4AI1tCJwatGqXEFAmIu0HZ1z7i6H/DLr9fHpRfM7dlqC4COy4XGGRQkHfaWj UHSLZPzLLd54EppzqXvLiJquULwOotdXcKXuq+rmN+NP1z1U/5mho79Rl9Zue9Xkm0k1ObZUBlaW 0CckX2ysiBZL+DJvF+cQbBq3zSjEfZnR58x6bt7JeFWx/FjlXh6x672t81LXHDD/3eMI7b7IPbCQ ptl4C9Rq+DvOpH3jeyLsz7wIe/lZ6BaNNri5hkhttmH+xp8uqWPsVdw0eVDBU0Z8UXuBw514GJ8X uBvKmPnYN2ry0XdMQ1Q2x6IdQWdb0/TFPTz+nTcSGKmKUFGrO6XRpKZToaeeNqWKApPgeBv67SPt UnGKHiGySlPpZ34EgG8TkMwfCaefEBYrfyw0WD8TkGdz8mlRgqIyvqpl/lb31mklm1CPt+SS4/+c cNPm9ujTQHLOE9m9iu02jdJk0AjoxaZKLEB4TLaP/hjI8lvrU+QVnWRRp4cTp84a7DMywruRaeX1 DvFQcgmUL334PeZNstTyMGGvQhSQH7hoxm0oIuMzfVJiUkEKpaBEdMUcw2pzkZbE83ka9u5prL5o a67+KbeGMdhdL5soWUdhwnBNyZj1fCzlbPIfwZM4+vDDpHEw0E+TKAjsHhv/PLvaUgDNxVvkt4rs Gz4hNIuMSbRUE+RJWvHQlFOLz4LNGvcKQB52IFa+oYh9RrUvMY76qulY4rnWwluLmBmJgum7qZuF 0L6IyssHOphkhJ1l9LDN8CQMJtsz9Er9rNrZOYKN1zGsAU59N2TDs3LQTiVTTv54jjcySvVQ45yt Vjl3YznJctmkKCXIYRFGNuz23W5nlDdcfCNv5zMbX30a5INGWtDvJGxubm8M6jbSO/LWrT7vIlUO JjhwBbWZqrKR4Uv9QDY2pNVCT10TqFOWz7uYQQFE5To9D8NubgMV7knlzoEkND5BKT0a9wc5E97L arUDmANiVt2kUJoXMF/tgQ429yhkTivHtj48Dtd099gl+pwfFCK/uwF7W2d2sQ4DGCMPQoIlb0t4 rGBvgEwUkDK10omS+0Te0+EglpcpnmHIN88LCc1jQvdNUDmNHg+8dlvgipeDwWpJnwjUKPNKKbWf R1JPWulIok4vUjm4IwIFiyPB8BZ7o7kMdKlWAKpgzM5NHeV72H9PhtEcnZsse42e3O0CFpOKJxpm 2+ebBeh5qqvUg7K5DtXXCSkzeIswCdRnsZAbXuHwWyM0HY2rk2ry79/dwUAva5/JlwmE9HBW8ggU 9qYtL25ghRyHoICOtH1je+fo1Hf1XbhpGoRwfpzc/s4Xpcc/RP440egNdSK7O0aCkZC8cyZqo1ps NWIjkdeMasBcpDnldyG/lUo+wTtmAHX9UJxc9X5OxDUPKCEw4+mEqEKwKS+GCm2Ap2bv1RSL6AKS GDFjODF8V5Zz8TNDd8CtVGCCZZFcpP86pcF13sf/blGx+SPODe3IWORK6wS2XD4IST8R+DlHxZh0 2/K3HKev/BdPT5QaIka8I3174UihpmnWAV3y2bPtsf7In7+7syzZOUUm8eU5skSOhgJuylpBRTdf nxhn2ENFdDMxVWzPeR5kKTze5lQbcQOfazV7Sn6y4pah7hcrtJAZeSVPHf7NMJhopJWLdm4yPmi7 vddK5AeKLOLd06SZTGLPWYAFqRFNWYsYs08XHUWb3LBHNw/pV67cyUuoyNGs9jHwwCzNRbXT3RJg anmKRm2BgfP9h5l4UWQ70CY7sKnU6gFPk7f8R1y29PkXkVIfyt5zD8wi9jZHBCxixMKW/KKXC1yP cXTdHVxhJmCJVKhIvTqJFb39659Mv2Xj3Blh+ILnvFJ71mxj0IAtkGdsMCkPgVnyixIpcNJC0vif D0Ci7qibLxNEYM5L0ey935bzmkNhFY7UZpMBI2kcWOYb067qcSUkATsl+IwShBY6AL+vyaG9qgWB VsM83G+TF6dSmxplE+DmQp7wNrt0A1bqwf1Y8hhqtFFIzgVFkLphY+2ehNqXEsLKUohDRS7CXwn5 KOL6APXYjYYYBRbBRihS14IqncheIgmyhQSwyHvHQ0o8xZjx0d6AA9ZiooBI6PjBySySxiy9ZUDH 4iCSREudk6FsqStY66U3re02UuU/lZtC/jul122flsNVcT4VwizmxyHjJ5SI8T06EvYsacst2TTq ADpXnoS8yebh41XmnjYDDBOskCi/Y6UkSe0VGymtGKz4+TKkuWPFv9dTw8RbP2+jORzS1RkmF70y TgMJ8P2Z2zusF9Tvk2tkONPIk3aC7/4A6ZpZM/DTJmLhpfuS39kS3ETYVWfwoVYi+HmnpL49WAUH u/exUT3a/XyFkk2DPumLgPlREEGLFUN2iGv8NG+Aq2rE9J5N6qT53vzMU7s+b+x1TVxpq+GPg3kF rWp9opjH5fE0TBywvvUVki3v+H0a2FtyANWi3uH5GjYIMBTZwPgAkEPq/UYRLVnIXR6px/gqA9oF mFy5oU1C2tTs4O8zSeycJEmcqW0T3NZFGqBMYm/xhAuCD+g6kYhPiKyStfmLVVjjsX1DAKE1ObbC DhgaMXU/AhPyXg2I4rJ44vWjXZVGV531+MA+oJFo25JzM7KyxCK0mn7qY9VQIKNdrj3etpd39HAb b7plhxjFUxA1s1AIsKZHJaVSsn4+HdwyXs0ffl3glWivhk/9iu+FABBP9dgnwy71/yQxejsB5VvM pCJiYvV5DShwQYs5rfko2eZL5iqtYyNKRcxNCeRA2qgFCGZsGX5z2b//769zUSu1EkQJo+hnliVO 6yeZO+n/Ovyp2rwKh8bqP19UzcJI8ecOKtlnyyw//f9dwyaqI6AAhd3v39ypfIbyltCebpNoZ5fY mUJkIQR7aG/pHp58uBPPPXdS55AYjtqD8bSvhpCYtAtJvCPjZFw1mewYhkMj40g/qKMvGEz70MuA EhnB5NQ/rd4B46OhRr7NODXkpe3G65Qsz3UopHBQRu1jJ9DPO8HojyjUGxbVj5Ntovz4x2ve+ZUQ PXQQzYktPckOSjuzj3MaaSaKdQDVJXoNi654FzJGmpRhWJBd3TLoK6paVsThoU1BJ0UZ1dVRTKw9 6oTljOqzv/YBVbmQ6xhYwXh9KQQNs5Wx1B+bACMbm7tar9pBnET/EZMt2OvnHcTlThb/CtiaF4BJ 2jP52CBx4N6WErurEBhdeVfUUT3Vf6uzKBbTAv10nYaf1AIi0+4eY0GPu/C9ZTWk7ytWBeKtg4Fe F1VemaGMgKihkoZ/N9MHz/f6jhP0/quEp+/uYtZwo8NcQpI6XISTnVmZdLNjXEcm/kDt18qsOM6X ILDFYrErfgW4WXkBNT6y/BWF1hoFcnAqzBhRva21PyYtGOM+Hs/1RcEHQILCgoQSZpSwzlY5ejhZ THV0JOPspEilA6z9eoF21r06iujfC+DYbNjccjS5UhrAWXlbZ+mdnoW/bwYaxrPB9+kR765wXpSV XcuPW9xYmyAJwiRvBSm3veTEzC5XM3r9w4JLLeg9leD1g1Q9sA4Q4ZMMYPicwmqebZKlPLyFM8r8 NZYT3AWqKmUSYe/LLywa/o/cUIaHYOehhhW90gZs9RG9wwIMTeLZ01VJuSiYAghwNC9D9Cvzvwvy AraJBCm9JSAKYOlypG7akWajZASZuNBv62rb/Ai38AZtnbMgQDfLcewGsZ/wGQ0PRLujh3wqAgBF nkOmv8oABLmgCsR245YgiRxLHyIJ22ixp0qDioklW/qp+v0KXLGdSacEEU9G+BklPTmSRu+a9A8m 0PZfm9i0mID/1EiHWE48FStB47Ay+Dh3kM1+mqDfku5tE1Yz/iEe3Kw8N7Ue8WHl/znl4Y8u1aaK Syu21udaTCIQpMvPS0SSYSi0hNIrbTdajhycAwk6+qHvcQWglL47haIZQ1U1FMB0s9tTs0VhY0xx 0rtAJAgktJ5xnD8myZyeV4t0RR9MZmMr6jGEL0/2X8O03iBGsRWqugai8cUElqtSUH2PC/lSESum X2eAUggjOFkA6f34asUaPpCgz00zg72hy03TEtDIJND67q2V7WXlGGe/upRx+5il6WTB5c0T1WkV bHvpPyifEvGFiUT/E6B4tsucXuy1rA28MHJBu1Oap8kGF/IQdA/514LcHmNdt3DewpJM6HC35mtE FLmYvbUn/uCOvwFapggwh7G0YeNSh/zYobpCVDyWtIMvSD1IZGWc9DDieGTy6z5KqujzuaEpm2w+ BxcavFpK36pSgIsD3gdEEXBy50kWsUd1Ic23rej1b4YyXAVsI41NZ3aEqtX6S07qTpH0PHBrdni/ KKLlS5sbTyOZYNmX+Hg5Jf/4Zk5ChulqoAlR3d9ZxBD61TfdYaXNqo5ET0YkvEq12Z0bbszXAs6k L4K74kgh+Fffj/Nm2wGnkigxyCujD5c1F/kkYOCQ0LBrPSrYDpQGaE3mgpZLHfdGf1fzDRWrJIFT tX1d1atK/DbjGrf4o6kFZVzuW3hvykdLF78wrtlZW2k3k9OotsC8vd6PV+SaK6ITesodZER9EYqt wxEDqKnVfRCa0AvCt0izN9FIG2UZCHTJ63W1GFpBppoGlvXxCiG6dlfoBAFQ2hoPS1wX0eSvLtnS e+941g7wfFLU9/lz+2XpH1z87ULERNRsVX0X+L8klKNrKVS1E1UAZVNE0Jh3pQOZHRefYkAHE/rw YJrndE0XzeGcodipnIRN4wwhD7E23GbFppjah8dmxijpyQm02A5VGHLM9j44Lk9DnQ9Uhf8qTwTc 4IPNvevdMY3W8aw8DkvaOOelHBaVCuuW9FkZDN9xjgFsFdbn58jhT/r9g3suT3+n9tILHdnsmokQ EsFdtggWMhihVNxmBfpAjlI4mrVw94NiKe5+lk5S0WoEqMVWHrT2KGpMFIX7leRL161MnkOuSeCh Yg49CTSBCQxUN0FWd6BT3UeCTiuKTff+a/o7AqgYXEIFp0FEG6+njiWEcMYKOTGFWW57fNLRs5pP GNGFldbTHK3lxYJd7lIzvxVUhIMebuikdkOIJ2OeXh16Kfuvj26Gei/xdG94+CdPQPFO3N5Pv2GF QerKkq83FcJ4uL1cZnj3mtPwu++w6f7vmCK0c4xEv5FgxoWCnlh9c7T1BGvEg45OF3ygYStrI17/ XTFdgI/77P0lK+qbSxzqtkX/P4gJz9L0lhx/hlVr0eUx4Px7prv8P3RpHTP3NrZqFcKbQzeC1g+P 18vT+97hCsLQRnyZJ6YNUjEN2eT5qR2tBzlg7M/LLmIsRAEJK9YD3xhzChtYr0pj/cKCanWBeamZ tw1ACJaJOhr6OUHq5xCaCmih9IjElbebmcjP58eDSycdmePFDIhNLwU9itiptQkYqmDqJm83DT0l XNBcWfQR5DsCoPw22HPrsrbb2l+kqmXBMoPQVAARTvYBmjA/iR02sesDMZ2P8w0usJ2Qcw9pqt8K OYmJyr2k0b7mCONaq9dqHSO9ILfa0+rcuw8YvCXXFFNGebPhUL3TbzY/Eq193/INazysRAL4KC/v UJHkkVFes1TzqBdiudhD/9aNcADeCvayoJinWM6+IcbVU5tSQ+REedcmWbTDNRHqc6S7O4Q6uFd/ H4X3E88XRgc/iHzw72vlnR7R1/XeOP6U/ITxoZsQBd5KAuXYZCw/2mHyzLe2ZS4n9pP9Qoi6TweW Hh/awMQjXazGMpuDcNF+UFl+DOGP5BrUZ4FbLbfkZV3TOBJq1VVLDr/POLiWQsXOWJvquyFfjRa1 C9bWvghpjuYVQLZ88bADz5laCoU4kFlHqkC6qCN8cfhowMU3mYqTRW3dA5wvT6TqVrcfNFaF4ePm UObneWZ8mo8As/3v0lHTnOmtcwRokaMVxSJJ45tFUQqLPXC1fT7jOCMhROG8ZGXUIuWrR2D68du3 FHLWX3ROnQd4LWFDVG22/qTbB+zAO1OfltJYciObwIpbhXCL5bCPgQzhTLulnul6nrpp6e1ctjJ4 erPCQROVFCBVhrUT5NR/XvbnUmw17u9JykcZ2ETl2fzFzJVUfGeSt/6u6a0Gyl0Gne/niFfhY64X tDelr8qfNIJ/0Ozb42/Y/DhaTG/ZpZd3oz0PHDVXT8OxmydxmNaOKfZaeHsGC9zc9qBjQLWGK3t8 XXePMNWqwDZAr7s/MkTa8vGAE6cDuAHJZ33lEshBvlfjtfvC6rykZ5X9wLah2W9jV6ivxrefrfMj 1R/CTdkDQ2lx/jkmqmsKHQJVpbp3dS69y3xbUKot3kOu4I5Qcykqlwa/LaJTVuurdqM09A26/IJ+ +n6Cvmj3133jGxMxwk9LhFellhNbJZ3Uq5+qhrthIsYnR0DLIFMDgUut6jSXmzfrqvYpgdtBaLzw iEzv53hla3WeDYmqaPkfvLlpzeblbpGM92AKl+cXerFeTQMn6Ad8ZTf2KOj2eMXWWa6xoaU9+gdT OofibXX1zNuIXyZCqiC58qnmopZjNfZjS1S2bQNM8G39QIJFuCgzwrpjwe+0779kc3YwWVz40MuB /OAzFXMlzHGdgbuR/QaOZG+YNPb2WE0wwSSaM9ynNHMxnlrmsYOEiBqDDOr9syWraGvU+u2qEvlp vIlDFS59L6tA2vQWRabrWsZoWUkI1+fb7M6NjKtFU0nqyajFrN/RFfxSDZ5fNW/KK1VzDKB8mj9P lWr/scwUAXcvFPLofHUzedR3D6upKsdkpgkwXAiZ8Rw4Pk8HW+VvBRW23M0XaxekFVyrFV+O/PtR j4htaiepr3Qg4HvliPEjAEH5Q+225UoIm2274A/u4ckui7xVAgkUp6qKakdL8TyDEgignls7jcYS ypxjXPZsGt7g5gyjDU/F3tTwtXoRm5Q7DsAEu+6x3EJHYz9stXhnd+/gPLfHbT3UqcuuAKDo1n3q PHbJ981k8K2YinCbbn3CtJUSMO/kaY7ESvLz3uEDExjpdVXB0mwQCkK2RoPyzyHERsgObi0KNEzZ pXTU+s1IC7k7/D9uJs4VfWXevk+ZiF8MtfqvdNk8p5XhuaIgQWeDsGXsSx3q8mjrjqdhZ6Y1uYwP wcPSQMYzJaa/5ha+VflkdMTy93F3hjosYKHwY9yfSAXVGJzZ16IMePMDDr9OeZw6c/oCHqvgXCIp ff4deTSQY65E5A/bo0fi1tfS7/qeVSjWD8DH50KTsLNEPhzZmJS3d4vVpcjeVIrvfJIywvHy45Dz GrECbLsckiY6CZB4CX2fvdiwEgO3p/9YjO415FZ2KRrAbEGJq8o6IH+PZnWjrduR9iPN1A5ajTWF 4ow3+2Xh+X9Pgg6gGcOOBKpSUcUQxkcWJiFTOYL7NXehtTVsGAt1RCLlL1KW91UoyawInxzA2t1N U4CWArhFSCaJsRcTQptETOlkdeChjssKjxbjtTrKAh18nGYdkXfupBmzjYu1sl9OUZS0vyc1nfeT BTyNQj9Lnp0Et1+BOLnA1PuN9YrP0Kqz+yFPL+J+rwyzhw1UHKUlO8iQSYR6CENPvqHgld0dpTsw WNB7OhrPV+g8p8oWugMqjv9+mZuS0ebPjWAv1ApPBXLR6MF8snDZH6yxbEoEvnru4kGU1Gt6pgI4 GKb9Dlvac4DqQRlEmEJvJguF9ZXK81dl9jDJbT+jrHVk2eQwaiTw16U6mrDop+9ZbPwIhzSqqOmj CS9KG24mz9c8NxxC1/6GsHXGtqXVZmJU7rQaFM+zaURtI6MG56Cp2xGE1XCl61B1KiXgz8wT6S3I y3kSPXTze042ws6WK6N7rh3NeBsMPTGK1tQle1jEYoX1dmv03F6qcTEa5q2ZKWil0poXKkY/gaWJ p3D5nxihVjCLVTeINnJyL+HzdTJXfqmKCHBFH6uf2lHgarYwOLnJUCXsZyE2vzFivWX4/NCfDQxe za8EUrqXhFU/xhvtWUmlkEwdnDGWFnMiDJIDS27HUAjlvFRQXNLm5XlL2e17GO65M69qGYFGkEtl QF6ixoc/mIUz8mWtN4EVp0j1N0Y7v4yetXIV3t2f7rfGJ8gxq9icnD4lqrpatXjbpIfX26BUH4Gy V98k6oXHIkjj2DIvgGa33YRkeVAjiiJ4h0MVrMNldslKgBngderYYzEJ40gvCJMh9+Bbh5WkukpT R15IU53hUTI64yM5XzCDchcDiRYvlpnEaqdpUudypW5mAmHVy7o/2ZuNEoeLzM31h34NQRgnMcBU V6WXb/v7H7hIeY3JmKyQEr8p7k+yMp3fTo0s0AYgyQmrqRthGYjsQ8LzduigYNpKYmeI1W4NQWd+ fxeSSlw7EsF31sXqFo2eN+lMj+gs/eSW4T8u8j/qMDEbKQIzx7Ak7APzNWoVeWmiTL2p/L5OINht ebCJ9jw3tf+vim9dO+nTX0/wj31IxIz1RQXjjDRPXlPAreBr+6mDe/hEN5u8ZT0l4Tl7bOmH9GVC eKX+FMWCV3dgZZuPIt79kr1tYlZvvno4kqUki3jzcUED+O9NhFxACSv8aU5U9p96wn6pEr5N8NlM qC6kQEo2VqUUXhpDFj4pAzK5iS0f2NDV9DO3rfAtxCVfURmAYjPXyRE5FCXIlURJ5GVw3tMD66wz VuoyClxSWd4uNpjzGMa/ak6bnQ0IOzO9CwiCA8FyFN3IwIET85bczhQYm2P99YQ4+3YGFDt/BvUp zdtvaOYzqM+gCCyi3239uxGJjGDoaQhX1JSNRXCrkQorknTlLT4/LYtC9ckWpRYdjVP9LKh6Z9K5 ugGKJ4xVQFc2onAyUgG5g57LWYk1yItwj18EeRMhEUkt4owuosKQ6QYENPuvax0LPy7lNwBUigW4 4iEgh1J3m1kAbJwQUOBgyBwWcL2XS+ulAvJWkZ0D10xS4VS2zruVH2So27JTdebv8MaHPvcLnBJP K1aODeJA2quGJDgqvKbOSGC1ToWt90rWXHydU69zXnZgU1nTpFYDfg5/xxz5e037FJVJCc0E65HK Rgfq4sXqMnQD6blSKIp7nX3G6w80yd0knWsWhV3vbtJq8smRHG4tWlZf2m+QuCM3rEmF+t67qcWJ iRoFnwvdh6RFTozLavw5/Tez4puDc3Jv/Xyim/pW3WkicLTkJry3cXvFCiazCins+/cb3EvKsf/F +s6MJ0+TXHnb5qx1BDgSHkldimQpjo8CZ5FS7t0xKAWVfcdp6M1grxMEakn4f30NxKwo1UEUtkdc gkAAeCxQbAFXrL6kEkhGBRQg1ArbkMKYKHQeY+OpLM3k/dxi0lWQRQFRVcrCY9kOR6Ts3VViz0io 2oZ98fV8LranRe4/KWFnnjDcimMPTRRFDkxcYFqkTNHX9Cs/YHXAcXgNDbW1pgiLmvJYpnmPmN/q 3n1z1Or9vC2ERyGo3rf3vatPRMZMLP/UrlOIurcM8zMG6Te3HOfTbrOtI2O0lcXOCC5eph9WjM/k rpcEaw8Rj/JsntRyWHtP4jXI33CgDcjauhLSWl2Aq84DFHKVjbkZwdUpNV7jSzqaYBHZ86xHQHOV juxpzzlr4qwEnZmSG3GGA6639soyTjgYMeIu0njIqaLigAy8Sr3/T/FiR75Cb4JjPyC1fsuHUb17 G8iVAxiD/J+1uiYjclp7st8zegNwFVAwnS2FHZ4j//cEiSkpC7+eAv5nZPRlrQEqB2NkDz4GZFKi 5uSq8JAqslBxMZ2V2WJgxa8tLAF1QxH4anEV2942lip23qNvdoTZ7ExW/uX5JSJAhSvYcev8lbBX dTAplJxINk2hZZvCkU741HS2HDjRwnD5CJ7g47yjBZvH5n7lC1gkn4QYxJSj6iK3JSmdMW2Mcp6f C6jMq2WAmV1zrZaUczRO131m6P4HvyZrClQIVCFd/g0B1CETlDPEwcO/8KnpzWWx4OoSF4yU1pa4 FfXVap2CmqdV3FZLsN1j4H9bIF6/70ySZjh05pUW1iu7qrKHlYKsTtjGPV6AOE8xJN0vJtigek1s 8CuGeueaz9vD6XpusDOE3DscIaxbkxZjBHRhUW15JsYplW0BZw8X39SCTCnODr/to2aTnKsqUe3I SuplapgHe3gYUW2KIFG4dWtI3gEI5uVEwOMVHTtPua8Bk0gGrjsH2rrigrt9SNDYCfl1JAO79eJA a4AvoYS2oREZPdUyetXeMBfZSHp+BIt0lNtwIpnMz/rBB4neD8ob4wKXIBzLMg/+I1nzVxJmHrgF 58Kn6ldaBan3eqduSTPlCFdA1tCkFm1r+FAvqnmOnt6d1RLc6oc52qbFEu9lYjw4JRybGr8f69aZ 7jdXyQ6IAvpp9uSdiIY8UxVFerLOhqM+NOwrCJOuVHQ1OJDnlqPicLEm47q0uDX4PTAW/ph3vTKc 7RbqihOOq4CXL+paBROaMj2QlM4fkws05AwkA2MtVLHtmFI0dXrFtBBXN0jLrGwzWu97C8Z2WTDn j0zvoFlo8CaHaQxza3JIE9ubqKa7izyAMl2kMLMqom85ceTv+D3bYhWBEUy3gHhdtZJ0tFQZAQyq vCkdpfFFyDeBPdf/HMIjjyc4SlSRzzbbFtfCk1zVrdYdK2pwhQki4XYJJUFPTxIFXIcjVYthwl8j lpFJ04IRfLFRbQxcyXgYpIL1sHebpf1mgAm/HsRqQeZFg+TLtOPLF3mC5G+FuQY0qbzmfN/2Iti6 Q/DUUDu1CZShjWi5ROhfhVJLFJiZCkGTUgplz+TzfKigTQR2Ad0sO8bMwEHB7viypGDL9+3eVL6p UBxTS7vWv8pz2a55GBehuifopYp4Evkv/kM2oeVbIo90eBg4AROo7QBvKU7nBbNWZl5Xa3F5KN9C ln7IVJd7C1YFr6d/PXcxrmejsHpG/I5cMWXVQks8fKUGop8hP5B4805dq+twfcPe5IYEOJtfxKSz 1sMifzJ8BT0HiHSB7QSa2wmAvqbVVfH0Tue41FZoailgL41r/veGbQmSn9wYuj8l9sg/F1TfBzoA XQIHrJObRUk6LypJag8q0EuZv5v5yhbcZRiXE0O12dIEp4n0n6YKK7CByDCUcfiYGmmBI4QoBGph sVZGsv+J+aEQ8N93RHUSC0pUZERCrpXlGByaGMM8urf+2HD2DMTYtvGiyIvyQsbBo6g4MynHD+hm SFk/fpBKEQYX+A/igVh5nkgKqc0fFRVZIhmamBuMyYG2AecYTIgLUXQUwZcgFHKiDvwJkcLv/iEf F39v0YmIpXd6bM3bkLuAD52ZOx+trFmCj9DeUxAddrc2H7FodhZ0zYQkLlJ5RHh5Ewb+QvyD1r02 bESoHgZuGwqFirkULYTIOeT33UYabRTmbmD39QXAhuO5hLy6XezyOPKHnkzPZGkVTJLpLmGaVI4k 8mlp5g1eutFqWiIrAfBdsgtiLMI9r/bnKmb+7EA29mdNBZyHW/THJCuzKF9TnEPUUbAagWSgplbE csVYzoOfNyGEvAf8nY1Z9+gGgvWYrPpwc0XCl66ck31Q9MK1Y8ewy1iTvHCE9dBWL7PS152mFCio czBxORi0ojlsAv7Q21KZl1cr3IPTaulox4mNnXUIITnYqSY/Q5sZQzKMpo/HW72vqX5vd5o6oUDs zVqSMQRL+33kppB2o/eCB9jlY6lGjjxp90CelUaxZjlMrtvaY9CbXa1/HtQFWFUxv99szYPlFjfj JmAfL+/fKtZyqdx2VfWR1Y/o8QacxzH2jSvGwBwdk5HxaMkDapgiel0HFwZ2udPWQKZUqibBUjMW ncMtGM41cnnoDoOwKUBOrddBOlCyV/VwWdTwPDHJJbh8bYTH/GiK5sGJClMjRo34uXFmHxnLgHfe TjwgEOKx1uk9g6vdmV83Mp3m2c/UjGUVwYN65vjTXGIG81WRvI4s2SjPCiAsFPUzis9XSvZpzdd3 BP4WfXH3saXhk20hme5Fy6Xwq+gMibm4B1uNnsN4t/AYPgt6heBDGDAk93S46voS6QGOLemeUu5q KpionxH825DKtAa2FRm8gnwA+qnpsddEDS2airiQ4sUs4fLO7c+JGHrkO5Wmhag7vZQs3I/ZjUsY 0bsRrGGgjS9688qVQjIMmlHhIBd+ZWKcBGKDascuS4NiLAJ1ZJlVr3AsxZvVyCoOneKU2o+ufaxS 0tnTYW65q4Fwk29Oh0mPYVo2gHYmtDJdvsw6SyDsO+NV/xnEvL0ewbSrCm/cM7PpzMIXMlh787vN dSHuSXzFM+DFzn9j6V8V6K7E4wJ2k9bxqJaTw6z7rOq55adwX3vneREPF9W6FizrZRipBn+Ht90w YeabyHBIcSNL3aTaV6cvTYZqaEy+8qVm+eyRDg29etgxGe8RMPXQjpXU6RqMHOnj/c8lGK6jSLfR ucqeodSkSwfilsoMDUdOtfIh41uD6CJ2i3Eof6z+YShjthwzA7r+tNTpupw8GMTXwjBg4Lwf3sY7 +q83JQ5hBp5FoHaJWDuDDk5lbn7/apSFMWnND5YNkffycDoGtc9VeHRMUluIPAbL8llaitQcwtgg Myd6la2A7f13Zzp6njQfGsMRSQNBGyUtsj+oV/oKPW3C+JTGhxAeBhiwGRKNCMtNHevnMyIamvLq 4K6T7Me7rgUlxcfMp0yrT+jgyYmN1Ce3AAZM4evWotMW2qIhtEVOzOnNMmMBck6c59Rbu7y5lTz1 jG1MxJsJ2TR0x/eR5i4x3p43XNKGkGhNE5w68UJwb/83N3yCxaZfxqEVgYmrBteAkdV7hoO2SMfA jTminfap3HKNlWHx0JOzM8hTqsomSNF7dOglwid6pIH7B11PJgztDolG8OCX8BWNOqsM6U+531rt vlKQG1O6/FG6gtQcW8dlnhj6SBMQTKtqKXUzWpg08xj/BWGasyZiaQUiRjNhYPIOammXXwv8ilXj xebK7AJ92KsYvYVv+6zzcTG21mgRvSiQ1qTJdnRe3yJDBApNUo/yO2vmGbsNnGvdVCbmpQV0DkBN 87CfAm3dQMvzVXMxWANgiwMXyOAaLDZcI3Oc3u9vcuC6fQudjW1vITOvvPHlworO+JKWDLz0kXFW tCk6MWHiyDCaazH8hIlYYqK2RJuD0rEP2fkdlhoVHT5m5kRoAqtyI4pRubWVqFuD+Z+irTXwj8ch Gvtle2KdxwEdwbwFhTPAdAhiJcq0LVa5OiaJcjDUSugyQCK7+I847pmKQGBDUWS27wDK9mVFX+IO CAWXJwMOAutIKDakINoNsX+4LQE+IlpNTTZjrf0LVFYRJ1pJVDAbSnaYio0JSiyqxxtducC9+ol8 jwJ+MWPP+RyCFCC3CjpV8uB+cfhpBLitZDbQ0Fg9qTge7iFK+fWksP/OHWcseSSmG1qhLn5hKtBE TnQ2QndY25Wqh29nyH9ZrMlRqca+9E8BTj8FKvXbHKPQZyoZrn+tuedqdSOQb95fSvcHiXPTM5kb TeOJaIem/E2/tXpD0akT6Y3XbfJxqwQqNp/Piy7qPqj428Z5bCx0HDb1VAXsqrsoSYWjH5WZ7OPx dxs8ZkxZF8hwUHjOcPpuKFWJxF3J6W4qfguUMM18QJeLOJAgxRr3odHA+ux3XFiJNbMyLC+INRE1 DpC/xbGn3sdJmeLaNAtez3YVzkXIQRh3vmZo4EcfdQFb9225ra90MOaaqPvvAHplOQr5ChuVKopq t1HBBnIn0mHuIoHuqPNNL4+cgJo+zUk5fAuxGrwasc5/9egNuJj6RGnRk5/5PwoaOXZOSnLpaqLL w3GA76/UYYPtUOq/BiqEp55yHgA7ApK3o95bqwl4UPcyvAM6s6ydhaiID3zkdhWhkYjB0LYWd8nm ZVlrT3ElYE1maGvUiatQbtTW83MbZp3u8rH0BIacSofy6bjKxCJcRjzSk/ZZsvfMI++s4mjb7QP4 j0SOMKFwtvhA958FCb1jY3fm2bAhmY4eNyY6x4rP5y0W4B7OCkoeXRlnfZWrcq6eIdoCBeUUh6EV k4uj4iHIa2uEeeFX7+j/LnyoLZPlSRKHED3g6wZxhFWeR8atY7xR9kwt9TYlbazyfjHFCjK5tQno 50mReA/CpcmdR+SBOqQwM30/KeXfOSjPkY0E9utqoeN8QO7pf8heReHcgPdanAVmTqaeOz2TWF6f Xec/vMt47j7iETfTiJ7m5WAtSkKfD6rEc8WvF612TaOjnbJ5vuix2+4vWdASNCCb8Wow+v+fm6Am v8lyJuyS63WjaYGVy2wyzqhAkOd/viw1p4FnyE/XTUd/g1O+7QHksxjQnxrD/VeUc7it2u1rdLNJ NCfAAKax4knNnqpuubCUnlBNRaHuhW3/OWjd+YlsC51unGy8a+NgbcleYT3xKG3CxXStVAz7rrPk THkDj4IWI+5kS22VGj1Bvik34XQ6mzvCVmr1nKmCUD8pmcR076FgUk4SO64dRjHDeFcyoNoXXSSJ uooDsoeSOMco26WJ3BMoFJD48RhkKb26LSEs2lwwv8JMQqFjPGHljCGnHRMtW/3qv9I0iawCCHj2 rqi2HDQhHGxvW5nUseGw6dd8o8UzrKRG3qvXwMxl19BY8AvQFQXHWT5iQiZ3+6XTQqLXhOm2kI/E mo1YWNKVPmSjN1c4Xs1nGmPtsnrSthIcE6dlbUlnNzVOic3lGE+3udzWfLiroKIWscu6ypnQoUua xQwwb0YiiTf1TXg8jA0Sg2U2KjL4WgZdHv8up5xYdp6WFvCTqd4WO+iHc31MfUeVWeUWBIvfIQX+ IFE6Q2cQmdQjp9juA7ru5IY4/uA3kjhvNUVS5gf23HaEDOcfNagrmCHFVIlnRZVugznW/d0rTmJq r9ehRbCQ/fMPnJwXczStXWTHCZQHphcUDRtaYHBUeX3lY84SgidmAjWMKdAfDGwfFigNGsZcVGH9 Us0Qu6OZjqKuj9q1HN9ttkHd1NQBXavItl2QJOYkKZdl02iuHO3HqAU15MInpgG7rSI9jR9Qnmdr uuizyKeSJ6DKLGJcrv1ghl8g3xT7zeCmvYl5MSLrADuzgwUei/T/r/j01OiMZo8bQH3L+QutzA9Y 6B2jIsLE402KLVBGS4gBspKk+V0tyq9ZCTYHI02qoBc1QegZ4XtLiIOVVC4eGLd5rdmig2gabKBI aOmz2jyb7tH3SKd39a+/OerTSUpiwE80IG7w4J58Nd29fu2b+6SXxU77dvqVcRH59BIKwPUY3Qjv IL5ag5DnS0FCEsiD3kcIMIOhJMz8SoFFi5fzBmgUw56wFua0EourPUseyIBNy7A/0spFKGvsBngk JNtucQ3vA42KASkOjeFtfzD5fmbCl7j/Iv2BU2iO7F6icxub0MsZ1hGEn8ZjbC63BiBcaF0sRY76 XM2Ggvif1VkgA2PAjbjbC9uHEZdIX0i+71rMZSWRv4D8btHMWmicGezWUJn/m29ih05leNQn8cIv ZlPHyf2mMaF0P291HXkWMslGxLRGGnZUbBGWmUXkrzOqqsvh9lxtAGOrsreQ34jeF2I9FHhiK8PN Dez/VjoSvptwJZmGTZMEbyloBlHBVHspexDPi+sZHf13HoNTAHroHmpHoffFHQqNnY9rL0mp6F7K 8Mg4DK6wg1CIxZOqctSH5tFszmjU9N3NryvEllBMP4EzjUf2Gpdg2zmahbQuvHaAd6L9ORvnimt6 XWS4hyQpfQNjpZvSN2wGvGig9gEhP87BFW6U+aXlYPYYn85AxHKlyeMpl4O4fsrWGoxoSZK2ZNoA RSE4126P908oTq6ZTS0rRoxFWs4waOA4MBmtF974TmVQWck37DkTXHtBBDd5dE/Js5DRAspzPuxr ml0A/0Ds5jegC8iE8p9ZdW9miQlgMpjhA78c/nReu6iGfwh/9zqAV1++66JqGjlhlHoyRD7GhPlD Bd4/ZF9eg7ggs7yuUqQctV/pBBOShIdgyzVPCdhM/N34nt1IxiyQbmXT7XDb6DSfJCWxzFTUwCkj dT0f4hzK3nxZDwa++UOagdqORBLdQcWm7tIJ8+KLMZbLuRNhe5cpkLvLuKbgHCjKoHoOVnGMG+eE 9XM/JUdlhc/ir4kOSgS3qBoYhfAqiLXgT3LTVvPbRgrmAVzX+tSjFJ/Ipa1iahxnaP8UW72jzwaO kMhUT37rrRt/2ugw6Hrfhgr6S8tgh7GL7BVFFubFOJmfIt4AkIulCIg5+p32qvCKd/uUuULJSNQj n54oWH2SyLDmhv/NItSNjm6rZIQ1W75c3tZUQeYX9iFOspUrSz5b4Emv35ey9C3aDh95VkMhJBAP u8/nWN2cr0YVnHXXSkU/iMODhlr2SxTaxFDsLKxYgoy1w78RO5oQHfBUWKZ1+XrACfFg2FJ673ih SxdeRJ9Pz+6ygcWumSRoPQmuC/p7A0CYnkLxuljx0oHs1vvNPJnkwMddDCo8ZHI5Eef6b2glXrt2 ZWi9YG40nR74I+Y1LmA3qFyYR8D8fp0P/1yDZBLcmaSNzJMAs/eFlqwZMIbXk8TGTvn31aqdEaWQ G4tbGLvzlRWK4xMAbK9cJyPqGh3KcVm2h/YiyWHaAdKs9ZWGmgJ4QSDsAiYhkI9vPOXJqeBQlWhY 438M61w4Y2OTqkSosQJ/hxcO4vh9hyaBzSBPIa39Yk9rAbTbg66yiPT4Ne7/TYE2aMix4d0K66VW 4TtFQGn6KBakXZDI9BhHTsi7MCEi0K0bBBESme3Wcve/U11g/Z1LVGJ8n36T+bw5FYv+PwtibfJz 7Eh3JwJ2ZSoCum0CZlv+x/8HrRQ7bluvktpkO8WuSFO4xGMWclEDxNO9gc0K0rkPhsKdL3ZRDBkH aFjFo+pbTmWGdnn7ZGEkBPdJXG63fvebaut1alIJt5bDGbKYG6XmxVFLCeJhOXfkCctJxBU1O6pw N2HbgCLudkA8MvIwc3773P8qWF9iGhWENWvOVj+isxNJq7HnSuWKgp5+WDz5gEw9YH736NZ0a9fx F8omOlhPMpJr2wtWDXd+2czsvP4kTIKyRnDAyhRLVzpbtMcsotfShNXgivHPUKKVEuZiIF57Q6QR gIhcPVxaqsBMZ/RT+seRTjCfap4dlWb8i+CGXhK3S0p1PbUZqoMsHVN3EwHxFvA3iAJjhTpHzrk3 2fiPcx/7nrmlbxiD5XLaERCvPSEguWZ+l2t46LNlBjO/Qoz5fhTKWisr50xyAvOLgFdiqrfIXbyp uM8aW2oqnDJJl/f3WL1OJp5btdtj3ThV4+I2VWaMHDcKi6JoTm/SaWfvfsfolcehmuWTZBZ6tZ5T 3dtO+WTyxzs6+BMvlpIRhj8jnyzgCFMrhnVn+trYtpdrMx2h+BK5wXLpF5gKhS5Y3s9aK0POkV9D Cb+Vdm5cvcEpHwV32FLNvK3rrEx1TBZLkZRIn1RPNJ5azZmiatx2T4ZtKbxkrtUpM0mer1L6cR2/ wF341Vv2jOnkAlQ4mGHTSvrW3dcc52u5/QmvPsvNSjVLCPFdF6UGo8MGTqp6qtQ/WFJzl6xOaAoJ i3utUk/Thtz8gXqD3fBTqSQ4ZxFGf2SgJQWXOGKfHy+IYwuWJV+Eckdz5BEMCQgUi5BgBZ1n/scf OTEsQnECGvgXffGU1432MsBhotKateIyISvqYlU0uldxzfdtsDjBwTrrzFh/bnoLdI28OLkWRVqh BMK7XVw2iejDlSslQrg5kOSWXX+TxwmYN3CCL2W9KrFgMz7OfWhw/I6njzbT8lfzDe7KtJIwSRIF ExpBjX7YCRKWtiH5jFoFVY5u9jqIP9Q5U4kJ1llCU7onRK7mjQq3Y+KSpOF2dq4neFC3xrUmc2K8 yv6dggzQO4UF8dofdNyYeKEsJi3ffuzmfd61JIt2YC6HiaROwjdsjOKS9OMqwlhWL3mZqnTIgXxp 0gF35ReP6MbJ8H4GjGTEF/6MNKhAuAwUQoRLEuFQiZGiX/lvS7Ps1m6fxEQyYhWpte6/huDkuRlR ONYtIASCNbQhhUDfkuoJkzQOxqv1qCzxw1uHKiOjwjR6UKU0/p/4lqp09nLMzVOvZaU8Na7jGnzy pjfvDMUAt096iMOOlSIE/sc0Xldb9KqXaEq+VozO7zgLWWArCHHDTwhB1C/pkH0q1bDidIWSX00q ILwpR5oaDx2wkz1HGRmODSTuUq9RRtXZ/nteILUggcU03/Wk2JtEidzQXheZFzbksAMg5IzwxtPe DZfDDSctOqnJQVSjMzIgRBo9bSX8gqoukMcu1rbjV2EEDyO6L7vkZpZq432frxIJ/VsyglPfP0yu 8rgQWilexDIs2mH93ld08BKQornp1+hZ3TPTx6V9n7CGLavXos3GZgbCiP6B2BFnST7OBTwrXNVl +cWZtKL+URQwz8yE0Y8hBH3NMEdQ2i6r4uriyrfapuHQtxUTaK+icbhai6lKsHynyEpjKKQvoutd r82Ad1L2Yc8XIkzQj54yeVIv/JN3kVP2ZuhwTyrSUcyTuPdgDTrpEp71Aomfla3/eFHswzXqv5RC XjplHAEsYLU7rFUvPtm0JNRHo6rQs/9VvMRmLFYuRf25JrN/oL6TBUnzrIBUfOReJyV+msjbq39a 959pKmFn14NKc5Wofv65498bOh20ArKyjy1IU+Pu5KSUvQurvJPel1/8GkdpctxIj7gHI/BTbQzK v5UQqrIW6b0HVMpUWV2euvQTkfpN2Wudjq8AMRTBwieuPX8z5ngX3uB3Ew1VHMXUnwK2LzpniMw6 ksacWUga5yz3X7tYazJtAIw/fqmPdK8RvwfQPG8iwMqsHKy3yVayy81b5lh53Ej2lrA30ZolCeck TjPwNd/FgaPqaYDFOvReIHSPMCgLOO9y8m9KWdr1iCIthtqIHJFd01+Uj3HLnRyeocY/fOV178Qx t1Rgv7FNh6j0in975ivI3cUaRHkOOXipT0htas1HmE+R9Eb9Cp+puXhjuwLdlWZ1oViQBLexiVO6 HlTnmTfLO9gRNqXGCwjAGYmuhJVhS5i75tRrm+oDOHG62/RXEInJz06YvpB/pVnnAntpnpTolinU zWxF4DSr+RTUNRRvOAG8KSzoYkS26qdpbFeSeaLsNJaX5G/dhy3nGME6KsBI829b/hYi+9b6YoOX ZxcqGtdRrFRor3qiRtA+0kdTB/FSDcKoCMDl2yaobrtWxWRomAZKMChimv1zfjderEvlxlzm8You 9f5s7c8QcWuXd3p3+BWRAWX8btaDpA4JTvQGsUlJFwa9ZJR7I7JR+xU0UhohNhZuHvdM7sRoRVQt iVJ+NBephpmvt5jYlcObrd4r5bVPOfIXPDvo/IOQpsrYb9zkPghHQx8g7lJSkITEg27KIcwE6U4N 2tasdSBYaBeYN7o6cUmBf9Rs9I/00wtdEU67XAb/ve8F1mznnrWWHTwl0qh82UbT6HmsWqzn+5OC zJFfWUCne5o+sYpJUSBjP+K4ZTn3IZaQU+o07ltq33mEjUFWgBPHWeEnqbFZJtAjeTc61uACzIji NHXQLb+/OC0K+ag3ZF041ji+Uwxq5qe0iNtkPaPWMD7dlDQqJo8NP0BySzQrHAqaQQi0bI468MNt voifXmshu201hgOQ/Rb4Jfiz5FnJCWnHI+klJroYYNGHfXCqpmcJcPPhQviMnna7AKfWvyQnA3U4 gKaCsxmOpkWl5KGN47KgyahYXhhyyjcJhZWsRhp8BuDZg1r1soBukcAj/3AvywpD12n0otPYkNIk r5fOOQ64MIZ16HitoBaBRS52+F6KD08fTfZ+YgSUVELD7Vl5tmKDmA53nKRbSm1MwVE2ylZFsvXv GAj0cJmXjarw5il84aiwBHJtPrHahZmckNtzxOvntE9jHoB7Kw3yqu4ZztTEA69oOx3vXDgTd20t 3C1PderYv+TUKFsZI/PnOkuMHJgxY5ppLPaiYTSku5KvHx2Mey4JhZyh+jV9WnZy95As/1H7Qle+ 0hdO5FLeIYrerjuKhBCziej0w242lLTT2LcXPtQaJJXIaq2cpYs7tSSumQVJICptpP8Y48mKc5TO UvdeUX5RsyLPYcKIqyRsoIl6s5/LCsO1O/jWQ0Fdt2uCxTG8r3KGct0YZl4Py1ae6zrZbKkpoW7V 7eDofxjz+Y9/VuCyhzOrHwuN+0kl1VA9oGVpZxc0bx7w0B/e8cBHgduDT4vyPNCNqTzRylnZUO5c ncfG/0tAvmuW5ErA1RGQ0iciZd1Nu8op0f34Unamjx2BKu5PzLJlX6tx3MyxX+ktExH/207jesHH havOLHTE8ZPMUAy4Kw4VVwyTaHyWWtcJ1/A4pD0jzev1ppoOyMIskmSnNqrGF0PnElX6H/MmfRMh 3wahQKSdnTBDQxZi2mIG7WUIpPSyipFLl9PcFiqoM8m6QNVEtL+kei8Va8fqcN2meIFehT4w8mQ2 0MoBMmhddeFL66eUEE1mZAMnGS4vUHBGL0dLddTKFfCylKr97A3tbS/JjbTbDkW3lKiY7THkkiaz DQeO69I0fROCnrHgVJodwSNo2c6GO+FR4gxPIbwHQbxje/A3MaN/54YY8v2UaEpvF/ev6uHc6RGH 1eA7nbm09hog6xzDzQa3VjxqLuXcOtmdgkQxgQLT1QVGvCHojBODBH2sGORfSu1YOzM5hk08Kc7r yd9jE4MDXc0dFe/rVrjTphxMVAZiGU42T/+mO07NNHmiE5+OiY5ynhrUfVSUvvu2hd0qIHN0Sv53 zaZjKM9WNcGM5EDwgQjN7/aeSzJZqjsBBnybUB9au0U5L5A8UjqFCrdvtUhsN/feajdnihUnV+6q gvHLXjD9bvFxrfn1MQ6A3BzajpnPaqM/nnaFuNELpUky4z1+o/uy/VI+OKAo04CN41a5TRWSilQX q0jQJI1yfZER3z5gbJvrReRQQvqhVuy1UHTZHQzHBeGgCX8lTAusPaQVhzkLx5FQQbL+OmPGuKYz WwpUB15OFrUBQjQlkNn7c3fULRpPo86NSeu2V+kJ9K3YmEnatnAnaO7mmsKzLMZG7DJAS1Y5W5ns nsXlcIDvdI34ITlbZqy5h6kyte7CQSWv6IdYYeOZU6eg7B+Eu4IaioTQjeyU8WZZIkiAeghKAzwW m+pVOBMhh7p2UtaILibWK6vPRtdtJ5rDqn21Q4RpoL15WTxRI8WBc32Qt4e7V+Pk3kx6nJTvdnbZ SXK4QfVK44bwK3P6e8kw49VHwhf403MuxropSrHtsHBCTBOXm+LSYs+VVCiDP1cdCWNQk2xmhNzY IGcUJJnfiXaVvDWCcmn5jyLh15Km6/LJGeCB+b6BXPSx3KzqSA/shp6tetII8FQIG0FTucP2kbq5 mhVJMwr/0+t3JFxV35NRqwVosPgmq1X+vdlPpDX5iMoKZ+1cBBd1pkCHwIFHPlZbnL0x69BNHLxW o/yvrz5OSX7mj5HnYRAGfsqBmyGGxuA9rds2sdwj5C9xnqr6oHAPA7qTnf1fjVB9MYUzrk1XbVW8 rT8Ow4b8bYSnUDz90Nuo041iElIa6o1oHXn762hi0gyqYfORP1Loi0szTO51IJWrYPblMlis98M7 UJNLAP3lpNQ6gqazI9kgZ4nnKKgcqDLWaALeKTOo/dmnc+rke8LrW3WrMfp4PnZS7gptohLxb42h YHgjkLBtJoLx0jSBLdDXSkDhPkIYTMimEU+PEkLq8OjYGQNGuynUhGW7ntjaB+2MKQdQlm+fBOfJ tFn8Ufu7AYt1nc5VHrhyGRPlY5Uc8XqE36iW5WqsaIG/pLWtwSanIf2UAfVO0ZYl8a+K54ujH+E5 4CmHRRGjEVQRiyKjvRRkQpZwGMj6dzT1lfqt/d8tBPv7cPzUfEG9uAnOW2tdDapifX3NlxGZ1yZI h+pPHtCfcJ9leUEUOzwZa/hOr6Sp2tS+wUJUgEwTDRUAZMTyx8G6je1xvZ2lowM9rLtqIYU7ORBa +tOPIs1IDac/RtlVgbt7cYgyIyT/LrmUkICTdEFaiRH+CMtmcmHpf5xb0EoboO/Bqr6zRj8KQIX+ HYog9Ui/e9MwLD30ShailNBXR+hisbKDd1/P7PLuq0KTNB320QHKsKDcUVgFf93oSGxARNbp5SuP 5/M903OYxNuyq8Km+QPmwvJ4FyAwbEEs3nG9Qs5mM2rVk8NVDPwf7iLBlEPcem3R7T1WROMzJJtb cy2z8UpEKkvGoEdQDDI2QRhcgRukBrNR0Casj4pYCMJdjgpOflHNL99YEF4i7eSiSVPbMwQfk6uZ lfHcPezcNLMpMF9pFHmB+mMiAlOTDDyuxD1ClYjybx1TBk/GCFegaJLwur3klbgolEgo+gTdo5hH tr+Uve2ymZq98HDiKBbzuz0KeFbU/rFL4LTIiJgVn6FgzMBF3Xz/dlzyPPn68fTv8wSiqM5I2wlW b//wlZ1HwRs955MMNApgGjy1MwmAlXxRDAHG7KKUbglAObVfSsA5i1KMqN8bFnJaZyKqGePBwKRf IigS6+wXvbIlzkm2qev5TE3jYhE/dXdvp4J8toNytiVbOSQBlujKsFQvMKm2dQXFs9OinbGEahCG OiNfgXuElD2VVICCoskEpFTkK/WT5rgGpPQEPKZEnqbNXYkihGJF19LygDlmGRKTqLcFHvd3Ziwz /X0t+V/kq6CL09PyDyXjFqMpSp7GVYwlI5BfoQ+aGxDAsp8CdaBpLQmfc/+t/rkGTiHHwYJQj4Ht yIq241gx5ntLlqqL4o1j46TcylCBwM+ibfofPldPgohkAR1xMU6VPey7YYvCyaVIV/qViEbq++o+ AeDsYL/6vQi9T6zrPy6ez722VoEl4Z+yqhEvK0M5lLPV+PzifqgMuUucjHFUTKs3qYREmpZcyXmP SOiMMaIXJkNkyIezpXPYGWapYSeEQw8KAb7EF5zUZRegQ+EY+uhPJmVDqYgGzE9Uga2uqhjFyOm5 WgcdlNRawxtHNjD9N9cOLhp/IJDwqiYAxqfdSA4R3XlTf9E0F/J2f9UGGmhH2cnSboI5w/uvbjW2 hJB6T8bIxT3JAPwWtyPrH/fv5hX5jRyaS6YrRMxSwRkkUk/ehfbbfApzeMuUBm1wWvpLYsPp6oDV 2hxv4IkXgh1UsTOuvGTnekLBCGXkkKdZRcDrx533n37orPS5A3AfEhKmBFueqL3yQgYOdlg09vsj LAK4eLTFnCb1B6fAtrx435hTws1/Fh/89n+N5CduZCI5k/IuiDAWiN5b52Z7l+YcGI2AAmd8n31O 6xxagrv6r2uiNKDnnYoN9Dt6eX9jpgz+j1AP+Aw8X60CaPvou6fbtJ1fUy2E1zVfVgHALGonsJT2 73odE5FnDkocr+vd5H6kr4/CYXiXDoOTbF4WFxmr8aLlUbxVO2zHiG+tdx8IBW7tFiSmSDLckwkd J+E+njh7m2xIMTucNqNodR6den9hzX2w7I3xxxJF3XeD810WW/sTuHba1zFRt49M55j0ZeOW5fW9 LA922/mX5SQhSqsLCNjS5Gz9Y10g1SPk503MUwXpeYJNx1DLufVv1PTuTwtRQIZ0GdTOd1oTr2c5 6ZhrBzDYQfrWHKDwi5HGNz9feqKqCBW4SqT7D7VgJmI2VvWsNbbrZT9q5C6a2v29p9MVu3yd0Qpa JPyE//Z4pdMEbdXwKT6W6X3e9Az6JBosX7/3N9nHEl+fjYQMqCNDZ2D9GWmbEdgJ56ojsh7BrycN 4KmeH0kFKIjMk+Qlom+QhPTtkEUbEqzWcuNenozxRj+4HAuQvDh7WTkFpZeqNISir9g81oDs8YIS iGlDIhZMKR+56l+UevkaQeg0ANI3MQQ82R6hOFczThRDnyzL3JiZoEypyKmHWjscNWt3qVLw8LQY HudHv1jDJPkrQk1iCEms19ow3cgCo3z1iT7blYj+xTcu+EzZM0Lt3TZ0qUMAzq6p50vVk+muKS/7 gHxISmjiXB39744tNn4PEFgxyhzLm58hmBFbnWkIBJkwPH3m18Vvdydu/Fhsk00eiqj6DnoOe6Ho OpavXFG3yty0au+HcsB2AxBdUl2Mr19dmZvXpS0gCZ/3F1RnDS2OsXhRe4/gQNQkDo83+7Vq1xp7 1gNowoesxdQQvAN1kMfDKNKzDs2IRwSGNR64lInN7yukmFHtCkAJTw6JPAvo+WI9f49Yr1qGNGVz XDRetwJW23Dj1V2if/jh0L2aiDCfnksddGibfeIPOiLTr+o4EcOEdfKCbwkX85E4TvkJixfUUDFI Y+jzq2cWZbDyqyVweV28l9ZT50iwqaXYSF9jYO3m2dBgRK/F0g8yCmWDfo2V79MVAN1dp3AQuq2m yoQzPJRhkK0F4r558NavYn9oJWrGNB312qSzavJ9/UoJhgXnHAMhIYt6XlxebW6yQeatDMCNdYrM XaHZgt/7O3D9251LW/iAOr7l7cQB5UO0BoLQjc2kKhtvWrMEERptj02BEGfrFwWvJxhMLn05bI7B w9QXRFd1ouneH1S2o2h/kyuY6IbGuKI5SecKPsNm/fjejoA80VIVZkIcDFiRQWCgtxJKFcvtgsQ1 TTqyOZILjR/3mUE8Eb6JxTxf2tr7A6L47OvozEfXXq2lbYlqcANYuS2Pjfe+6stvCQfuPNPUgOng SVQLgldRtMGTGmLM4VpBJr0YUsPA/Efjyojhi9jEbkmqHt10FRlI/ehATozCr+wVBvmFJ/SFxIIJ +YYSYGNAEltowk+k4J2aXtom2KKS2Y0dOXszbnPXEQYGTDUqEKdMqQ/AnIuAcrAoLgwCbWVT3HMh A7XelpDwN6LINVSTpsSZVIwBuNI8uc9KtYyK55/0dlJTV+MivPgGvYJa4EHCjfcOoSNvkd5FHxMM gYmhlfl7otE+QaYlscLknDyZ/WFVxVzuuLa3ZE7cTaCwLRkLQezGxyavM9ggaSdLBvFG2V3ULMWC LsFvVIrGe1yAGB3juza+T4JWsotmRqJa+wsoL/tHwTdj0Td3M5XvZbGeha28xKJsLj0YEzhKkVpe 508RF2TCFII0h+TcMDfIOsj6c5oU3j5c+Ww7DuQnsCmKm9Even0Nv1nY9cm0D5XySQmR1X3YzKmb hWCBlpp4p1nbnpGLf7E4l2Cxr9KSj1dYJv3ituepSWVGaoZcclecVhnmeIlejGvdnMP+ulhrTzf8 ozPZ+RMh47Ul4B5eso54vnyx3dVIZSbwl6DEOfyjYO5NiVr7ftEnoFJTY/1hJeClWB+az8bvomO/ YX9E/pDZ5EBs/8knN8EqjO380gEhJGwvelzX9EJI4v7wVYssUZWUHwriGLw3NQt1EJ725LaXWGTZ LmyikEImuqMfQ8R2fPQOBSqrdS9JCOJ2cBfYypM01e8dsKyIBZO85gIiVCbgYLaAlahD89YPH8Eo RNt0Q82fw4qc32qhWUamoyuWC350UcX58C/I376N3dwWGJcXk2Xib1Av0GhY97Qd5UhZEK7qfH4q iiouJP3WghTYb7URzTxXUtCVRBj0DdTNREmNTQJlSVA9+vKMr2OLdiv5Le2+iX5qCWSHgCCcBmaJ 5ily1EJ8dgMnfTGXSE09qNLOZcxtUmxXMlZMxsDuyQEC8inw4r11r8j4x4UV1xi2RlyF8IUwwXnV qGXXRhKCuvNhvJl2jwll40rOICLTM8mQkezDyB41nuB6uYLE+ykuMkkujMB3XfIBCRt4Iiibaf78 TomSzQUx0+3Xo9yaBKI/Dc75jYHWMYpXbdJJvsw9ZXt7oZLXgg8RJn6qgiGI6NqQWHydLWt3MTf0 Xvm0lCJKqq3rbzD207u8NWa5pXKVmDrgqQF4LUWB2uQtWksdRFu+n7vBzXAE1PnTGzeH+k6RwzzR CCQ4ZbBnvbn5lIQmR152Uc+ZFrbBSew/D6Z2ePtzLaquioLU4TFn1Dm8YO4J1hWf8m/RArz52Cgi OJbf4/y/E5ssJ2DYv2wOFxBncZueo8las9Xb/xSZWZPYl6Idfd7P1zuNDHiprvOnH+obAXyUMouQ 76Wu8jgz1eS4lE+hEARZU2CDgpq9FLQRoC2IJJ+uFGG0BPmx18l3xEla2gDsRZtMYkU39r7u3CRn aYzyAiR/i1WbD4DA32F7JaSDoQR/PVL3SwyZsh95VTOzIoinMSmuIgQmZzdunIsJIXEPayI0IIfW stYhq5szRDZbOpsQbDU9NB9fJND46idGbQqxup688nUkcAAB1Ub//OAb/BlWLjdsgjkuEqTDuD2T Rg38sc4hRYG0vdpYG/EOdoRwSrsLiFyFMpOCgBxkfp1DnMLYMCdHJUGR0KArQxEz9Koj1KkIfK6N dKXxJzGN1mO8YCjRr3gReEJaO9PHUEw/0a8FbBbjmHUw8U0qtOagM1PVz3z4I9JufeLXeZWNJgdt QS30RnFordVa2b85Q5uKQGdKNgvLj1C0Korcq6d91sf+omck0kk9dGVSbg4XfKLeRVP/t4SM9ySF vUBgDYBPcGuDc8L1sqHttz5r60IUU9gupWZMv0pLfGn9vPD5XVh2XW4EzLBH/EPUxHeYC8b69EMz ymaj9OvrhnECVkVx/DUGdXAssVod2XiyqS+5vuYPMKPLWVp3BWSJYKzTpg47/1z5a15f+jmLHCI4 gkkAuUjffg4x1Z7BsI+iRIlYuCdHsTY258nHSfoxL/dTHGUiiQfT/qm8FZtvWh2x8WMEmIA+h7OM Xm95MlU1brSQXN9YoXMsBULOwS3GnDJbrGYAvZgqyQBAwKXMBTS0+O6hKAncZ1E5rzTKF0syp6U1 BiWKfs0E3CGbLo4Vtm+Ou39zQWnHKQzfGPqRRHNSUBf1G6rGzW3p9F3ZZcJiFGixo7DiYjokC8lU AbSPQn88K9C2R/Jib74jqPK1zMzF4Ro/+t56snL/gzH9D2baFWovR/IOSXOReg6gg7O2ko8Qodjz sT7QwaPSp0cxRu8q4iH/kfpHrYvpqGdW0xZ9efNYWZOnUumIr6cS0jsRhOMioEA2Zo1YgHoZbaUP F9iKUVSB25AXBZ4wLcAi5P2tLRtNOT81oY27afwAhvddoYT+fPW62PC9mWTyDy7v9fxU0ZrWa9zy /jxCmq087qh47xxeVTZY1LaHJCine2xivtyAc64va7OLWe1cao+we4Eeg5p2iQL9iBqWwtllDI73 ImMXnJYaua+lDcrUicUERWxEsPgXT1FOnmJjoRDS2bhu/3BFHUm1cvKmcfDU2UygVroHMPB3yGv8 EA9YgqEtwBtZ4rn5FsV25rSQtE5E4zPEOx2nf2vNI78CM5ReBFRkxw1u/pNoR9XkuVKTGAKALCHx 8x0P4w/o4dwZe6WJhg6kycFuPQCbSxi4uFPu8eXESpCiAoZ0BwW7OjPDh3K3fYtE7BLI+zVVyoCw PLUS49PzTrrRwfRYDuBW00o4titCWwjCCZIK7PYsTQFTdHNx2ZvI2zK4bKnOvyVkeiQ1BU4WzQpS nqwv96whNWEl14mjDTbDof/GOrEsE9desQsAmRzZ0BET9UhwdVK7YxZxEGPmsLAvovnC/pD5xysB 08WsiUR2chAXrTh9XMEIc0TbbYaIGiUPmvBzyQZ+eu4Pr9VS5wjdbIAkbekrZyOVGIAFldO8gdvr taHmNK5BktlzpmtDfUzWVHa6vRTOn5jaEyOpZauPyy2N9N+SuxSsNAMhVGWnRvpsv8LeqzHvSIdu M4vfTZEEVmZDqSEUV8qoJhTkMPUhNGGNHMvJhaSdBWRHDDq7eH4RqzcT0l8pLCY7NKqTYlnevhRt qWcArwXlabWPqUBY5sCayXDCbgoVP3XBCEPrd6TEcoCs00MlgMa+wtq4FnVFKttb/m6OQ2acl5W6 jOS/mKtGi6lrjXLL9MJT4bX4WN8WKU1xmNlTwEFcyUmtSOoOHPz+gytClchqYIqWyv/SATbcSNWG JxCY4F+wwHHUzZP5r5jcLd5QB+COMuekdDSsl740t9NSOf79+BXNRV0IVliKB5FWCMsKLi3526Yv q+ihq3zsYCyzIr8Q7uldiJjqD7G+tQfFY/WCrI+GiXBeXzcIRA0iahwHkmvv3+bV2Wi/wRVqRnYV UF28Rg3ASWPuVTkw1ngUy5Rria5MYbPlgyWa9gL9Ny8taG6R1ZKG+PWVPMyWgew9GQ4J0W0CMPzB 4TRLXK/msr4Sl7hbLpURaUZLZVFUrGXkQTi773z8XSKUKR1jTQi3SISePfqDE7qCui9ixUTfT5QZ zegRvj0VxdWki+LLe099aTPUpmy7/rbg4ynW+x9VrlgAvDGjIQ3GdGeLaVR6gOUexFLnlxT2kow0 /A29oa75Ley9TfAkvMjByAKXvl6T7Z8M17SDqTWLHHclWZvB+DCSBh0mgjOUmKKPtB0W2bjm6rz0 3zKVj54v1USaT0FxhEwuFJaqSk8WcMb5VKq8TU2ofdryChF/AwB2xCGazmOAPal9d4PoJVC60AjA LeeGiD02UYMrntHEnpGP0AYrSoH2DbI5n1tZNPTpNXTG4uZzLWrOnl59B5MNZWxJrvqdgi3v7Two GMOrFI6gUBTMXvKVpxHqGDszPJGu4KrjDvyAXa7yvxnUd0k9U52H/KpygM6DTnFrojfmSbOfbxLe TGbS54zp/DdSGBGCkzdoMtczrrA/2oqeu//rtZQckwL2XuXqSmvfT2unrMflUvrq5fk7VKMHbRav I1ycDwbNxgHDvGyOpzh0dvsBcKvwJJEsreKB+xMzuZ8j4a9kBEyioR26R6BbkqqTFpxwtsLkp7bI 1zAboB0h7aSU5NJmZXAqWE9wCu3bBeW4wpI5BXgPsfvy0wH4J+o+8yXcCbpRFfxrlIBSzcDNz9Ee qtBEvg9fXJP9XCiroTyHBitnh1lctzcEw/DrGomhA+vHCz6FBfRMhO45Hnhuj4OFgH5HRKeLlyCr yFjQ2fKy8WOUUPFqHABnrp/04qTNoqDQnp0NrO3Zixa7rvXADGLErpr2xI4PmCT3jHwrTeeWG2+y caDig1fmSIKlp+QRRAVh/BKs/YOUfC5DpLxZhCgcnEkP7YnrooKQjOM4XbiL0CtYVvEz1xuVKF22 hpNOd7Ddhjt2SPHLSgVGqSU20Mj3vFLKlY1en0EAfaVSnWDgqnneYHINPBzz2zjcdKMV2JBWqrTt fy+7GaDwmeXaN7HEVqV01G5LMymlBfNpIYqYVA7K2NTEWGda222iQssQzrdy4MrWAE6yH5zz1Z8m C5sRHcDvgmc153Fl80p0bUPAgJ3FdXqlHjb++ZSGWbGqE1Bgk05LYbxMSSuCa46mYaixf/9M6FXR kFKwk9Ekowzhm+hzp8FyTNd7bihk06xN80nsW/kZXJgRYdHuKwCI6zjX3GKaQi/Mr9lsz9PLVNCU QK6B0gdpbE1dwIAvGSSbnvENYSY88+1vEl3ZhRBmiH1yXrPJWh4bYHMCGa3G+8PRJ8/nG3B2MjL0 dsLvOtBYmRUfD1h+3lUHSawHQRxVGt9LcRdt2KbL+fz1kJ9DgcNhnF2yqQuqmDUMx4U5/jSw6hO6 MH4q73PhEbUqNsP8sqItmS0X4JmUyJtHn9FWBGJRX5Qmx7e0HuTCiBI6y9/DwrfuBaGTvcaCw7XV pG29wv2kraPFVGI6TnQgF5xIEVwLbmqb0NmFVMtlEkoILFoF6ZbnWNWCPoX0lOjw4uzHMuSKK4OD oBmmUtRTF2g4CUBIK7hzUPX1SKy46364w0PtaMtr6vMSY5rz4/g1rrS3Jonb8l+AJhOmJJXBrqAR X0hd8rDNrrFSooDKROwpQjf5a8xRbVvKkMl2o1CWKWl7QSExEK7opadvi+F4dYls1y2mRrtend+T jRvGBJ+4uDIOe7nOhQOJp4omXTAfotWmulR4C+8tF/9PzDEQkr7AiuOCobH8zzZvsooWu2D/zg8j CdPxQw8qsz2E8lQfi4MHGBMsRDTA1er9k87gfgEBqYeTOu1OQeafYSF3vyoAN67IEg/KEEdqbNvQ PRxAQgHHIZd2l3llTmqIXpdtSJFBDLbtcZwJVy8PELROc+4UxypLol+E1ijm0l/Zlprgb+tfm3T5 IMHsA+/e3Nag0a6pJvNdnUnXPKzGD9vhhOsUj9s9oKl6YUpO27P9nbvYPrutXVooOU93KK4dKgqt 3AHJ/JLlNEITw2ynChgyUBSbDsxiUME0z6IH7BSHptzaYBYUTev0i71Tt4Vm8Zeh8Hyzx0RT9aoL tENVAcSwYjEBRGVy6MAubUblDp4D+euRiZJJsJ6LtEtGueYrIvhG+rhUQR92VugRGDpExU3j6y07 k7bgvnjq8AWvLV6LAol3J06PdykJZKHjObhSsztD0kf2/Lh00FT76QzdPw/5I/fSKU9faNPKF7Py EAfPuaSJsa6qpSf4lcjgGnn6Nhnw9BVaLqyaZy5dIAB8/OqoDd/hcX2jPsR+bK9BKfiTyZjg4dtH J5ROpLiFw/rZ0zB+7hy0DJEu70v8eOY1k7nwYg06IFavzg4r3JSTlAnO/VUJA5aVpN5V68EJNe/p LDVullKi+REbAIa08O1WASXTvZF15VUHlz6wp2yEmQas0JxjzZvAAIMxuhfs2ARly9tNr2MH6ET1 UYFP/nAcWNMIjEQ8RnFufOkDIpqIYybF0rF0RIg254mKrkDAaeqApwBH/ohdz2w2GzHQKuiV6gpM pSCYswnMpw3UgwQ2EbH5/+rb0IM8LWZoGIzXZQcLQthcBbNWUmhmSw76FQa7Il8w+V+dD8AjVut4 IUo/M3ZuiPbN0wkRuDLrCQfwSCWuYckUwTIZkWtbyssSWrqgBNhKN0zzt+NHlrlpATufJ36esfOe r+9q8Jgs7TxHlgVW9kb7saMnsw55nn0XvfawKG9fnGafPoTySH7dlyUX/T0lVkdOHJmEyzuOlC78 +byMrpsNiZyqO31iT3IBJ6ynPDTHqOrkJa2W77bhS40HrbGjwF48MvoZZAibf1IoAIBnwYurg0po oml8D7qhvxnjZTEGj/vR4Wry4+WmyNhtrvkZW+RtrKVcrH2gNhMVtdwjw9brBJPl7c2QDPrT47t5 0aXRHtaSePq66DJm8C52RDbq8eqVRLYG0anHmRLNdpilaEMk+AirW84jSxZuywa8jSkrKpcwiZYc XkgMFEjwHfKJEGcTfjPOgNLp9X3BnO5Ifb/IVxCCVBqvhh0Lm7Tk46K3MnT32aALSGq/MvqP9vbC osCr4vpaoUfBT7fP1axCONNp7nxL7ZQP3s9dW2hVtMjALPaPYOzY19mKoePIAs9rfR87b5A8hwPW iQGvvDs2rVVyHmOmDVq2rJ2wWhxA7gvBGOu8Yf+sc7zQOrJwkomQvenT42MqL7LDCtuTNdL9VJeg 25+kDeVx0AHHmFG2c0lgRxF6WLYj7CS6tIPnY297tZUZW6KsVyMCb5moZ2Tn4+tDlLc+TqitvoF5 h7Rkua5edNZHECZtCBel3DH5QcOzrasL0/1CXcYSeGpGV2YyH5z8V+i7KMu8oDXFj+Qxz3EhQqqF 5F6FRZl5OMA12IS/UdSQJ1JXMnyOgU9XiIM8CEjZUg8J6CYjW+EL0dUFAIKRfUzqI0Ext6KQR3rM 61zoWrdqX1A+sKUl2N7jxyma8g5V+bEQ2MnQaqXoV6JWPCAxzcl8u41j7UdikZZTrPNnNg+yfnzo f7fspSJ7FcEaxma94XMN1u9bFBxOpxLGBhGrcvzdWU29S8zQr1NeJgDFm69gE2QY/qFV4NwspQAl X6roaobbs/yjD8CQWK1xU9rstEEFao0K+Nx/SKUdeuYXyYchQjs5FsM9koVi2mEVd5fkoc5PKAw9 JPBYnt2e4Vzub4DT6qZEMKQuapyCf1cqs7G+yyEXXmez5tJG7Fb6VQctGXBSw6in/Fl9e/wu4sJw Skn4PisEKK+UujK49hyVNtkM2/SkmQJ2ONpumub5UpwWMddW+1+Gc+ohK5gKjyqMi8qeE7YXkUqY NIHYkA5iaoSKuntUlaUV9Zm8TqWq/qFfp2sRtlDSxc6PplGp5l60LPdIUTZAu1X6pnqVALOJSZZf EioknzlMev/nZ2AKzTG/APB9fMNY8MGWrfapmeAPospJSm1/7wyuU60cWRtjKls1wS47WaF/66Bh hWFX6Sa7RxugYK9GHSwrTU9GhICjJpeI2REvU085FM1poBWyY4skoRCYd7x7eCNrdf6hqvexI1sK P6JOQKIZwcIPC6w5b7diAd3wQRgUXtkjVadBsR6uTV8r0D8nJJp8SweeBafcITsOjxrQcUPI454K JheR7JH2m65ybrE6BynL+JWH6EYnQjSpkXjp9apo/aJlgODcsUKZR2l25FZz/D1G/w303pBya/oa OLU04RcLkQo3pXyyXP8fa2VGCFJ+819J3x3HyYmYAo/M16aqmCkDIOWFXFeSJemXkUDBuVDWw+0s RhY4uMQ/2oA7DooQbcSv16a/Fay6B+fveWQS3BWQwBa6tRZ5z8OmDuWlvW0kV1eNfKFWVRDo3Ayj 4Fh+A8O89zLKTBlpRA1XztRtT3FSH/5OvQdwXo58nwUelPr4fGxD9NmfZAdMsDwoBsIl10rifYQb eVEcMBXAeW4VVTaaufIGT7Tlxsn2am74tHZEHTusnWwBtWRZBkmkFdTgrHam6mtanvZ0PTQbX//U pMs0+SWAM7VqiLmdxao9qzIpF7c6NgYcPgPhVRQKEKQ4/I30Q0ayGprLM3E6irHmyTi10tHVHFv+ iURwgAwraZRgBYMtuPHnEvwYfkNRQfn+v17KlESTcwLTAvi+ggasjt2DOr1YCuvGJxXbZmd9TGpJ McKHTPtch1mrPKHeB5nrZu3fJ32ute0mew7WXSYHVFToYcwe93UeS1/iRFrV0Yk9k4MMrKda6WQ0 sTF/S5xaj/si9t25DHDAkZYc7E6M5sd+wYwiDyrJZIMRremV8j0WeQ0IUSY+daKRkvsAyCvmS9G+ zsocoFNZ20/Oh3IZi8II+PG8KPG3exFSlHhLlZdIVdm6GmXfW97qE/favAZ65q6nv4tX2N5j9cOn kvRiRPhCqvjs8InF6Zq8Xt6WnY2xjmhPUNFmN8AfBQXDDy4RvCukAYfXONmaIW8i+uBSWmKSe+3T VTt+1+CwEIq8o+WH0aVSlJGKG6J83Px0auz1GyJX8BNdXnUGel5MR9ixYa6TT9P88RxeXQoBugHG 1FNpQKhl2rErkoZHzc45vbHCU4XtMShgMD2fJtIwkflK8yfEs8CL2TYJRBAuAtC30o8nnviJLT/J jeONS5bDfcY80JCvRYyR04s9qs+qyLQ2eI0qTz6fONYPGYEae5/vOEw688+sFcg6/Dxqzy+fHs1+ lYZV98yI/BRkIJKA2qDjrrhcFUGRGp9X0IvaTQEAe6kIMCCVtgj6XK+syDZM4C4v+W0UHZCU412G voTSYIiJ1i1GmwsAo1TH8S8NGou2ZHCSq6vB6WhAFexUfwmtdKBWUu/M9FyLZrglboQ2o6ClmMYo KOlPSDaNno2WDeHoSJ5+4eIVLK6KSy3jm31haz+y6RHdAdpDxSA0eOamjE1uBzE/Zsa9F42puap/ LPkx7pofj73ohN0Awp+1sHlYem5jN5LS1bJZH2VFF/TCcw/2qRunc4/Utt6EJrjIsnG79Nr6BGAq wU9El/ATvPa7WLs3nZuuSf9jxRWpg3XtEACtbJYbwOWV0hALPFzYeny+YlWcQRor67Yo4lKtCHxU hnoKkx8kvVdA+EMIcZ7e/shrVoT7ccYhdmnXrItvX/xPCxwiFSb0FvHAg3qr/1IZR25+34OYS7N5 OTMfLl2IRW7WZpIJCtrgRr2cZVPxlJoZD8NumOLt9qlKm0BuKorgBdN5/UhJGgqyaK+VtAnTPbQN 6aDDnsVgBM88/QMMEfaqWQNd3uEJGAaw5kiTFsMgKKw0ZNAx/9+F6tH/x2evxUKGq6ohONFA+kmz H8zf/W/mm4JS09UrvXPaMmhiuPS1RJiyHwR0qCY8bp6W7v40svkd85p+UBUbvgspn9+GeDRRhAZc 0FaxCZ2VeC4xYNJKQqIsKKZfkttFECUvvj5tM9nBJQNeqAfFOb5lXcayjgDk8yJyDqMOgm0hWaEF wo5dSsNoabcmnPIKryPoEHQxUFbbS968m1BFOYVN+RLbuhiHEGDGh2QR6v12mEEqXNjb0qDQ3ReB ND0yo14jYWvvIipvViC6tiqOSuTIIMxWA/8kV9ldOS7u6rx9wk7fpVm+3phHd3FO7ctWj3BCU9xA L1MVWqTSacWMV4iWKSIE9jSdled4UpN8RKx5NDsdIKfFyzDxFq03PlPjSdKEvDzIC693S0R6Vivh L+IxMk5KSjOgl4ot5xKMi1UU6m7VYuUknBdRz2oLP91tdzsbpOJOSHPW03w/pSUQyG2pfiXOF6f1 +KAgZcH7PgSiQk96ZU+HYKw2+74ECb5wS5jBFP1W6M6MY5r2RqLTi8TVV3TfVjZNCHPcy54EDZgX q+Sa5L0ivtcYDmZOMmuCjRAeTSj94n+oIiuW0FtauDigb3ATG8+xi/IX2tG/FbGAfuTYHQ7N0K+k vEZCFulUs+olDKUOP4PTy4Ay9xlbV80T0hnIqAyo2P2cWowjmdRwifxEBTtDS80UbgFrqR5pexS2 2HoUSdZEp1hHCEZSx+WsYNio0r8+j1/PRvbAwOrcPOv4cNW/CPPOsA18jQL+S4qamhB/Mdfb4oiX WbuRyRAC5q9aeyxTGrEjL3PQ5PRFdf8HVHg4pfND2EIeauCfc1jb+Plp9xv7bZ9fbssfRledRKgr 02nLXJ1fWX4NpBVimElH3mLCiqPIF4QB91BUpgrz+zFmF4lOEjfxNwDrBYNA1Li3Iqfn7aQCtqz0 luPFm1Ct1VQ/O1TYQ/zCFmpWypgIfNeRWKKa1Vau+JRoEKhhaE45p6hnAzRxugnkdI8CH7N+PAbB YsUko8NILvrbWpurXgvxIuFcTw5PUo2CDVp6JfllkoGclxQSaIck/fh/Txt25tR2cAf3X8RfsgVS fg0oQxaDvwhLmrz9Wh8aW5wIWsJzGbxx2g85dcmdV/R2rAJuqKWGjuXhr4CRf5AGIBw9W7JheRXe nqqdnmteA43dAtBrmSRHo1KGMvXKVdkQjGmu+tIyr464WqK++7ywNUMa4vOfYeTIdKSi4GNrJAUS i5mKNVwfQ6vJXXAlfPdOJ5ZUANv3RDm3QwZF32ph2kTk+QdPGXuKk5iAtwTJtCR5bPzKkeEt0XWR yE6FGSpmIa/R4sI1qRHNbI7jOlzJB9w4c8VrM+fc65uubFh49m0dgx5RDXe0j90HNWcvm928blOk Cf1f5uBBpOX0i8playUKKH9qZc8PSMtFGUVOt0A9DbHZC4jDshFn2ESxhUqdwir0d7vRZI7ysV+2 dJeyFKLe3jhEn7R3QTwNNU4j3VVy2EFX/+pSU/92ZEIItJ5gGkKeHPFInkTRVMXNQEPnKDFuzfo+ IC6GE1APnlMnAHS3XzOW/LAT6DqmzQVLaR5Vmetf1tK1i7gysIClPG4199KeutdT1xd0RuwcSx1J 1PvFngIZqyeMUSIqvFWuG9AWGZK0NnOKq2nN2JyAuZZWw5hPt/BfVAV9/k6vEWuPvWuWQp+LeUbd 4eA7dVP4oCMdd4BEV9n2mQsuzb3gFK0Q6azzmlb7hzQPMZ6x4dA1/8w1RoG0eVObs5gzEqIQfDHw QaR/O/iyr4GdxfvzSvhOIRBOaDMFsx1Pcd858W1wJlc81okCLt70VmAEG8p1hZIjdkcvtIfyddDV OUpyaxX41uzKZB3IWNcgGrzjFItC+GCFBagEothtEkesfpGnYJbJ0eaWM4ofOK9iZXtSX6mtMd+X xEu+TdmvZ7NLKi0oXLoILK4vXNPf1C5TEefibjk2/4g5luVeMe0h3lUCop0h4XVqVI9SVeUW/QI4 budA19D1KLf0HkBye9GOfdThXT9DvcCNwrTN7eKWIu5ClIUdwomHmU7LAq1O+H20ehpwfvowNp2Y PAcgO8SPjamJ5zH0DKTnYJ2ttE5SCOJFy5lV0JqEqzeccIpI1zshmAv2vFZ5+wnaoafwA14/4nJH L+25PTuvLdduyPNkE15Yh61l7hH0eneIKj10hrB7u1uvYGW38pJcgJIFfl/pNLXt1aDZAUxk+ulA 93GSQdOswJKG8ujgy1N/Kn8Jnqe5PkamyDihKQ8SL9mwiQMlnPtVIlvGXzuqCHbk5uxWUyrF4pPk S8DJ8ofXHzkK0y7a9ovHGpAxe4E+zTuSfgdTAGR8kYMAf/5M9782XEg77QlTnt1yLZiZ5v5acVeQ AgRx8FkYFfPJdR7i8q+lO5Bgx7tyMTb9EWUZQsREIEMUKEpsHdk/XhMZM/+YTxyAS+CCkbK7I7yj em0qcgmRbWTnYUXIwKLoZHg7n7EuWNJHCyeuXWFcCcknksgUv4LiGVueSkWCEH4lYnFzfNKLdg5p ddFN9KTqml8i6xwevcdmccSybJV+eoE65HliaoEaxnl/VJ0V20UvWpXXQW8nf+e3Ys4orFiA2ee7 Ax27aXgNwZXFv1/AhEeVbBVLggMHKpqrmGU7IVmtPK2snivMxFULShxtfH8PD1yh6hqUekfq2UPw 6wOgVklFFMCCpXDVKAOJd5dYp944tT7gvaBRXgUIFcjRIUVws7vvVW9XwjV84nXV35s95EbQuarH +pgBsnvFwFXFxBgzi8zgDmg4QGHN9p7skDFN79a8bXVoEU9nV/b74YP88JepfZRfe3yKOIXi2Cqg 25BA9hf8iYsc7+uRPTGiCAm9QfL4J6gbJXX73NhTy5Wj0rbuQbgdb6yNBsKhpnXKhcZ4auKoJuiR n/aAX3vLvkErvU9GnQAaWu/+WqgcdPFzdRcSH8yCKl8lfPQbUzLcGW+bMIUwkXrQyV6kds1Lh1Nr dcDBNR++MWGd0Dro8vxV9P4LNCZ8gYPNyf2RZe2YoN6T82+7wxWJhBvavfVF1OsF7DnZhBG0ngEc 6/fDNvJIEd56noYUc/cwKsM0JQaHVQtF9YJmkQm7SZUHBDrovPJSn9eGqUG0S+LZCzza4I6KV1ER 8M3EjdIuQOdhZBpJwMtmF6oDpCaGqAovRKPDysZcMHxfasyUUGTCxLsDGmISw1aYbWTnzcNrW/HH Od36QyGhRaKZzvqeS5yXbdbm8Wrefjjf2HegS/9vhHZDavAdgwgOg7cWzPvhlf+RsdhF6qvm9bFW tqIB5tOIyddcYcQFxW6lWRbv0SbL3g4/9ly6SizaLa8/FV59awrEQO6ndPjxCNZqkzCgBfrW0by0 IPOnBURex7qgqklgnp/Zz1ZohffhA/Rm/fa3Kc/+D/VbHRcF3VRkp8MkPioIyKhM6Y9NDOx0Ui6q xOj/cH5eztRGKhWTSg0UB4B7jZQ6+jiMu1Gdr5VS1dZ/I5ofyZ/bOanj7PXeL5l8hDE4LckqbF1I FHiu26KFSJ1ElZ/QXBnsjjIDkLWIPptg91MVZCZ3nc1byOrbJNIOR65vIHH2qo8fXGu1WSYmuk0l UL3CsI1Gyxmql0phSt5kpEoI7eao8w0x2rPQB5KqkO3aMEXxbc7NMl7yo3mvmMCMpTIEGVuyJnjh Xv9dz3pe6XYP3XNmN//fp2Z8z5qILHVFfVTdY+r4libjCvJolg8GhIOBsWzGkXF2wrE3W2zzyqWp mbVBZagUXsYyMXvEB4hlidJ4N0IW4oJgbH437x9cyiWvGsBC41/7ikUwLvjHNjmNn0gw9PfiFMeU LfOYWxDORrRmTTG2OCHHPFjA46SYDbfDTrJn/7nViYvCJL103Ikvp9AazV/mKGJCwXqP90VNA5Mq HtmSWarPSY20mH4/4alHPkm9bFVzKPt729bHEfwDhn/oBNJrleUmYFnG/f9927VlIeNHiAd4DcMj iOFDggLZl79O0jDmHB+tdBre3+5OFiChPoP2OMSa8ORx20TCM3fyjo4yk4sGT1qKSLd2CS2Iabax JJwS4KbovEAw/IYg5j+UmWnD1wZw1U8atdRL34e/d9bXWJ1tfrmipe7HBDghRXYYPge/Ht7k3bXy +LF/OkInrrl81d7eF7FDbAOjDfbI19h5YrdXsH6B3bIKjscPTpaMiuiAd4fkbP6JLEQCqg9DwvZQ vF9Cp/q46k9tqQr37BGasyGsEFbSLeCt6gbJ4cnzktrY23t/hSeSm6pGMFgCUoGJMC84BYGC00sX 0o1P18az4ZDPPMEKx7lRHBE/O+YmvJMEquNBbwiKdAJsTWiD5D6KVN1mhB5+K7kvLFIqp9WN0XwB EFZgt6LyMBzLsJELO6W7FMDOPDIgGcqrwf2n2FDiMZQHh0hSPwSte0nFuJlVFUYuQfIvAixchfRg 0sGRgyjYZbC8kjhmiMGR/+XacTbilRn85y8LXZTLHMyouC0TSHcsr/+7T/6bwj4aFI/8AvIxk5L4 /dOHQU8E/TXkxYIegSBIe8x75Lfzw4Plk8/egKA65H5hPMDp1KHkxCi8FG3J+WfYb14VzJWRX0Pp fw1OQrzsZqGmZbDs/kT81K+mWjhk6qqTcWKSVV0nUw9OW+/YyA29El17zsidhTlodylgk3i1EQDK sCQyJz3OhxQ91snAH3uoeu/xNwU1rB/j6Q+5NW/SdlQx1Zc0D01+sAiFIqYC1d5rDfJhU8ei/hEX 6SefmqXABfTJf44aStQ/svKSFOK+0Y4YU6yF3Sbf4AVv1Z7K3oY430vpTJHYtAxahFGQrWsWsZkc bM3nkjdVnfrGZkxJNRT3V5oPmV9L4Zao0H4MxcySjskDMvrTR2SewuHgQ4S3dWJWesZRnk+uJjR/ RBrWz3Mw1c7ZF41m2WdjMSRj97KkFGA0eaIcWi2isxo5T0m4IMyP+WbpFqmt7pH0PLXjewCq9WaA 6E3QAXdmLKWF8Z7u+BF1KjhjgcZ3DWd0oyFWVPPYKl1/9RNkQ4P0YbvAWNsxUhRTesu5QwZ9ST6q pY9jkrfCSH5rFziDbODEpeAWNXvAJa0MZculDf9LUe4YoIvH/FxuI/Fo3D+stAQXkK5y6XrqKKlQ 8CA9UO/XUGgcXQGhCxdTK5hmgHuWcvGI4xVEZZJhcApe26x+Iwi0AUFxt8MAr39uhGvO0U4HOR6R jN/mzySv25QX5WL7Xyvd8EomczdwCLg3Qii8kfPz9qvS9bKoB+iQtoCst5GAOBlJ2pNODhFEMt/G 6Vf66IX2jFgfOkeL+nsJ1sIHeXmUfoHvQNBkI6yKr0gmeXPHwVYjAd3SYh/KOUOychLen7yz5RJm 5YldtvIi+XG5gXEzaUIHWHiTRf1fAMZvj5hS6lm3O1/6AxvGOlZNvAT/DRijjDlC8SYcy4nAt8Si O18xw0mrTFnteokWbhelKjvoyxJB21d5ZOJu4kP+n+NHfavIv6Um9MqbAvgbHqft2KfOVAxNC4J3 mUsYepWembxygMN6bXLRQkJoqUKQoOYh8mfRkQm9kFM8ksTZb+pzwZT0SXWZZsHoZ6OJ9Qa3c9z8 Dq59quyMOfmEp2zidaaEty+jyKncwHHBVlm5jCVu7OhX7An4DfGwfyU1MwnL+lxKMGytou5y5AgE JMgW2m8WSdd/aiTzhXxhs4QKLnKtzRwOBK+4t4IO/1z0gXMnmtY/iXWHHwfxFlspyr1ySD+EVyTF 7/HShUdA+x8rAScApvKbGbABNeZvZk2ESQ2be+dft3pk1kG/f9BePoCh8qmMtykUFIoRL9M2P2Gd L/I6Ec3doitQF8x22pNbB/FJC+Bw3OxnYMitlb8gkY/QWBE9fNk+Covvzz967i+MQMDwrozWDx4C ZQPk4NSoMLOsjUlhYT0KfBcCl56ghrWiRpc3VBUUmyoqE+idTU4b4shSwbAPVsE9TEKY6GFkPPRg q9CPJnFNKNxJkBlpDoT4+KxOiIQUK9xABl5tb9V95RuvUg1B7XKKZffpTeitx7239LYoR8sU39Uk CKUikXfQlQ4wc6wH0JMaprQdbl3qpBeFMgU99Sk5DgLuw1WqZ8Rj44YEFqhGOQBoyiWPtvKTWpel rffVhvJZsgy78zamVvPMsgVy9KPWEVWgi3zvYmun8gX+giM59GCPqYyUVqApRVd7s7jkFBRLqlxf 1ipzH7ENl9cN9TmywBfB+WwYZ449J11pLlIN7nGsDRU16qK/bxkga2gYOQFk5PVS8Kjh4+JqJysZ d1n9Y7qmJe22fO8JFmvEL/S3ZKvK3dqypUAM77QQQdUOmBP61woJDCDXlH3oJl1xrS4ud1LU+pcn m6d2W+E/5AFkodYIZskH7etMm9wfQ9Hoftq9fgn19Eea1YyhX/6+h7WcPCLDRjusjpbVdL0TGLbp uRaiBES3xmd4f87fzRxF0f52/gKXqHHL6+Pe8Hl7UEACpTnzAGzfZN4Tb6F0oSa8PvZMGv9PaV4q pMPbkIK2fTFw/VUEyfYEKevagBqq3Sh5gtVDGISdTM8Fnlyoi0iDt4+7eIbPu55+yVyW09oSuETn GVr2mLYwWtbMJXRrOFWn5evYtBU2PTr3MHgQ8MKaIvePXLHILjx6WEHn6jr9wDqqPhsjAZpUZtKM Q3qB4XkAXmZsR7E4yHbBIoEaXJLt96HtWQ3HZrPeDDoF2MlxUZMIzljKfMsPSSh5JuwUqsuloqPQ AI3BHpnar4jZ/1X7H/HUL7wvAOrsqEGdPCjIJFqE+K8fjUkGXIn1LYBlaHEqNXLOxgZbYfm8LWJL DunF21RMke0rShBw3mOaGyVxgjaDhqu9tZcuExdEOh5KMRtadUuJM7aHVdrVs2mlAR34jqFH1E67 GnACwDoov0JrTfOcuq6rDoMACpemB9qjOjaJnAeEcogZgtQQTc5KaQrpDo+Q45hl27vWr4y4Jszf yGncpy1xPZobVwnxJHuP+IVBl9MxYNxuFs83z4CAiEhFEU3LSHfSj2XEwMuc0Mu3wu0P7Q//6ZfU Oizn+KgH4aSr9s7AqL2gViozpKVCzV94FrNRpVwWEhkJIy4zBOIcmle7X+wR/CIskJYMbtc++n5a T10Vp31r+RcZf0bJLcKmQwEi4/MBreRQZijKAyq0Sn2vBieFHc1cgklebNgvYtr6YO/kjPzM847f s1R/wmx8yIGaymir3hk5HkjkcqueQpkS40Bt1dWlrJH8r5IsYIQxMNFyLYT3zX/0RTsH9Ekz8HYO Vse97fyXEOkpavmPpRqN/UIQmfypRW31UmwPJhiykj2Tgl87vEanv9gyxB76QTljDoTHytVmgLOP fpZHVX2DM9I5nB7hb/CN8htYOvTsetmrCJHJhyMMf3KBZvnS0jbMhNPSg9LI6uTov+JV4sMZsdX8 JziGR1G//apXwbsZ4183uFzQa4LvPsmLv1HbLGDcGfMFlKbIBdeAtS/F995G4o/MmULVSQPdBdG+ hmKWZMyjnkb9Op+4oKKleMmfkhPu5zVfSeDE4P2n7uaQvI5HkCMZxOhns91RQEo/32JAQUWXst15 mVFPLQ/sbMEO0PbRTIJvchfhAg9zg8HmvenOJFBd+bEup1BULC+GxsFIlToNXghX1kJtMnYvN9kR wBV6xx2MKOBJhzLOha4qtq7M4izm7QmJClfyAFNkWn0R3YmIpTwcWgA+10wE84pz5AymXZPbrPlC +y4lsZTeSpOdSpddBtTAZ/OzqYlBySnc/wf9b8AjwxP3cTotqUyAxWAoUoh7U1IYiOwO8HlI/UPP d6S1xQY1H2UTlN7KkJ+P5uzgIBKyVDlqX3MdLZNr0+P2DbdMX/h1FZwYvUtY0TgBVWE3h56Vw0Zo GDkx2bUQ63HFr6plNI1KrOd8TRrk61Mdomsv/ztESTYA69OZAyzezafTBzdxciSBtnIE8jExK5t1 qeyMpvFNECSQUTcfJBgi+emyeIah3lht5iJm+oLFaOnbDZJkrQDTMG9R7SWRtoMqZVQbtOHWKKWM MkuY02yZEIP+bn1S77aLh73i6RW/qOyZH3aFDjpQgR4XZ3UB2i3T3N8ErZEhaA33lqmoTYMU2I1T Y3vf5g+no1/VAX52Lke32eZJnqKBqwV8SjnBEFRAIg5VFISaGjuHjfjWpTOimG5+aFm8UYCdvaPa XswtCk3EW0bIWmZFMPK8IB9qNgg/tjDRXwMRAqEtu0T0zEEW2ujTFWTXKkwBZ0dYVPKlMx32UpUF 7m7dT8Deom+9xZUSZttQEAF2q1Zt60yy9z2vcqZhPVvHfefqOoSVYPy70oS35AxxrjQgVenIrq+C ZkQOvwK0FD7ix5xDHlTIDZ0EShNQiRcWQf9fSIabUCcsvWsoWCXYu21YirE7mvFsdWOK4V+4cZtP yRKW2cJanVipX1oWzXtQ1IDVhhsXCyQn1CddnY9btqCBxFydJIwCjvoQyaVuiiR8C0opRSOdxJ6e Xk8w2eIecBsGk6kn3Kt84Ys3ykHtE6/cz8JWx3geJLn0KuOtfuQrjKc29lOmzDmc73YdWFef2JKx B7bQgPngvxBgmEs7m4PNZ5zKGfkXA49/Fk6RenfRuTISHcnSbw9wS7dSQMbzHfX0pi/6OOauz3fM 8bEIPSVHER4sycckHTzRFGrmFQb79lEd3zE5WGlwlBHql3L9fLakHB2G18Gf5jnFkBf6NSDsG2aH rVQKOg11NVT6UKAso05cabL/eEohZW4bZ5a0h+re1vV+y1e5tE0VC/HtmQ9N8QTyllz1FT7Dp/Rs RH7b2BvN+9KTHWbrnRqcK5lahHRBYSbAoHyEW8kLvi+WJf+uOEykXoWc2a4vNECAALXvpCmRhRs3 /7bggeDdQ9CP4NR4glo+nXOaxmtwTo3hBkhOp4AdfRl8yLPanhDTzVu/79Z8LAfGJADp3djYkUkh siIOEKJNSDgXFAeJPbhwdEYO4yLkWM7K8i1EiI350uEYnqejXMJ/d07Z6pBOs5zI68wQvimMdrfx y55RaSPxT0ZO6dY1NlT4BV1/fwRVjAXD1uruk9UX+rPrO/i8qKQ7jUEU6KFCV/chwIWED+EOKvEI 7Y7fjNbDjPChhl6u+ym4pikQzjfnUflaZlFfECW0Eyd7qPPKmhrviQYd7MDyTrrxIxBvbXynxENb 5T2a/GJPOXZqtAUutw5kbcxSpEmZkm78rgkwB3oGlmTs89LloaasncVHQdxQZRhhRIdBuZIcTcum yV/VGqPqgKsRv4IbtWn69ZUN6rd52GSdWQbIDdyuGjbDo4pS8rvYr3CoUVmwQfo/jURlFBjDA0vs ca/VAT8C65G6vZQUOer/HtAmGrHIuYCuv1LU0OIKGQG3mVV2YKpzpG8kFVqWAYiaA13QO0SZ4Dgj AgIAGbOBKfBVsTXYlXaSTQNwyakfRtelnzXB4GJrNmO8YlclKxijndc/qx6eXtMpdu+A+oMGlScX 6QK/x45nxc+k8ibQTPyaaNBQXa4KnlDMq0az3KcUV3lLxgGmFD4QBTUwYhhvrxCN1ZcwQbpd0kOt 8hurnEsnFTkPM7C+UDveCWOU1D+aH3DVCYKCtCxNh7PUI8oSaYO/3NY+cXPsIGoClCMdbPrkonRN 39e+rLVRkJGK5GTqfwJOvjeQlF64lcOutR+L8eSrNzfMPhG22H2M4bou925dqIKAdd8zJJf962f9 lDdInLrJKOy4f5sS4ltVzx2kR7yYosxQCt/Pwefl2q8l9IP7I7EJ7XG/9Mt8mMKFQPWFm6oeMtAY vSOtQpA7dMsKuAUMfFedpr8e1trGJEtT98EHM71ZBsEVu5r3IP5gVezV5PtcnOQn8g1D0D1nnr3z gyGH47r4/FuMLpITGjpfz2M3VlikVJfdAnanyA2a2ZTRjWHHFSMFM5IDPu6ktryu8V+FB1hbVDj3 O2CYkH8e6UdH+ersvEDhBO4Sj5yOE9nUuO3cA0tCXe6l2IRurgYEntantvh/+S/PglNgM01JNOUw VVDEHqeW9UQ8fkI5I5VYlAsgaEyV321g3pqZbDQetq+qcj3NRJg9CYKfuSviXU6ErW7ZIS/lkHj6 37VaCBEHgBWP0PhIVbth1X0RZBeIDtgyy/ijlZ6o4Tr9RtwF7RcVyLW500QEzx3N+CtKP41jlB8/ /DNAmjy4Z/czh0hOB4RXwpeANYpJ//F/IrOm8Ce4CbuvLq7HEEhPR3YLtHC0sYqPy06SIIPW/bBT CCfom0NvSvpJ3I9E4ZYsvY0qcQFvtCu09kNoj6+Ea3qHDJJXY+ePeEyB4NgTp9/wqXoqiQqxdBnZ nR0H1dqKrgX+OFE/nsTDt4a6Jb9moYm44pjqFGmV3NSJvKSBpNi5GFggj9abnn/f6nTWg57llouY SEhn6eG/OAQ/SWGrq+CAK8xMuW6DMolPIjgh0HN/n9TYfjH+9YzUrB50lO12JH64ztQF4rBZxAvv Jqal8LtvMTNtl+zeNxKpXNWwqXRrRbD+ql05KRn7aZIhWM3JVVjGCdpG9deJXKZQcVv5XS9RJJww T88fvAU0ZL5MaZaZP1+kGH90WmQDGwZl/FNwJLlFzs211uhTeVN829f84Kpc92Ihwm3IpjzmrCY/ 79a3HhgyguYnas48xBtoogV0vUyqRA/w0XNe7oIZa/fKhWrxr2XnkiO6o6qGqaW8P/6LnFYPN+X3 hePZ9is9FB9lpxfSEc6iPN/gBNNybBSL8e5eBTdYYwaG7KG1g1252kXbbIwxJAZZNCq7+EZXqE4h 6TneaUjxN/uRMHh5qBrBDmgpYhP8XopYqP6kg4Bjpfk5i0AXDps+SLdGQoPRvLLoargWmKd/cJft 7vBK+HyITdN3xej9L1ypYIU+ysr2hx+0++W6tFrdoNuvJB9ZAVxDHSbREuNpoxDVQs2YzrRYnLXl KALnYvluPAIfFZyUhUWwVfd5HqiAm8z7u/HEXKNKmqMWtfsR8r0A+uboH65PnwMmIRUAh2JYK0zW oREwsxau87oH2P0sukcJFH0mkTKCmcXOM9CfJ828k+/TGCn7QasF8sL/d7fqSTXOOdyijK7o78YA Y6/3saghfQksopJw7uCcyaDuuj6e0XWcLgdJdzcuxFaQR0/UZVUDrLk2eMMFXkpLVfDC+PSLWsJ5 awiru0mTEMLBvg7wJqBhAxPJFFZMQIrnFl2JTwiihKMg5YU1sxmAQ6dhr42kCm3HrGbgaizoBpKK r7+/Aj2J/zCZSdfJ71vjmhf2cQM9NEeFyMinxiHkszXNzII0TuiaIoSqnLfU1M28EDeNXQvxoN0Q jk5JOkNSticwuY71pvKYHk1leiy38eYQ5zyhAk0A2LxUMRVhMtkkZJvJUisAHA7HHi+6N2wEos3G 3pGOyecxf+p9/OskoxY78GDxJk2NCKUjUjnsiAV+zhSkiIinpvUyYVjPAFnYFYgdwDT8nP7NLJoK VDKNMJpTm5lUumFAfi0ZjbaEKlbRbbl5weQOoNwKA6jVwMceJP5CaEMS1MmvtMGjnCNEZS1nT30R VpFwlV4zwLZ4x1WLEPnogXLy5PAP0fHCtnzzbX0Rh0RsBLbDY11eo1OxXLWgB0SxgrZH8FWNTZY9 +IevcA4D3p4OWMulO8uPyLEEhJQVkqqFugvimH8XkKgM1PMlJvW2o9sZIIXea8XCI+nIohmLNqWv v80UFGJ60QUvyXCRPNrqKnxSxSzMxPOPd9LUwvP3JVSgN+PlKsK8Q/axyuw9O8dagTMKA+v7J+tV et8Nrj0oVv/Oiq9SxtvZbJBDBDDfizwrSjCkwS4RLHme+CuyZ3Lxu3baMH0FHk1bklcc/Mx+mhzQ iqXLO8VXRDa1/lw2iV5+qRjPkeXFZwnNojmnXg9NKw== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/srl_fifo_rbu.vhd
15
18227
------------------------------------------------------------------------------- -- $Id: srl_fifo_rbu.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo_rbu.vhd -- -- Description: A small-depth FIFO with capability to back up and reread data. -- SRL16 primitives are used for the FIFO storage. -- -- Features: -- - Width (arbitrary) and depth (1..16) are -- instance selectable. -- - Commands: read, write, and reread n. -- - Flags: empty and full. -- - The reread n command (executed by applying -- a non-zero value, n, to signal Num_To_Reread -- for one clock period) allows n -- previously read elements to be restored to the FIFO, -- limited, however, to the number of elements that have -- not been overwritten. (User's responsibility to -- assure that the elements being restored -- are actually in the FIFO storage.) -- - Commands may be asserted simultaneously. -- However, if read and reread n are asserted -- simultaneously, only the read is carried out. -- - Overflow and underflow are detected and latched until -- Reset. The state of the FIFO is undefined during -------------------------------------------------------------------------------- -- status of underflow and overflow. If neither overflow -- nor underflow needs to be detected, the -- Overflow and Underflow output ports may be left open -- to allow the tools to optimize away the associated -- logic. -- - The resources needed to address the storage scale with -- selected depth. (e.g. a 7-deep FIFO gets by with -- one fewer address bits than an 8-deep, etc.) -- - The Addr output is always one less than the current -- occupancy when the FIFO is non-empty, and is all ones -- otherwise. -- -- Srl_fifo_rbu is a descendent of srl_fifo and srl_fifo2, -- but the internals are somewhat reworked. The essential -- new feature is the read-backup capability. Other -- differences are: -- -The Data_Exists signal of those FIFOs--which -- had meaning "fifo not empty"--is eliminated and -- signal FIFO_Empty is available to determine the -- empty/non-empty condition. -- -The Addr output has a different definition than the -- two ancestor FIFOs. (Srl_fifo and srl_fifo2 have -- addr=0 when the FIFO contains one element and when -- the FIFO is empty.) -- -The ancestor FIFOs inhibited FIFO operations that -- would have caused an overflow or underflow but -- did not report the error. This FIFO allows the -- operation (which puts the FIFO in an undefined state) -- but reports the error. -- -If the overflow and underflow flags are not used, -- srl_fifo_rbu has no size disadvantage compared to -- srl_fifo and srl_fifo2, despite the added capability -- of reread n. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo_rbu.vhd -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler for the enhancements relative to earlier -- srl_fifos. Original srl_fifo by Goran Bilski. -- -- History: -- FLO 05/01/02 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" library ieee; library unisim; library proc_common_v4_0; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.">="; use ieee.numeric_std.TO_UNSIGNED; use unisim.all; use proc_common_v4_0.proc_common_pkg.log2; entity srl_fifo_rbu is generic ( C_DWIDTH : positive := 8; C_DEPTH : positive := 16; C_XON : boolean := false -- for mixed mode sims ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Full : out std_logic; FIFO_Empty : out std_logic; Addr : out std_logic_vector(0 to log2(C_DEPTH)-1); Num_To_Reread : in std_logic_vector(0 to log2(C_DEPTH)-1); Underflow : out std_logic; Overflow : out std_logic ); --Note: --ToDo, Num_To_Reread is a good candidate testcase for unconstrained ports. -- The user would specify--by the width of the signal that is hooked up to -- Num_To_Reread-- how many bits are needed for the reread count. -- If Num_To_Reread were hooked up to the null array, then the -- reread capability would be disabled. end entity srl_fifo_rbu; architecture imp of srl_fifo_rbu is component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDS is port ( Q : out std_logic; C : in std_logic; D : in std_logic; S : in std_logic); end component FDS; --function log2(n: natural) return natural is -- variable i: integer := 1; -- variable r: integer := 0; --begin -- while i < n loop -- i := 2*i; r := r+1; -- end loop; -- return r; --end log2; function bitwise_or(s: std_logic_vector) return std_logic is variable v: std_logic := '0'; begin for i in s'range loop v := v or s(i); end loop; return v; end bitwise_or; constant ADDR_BITS : integer := log2(C_DEPTH); -- An extra bit will be carried as the empty flag. signal addr_i : std_logic_vector(ADDR_BITS downto 0); signal hsum_A : std_logic_vector(ADDR_BITS downto 0); signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0); signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0); signal addr_cy : std_logic_vector(ADDR_BITS+1 downto 0); signal fifo_empty_i : std_logic; signal overflow_i : std_logic; signal underflow_i : std_logic; signal srl16_addr : std_logic_vector(3 downto 0); -- Used to zero high-order bits if C_DEPTH is 7 or less. begin -- architecture IMP ----------------------------------------------------------------------------- -- C_DEPTH is positive, which ensures the fifo is at least 1 element deep. -- Make sure it is not greater than 16 locations deep. ----------------------------------------------------------------------------- -- pragma translate_off assert C_DEPTH <= 16 report "SRL Fifo's must be 16 or less elements deep" severity FAILURE; -- pragma translate_on FULL_PROCESS: process (Clk) begin if Clk'event and Clk='1' then if Reset='1' then FIFO_Full <= '0'; else if addr_i_p1 = std_logic_vector( TO_UNSIGNED( C_DEPTH-1,ADDR_BITS+1 ) ) then FIFO_Full <= '1'; else FIFO_Full <= '0'; end if; end if; end if; end process; fifo_empty_i <= addr_i(ADDR_BITS); FIFO_Empty <= fifo_empty_i; process (Num_To_Reread) begin num_to_reread_zeroext <= (others => '0'); num_to_reread_zeroext(Num_To_Reread'length-1 downto 0) <= Num_To_Reread; end process; addr_cy(0) <= FIFO_Write; Addr_Counters : for I in 0 to ADDR_BITS generate hsum_A(I) <= ((FIFO_Read or num_to_reread_zeroext(i)) xor addr_i(I)); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => addr_i_p1(I)); -- [out std_logic] FDS_I : FDS port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] D => addr_i_p1(I), -- [in std_logic] S => Reset); -- [in std_logic] end generate Addr_Counters; process (addr_i) begin srl16_addr <= (others => '0'); srl16_addr(ADDR_BITS-1 downto 0) <= addr_i(ADDR_BITS-1 downto 0); end process; FIFO_RAM : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => FIFO_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => srl16_addr(0), -- [in std_logic] A1 => srl16_addr(1), -- [in std_logic] A2 => srl16_addr(2), -- [in std_logic] A3 => srl16_addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0); UNDERFLOW_PROCESS: process (Clk) begin if Clk'event and Clk='1' then if Reset = '1' then underflow_i <= '0'; elsif underflow_i = '1' then underflow_i <= '1'; -- Underflow sticks until reset else underflow_i <= fifo_empty_i and FIFO_Read; end if; end if; end process; Underflow <= underflow_i; ------------------------------------------------------------------------------ -- Overflow detection: -- The only case of non-erroneous operation for which addr_i (including -- the high-order bit used as the empty flag) taken as an unsigned value -- may be greater than or equal to C_DEPTH is when the FIFO is empty. -- No overflow is possible when FIFO_Read, since Num_To_Reread is -- overriden in this case and the number elements can at most remain -- unchanged (that being when there is a simultaneous FIFO_Write). -- However, when there is no FIFO_Read and but there is either a -- FIFO_Write or a restoration of one or more read elements, then -- addr_i becoming greater than or equal to C_DEPTH indicates an overflow. ------------------------------------------------------------------------------ OVERFLOW_PROCESS: process (Clk) begin if Clk'event and Clk='1' then if Reset = '1' then overflow_i <= '0'; elsif overflow_i = '1' then overflow_i <= '1'; -- Overflow sticks until Reset elsif FIFO_Read = '0' and (FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and UNSIGNED(addr_i_p1) >= C_DEPTH then overflow_i <= '1'; else overflow_i <= '0'; end if; end if; end process; Overflow <= overflow_i; end architecture imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mmu_tlb.vhd
1
28978
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dtz3P7HlOAUhGgkKBUy1QJEKYtdsSjEg+kf91P/U0XbT7beVk/yn9eddwMorkijl11+zINZbsr2E HG7K60UYOg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block klGwdFw9Rq/gCZVUAKnPA5P/rx7diiCgOhH2oOVABIaASxci3MHuY0Xy7Qaq9w2kQa2VwWivku4l PhYMEbZ7OVSw7lIvbLsg7XN1Pq3YWdrhtewnpZOY+3RniXsUa//E6oNgvwsG7jOSE7qH1Q/0MMHJ IcWwo2W7dcN7FPk0xeo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z9gS7SF2t0uwYJwobHhfuYwx7VLS6YCsOQuDDqSRoOh3/+j30NCX6YDXNHhq1OGpDRVlbGJZdjyy V1Hz+K+kHAZ72clELlHIEoSXGid3Z8pGzm14Vjdy74NEjIGZE1Ad8GJnDNC4D5X6djPkqakBCGp1 74IxuYuyzEIxi+hZI3A1clZCWv9MHDCBbZ7Tvu6PXHdlT8PPSAv48vKq1KT1jKvvnI4diDXpMqES WSrxCCuPX0l/qigCMYsqD7Pl6D2x6HOpiDsYA9KqC23G20nZcJPj9yhkIjhfeY1Zs6U1q7SUY+hs WoWREEqjGHWUB+lLHy/CLjwumDm+YOkkOWuEuw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TsTWXSQs+mthVbd6rLqN5L9H9zjwJb41s0ywSwTZ3lwofnj44lwQX67dl6Ztja4Puuk1GMin00Ig tTej4rWuabHvg2MDS++5mWfVWNQH2zC9M9J/u8Mdz85hGsIzQ/p2qrpLVlGvCMykrp1rWUzrid4Q 5mH7f3foAxzXLbJFbOU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tSx/8oDZuXVS+KqRCQhnI9WSaaqsor7JRG3xkklRisZ/CnyvGgjTOMW9EG0XQwFlXS4bZ23hGZuF 1YcXOBE9xCi4cAd0qxOPC7JHEN3aZ1Dxs+23ekUi0Y+ouSatuiKgS5rW8SLYRp2wJisflmmP5458 3ThV4b2oabKhiBYZOJ9gjC2T3jsaxYbvIbJfm6JrGjqynOErPPPs27kO8kU4+8VUBb+/kialkIg5 M7DnHT95qkKojGN6NrPo9cCYWxGssHNEU3aCxAvut+9/zpxSh+PiYoqrZalhdg22Rpk4drrBLKPy lyvgeFExNjVjWMoi+IISPjGzWLl2CIS3qSHXIA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19712) `protect data_block gpbSTJsB86f+EXnwwlBOVff1OaQ8jmAhoLd+ZWxa6ivi4Caws2BDC0YZdAbbR2UVZLo/6uxqq2k6 5DNS+hbH0uirzmzsEfSMboRDu82YPEndoX4f0ucA4kKKrQ4k2lsK5ABp52Tlq91rqM9Oe3Yug5C8 hMYpojuFom1iyqTHGtu1t2jjEroG2SRIkXgGA8/pshv1OChdasg7Mq7PCXIYsu/Uoqs+RCKOe7OQ duzmrT6P7YtFjswInrAfKHOIZgTkZToA4P1JdGwLB22MuyWnMPv5JtsG+pZBivUbb8Ge2+vcHgn1 MtQeAMcSHlpwoOaDLlsCARzoBlX78tw0hEC4yesBxnCkVZG2qfywbzQUAm9iMeXKfs4rN9ShBqtQ rVT9rfp8Ikd+bHl4iXRLVy9C2t8IyD/pYxBNgasY3ISag+IHx1SUAwkCKH49p20a9gth87enfJ2v bleWzGtFDf+6V1XAwWSuzovBbJz4/ZydiVpds9WS9LIIrIlL9vwSICXVyydv3W2bQQ/izXaJT+mL CiwvyIzRfM8ehIiuPKpDyqa6uPLmhCHs5Dw6X2QKUb7FIMF0/Cl6dhIK803vS7EePnOYMinFKzYQ Ey1SkTReZrlXVjb3NN1MsWbeYqfmHE61uGtvQw+oL6BOX0S2E2Aewp5feO2UPw0JLLvObsnQXV6U Rfz3pqNJNxdzu9hTr5GgoyoO8BonsukZPz6v8Tp5SD57HhGiLrrBpyZ4sQmZXmjpzaw9P0cOCbeS b4xrPSJZtK6N9ZXua8VUK+iuP6BOTFL0INu9W73B+TWVF/J1ypWwkOLxnacbHXSpgW/FdcUasDSv kRIQniPpS97Z9Ov8gYKIP0hhIlxKUIzLcYiT5RpIMevVePwBdewfZwA2Wn8hVbUr+KWQ0FoxirZq gp23lois8B91D0GKvt2uzzMZqb0Fwcx39A+Yae8/kAZUqrwcP39Ba0dGi4bbmcoKSs83ZApGtgTy Kb2TTm2GzFZVe+jQRVSjOW+8H9m4TVljFGLbD+0oFKE2l5egzpxWGC9NPvYMwyl7I8uQDGPY7+kT wh4ehVTIHWF8poxWGYbx0VFnNfQzhN57OE+4zCVZ701sTMn2Qs50ToJXOW9Qt08JKtq1ViDei0fO QHTcl5vVgiPkRPvX6K41cmRaHYtEUQhleXqw2BGLunFWToCkfDxXQRIMvOdMF8eKrKEV61owqBta eaQG2M5XOqifxqEH8y0iljGVOEH4GLoGyEGzv2tZp1phCQohzYP0607Ua9esAJIdnj/rWaFxOwim 6orIJ/RWKi/6Dm8JLIcLz8HTTOs4LUdV4PalVKv771OgNVyuoThfI5coc/tqKp2LsYfuxIG7uHME s4R0262L/LHyX0K104mZJhlgTe6xVRZ/5g946WNcBf+UQ/22CbmPaCUURmDcQmFDZ1ixJ+9vjXSk glk9Xz5xKNMLbqr1Z0gRIW7zHxD3vHYJ3KLTuzxEEusBX4KguEl3OuldahOna2xAc5/FSX3aAf8C mZYw4KfwDld7o0w4jn6bdITqvccbESkdKT6dD2fZ9fXWt5CqVn511G/UZBzmbMD7JYO5XTUQkBLA tkgEE5iBDtt2V7E5zfUl1uSnpv5ZVI5KSomIQEGB7wGzz6uQf4HDSq+HdKdHftLrmuoPU7JwnzCU YDHWT2R0vkd1FI5WmE3psGj/p9LocKfo23F4BxJFGDLV66eN85dRh8QqZUl6FUc+dA25B5OI0qgL eXCyR8l7MSU6npmcbielFSBfFIxp2npAJK1M9unuazkrFaB80Lss9gsASnI6eBntF8sAfIkPEC/j L8++5lOF+LKcC8f7TtsaiNRUNwdV58wYlBwO6FYnO+sJsV6qHs6o3q51DK/C9WcNs2C/TXjYpcM7 Fs3jhV4dHl+Shya8DPrBMLnvtRmycMwBnrZ2GhSG6nwRV+vnpXW4VkRkcZJUVrVsg9EmVmi05pQa Y66LPep/QGi8qCdBaogwNX+xKT3bua/B04851kEBy96enMOTaaDoFJWp0AT5CZutXjLAWNov2PbS 5dMWgSZdTqtAIjTdukRXKvbi3FMQhIuq6NaI6L9yIg7wSVn61L1X6tm53UihPEVCa3ZleQM5bKGn E5xlIlG1We/IBJPk6MlJV1L2jPjMU6OYhITAIQ9c0tuXNswRKSS/adD7eLWBLAFlQC6C+HNPaNx2 EvfUszX8SgcvmU7mFpBNE69Dr+6y5kRUWGFWvidv3XYMM23rm8No9jyq38cVrvVkADYRNlqRZGqp ZbxaSyLvl2AcN3OKRl57g1FqQMZIB4Fi69RlOq32x3T9WHTEF87z1FNbKeY8qKbkL4En0YA/CBG9 T6mn3bjmpNRBLWOkdAIGZCDVsobTHxoV0JTCZAM/fULT5eys5qqJlW1/hTTMb1mz+ub5gZS92J9x 32YUnbS5/NNFku4uUJAXgUb98/Z8kajAEg2lzDpMEq9CFx/xwnJG5vJDI5Zcbb2la3/OtD5eIxx7 iVXiT3AEnh1sKigjCcKvTxnOdJfuhMRmuOCmDqUjuRdqDzPi7l/yDJvIcdSWG8ad+XaWvUXJiUpO Bssm1upvw6DXq6GF919MNnvT1+46MZYLs06nigXLZVLe2QJW+z1WqP8YyN0pF+2iurW9koS3uu4c bI4CIj/3DFvocQ2SNsFONmWfVOOQwBlomKTAoaJXwIUU6lAge7W0MQ73KR9x605+wUbFdGX3H5U4 ESGZl/zPmCFf0Wp7GV1cAxipJRUKO2p7mUyNrCZXGqoIuftvSD8rf6CHCq/HTx5NTgLSpuVAW2LC /UCNdmKiqCdk7W2gKRkUleZxQ6YQA8pBoQEmiKC+8oe6FPzTXKOyeWDNaTTWLIY+Hf04oM+yPzil pnaiLUmquald6ggvkFzcJob3pTEqryLokq9WhgGWN79WgQrt/550XFn8Qeds19SmoXXzpbHFU43n KKR2oCxnbvnWVmjN96Fd5eCYsMdPj92iY3SeBZjytgOc/9Fbe/h6ERb83GFpLmx/ST+6a0lSX1BP SkMSof+stSrpdfwlLLOQ8g/91BaPaDNKW4sDROsgA0ls/+ov4dELGBso4dvr3FVzEfzCHGGFX77E EXaKnnaaiBPFybhYYCyxfqfQtV81ZdtdrFNygVHhyZ7u7GPrjIqlmudAVAyCrKaV7SPl7GGn5Srv 91274Gdl818Tt81qN1zeP/VsPZ7PpB9UbtYqroUYicBE5zu2g4qIN21kBPCePjcFU4hLIozD5XOQ MWwcXf0KDaWROdofwz45ICZjWz7W0s3IAOJAPpMQKsOWb3ocGxC8X4mfcZuSPjsZHm2xTJtu7Vty e0BVkcnFeKDbOa+qSoVWTmnIC1CXiA3lcrDzH2r6n7aUkxLXgvRvbqkDhJo4uawTl/vzyCS5no2T RP4GaQDnG3MCiGxYTrEwCIVNgmkB3okxziQzgpwUMp7rTCyh57Fcm6WYlRpKywai0wOk9lDM1XWs Hl07UDwPdeAGdwzROkduf3UgPXDkMECRnbVb5pys6cWURpN6HUtHfRcTtPqIegwslP0CoaXIDK5F cb97sBCQkI4qPK/GewT5yTeLfTlshvKNtgreaqRiXNN/nQAGFUzjSNHZRLBhEhIXbiR7SJDi5AFQ 4kgkFpUIbU8XllPqZ2n5C1LBh8fDSeC/XPLdCWKNIS3S2WehEjZvnaZPiu24bpBEL9cZ00UVVK8y alky/TxVVwSB2lwEmMtfdb55nVWopvB3cG9mmhpXEExarE1lltCaMyIXEUDaolDIwmP5qEv/syiG nVH9gPTrE/uwMA3aTmGsWKBfwDrWrsOYN9Hk0F7aCYxHtveW8r98p35+bSnFgXXN7UEcBOjGtx/8 Ahb+BAcV4obrAaKKE3I8/6vcihpqPgwQLRt51hYemphz7JoA2mfY6AZ8zNyg3XeF8V/XqQXA9Rn2 JrJN8WbF5ZQ/2J9Bb4p3JT3aSUoJfeTaLTAyoRLJ6et7AfjHYEN4wk1y0LVluPisSWUu3/Fi8jzr CLRxl5VGTK6skld0/gOut+1lFisg+R67149ydibyaHersjyg+Fujo88JyOv4am7QjygYRe78PFHZ AHsgQYC77FOrCuXWBZTu5LyDWJE7fTg1gYoAfGprbA4woNcfYdT7XZFLLcIXTLip0D3GjEb1U239 UW36de2DSbesON1v3bUr6brrvp3kpGuvX1+19HIVlLkBq/YjsQCkGiFmkDrUxonLDkKkJBIP1bIc kJ9YmVhCeASCu4rPBtitIb9gFfDbKSDAn7DBMp6i1yEDZQpqYAfKgHdFEXZjyG71lus1cEAgs280 9eeb6NqyOxsZzzuoy3vMYPpYfb0GlubreLeqjrPjp6D4BgIM+6SYbKmboArhW40z7yJzoac4wmEH +KQwk7nUFg8kO40HP8Sx7gbM7DuUj9CeelhjixeQT6Knak9N4d62cBfW4bB8iI2Rw5Tu/rSNsAVR li9ElFgjihlIa4D7XlqqUg/CNZLUOITfG0ijVyqr88ZZAsjnXXXuE77jvevH7MWMJUdo9u6eXTxD xlsTKTb3i6bhiHMlWBg2h3K8d/19Csx2v/X4Izcx44ZWxJ6HxjnnD4FoLFVTTdoeF8Z7QVitkc26 pl3lROSQzq56otlvjU6ofeXd2NTC6KPLYVkehvtXn4GS2pG8yOKBJFWw0lGJAC2RRhADux3tdj3A 30Q1DwrEzx0dPIBZmz+gpANC4OmiTWVu9VxgIpsbzxR2gDA10jyFXu+Nvu+kCLl3qznNUQDGJUxZ FPtsW3LcYa/P5/gT2UUDYYoYy4dHxB+q8Q8gSdaV6MCUClZjaA9dww0/AjYHBeqwVYdzgrLv6QyQ 0Y89HFV6epNOZ3p0MtH/VJAYokmfSydSXh64M44Kgj02HDZdrgewXYvUYSBkHmpn23qGTRyzciNx RqOS58XhgwFpxADTL3zRa1gYkddHS+x2+qh+BUvl9ViyQxCaZlyvwZqzBvhP5E5HVoKrr2Uy5pLo 33dMc7ByIMNg+n624pl1eJUesJi8HiIbt8QSMKUg/XW3UGWLr/P8W/PTnfjhbzocyxKPc4s+C803 7DRbUHr1TYolx083/NNmYq2UeAgQBMCYHCHFUEcesh1+pMq9BpqRrdyXAvXpBAcOmvucV4lvtzq3 rQX9KywM3GgbcEpSPapldj4qNNo7d9YfjxSvBEzHgXTY5FMfP3QNGCKXoEeIDGrsmtg4wWKiksEd lrlfUk+jDUqI7vfg6WiPhny4lgjwTEBf05TFk7bFsqmvKZTxbYVQHP3upDXAJVplYcQJmDdBmAeF VBq33BbAKeHCU4F0VzWTevwtd+fcjKP5Tc2Uaznv1YEftkMRbpGVDEXNXzcrCK7PQvaMmv061KQM Q+MfSsXpwFS/spxLc6nLUv6AnHBf7QXPYgusvUV7rSXauZ5rnsI39TUVewAUEN3O4MTDmi/+MqPk IP1vcnfPyDEsrbjvFzRUt2THSnvkotuLb+ksVgvfb8cMot3lbtcssIHxkISMq+Vv7CpmdPSKopCh VZj8UDBf3a0uyobAaqQh8U/9zrTZoHNRAFaS77jqWaCUqdH27s0zAgsCmzsewbiYUAQebLWuu0fI VmwBJTJQTWJfaC9LBLCnYu/6mwZFOAGUE6upURKgi0epfyuYZdZGYLnJ0ZOsJuoi0WqKuV6WzvuB 6x+IW4AAqPcstZeCX3G5JQFiPO1uOQx8RtlvHBXOCxicHlaHzbD8K3NjEafDGd925690Ho8aNYt3 lxTdo1kwQXMlO5EOSWyOOmg1HhnEd2yHO8HE77Ojj1yeLpraKSsPN6A7IJpi/XBlQFrfrk/Weil/ TMC/tabJwa3KTJJTaTn7W6bhDezJmR7kri6juouPNx7ouVTOHBgGXTNyWA2t8lWEZis3LPhUj6PQ E8mx+oizCjmXB58mZ9p/odFs4rujDm+5pmpYp/sb0fmIvSbxKYNrViHzF693aVXw4/OtZ50FqUYw xQHHnrbH5/Tlq11a3F5GJiLka85rGsnVx92zku6qufiphyqydUXjpslYLDrXcUmNbuaNy9AmGyg2 35iyDDKBz9fL6L4+O2X+azv+OGQ5kCEba9VufQM+kIXygbYcT3BabpuFvvbZg1eggHBeJ+PYlKvc I2ihTa2JQhoL/7q4ciojVtbLLpX/UmevtXzj5tSCYe9qQfDvPu2CrGeUfB9bTxpUitmbZrqOWlln S6PE6LXe/kEMV07pjoZQh6GHSMWkhee8amNMFFyp7mC6w5ne0SzeLBOCm0gT7xkN++FdGl5yFlXW AA/LTryDb8I+tVmzPBhyhI2H/EZKDSdeT1ODhabZN9Nz+EfIRDg2/cUJCbGAqFOoOfaukoLX184w tRPT2A2C35B7HgQwqKEQ2uC7RoFLeKIZTZtQHrMqz0rxfNO+MZBDqr0C0O3bphlehZ992t28elZH joQ2DKanlaA0ehwo3jZLQ3uzqlxzNv68/tKflnSlNchivc3Q5/gshDhzH6sxO4zVWbx2bet+9W1F nKej6fQnyjNliM//nYAtXBNSqy7ClLozXPcfaLhGRbtD/wQc0MERbNvULDha0IeJZXNMHeKf3Ci8 +wmhSRmjlUdCREH10B9iGYNdTIuemvlrXTGjt0rN+O5exvWcY0RRQYG88U7Jhi0Z8lWWy3FHe6RE grEPExFRHenyyOyafiJlGukWOYej8HRjl6eZvGlTMDud29D0HAy+fyaJa0baSnbp6gbL9zr0xNfs iAYB/gvgdajgEQ+vt4GxE6eRtvDi40pl8bd1DFK/E/tfzGeH8lxdS1FYN4v+T85W367K9iwg0DQk z6SuoirM7XBld7VBsIaPYN93QqmdefONcUeX5QYtl6NsYbMnNtsWl19N0w7ZfYGob/am2d4RyyMr +mYfbJQ8c4B38YwrahTJ/T47XdcdUf1uz/btE7L7s6fa6QnMEF9kddbbXw4rcwciAq9FovTpfLd7 frLZNFO+l1c82gOHb94vbtHmh7NV25T7qrH0AUhcRMyxOji2P0Tp8IPbh4FahEN3LsOFX4kz0Foh 9dvasKVa6NdMr2sZYCn7D6jQFhLfPHN3Me8Us9OE5LXs5luK2bQm+WFR8iqFtVKzp8WD/1g++T8D Z22ry3Hr+iZeI/yzZX9Y6+98Wcwg+H02a/V3qfwsHMFnsnkItMuTqP1HorNv8AsUaiDBR7TvlWY/ 8Yr4RVekraAOcJLFNUnm4WrjmBU2RSy9j64DgebAFme610h0WRR9Bor/cnuoTCXnhPZ6l/QQD4Mo N7O2El+JJOpkvZF+H7yAosTQQDuOizxcWsASoeGUnsuMEsGmtgYLuSOol8W5orUOfbePPGwj/cH3 GTX6tisosqzRCbyV8w2v3u5c7wVY0xvrm7vEDNK5LwBmgOC8laaEcRVeHJAZumnkgjTpSDdtc2eK CDeTd/KQ/3YBEyAl/ed9U25lryy9w1MSQYxjVA9JZHWCSi8p1iULyDvuSO+dqQZ/Pi0fpbvaR1d9 5BsfMCiJF/Ra5YikHc6P729T2n5X8sUuKBTl7ntqGHm5J021tXMzDaKFN9gCWkEd5hM9oTBxnQR3 IIZqvq1skhG9VwnOmqRnTYh1mJ30wLCNtyRXheXwiEMRdWgaOGgoCH/lLzgpAwQG0eI0zqwrSOw1 Unks3Olb9LyL4xHsMr9ouB98ndLyKhkR5OKGBsbo6H6PtxrXugULVwtZLnnw99irjVv/PjWaL/pI ynC/6c45/xGR3iamtLEiq1bMCrsJrpDxVlssFPQkaGEJ6jwvv8pKOI0t1DTNrtpdKcqkoK6oqe2v jBMw1wkyMgxT4t/gARyhw3wHHMLlYAbKWxJdOi99EwdpoDH/AoRHQ823ckX4Vho/CLEMvikDZQR0 9nMXWv14LM5goYdfrXIt9hzBgn8VRrLGVnShiTHkHGZGvprEc9A0KvVryST6Sg8VloMrc+Pz1S97 Z3FzjWGyynhYCKZMzX05y5yFZdhKM9BRIbgncqNseiHkvlHpb2Yph4xKiH4DLlo7zwqAxvfLuehk TeIvFRLghiJ7qVFYMb4sym2a2qWRRi51EluY0KZHoAMEs6/EF5abjJquYXkB2qaKuaPaK8V+TOea Yi6Wl7FuUfoII0I//r5kXnlGIQXwzMdHxWSwgCwi1Bl2amDUT6+5UQ8FlGnO4SBBHfIgdLPyqniW KQwJx+amuUr2AYG1aNTAtMKgp8yvsnX6GcxABheXGiAN7aiMPrU4N3+21nzPxMzfyd6Gg8pmVqXL rPpjbLZ9cVa6fhzE6/voWp8OEnS/M8hlUju1YOWUt8Y5yuBmlC1FSeO2fFupB9ZTyp36s04C5ywg Df8/tjJAPSgoYmM9abFfAb9qJB129PLKNNsdZwbPkt1415LQlMzql7kA/gAIAVBUYFbKaGotRYwn ldK0afrd3MALmtJblsQUuAmnikaHlWXSYbFWBcND9pp07zutaUOuL5AnNb1lCqM6EPyNLX3S/cz/ Aj2cUsRIyM4I9q09sC+/W5zqI0n7MykZUuueMtjxEhEF5F3erpkxzVWeUR/jZjwfKiNnptO8u0hr CvySQfpeqqTPxK9Qs8ce6rCcNHs1KDe8pFUElRmCylK9GItHa1MlG5VisljcQuEZNk07J9p218M1 IzaxundA1xFiurDDUO7gw0VBfB6WCZH5B61x+L2BsOaCsLsXj5DBlKkf52f86y7AlSWy2Ih3zAUG Tgo+FqOpXruSuc5YsNCYtHo+ChLWBLqfaYTh3WQSR8FKzZlVrtI4IH5bI40RkGtfl7h1Q2Tr4ey7 8O20H1DHTSODdDHoH8lC4eDccBXWP25+Gh6OQYJ+quUe1ugp0CO1pKJ9yXydf+3s4KnznL8VCLr8 wUq0WJbP2bwYeQ89oXZIig4ONOjLmDG7C02xzblG8dKckjTzWLjQv0Whxg0hZ5np3eWJ4Oba/baE A6Jm6TpRGeGuPr/UGpMjRcRlVqF67Efwz9W4XvtKoS+P0Wig+6icxN4mq6zHrlyEdQsvB0yO8oVo d9rnhtd+2YzhlPk5tZZGux4/Ztba/4d+odHGp5AqjcgKXugzFGz54Gvkiq//dsiZ6J1uTngHvueI ddBTuc0zeHRUfOrhMkcHOQqAe1+pvhITb133srhNA0o8aznx9nXriseDLFxjvyxXIFew7x+S4W+3 TFEqRTp72jxQEahVdGUKIiI1BtFTOLxGUOF2FZ1BwsO/Z3tPoUAzBhk29QkdbUOxn1dnahMryNyw /thmBb8C3Qzrzd3aXjMutQuRKArm+UZTAbVZBx7wsgrCad8kJ2wy4BFyGa2NZyMGWwSm9+u9CQki e1X7vpSdva1wMSq+ovar8IpiKBQ4Vbyby/YXdh1lrcxoRr5/Spo5oIylemGTCPPEQ9o/zWsY4jkQ PWWdT1zK2CjaY0bxr3ONvT56o27HUcrZx7D2Pv92hpOJepuTJVS0IEIlXMUOwPCEl6B9+pLctqiX 0j5vN+U7OE0RQ2l7jKIP6jbxZ2xG8nQaI7ypno48SQS67RpZeNwMtW4uixuq6C5uvPPpCvQQRWET 1MYuqatarzW/4t9B6Cyrg+GXDXNSssxjxZzJqoCJmzBZbNcNJHCFXDVr4SQbvny9TjVPlE8kRpU2 nZ4yXSz5jw4mmFgtOOmAjynyR0dWXhaRdFZI/q9Z6TCgs0AMKiK19I+x5vWjMHHVZktFqAxLn13z iK80QG/xTUXXYdre9E0tKDB3ZhWKwGanHMEk/55v34fD3U5QCeHSeyZk+U4f02vDWWBWujveNHej lD/YaLFP4xIfekb+SbWTAVW+KnTbXBlL5Bhqt70e7seurQm8z0M85oez9jyTePotLKI4yVkRLPcB IjflnpFlTYkSFf4oPIwRrtvT4U93FYx82l6O2K7e+fR2Ox+BWh+AZVDiuNqKtL6dCFSrmbwCZ7ah 9yD7+udSZYyH3wX7pJ2q6F29ykEhJsgY7jV/81Cit1oidP3XsXWFTDx4I04qA4LgTWKLCcNFl/bu DQ7GWN6Wx8aSR48fOrX4vfYGOx/722hBhN1Z/alfnB28UhF8pUZ3jz2pnW9NZpt3lYxVA3A9HkA2 xr8ETYNvxXXd7DnjMz7CE+3pff8N8v4MHhIgI/f56Ju2KMWrpRs4hyZmU3J7iHylkMANBlVTfte1 HuYdUvArlsmDplLFKh5gJhk/eE/Mn+gwJdpDEMOCUTOdbciQ6fUm3dwvXRj93gL8Tdma1sTdSyyx 2C+qfTx3Yw/qIF3xQz9bPW8DIbWP/lBuzbkTqr9XXHAr6xV1iNoMzO0/93XSG0QPKVeOaDuNRKDD JUI+yf0xIfFtv99gf2phK6rDHl/K8W/R0XnJ23gfHL92xHl9H4joikUn/ZanpySmI4bv5nr1AOdG xZyqDkSqoGJigTAlS3C3JaAgY+B1eczkIx4JrdjC8udtSFV8165uC6M4QJdkPzv38epAw/Xomp5q EK1/HgBqEY2ZJKoIkwnHKzvZsm0daWxdN4IES4uFQrO2dql0v8072XUr+Tpiz6aJ4AoqnynAP8MS LuTyeP4/sCGHemLTgB2H5M+jBWVcqJtF+81wpGmlhMSOlhEkK6/6dsLGVI0ySe2Pc64+qhyJKD5/ E3DS30Vbo3M0B2dZqIn8nVGDdHT1LAB3bdKzQ2w62pFX7wwZdKR+iVTaBIeyRbLypeCVp3hnKaLQ XerxA0zjPLJ3QeB16Li6DsY9QvLKb/HQazEnzraZhUcGxZBkVYu7A8X2Kv+q4tyRHPEefpdWIS6K e7q0XsGP1zIau/7E6QDN/Aj7IQb9UBUA2oQMq/PXnpYBQJqCFkZUy0u9MxZDMDUiq5+gXot4rLUs JyjcLnH0qj1nLo5y8ovFGRZfUnL+f0H1Y6hYErfcFdptfu8hT8a8uKU6HlgvwZQEqzv1vjXb8qWX E76y7Gmna4PlgGsHHMyzkEZkUdYAeEsZTnS+RaON4zXuq8+ny8Vgh9b5iGLexcO1h7p9HrY7VUTJ Q6f6gL868Q99NTDoIvqXN6JMZM6W8VgjanjYscaRzrpUx/uLrpj2TgKZsKdlnjakjj0qvYqJUF2r ahnCOBM6j0Zty8xmRsY7Zn72HymbH8qIhDBsKTiCBtmRmnHXwvRSjpDKMXLlFcEnQgwR7h4Hn4vK fUh/RBpf9OZvfYn0YnPqeVEqQox2wOgLiQxA4Wc0YzEaKAOibclkOGwAnSOl8VMzFqEIoGmnGyg1 1CNI9NFXCnMXr1I1CN9lh6NocbO22xtUy3fUyxerkNvEXJTjjbpjHjxEen1+Ujyu1hD0vZAY+t+k GboF1IsQynA5OB6bOiUYBZf+06us0D6c6c63MwH3oI2Lj2gfZx4CIqdR1QYjjWsoGm46m6eNB7CK j1MNDbaefLDUmRiPzsZgdoBW6PyG2e9K7CByH44/GSwwvInOlhHGP8w9iF/mptOnv52fb03uoCXO GMKkSfTasgfIv6g/2C309wWJ/428jSeNh0LHJOlqvgopJDErH4UN2d/NOl/IxXKwMwwEn1o0jHQq ITV9FqSrOMMuUWA+961tjuXx2/kXJQYWcpb6NHoyLKMMemZgtjN5SCA+l4WhqvQjk+rEPoYgn1hR wS6AGppEFrkmyVZ4ggnuqePxCKyaP1pQVbwXcXpT2iSZCIZhpZWA5QLVZY3WoJ6ykB9/od/d90ku xBVHXFmOWntYwUZULvBVX/9wKdcypMimHTGGEOxOpavwK4nPsEdjIU7Bt/I406NvNYL6SVUf/V7S io70bMEUNR4pHlcrP4qd8S1lLRd+NelP+FBuYX4s9wsFF0gPOonr5d99zK2RTvebZC84dddaVDXX Y1GcCiFizWdXWJB85x+VcYMprIiEAQ3ZihnuDCzM6K0a4jKQiJIAX1vhrpsaV0Uez+vtDX+MP1Ju nTnXzJC4QI08WGzKMQUVv8vLmh8dIXr8jrvA12lYh+X5r4DXWf1Y2W2bADrk++WQyl52MXm1wiBK 7FJIdCQLToyofH1NbkdBh684TBZ890eeREo9bDHaAS5Rx1fURvP35aIAB1iWvtWlZ4ykJLJkisBg hhF90nFYHZyX5W1ynMMenl5ItDgo2vaBZwcJoGLKZ/rbq4WBbZ1aPshzWYReT5jV3ViQpQ334UyR CMEGwGaioe4I8ebP04rIQyaQyBvqkZTHks00rEwOSFH2JWFkpcqULHBvfCU2C7y85+8aPch/IB86 juiDBI/inaj9Uv60gbhoZrcOtTvFrQvHCFKCTb73VTCfvM/4wFUzgxLrrWUDS2qvzbogu+7/ayXM GYy/YIpVOHiuBPFTKsrMI0RyZxgQ/5xcFwvEcufg2j5X5KxDQ5iv/18rkExC+YTydSFhFxiiexi7 26zDFszuTp6pPiN/wfncwmg+YkcvwSxMJiMHt5DK3N2/V206kIIuvKzk0Xuf0CbNJkXiQDzueD39 32LFpld02X2Lfr8G9NQCyXDJZZJSMqjSt7m1UZp4Ts7PJf+rXCOfHZavR9A3q4fdKMf2G5Apr0Tq xCGIDFKn8/0zaWopeBVIIu38kgqS6EAWubJT6i+ZnSfSd4IOQFlsOoc04Gjps7FKd8RQSHZVeL34 ckG6w/e0VZZ7081YnbBGscoz+OZGUU83XZUlGpe3VIvuEG5vpBoZ/Q9yHIYgwFtXQq7txBIIkrCH 2uZBSWBpQ+pC8hvwj+JDX/gXJj81VgNbXjRRZO2i9GW+NgNcWTt9q3+/KQI/nves023eSpjASD6d yoOrTPOqjrLi3wXL4K7B/GMIzxn5+9tslwm7lGv3MRhtTz46892D9j1iXwbNd3r4BSLTJ5ATAtqw K/SZ7+fCszCsZD7+aO8+Q9tjiLIdcNH/8fuB4bFevsmuK0ITkfxB+z8vbDTcceh7gFejEn1JyYqa 8ny1QrN4aSHKfIuDIC8Ah2nMtDwu/3iy3r6NZeOPbRRlJANe8vKUTTUMUxthmGUG/34nMncVLCwA QIJgwinDSnNCOf1onrh+xG50Y8/K4TpimkW/bEQ00BBlOpkbHQxUuYwz+Dq2TsYMhmiLePI3Vuuo iDbs8adcAykxpnAsg8yd+wMouxlPcbsekm7ZHNS8VVf50eAL/LVWtMWDIU8qfQpUVRKVRhoP4WFw RiHzz+DI22zL7IJieP+LbGszxPKpfKetEG3l6UjG4K2/IKOugC4YP1JfFfbhcJKhk9axiski+8aq CCzU1gn+BiWb5RPpxAu4NbOL7HV685KtJzK3ZhcTNgSUahUVKDywF8RXTqWiVUfL/YFiDGizzWxk FqrNknKXs8sUnpA8qBAL1D38nu4ByZKJ0sJtz9D5dQRQL3EKBUQ7erC+wZE9Qup82ktG3FEdyZ3F 2zwjd3PG4CKcmXv8u2e2srd1c/7uRhEksGpYpCn496UqGSqzw3jR0vfI2mO3DkiE4879IqB4mroX KVAVrcyfkYxyTsSPiRhJxlFDEZAxkR5CYo9BY600ALl1zaIx8f2EBaV6upg/suE9xOFKFGK8EVI3 94C7WwngVQtNcuxvo22TvjnK0HoOJXH/iOQHCsakHxCAMCaTuaNzSDV/SISnKo+c4yVRiB29VK/9 iRbovca8gMNroPG3mDWSDsYxiEKiYaujJFpAnkvP+13m1rP0nSj8ityRM+YZUHhTaBHLTMKzQ9xp JZ6WMASiSuTPbFD0pxRMGjg22l2Z+GyKbJgwArPwpPiPsMNbV3ExmptBBz+9NNAKWmtSnAz3KZ2Y QkOIx1YgGUKFR7+NfyPSroKa9Y1wVmNzdMqBGFrCBsXwQPUm6ZsbG+kicUvzzYqYLuhl8Dq4QxjB Yuvhw9x5MOBPqtAKj3iP4NCu5qUfkYlIKIZ/1HuYWkn6/FNPPK0s7ZFZskDgluWYDUpODAdFXQlL n6QS/XDYeAMsrbI4UeC+DrcS1pnPSUe232ZWCc3PeyYvjcgJuOvvRSbX5EleIEURabNzcBzaDzDW /e+DG9l9aSMH3sReHe/KYYpsCLgONfWw/z47lGu3LlvsJIX6OSA2BAEnF9DcEHpPfrUJx1ITGY4d vrqtqA1aDUiuCGOuzeSun9+VRgvoNib4meD6L1Wv6Ac/cWmruVPxPRBib/qqKXkyPHe3hpYRUTRs A7vfQxlvFcUTYWxgE6nLnASJVxM7pPjR4Uwl0nlYM9ARyEmt3mtqGc+MKmXD6oPck7zRkoBqVxSB 7Zmu6abJcLpIvLh9vP3hTcYPGXujbuOnqvdsTQaw4Qh4a0YYHL4v3FN4iBym0sJuZpEqJJLWwkh3 kDSxxqv5RpRhoxV7TbcbRcteMVD+p924AFENPcjrmFNp4K2WkWo62+1mFTD77gFfem4thpB2Omne Ie8bH4BHAJBSBp6v1BL2r4r72FlK1rDgH2fRAqLbJjuUPQlSG3ggS9IXwgI0X1fPJgAKjVCfw1uG wqrqT5ZTsZ0kFoXLquChprLwaE37L51AX2Mwsa/7Ahp8qysEJ4gZ7sZcMRupw53uv2zC71K2Tss9 Sormv/rcmSu8aY3ofH2gHPGqlS5aSMQsdmgUoDkTJUORQUH0ZqPlvBsHsU4Vm99dOHS/OhAEfJDA hNc3n0bD+FSAsvsx9u0Mf+nP7vb4qCJ/budj1+lUFYmdZJCjSvHkRuAP6saJxDl5G1BP4RqNeZGN mmgZjlv40xbzmAyCpXs2uKCyeHOf4oQUZ4I/foNSpu+tsXK+j3MSu0wILW53BgLRQJVLqTxbF/Jg j1Hx2fowXKJsnLQckWSJbIdJO19zivxhaLxaybLX/1TbJ4lHuOswZvMX6s+lbuSvaT678vh+ooio eW/LQYVzgYUnNmdO/GR73D1Hp4bdJgFrnZuwHw7VpDM6ff86yQYqgq3D13JN9Ibs0FamhkbsrJwJ 1cOxDqW+/54UpltDlYSm8evxrsPQRR5LWb2A4Pu1IZ8c6QusYbPGfQkCacpsCmQSn5v0LiRhI0W6 lbpgF4/Nlf05NO3rTwdQRuCkf/l94s+XM5+vCu0uNvGGzNdlpO+pby3NWs1xu/e7kodJeS6oixUd cKmGgp6EWIG0KuIfKrrmrcsl9koFdkV58edYoYgHDLBz7lJtWfN3RuWfQrdatcUALOsHZWuprL/n AiOiC/fL0vOPArUysU3KvfLBArVzpUL3haHwlxJHaLPgQHfp434nSzbJf1jyQQZJyMLMgWf4lz/u SoKnDk0s1S/zfPffAC+eE2A3hFpV+hjqImht5nG2dG5NBKilXR0T4A09NFv2shXSjeUsWmpxkT9s fhKlCbQBcJTFyKbujJDAQNSeUZSslxvOI1ZY04dpPt/MR26ajyBExFdbSExe9BokmgFMrwsnk/NH 0k1q9REf2qQzTtkDzQXb+VrOkbVXTQ2idCGwqf0qmPUeyrTFKce+uRvfWwhoilmJhwN1dgaSof9F bUhUzitlke9dCdxSyf9ItEjH284wDuLuU4IjKtYPUcxTUul7ohCCLZ+faqWi028hRuLBg4TRDVA1 hnjpfPQofBQ45JcaQSCj29WXlzz48NFH5mr6smASsxkZbqZCh8rNhk/nsF5oe2Lq24vHOSTxKuOf HRV70VT/26q57RuBnCu07FLGSbsXKg/PGFnH1gDZYjhrYi+sBzIhRAgyWSeavL1Wp36CgI4KJIAn FF3FuFZffKled1Di0lBgkwqa9/78a73YXN3t6kppG+BOQga23rO0XQhcS4vcIWTMYvjZUXFi457w 7xgh5XE4JsDccy9lhwwolUiskr2/mgjIHtesmL4I5ejACZF5HqJ/zoBQOkRcFMM6ke4BCRQFLH+I fz7mKzer27Tr2muqWGKSf6xGt3jR1z78hwMDr/p1oDFQdyGUkoIgRDToTKQmLvIPPlvFRTUNiK+p MFph62M7hsLhS6iA7YVWEEJ6ztJNbqdWN+0pEvqqJUOijO2pZVG015yCEIFl5fkeqXuW0BAOD6Ky tTs2AcXn9Cc3ul5l/lRh6uUDGSTk0ZEAQxq5sTiflOazS4qRePTH/tCxuzmqt34obP/XzOkEI08j 5P5u0cPEldj9woMr0Y3xumv4njuvi0M1bRfWj3BT1M8A28v4LL8ygPUCYKKEFWXT9lCBlGLJYa85 ZkOFFznBcb4VCPZpLRCe7bqQqsA68nKWwvrzY2zt4RQTMGstGeTbNW3maqP8GcXFBBnN5bNjY1Bu O8sGnzeU2jF5VJHz2UJvq+B34kztpxF/2A7CTqhHHL6hjjlewEQmjtiop98fqZ6jZFEQ+gIe9zzk r2bDf9LwNq9le8Tpk4QmEWwIe6tCQyrTMblZYuWiAFSwpK3sm8XzCEMM+ER+A57jx/htLF6cKWuk p/Oz5JFkGEUUsP2nAp1LPC+cIYl2TsxGu0t4OR0mBmnn/sDpS1dw79oGjqfO+aZviC4/4CP2wnwm wV2Z+0zB+RTWsSi10FE1u93QpLWTYJr8P5SgtiQT09dCYyc3Fs+VbOHeWilsi2UYiXE5kJxG7MJ8 HkymtqUEOlBXfr4/KerY5sMMSNIAjK7jvqv7+5JbyXgAcxATiV7jnoOYx5TSgZ3W3VH1zBYgYOJc kFnPDV0x0QVGpcZgyZGhHhL/u7u0kdOEIV/7jEPPO/npfVpsQkeUnXjR1odF6i562FAcC7oyhInQ K6dTtP6zfMq2gqvbKYoffxiE57s98TyOV/t79AjZXciXAtI4B7Xl0sP/RaQWNiEBVnekpaIsdBMf DXNLE2U9qWRI+JadrstNLpOTsz0Y1WKCEf+uOqB5J/iTGZemDfUIdMSxh8T5fUobiqGEtVF1Igk5 UErI9VcenWi61M7zVxelfmG1Qd54RDD6BI59A99GFFx4l//R6HDfhG25mwwv6As5msfeRguGtZVz fBMb5ACztr0VoAiEiHFo5aSQRPQ//3wNTydRgijLKaw/5j/2EoF5/ikJ20G1bJrxED5lNsBy0VM0 LuQBaBj6cRbtDtx/BE0haKqPCwWzrRm7tvzkYlTfwMECwKHvYvxASfeRAg6hFdiJ45W1VKPboS9+ xtiRiIvbk/kB/Q7+n6fNseXKFRy5JYcEJkZCnxkF60mcu8+aS7V4TwYspbCb4s7MBW8EUzAvH3XM HrILh23tqT8oJQs8b61UkSwIaY1OsHij7OZXI79rPloEVCnJUwJ4J/qz/TXyNWjXbn/Yg0yv19Pv mZe5UWnSJxCuRkvmGKskdTPcgNmDQjYw/srfQogcVRfwf+S5E6LLKrwOpKIKhnQpKnFgJMMr6D8F 7wsIvwfLNeliYs7jHLrn3VZdURXWUp9hGOQerLAunEYL5vyMPPAR8Y5ykOx2WS0CKG+jhYpe+DNZ z7rPAEdbHV+0E9Xcn0VLt/+xNDX07pDt+pZogO39RFiSniX48eLKaVm9ik0yrrXwf/2IGcsPH3jK 0Xc5vndhaedqXtcM7knNxl7KDE3fQIsFYmHVQQhsSB/HIEEYSAnBssXgRCtxZsLT1YY4EywKrl4V lMyLAmQdiy1prvnFtwkKEiXmSPWKrAaX4NZ9lpuMCJpjZ6/VL0ZJoU9mXSk42QXLJGbgzLG4HAAt jnetcFg4jLBu79UvadaS6QjQ8Fnjh3V4SAF8lK/QCjMSfXPNxN4EqEoTuxR5eyV34wxC7RuZM69f FTcvT7pJb+bXgivAVMkpWFvoopGyVyj7XfyNHVeuK/27qitB0dRwcnhZ486Z+uxagxAsI9Wv1D3z juCJU9ngdagI0zhAdro3ybi5WdcHaVs3dRYORTcnojHOfFhXl6vk7RMp+g4ZDzGatYU6C/0M7AUt N/ZCPA/K/bZhNtvTregP5ti8QzML8/lXl4YEeMlUcs8CRmdGenYo4UHWLjoROZ8OutGMPddckbDs F/CmB+u//ZpIhlw9wPEAJH3LIjGNsdatG3do+MLe2pXN7A9CVsTWcUuTvmgM98e6xFoCmslCNsPq WEv9XqHe+gwaO+cxVli5dAvYis8t16H82AJeDmSBIfXj8bLvUkbM8iXfCGWwtiYR+Bvn8Rg9Q/Nt uhmqhhAVprYiLTZH0nqnPlHGulN3KfyPKMbOqVxxYPgxvBFg02rt0/zSRbROj8c4j+wOd7gr1/9r bhgQZDu0gkfdQCFj2wxFf6A8x3JDpN9p3IraYkm+VSj6Zo673gl+advrmoIJaCo7zG2L+QTNCVtF OTtdDmipCQvN72LETj7MD4nSnYVka/XHbSoYLSbg0z0Q1I5uddq11p1Q4GewWxIV+Kqx4T9bBJRG ZVZRLkHNcw3Tt8H/tivPknJ/QT77msUWNjw5MBqg3c3aFHsdiVOOxnD40xxvAcXp/2XBI22pZw3z 1ELcrJAnrcDjobTyMsHWmyaVeN6fkllLct06ZecdKVhd/WpWTXTZoupsNNzsYZiQh7kVmNybqQm9 WU0WxwXE4boMHmzX+iXtY0IlaPnED+eYFjXgokLicEK4HMOGKWy3Y6K6HipL+dtMaQtGLw3D38vb 4OM64ztwWKeCmfQsbGHHnnt8Pb/ez3aCkpXyMMGSR1eHww0//GTr3Avgoi3OWyQN83QwXEervMkq dIydLpjDqzA4akqOPOAIS6TxD6D9+OvLsPLrBpyU52k8yyzWaAfo34ve5BOv3vARmUAiviHD/wdn dP51/JEH2XN/dr/4QYsmxXeL0suqYL7yjRKkG8BL0mJ2q0EXANi7GGDhen04rsGQ0IvqnIP1WPi9 R3sdLcIguVHfJTDGXwzTakEgOtSdJFUpBtFLwqz0VndzAzfjtL0achBjywPLHSFPSmL1g0weE903 Bn8LuASKuGMVlBmu16C1dLV4WjUC7koPKPxmfTIg2joWQd4Oj4xzmohfHJHZFEUwkW1vqTxb1MlA 5nlIMSma6uTx6bT1SvBelq7e/o+Fjh5E/FSa0bfm4BtgAAJq1LWb8WYTklu9yqyBtnxOw3WYHRV1 FJuPPc42BLMgleGgbmWCMSZABGKiOL8bEUYgJQ+gOpi83xzEc5IefwS8qK4DGtKQPQDMBxODzGdF 9lHuxt2XnBK4iw63KaBu66gy1CKSnUY2vAs5Ri0NRnjAcKNMh+7qxsXv7PtGOuoWc5hLz86THJor VJDIWwYE92UvQZFcFicoGeyg9rzxSGljinzkH3q55J9h8IBSj898NFXDLQMfr+fTDOsS9PCoAieY VPw7y5YqPk9PvK6WH8B5Kwid1G1DJ4+oLoPIpGONGgEjox06SL5shtBgC8rHLr1dRZ0KmEDi/ZCf C52R5u5kw8ttiaLUTcY+LdjLxOdFSxF0UPQHn7ROi4TNArERH9TP69I7JBPMIaD2lG0dqEAXS5bM 96zv3xyM36YR56AAfgSYq2dI706uUDt8onWwZ+aI2F7D9vTD5yl3XE3fOrfyqBuaFVhlZ/tsDfGs I3IqKYhS/jIevKdXnZqZMuDIFulOcegg58wUDgUKTqIk4rgVAgNU2pIfsF/n45/IqJ9ZGbPc/a9h wEemUH+Sccw47hwcBfPuXM6vtHH4D2fVEl6JBlfIPEZl2TwJV6UTdG+nw/AQn/PPiHMCz3a8iks4 NLreFs2jJ0H5KLg/sIp5VQCbeooCXCbKqhrPTQBWE8jErx0NDhcAM+48wIvvEwnChcXxmukVA6+e C0MgmVrXBR8XYd7vBlgTshAnfivGGP1QKmjDrTzbNl3N61p2TTlGpAXTZbK1oudqdQMUU9OKTsnQ RieFOX+kDdoggr6eMF5ebjFy4/pCVd+CUcJuldJY9TcyJ7UQX4Rc4ThVjJskBvvSgdjdJLSK7DAy Mqxyp+YE+LNNVTLfGfJ8gFCsnX/eYF/SeTVyRrCqdl9pmmDiLkD5+26/gjFhtJRli9ZyI0db7aMQ L+e4aBaPlDSZEi+w9lQkegGIF0H0o9laEBxuG5RMyT5OSsfv3MgCmN9AMauWx1GkHgaIjV/d1Jii GLp5OOZ9o/DRfJV/LiJwOBngvhgqtISZC8vtvG60sq34BYz83RnQMnOLSqsTgNTUTy28T1ehI5VO jU9k0XQEX3low7M/fK7TP8S/wCXNtBjlkg8p+T38SG0SG/Bz3b0djyJpl8kQKmFVzWcqBpW9l7ql hbHDfbm7cwZ5UvVEmtnndY8GOZUH5FI40IMKCFnEObrqLVpiaOShZrc0Pej4wl9AjQkGgZN/WFxm B8/MuiOzaEl00WRnrURkWAAv3o9RNltfNbXLqAc8Ki2vIJAoWE/5OFKPr/FxNY7JFDF2ompKm+qx wxQqVWnrxOVZRIwpXCrvRDE8e9zs2BAi3V3jqOuYT7aEdAGRZnUYjOFScrRlOeoivyxhfHpKkL1L KulpGhxgI1K4wrARwEz9fDbf8whsHq6qpzNexxkrc+kXfeB1XbqbU8E5OirSG0FmlqrRLt0RPATS p1P4hcG25iDLNHAUnjRyk+BSr54ASL61g1iGsZCKHHFYAvJXP65NjWYx910TROL5O0xbyfdorIil zcq8NUN8S4rUstwGGgxOocEOSr0UIKld/0zdxYmgvrUZiMewLCtT0iRJ3zbkaJYKXAOCu79D80lz Nm3ceSjupqbxIk9KQFmtUsV+/NfLgIVBKhikbX1srDhE/MEVrfx0IE/Sk8aqycGnKlBWCiDW+M1X hjhqgPtoyCLvoimiGfNPeG/kdJJ9GeZvH+6bBm+ZIvjJ8AfjFgbvTyuXBG1ji/5Dut4ldL5F9qx0 8xlFwM25Lk30Uubh6sGU7ZhGVRUmXBTrDwhJAGKrebTf9D9/zdwHQLfwXJ/Ld3d46xGWeQvx0ABE 1BMcmMG7E/gmVkmYfBy90Dh+mfLHCGq0+ba7VAzJ8yWbd41Q8Cvki+BqbVtvqcXBUvKAuOnVEmaG vXUhvaSkoyMBzLU6hHjv7HCseO8g+YAn7JWdhkdCkG2KggLrSDR4lq9dxBuHzicj1uc2CTkWLL19 HegAzdhf1kjnsXU30AU0syqdFZ3oGeCqYmzhcFeaT3pU653E8GnBfYRBpdRUx8E/sGvycVLl+CZ1 JyD10wNaVL/dPZV0Kdd1V5F1F+90XbWaShdZMAPd3H/LMJKcErf7ZGzCPSjk/ZiZOOzmeC1KA6Wj lUl8YvzYiWmbc1tA7959wEtGNjGK5B3WU89I4S2OXCjTJoQ7T2bLORAf8wrifOKBI4CnYWHoC2Wt Ol/nkNoswuGJvhfB0j7fRLNOTB2LKbe95KTzwKbMel/m3kK6x9aq3UNTLhcAe7ghp2441hUAK++H JUiQcTjrKVKfwBQVU6dyTAdDDcMJHDaUklvLfMzyWaRHvZV/SBvhMQiemdwdi16LhcoHYQp+XKyc RGHaPQAQqKGODlRQSMu159VKUc5W0PrvV6Ah/0/APn3J+YbIm7Sa7tMDqZxZc47cU6fs/4q1th28 btCh3RFFVQLiaG46yan3+eTKw52a0VRLSe4xQ57iwfAqmc7i/GuQjBEyBYZc/yiqB2ALLR253yt2 fV+CHumKFtHPj43Hcx7yXuGr6PsF5JS7LYOmAzYsdmcvRvXCaHDh+D95c2D/tL+pzmUV16HqO5ZB EvNpTYB9XX/kmwzlMAs3thrtfZPAurbPSUo9WE9uAekJSHm+1Bnm7xTp07HDIaLDLwrepB/dpk9o hzEHtvQ58CSTzlmpz6SqK4ikigl1w2G5SL5/EThJki7lVMiDlKApHWqrJmrqnB1B2qc9sakovbNO GWJg6aTKoNINeUKPW/Gu+tAUnDG/h5tUcCxaCVuRizCyShvsV8es4NJ9LOt1G2hOfh27AK9Cnq1B +cLeam5hT2bGhvIcZ4OApUuCS/vFTggkwPRGYdiPOgKuUefKLIW6IrXnEOytCydWmMFRAlNqzEBI 4ph/P4/w2udrkhypxxvp/MG9XNWkh9uuBJtLz1sSVxZ6VeaBAW2Hh4N9dcXxxW/+YEi3jI3iuA1Q NQr0X8JfATpDvTapFVU3KneACB6YXbt7fUqDyQdVnFVISu1/mbcK5TFqzeJLAz/ec29oJxo3ReVI txH7XUzUWWM+k+y0djRNYWlLHg5B2Xhp4nN/FlIsR5/qz2VPFgRrMQ9ZOl0ykkpxfbneFhnSpzaf KpComYF/PIjmKkbB4+dT3G7njWXgW0Tnov79Khr/NeaAjdmUVKA0vu3MkRrUVTyf2vWRhAw3BeUE ZjXS98BGPNymu8Wl7fO1ZAEvJD/MFiym3+1xELh+mwtcCPxKFYndNFpZcWjBCyblwEoSqSw4hUp3 2yJxbH+HkyyDWXVReMlR/MBOfyZl04IgGAh08sv1TVrGmY0hDYOS1WNhqsa6/4EetyOtiW5ibw6U 4rpmemkI7QRvydq0tcCcBiFdIY9m/4oUe+nQKqqGiqhdLr/TK9+CRR24THODDh9NEbcwfOoN3iEK u6/IELavsdo5RqElObqWEpvVftlCawoJsO7bKvZItOYN4iYFrg0WF0gqGAJJc6ecSJIcJyYbSqv8 yjjfwBi/fzRIKrEJvGUa+6QCFAR8aZOl3Gs5rLmREYY2gDl1obrBbiw0Dz/j52o7JkJJxkO1HAKk jMS+A2gV8lhPeQSFulMv/1d8Hbb0Y2ATR7WSRh/ltcIhBMourILMeyN/vtCOPMFjjGxlKSdKip5P UPUwJsuds0FsbivkF3PQR6DGv8ipUsJhH7fwTL+iqD2AIZPF3NVJN7VGOBhRyKbSexIauCOtas6H eI0Ku4APwL9evAd8femN2VP2OX7kWvNmV+LxBzOi8DOzmGJj00zeAZ0bpll2E5FfFRAqWhQDvQrd MhpBmETxv7qGmoK+pg+PnOI0tjbJy1KPeXbOeFlgN0w6ysv8Dd0RjLidhUWSeEn13ujOYW77Djmc oBY99ibocypC9PouIv190qjEo/wzydktE7s1fr6+7b4a/poowquRjOjRdYVgeiC5ghZAcTBMIaO/ E+m8gPdXQT9E9PncM/uoppmm/clkpvSTB+PJBvqH4hKeI+SCSGtXuj+lxjb0tKI3+GIfuTMbvin7 lc4XHeny4RyRNCXTsHa/wdxOLnwudpVHmmdUr0puxcPdhHbV2iQCEvjPNRTd3pk5m+xLfT6PhPAd h907gVkNml1iHrLHqU9wu9ZN6XuXBO5LHR2w9TVLyvPxZzEtmElx5mV0Vw90PjXQiJAHNRhAxfET mOisBKv2sD41+Xx9WzNudnMDdzDqnOacte+BJpHTkjllGiWHDuNU+OaCW52PVOHOXkMOb527DGx/ QhWvaGal9sIXPB2lhvAWaGMWDw4rRl8uAhNDH7zrNAX6zKs7uOw8VcIo8OY6LoJsBe02ZUWfTpun Sjd/JRR+cN2YOX0IJA93kbV3DE45FCJy5xq1cmK5LKIfLL5bBUh1wNnWnhJDnjW12rgy2H7ZUPbZ 0um+A3+4IfW0CjQGtsC1r7VNW0jXs4NSdj62Ul/PVoA0ysXYstZ3e/rhhEJn7zV6khd5bLWRpI43 L/vFEQG05ZySFA2W05yHwJG1INztijcP8MyHj+vuiKa0R2zitLAxtQojZcQLv9Od23+Yz8w7Bw8k avnIZRo1lB4iioVEOqnn48UAI874gIj9VteM9M94Y0SOrXK5mo1ppf/BuI1NkKdE7uc1CC1SbJjx ajP7M58zTewURju9tlIogdLjssQSXcm559RqrJm76urMHfA+vn10ipyzaEj3YYFdLKJAm7qDd9NH WgAFAUwdjYBDLftuQAtiCyMK23XcjlJv9tRX3wM+TfPYIQi5T7OTwZL2952qs4/PFq+yT0iQXkz9 4U+S7RkrL+XYNA2aZSQxmIBXFc7uZZdW7sTAqHV8C79659phsyUDBKvj55+aHtcelH1XNM33NYo5 EhPilHz/qXsbRlgC/M1dG5e4Joi8JxPD9Rzpa05uSBaE+BGmbOeDNJxDRCnCPVjsG6qs9zlYjrID 5ZUYMnABXj5DDeb4SyPPsswEehByvNzRyLLGQ5p/OIDy7Q9ioSWaBdqEmVrXh6qOO0oz4dmuJ5nN 7IlQk18PhgBWQWn4o9daPe5a39fhkWgUtHvoHRtk2psbXI0gSumy6lj0Fh5AR7sYDrJiKZ2QF0RB d4a9FWQjqykfCpTmLvdMZM8fElynnRdd8U5ESUxo9MVqVZcf+jS3BwZFRcaKfDz1GjeAg0OMs0zC I8KvYc4FSfZrtlmav9pbZU1vCnsbhWmpowSAFiBVGtQCuOPTLdMEZGDrKeNx3LVFduR/VAreW5YG 1pkiHfFMHGb/5EjtGMir6+1CPvk7/tyNNT5mdefhxTrwm5md996Ez/Dqo0LldA3m89ItfaEUCy/v FOINMbiLWztQ7z4V/+wQoR/gWUnKYmO81/XI6DUZ/kt4jBCU0XH15PGWyTJkoDwCCmI4bK1qYD+K G9YwTwJOUC8Iojz6vVqT7toyHcD5NUI/qlvvK0FGZlbLl+M4i73akQF6F94yX3HYGy9EK2yMqiKN Ba5j8c9UjuaiQEIJDgGheR1q22o3zMmAzmnrrAxm4GhFMAhPXF0abt/DH0jvaGA3qfP0qi2HliFj GDbFVjEehzknOBl+xJiDjE5vWC5ZDw0mDSmZ1+rYs4mqCoLIrt+b2YKr7MCjWy3YPdTWBq2V3w9H ZCTQT89igyEwPQAFy4Kq3RmUm7QI+moS9aAf/Hfqgdsh7BEApdrAR6+q1uL+xtP6Ot+rLx3DDCC4 aF67usre+oh1ftnKXvFqFmAyJzgxvhQJnOrEuJ2Ct2c/ddKvJoXRb+dhjDfq19MbRzANyc4uXH2b dfP6tc428uo7mNB9TwAvk6ZImP2GJGKAjcogEqUYbcfmbfLe0mudfwy8TS0xIlOuZloxI7jTqbL4 ysQUAJqkW+zus0iTDQnajEUlUC8R6DXikF6DzPkceRZIF4JEpYOq1nmyiSyJB81W8VfA257eI4aL WV2INf7lUHLdwU568mo0Xz1uje/AK27ndsRa92ElWN8hdZMD4BwSsOIvR0wjlIBpOHFJ4jYp4g4x yqbZnkV27iO8kAdEXNMorMK+hDOCW70tLDoNguUY/nqDboiKR0iz+nAY96ePEXEBPKAa6yei9mUc SPvZ1PvoTEYyUhYAg7ZNtJQvUFoZ8F6bHyPBrK8pjBlc5tTTULjK3fy2IOEsjM68kvQm/xWKF63j Y/LcSqwuqj0zqqJOedyXWBH1UpXeENrg+wccqidswe5o4LWU/60q8mBPmnWUTVRC86fZlZFw9aKi 3fIqqqYlKWsScKv0hpkNMjCEUV/HMdxKgFgbUihwPEqbLBFaEoCPeNRwAhuthVY6IFTIVi8Pv0it LUoisNH7Dqfp1lxx8r4tG9GZEs8PFtnZ42o9G2qsuNBgC7TilRLQvoWmSC+w6xJc8w213ZKImawO +DPUl7HmONBK/5W4i2qaUh2n9EOJyEsVtjJmwpRXBW4NDXBOUxUH1qxvTNY7YZg4lBTaDwKG33YS O79y6j78UKY3i3DXeJPsSpcmoepXTTAg+2pPTPo9DiN3wlifCCeWDGmahyl+XizRrX5SbYtPhX38 dgAWHh1pV0Hh57oLVWPFba7qlf8GtrhIYvVxj4iTPRFs77qWZVHvV4AnJ1RkpTYHxuKkLBlrifPd SvW21bPgwJycCfwcMsbKbFCPgeG1ZkvCdmfiwbAkSVEYVqkBT+KW9vycGOJpvx8fDF/SojxY5Fit KN6blZdSH9gY7+3ySCoENQWjoFS9blGpest53SOnZm2V+wElQstxNAycj1X5V1Nx7E5H57rY9KaB Oc8k9EI17uHJqfo3xYjJbiOb5gqEo1H3ce8S51E5ErgwYSJ9eOprYUvCPqOW2RwsVYoy+J3r+t4N x+Jta7K0/QY+HL57dIMbQo5qmV3BkmvOr1JhP1qYl5lAitGhO5FZ8zgW90YoCB2bMySuMjiwG2LC wiLa7h6UOqYBpMZszepELbOOu4aDP5g5kcNaCghV63g1Ys+iYkVAcE/D+Ria1rrLEVRTUSq1Z1yW g5QF5T21vvGtpINFw572bsJVEdFnuoBiGeJU2oqKfYkH20v26+miDkZqtN95Px/5AihAEksl3alD VASPYV+uaiwDNzXzjlGomo4WlgpLQISb+XR86cYODUnSQWc4knaGRcWyD39V7TSI5c55tU9unHXM wWRc+f7INs7Ao/Mukia0M3W7GQ1lC5vjD0D6AdczYSrTmi7+CwZkiT0mKDr/cTMXrP6Ln7d/t1XX VTbtBuG1cyHeCeBa5ErPhhk5EWoAwrxbC2oFwCmWoNmckFcBSfREOVNaZJhbqsdGDmA3LSEjCy5X HUUy5UAj1wtQn6/ZLugWRlySwbq+b50T8f6sK1Hx5Sm9WVwV/8KCjjX0QfpBRIM= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_skid2mm_buf.vhd
1
18117
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_skid2mm_buf.vhd -- | -- |- axi_master_burst_wr_demux.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.0 $ -- Date: $10/19/2009$ -- -- History: -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from AXI DataMover v2_00_a axi_datamover_skid2axi_buf.vhd -- ^^^^^^ -- -- DET 2/10/2011 Initial for EDK 13.2 -- ~~~~~~ -- -- Per CR593362 -- - Removed resets from the data path of the Skid and Output registers. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_master_burst_v2_0; use axi_master_burst_v2_0.axi_master_burst_wr_demux; ------------------------------------------------------------------------------- entity axi_master_burst_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 256 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 256 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -------------------------------------------------------------------------- -- System Ports -------------------------------------------------------------------------- aclk : In std_logic ; -- clock arst : In std_logic ; -- reset -------------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side ) -------------------------------------------------------------------------- s_addr_lsb : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- Demuxing Control s_valid : In std_logic ; -- AXI4 Stream Like s_ready : Out std_logic ; -- AXI4 Stream Like s_data : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- AXI4 Stream Like s_strb : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- AXI4 Stream Like s_last : In std_logic ; -- AXI4 Stream Like -------------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) -------------------------------------------------------------------------- m_valid : Out std_logic ; -- AXI4 Stream Like m_ready : In std_logic ; -- AXI4 Stream Like m_data : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- AXI4 Stream Like m_strb : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- AXI4 Stream Like m_last : Out std_logic -- AXI4 Stream Like ); end entity axi_master_burst_skid2mm_buf; architecture implementation of axi_master_burst_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) m_valid <= sig_m_valid_out; s_ready <= sig_s_ready_out; m_strb <= sig_strb_reg_out; m_last <= sig_last_reg_out; m_data <= sig_mirror_data_out; -- Assign the special s_ready FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else s_data; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else s_strb; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else s_last; -- m_valid combinational logic sig_m_valid_comb <= s_valid or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(m_ready))); -- s_ready combinational logic sig_s_ready_comb <= m_ready or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(s_valid))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (aclk) begin if (aclk'event and aclk = '1') then sig_reset_reg <= arst; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: s_ready_FLOP -- -- Process Description: -- Registers s_ready handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- s_ready_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process s_ready_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: m_valid_FLOP -- -- Process Description: -- Registers m_valid handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- m_valid_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process m_valid_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= s_data; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= s_last; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_master_burst_v2_0.axi_master_burst_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => s_strb , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => s_addr_lsb ); end implementation;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/ipif_mirror128.vhd
15
17011
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pselect_mask.vhd
15
13363
------------------------------------------------------------------------------- -- $Id: pselect_mask.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_mask.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_mask.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pselect_mask.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- goran 2002-02-06 First Version -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library Unisim; use Unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- PS -- peripheral select ------------------------------------------------------------------------------- entity pselect_mask is generic ( C_AW : integer := 32; C_BAR : std_logic_vector(0 to 31) := "00000000000000100000000000000000"; C_MASK : std_logic_vector(0 to 31) := "00000000000001111100000000000000" ); port ( A : in std_logic_vector(0 to C_AW-1); Valid : in std_logic; CS : out std_logic ); end entity pselect_mask; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- library unisim; use unisim.all; architecture imp of pselect_mask is -- component LUT4 -- generic( -- INIT : bit_vector := X"0000" -- ); -- port ( -- O : out std_logic; -- I0 : in std_logic := '0'; -- I1 : in std_logic := '0'; -- I2 : in std_logic := '0'; -- I3 : in std_logic := '0'); -- end component; -- component MUXCY is -- port ( -- O : out std_logic; -- CI : in std_logic; -- DI : in std_logic; -- S : in std_logic -- ); -- end component MUXCY; function Nr_Of_Ones (S : std_logic_vector) return natural is variable tmp : natural := 0; begin -- function Nr_Of_Ones for I in S'range loop if (S(I) = '1') then tmp := tmp + 1; end if; end loop; -- I return tmp; end function Nr_Of_Ones; function fix_AB (B : boolean; I : integer) return integer is begin -- function fix_AB if (not B) then return I + 1; else return I; end if; end function fix_AB; constant Nr : integer := Nr_Of_Ones(C_MASK); constant Use_CIN : boolean := ((Nr mod 4) = 0); constant AB : integer := fix_AB(Use_CIN, Nr); attribute INIT : string; constant NUM_LUTS : integer := (AB-1)/4+1; -- signal lut_out : std_logic_vector(0 to NUM_LUTS-1); -- signal carry_chain : std_logic_vector(0 to NUM_LUTS); -- function to initialize LUT within pselect type int4 is array (3 downto 0) of integer; function pselect_init_lut(i : integer; AB : integer; NUM_LUTS : integer; C_AW : integer; C_BAR : std_logic_vector(0 to 31)) return bit_vector is variable init_vector : bit_vector(15 downto 0) := X"0001"; variable j : integer := 0; variable val_in : int4; begin for j in 0 to 3 loop if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) then val_in(j) := conv_integer(C_BAR(i*4+j)); else val_in(j) := 0; end if; end loop; init_vector := To_bitvector(conv_std_logic_vector(2**(val_in(3)*8+ val_in(2)*4+val_in(1)*2+val_in(0)*1),16)); return init_vector; end pselect_init_lut; signal A_Bus : std_logic_vector(0 to AB); signal BAR : std_logic_vector(0 to AB); ------------------------------------------------------------------------------- -- Begin architecture section ------------------------------------------------------------------------------- begin -- VHDL_RTL Make_Busses : process (A,Valid) is variable tmp : natural; begin -- process Make_Busses tmp := 0; A_Bus <= (others => '0'); BAR <= (others => '0'); for I in C_MASK'range loop if (C_MASK(I) = '1') then A_Bus(tmp) <= A(I); BAR(tmp) <= C_BAR(I); tmp := tmp + 1; end if; end loop; -- I if (not Use_CIN) then BAR(tmp) <= '1'; A_Bus(tmp) <= Valid; end if; end process Make_Busses; -- More_Than_3_Bits : if (AB > 3) generate -- Using_CIn: if (Use_CIN) generate -- carry_chain(0) <= Valid; -- end generate Using_CIn; -- No_CIn: if (not Use_CIN) generate -- carry_chain(0) <= '1'; -- end generate No_CIn; -- GEN_DECODE : for i in 0 to NUM_LUTS-1 generate -- signal lut_in : std_logic_vector(3 downto 0); -- begin -- GEN_LUT_INPUTS : for j in 0 to 3 generate -- -- Generate to assign address bits to LUT4 inputs -- GEN_INPUT : if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) generate -- lut_in(j) <= A_Bus(i*4+j); -- end generate; -- -- Generate to assign zeros to remaining LUT4 inputs -- GEN_ZEROS : if not(i < NUM_LUTS-1 or j <= ((AB-1) mod 4)) generate -- lut_in(j) <= '0'; -- end generate; -- end generate; --------------------------------------------------------------------------------- ---- RTL version without LUT instantiation for XST --------------------------------------------------------------------------------- -- lut_out(i) <= (lut_in(0) xnor BAR(i*4+0)) and -- (lut_in(1) xnor BAR(i*4+1)) and -- (lut_in(2) xnor BAR(i*4+2)) and -- (lut_in(3) xnor BAR(i*4+3)); --------------------------------------------------------------------------------- ---- Structural version with LUT instantiation for Synplicity (when RLOC is ---- desired for placing LUT --------------------------------------------------------------------------------- ---- LUT4_I : LUT4 ---- generic map( ---- -- Function init_lut is used to generate INIT value for LUT4 ---- INIT => pselect_init_lut(i,C_AB,NUM_LUTS,C_AW,C_BAR) ---- ) ---- port map ( ---- O => lut_out(i), -- [out] ---- I0 => lut_in(0), -- [in] ---- I1 => lut_in(1), -- [in] ---- I2 => lut_in(2), -- [in] ---- I3 => lut_in(3)); -- [in] --------------------------------------------------------------------------------- -- MUXCY_I : MUXCY -- port map ( -- O => carry_chain(i+1), --[out] -- CI => carry_chain(i), --[in] -- DI => '0', --[in] -- S => lut_out(i) --[in] -- ); -- end generate; -- CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output -- end generate More_Than_3_Bits; -- Less_than_4_bits: if (AB < 4) generate CS <= Valid when A_Bus=BAR else '0'; -- end generate Less_than_4_bits; end imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mux_bus.vhd
1
12443
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QPQNKAwwGXIc5LIu1+x5sjRspWl6//PKSSN5Azf8YHFMDIjjv8ODKAuXr3jEMS+lxNABTG4Rd9GR 65uyZHZq2A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZpxR4ePVP7HhmTvRZ2AkPGrDDKcRuHIh2c1NIs1zmLCHaq517O41+rLG0Z+GpHi+Ss8t721E3/8X QHRGTbWv6QuGC2V+hB4pQ6jEeoELceJOnItkPA5q7LVuJLop4wEL0rkM9H1RClOhcxpMlCgEpW2t HrUrnj3FMlYkupj5w0I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FWGtQlcjFNAdnyZ9GtE+Dyse1Sf1HuK0i60ibMBrA0xxEIfZWI5WH7z75mbReTREt05bSeW1B/MN OjkYE7uHORZctrnh8m27Sbh81lAnj0tuKP8h4zIA0EKiadPK1KmLhBPccy5oR1WU5X6Ld4M5JeeW XYXcsb7BlitTUb8D1/YJaCSG5Kfb/50Ko8kcXqMq0mgUou3RBPUa8PDggsS9X36649anU//lR6kz lzhDUB/i7YDs94rzLGNjABleUDLu32pmnbHEEQTgne1T7lWTr3CSbMXY7hjU7x3U+dhaAC3al6Q4 PtosbgsEZLZzvZFbYIfFhdoZtMcLOo3tP+JuIA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AA09J5klOFLVWFXfV4ewC9s/7Z8Ll/fE8vDUO/AG49ivQLwO/YezzGgUT7p+f7j0Y05lTShIfZTa wFlAIV1L9TVu4v9FwV58JXM0ANv33MEhJ/OGl9ZOtE2M2+GwAXfU2cr1y32vcwJs5oeWlOJK+7IA wHtkHychCbsYxgQPAb4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IvTvodVXG5wKteER5MUFQmAZukGr06xST1bkTOkX7gXvpbqU4YlAJuB32fSsS8S9X98THsW8nfrW ovUeP5uTAhDrabcE5ZNjbhEJYQ7lHPKhtUfSa1t2h5KhPB7mzfhNA0gVlDy4fKUqbudrCpTEBBhX pxg9e/dNuFSPlrdgGJuNHABdO/XDJZ4sOfG24vEiQeio+MiVSFjrDzO4GjNFoX0dlrV2ppAr69lB eOz1ta/drcK2lm1aVj7LjuNMhMNOECJY7HBX0aB/tI9jfZEiTvf4tQzFEO9rNkB5FkX6xRWg9fE7 xPh4TvNoWIORWmjfj/1kX8XqYJerx4iIBLkVOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7472) `protect data_block SM9vZ4QWTF5fMFGjXMcS3Lc+42kpgKjSY/cFMEm2/9vhEMeaVtkareqTkivLYcPeN2Lms4bPsClx JW+MnQsbTmbXa1d7l5XBRCMI02Dk6MzkuSaxPh0d3D9gu4WJKZ4PRSni/nKed1vq78km1MFUIYtF NsGVYM9Fgkh0oFabc1q9klFEQDUo5QXKzqzt386mVFLKOqrn3p/qGTP1cFtOo7SkRgt1uMbI1QHc 05dIXeSrmMlB06jCmPwurLbSLK9HZd0RdVrWIWv1aZk1ojcqbpdsD0I6s4niSBfE4dvOge7y7eeL i3d6eZp0VChtpVt0y+HiLMJDHSDdJdmiTCSKPhyqNACi0MPB6qzKGZWKzHUxwnnik4ptbqMxF0FA 1Dox2BXlCk6zzM5IlxoYkr+uE+hFFs1wrlSHBogyEAwVakncuZFPlRfLUtHVoY9QfPa03zUHgK+t U+QDyPr37SyycE2IZnnX9GuclFOHgkzo0sDPA6V47tQ5rIIgoyoGQAbtHAoE9GvFL985fRTtZw6u YE87fGowGWaAXinvEUccKF7ZqargHhfJ30N6q5Mx0dRRfw37/ixT2s4w9iw2fZj9g4d7t50XnuFB xPoNQaQhewQdV2MS27SEY7av6DIuSOmpxZ9muiTCDObqPvsivbSrcv14Q62/EqkdJGMqCxnfUzED XGJs+Lc30Z6lYU544uzm07X2S1QRbJfbTZZj9rCsuj2WuWEn+O+58AIBuQnTAHjnzM4GHipSZUh3 7SyiHwPXmdrMBiRUid42fjgtICZ3cPEqGh8cFGnc4CuekhP0jm2XjPVffMk5KyF4bIZWw4RYxXLK LXp71EUnFZXDwaBOdWYaj3Zh/Kj5ShhC642BXk1+p6Yh6XrnKJMLEXRwmnqK15iMT4yI8B8SBE6R y45NuDUc4RrzE5OYe1tt/kidYCls5LX3w3GV/htdJgkTFTgU2Wee90HBRjyLU+vBL3sEk1VW8viw pbcl9jsEAzyZVFTd3SBMhCrmyFNNBY85zGPoYJUPt7qh3h3Rq9akuVIpYYbVrtT3BaUZ6HZ76ZP8 HT+z0evlbZgrt63jYV8LGGjLpcv9vVa38Y3b57DnIlu+zX3b42nhZPrhvfSuPQLcgpaSXX86cckU QckLN0cvJjKBHt/1FJc15GbNwE8EW7NDkIOW2ylvpCuEDeGRv7tQ08x2lnB01kHIC7djFiFWyKwa XSkKUeaZj1CnwLQiFEkk1j5l0mNHshdHqWoS3boqMESnvG3sc5+WaRhHGLkWZfAYejOGSm+oT1H2 W4+r/8v5sxJtX4K5pHGuMOZW8rMy7wJ31jFNxOOTUDNQMGNEAjVD9ZXqJdTBeAV1LturqSmY1F1k vaywZfdlQyz4JUG+YTsgv0GMuSB1xBVKzHLzg/E4+3WgEf/ic9hr1apf84Uy+xLtGOwwCHwyt0Q6 D6CDEVUKqKVN5C1TtgsF2D0egXZdas8ONEzPJfd2KTX3rhajD6yK+7XXJ5HhW7twYrrDg3kI3RSx JUHdY10L14Mnsgbc2gYeFfWlI4jz7uSDLkvBdI27tLefcFJZf8MrwPkJHs1ApU44IEWtedzr64Qf FWW1zfkLNF7CN749Gjcet76WeyUdhJFjCJqL+hPknR231V3wf56RKxyhgSWUde3DPGAXlX0hHi3o a9U9aeR/z2/bd57tZGnfpx5i3q03Pp/CXzqJKVReVSHaef8erSW/FPpPxFJzcqdnv6C4EZkaL7gx ZJUtupBj63GwCRy0FG0B5WXrlS6yRgOSpCK53ZJXBZ5JN73vZIN+z0i9BZW5uNbMcu/hLpubqZPT +dy7dhbDpVX+UaokJ70x5Q1gGfGyGpDiP6IcC7SSGOfk+Teqc+ggO++9hVZXLcziFDOJF6djm/+U l7pii13M6YRaCDVDZ9YeK+YIk9KfpFokNCofzu2Pqkg8vmq/cbnhI/oBAptlEDKLVLHH0jcoZleK 0OGEiualhyV3/1Q9SWqjDLsrjQPX9HVLAoDW6scLp3Et8NT197imE1sYD1rY5bldXcg9Ij6uisuX VSzqEYDhv209Pt/WrnWPPQOT63LMMN+m3bao1HEVxH3U8yaO5qdNidMhbOy8ZEYWtXBAwiVqfhy2 PvM++dLS7ebyHTaWejrCuJEQaSgrmOlFoQb1ExUnA3gMyocbt4tCpJKDwEYmEtndgDqW4KAlBrru Oua6sLQxyPBXbUiXpw8CMgewl6hLuXPjXmve44re5mQFYOvk5GCETDOcQl7KWhLu/5S4AHuFIxXb AnDBYw4wXTcMgsok5N+V8n4JDbuAbDbLlg0kxajkiiJMdjjjeNUGplbppSkq372yrxU7OYHJQpAY FS+VpaOgKpb0XNUgJX1z2/RBZOcKNyrKafXOsO1Z0QGflx9jrdDUauR+ewDawyNQC038/LC6WuHM pZsnI5Qk5TtV2IQqGk/sjEwNaI+wOcQV6pq1z155r8xkLqTPanBm+c32ZZpcfSX0STRvKwrX/5xL GHi02L3i9Vsun8pCnY3/MM2QG8TzHDwSH+QeXIr5vtKS0oCt6QKOORxmfu9w6wQWh604+W63MmmN Yi4+08XobTUDd7cOEq4y+qn4Z5/7ErbOweTH9fl84EUvPZ4yQF1Z29MPvob8uNf7mdZR4Azzta6W By3AVVUTNmpgizi++E5XemoSWN59ShpWqodAYLIUVXm1NxXbtklhuwdcJTQty/8mlCj4pIleOslc 7/I7j8jGJMuk3UrElqXyrw3W8hu+y059xST26MiLzZJ4cdne+PZgjkmay0mKK25bbavNPio7FhlD wj5DdCfIrL4IO87DvQWE0wcimRBHg2KFeeqdxREcIWNy8AVDxS1COrUDNuXffwwi+o1Qgi3ChZUu Jt/kImbraUK/oiMSsWk2Tt+rWVjmIx/wzElfbW2Ni3BOqaT8Uwale1GjmsFF2AWpbfhGwOKSg98F TxZ3HLvjUQZUuVNULdItSbYZ1osGPNOzm+I0869gAF2YOq5LFocKW+B4Nqlq9BBPuQ9MHR/k3JC9 J/c8r40HiEt3hYbJNQrk2LrCN5cyngz/dyVG6Qnt3cJp6LHhlOiw9Akb4uYvn4O3ng7m6c0qw+0r SB1JheuRaa6fX6GyNhoWXHobd5RfFVraiHU7nb3CFBU4FdK7yscm7GmAloPPfZ9uwjmMB997JQfm L33dn3uGCXPg+ORp49aPqZZMN9VNL4sYarvamHdNEKJJjb2KTCMqVrwZoy5IDNQNtuYfZXwzmnvB rCiMx0D0Se/RzBMpQlt3GBK35izGmCT6vCWWh1myYbtTXueWCRZ1GwuMcxq9HrRoQDIJLssjQZZm k3bQ3u9kGoBhFSsgD+O5lT74gg94vS/I8nFvvJfPpbSnXleVlKVnjzoIqgh+Loe4puX/kb+WHS95 I3K9W4JeHHKnV93J3ghPVW7o8w1XiPcyWT2bi2nkKSgQQKchcVAeYZ6GdHNE4NpHKpj9pdpPkK1d Lch8872ZTvq9GMUEkrWN1/Xt/hTNvdik+fLb5Y1YRB2yQt1NcYMmSm6dYcl0iE5KL9zOjx471MUh 6uMYKbup1CZSfTNOAUx1ih1HZBmIhr+yu3Ys68Ko10ypj3RZnoXkvDsS/GUGrYzkF/f/uJG2zrvU hzZD1oC6ZAbZRIbagfSBgbf7B2p5xeP/gzUqRxTnuXVFFLvE+4jGQrtplveO2tw3HXhGVbU7J4Jc sxpquoVf6sr7xfkUPIz8jGBtMCWZTca4pgtQL+so/c0DtNvfLv52shOiExcy8Os6Nlx7fWZrIz9d 5jnMfqEG/tTwvZuKVHXnEVVR0ks+6EVkV5xY/o31KMmmeO7bNtuMGv+s/mb1r8sM8xihyTc6OblO D2h7P3r6IlVEdYYPcHPKDLvE2DavFgL6D/W4PLiMNaFJ52sQElS9DwkJw99Cgxd5cPGZYfHREfjD bhbrdk6maEh9/mLeNKWB1tMcHpnCoTECeF/ZGyVT7w6t6XWJh9CsdXzHpnyZ8YbFhNnMiLNq3olT z9woc4+7VITdTD9UBOlPbi8W8bQQGRUcx411kOfka+arAhTZg3GKj/uQCC8ut8oVc4mfKDQkohsW 6MyCAOFF3ZLqnUsulnRy2uCIkBgykirpPhUdhcyxyUDAosJ1TApAA5bF7TaF6+MlT6aHozrc3+dV Fh9F9/vHsQl0dMjxTzuBfXeqN/7urFW9bvHK3vVy15C8PRYsZKnPyKdIfwr1JVq2F+g5YQmnxzIv xkGhxyIrjhj4WHp9cGmcBF96E7d1RlRVOmUAimhJxEJL98CaqoqUnZdvsHJLfZCLzZteAFGa8L2b ahg9sBxp3wsYFltv32rnnMw/fwGlx20O1OB07/oQ0LArrVF+AeOL+oTfraQJBlHDSzvSukU0J+IZ DKlBwBnSJ52h3L9IiY2V3vQ8KTOmDgH39xEzxBFW85m+x0RE/qrBzG60lPAg2VzQrpWWSTHPf0LC gu6MlqGDYyW+o+wYNFZWfHvWQSEN0PZP1y3jqC0jX9NqIeE6gkhOPaHrzkQqZtIdQVM3auCrdDrC BQqI0ns+o7L1kkPqZbC3icwVfD4gLm6L7e+P1gHan1hjlgNfcAA42JuOyINfvoYI3170EhFOcmg5 qug+u6ZFOhEUA9VY9lZoZRT5K6/kkcNYKU4ns4LsaMMfsiKHM79aiMwTfG0atGop2aVjy97naaA+ REBhGH7q7ZxuFfBg4DEbWZsTKY7jhEKdvRD2cpLZ9pU9y6pILHS0QEC1RASLu1fhH1NivcrZpKRQ SBRrKIMhAVheofzkE6p4wp4j6qFzyghxnsifRcmutl6ct9OTC+UUwLvNWPKIcso0MjWF91NoiCve oqjnBfN3vV0gep/beeRyQzA7K85kskb6vv6Z4Xwxh1oql35GrMfcD3416WAzg0xA0bHYheK5+IIz qPrXUR/1P1qft3DZc4mOmw+EWjZ9I5otSLAYrd9q6Fzq0SYJTFUfX3OuIWu7yI1dTDiJUfLPrXJF oaTIgJBWNUhflADdKuOZkxPG4gG0vwrX29ZAKZ+3+L8uaARlGVEkjD/1Shsb+15sKCMZRvS9D0t3 Oi0P3WqAMB4sSPwPde93s+qNnZLDz9qa3Ey7+CmVxSwVdk+aqah6fxjiwlFfYYwG9Oqupotn0d04 pBHiQGqwWNzLPug1MlUZKysVFq2kQYM4VKriWBRwmp3YAYGZeNbkgb2hTWCpQUH9D/HCovbSy7Eu a7n66NRBixywVSXcFXalX/zRxqZz95fYqnHFBTmWmpQaSN9JCbK+dqJm6hgujO71WYjes4X4FC+R KMUpUg+8NrhIpxvZ+ZLq2G1AUvYpCfq+N76JYWBk72Mskr8lLIBhmYEYgVu5HHsXwFr3KRMDd/PE 5vGLw6mzQOZZBaWSJEsKAVsdUgRxpTZS6Vw5f8jZhxxaku2gV1c9vLZMxe/WuXz2TSGQr1gSQgl4 U1B9GwDXV39ekNRPo4/vckhzXD86op/fmZMPlnCteY7opKBIWbDpap6wnVFVl2hh0FJ+/rswdrLh tFoVJltbUEUDzklolN9axyNhB7tR9yhPaHlMvCuk6GuHh1iyyokvywGAWkJ5XSSARa7HOvSg5I+9 TdGe30GUhVWGfZnYOq7sKg20tLP76RxO7uc99QOiq4rxReLU6AlfgFgcGA0b433OphDLOOYnwiWL pGDbnXKcRJmjCdNRCl0C4OJ6VssuG7Lpa4c/aulAE7iryA3xIZsOirca2LlCWlQFPOINEcfdjT1r oLoXiBtDomZ5b5RWck/1q7wMROAU1kv8nreIQdb9a8WXqAE78Sp3nd6JWHJMzAw4CS7rCHVA/8vV GUHc5Cb73Ug+JJPWgUdNXheyf+jyORixvysWt6lhJDK3f4MmgT5Inm5X/hrT+wKi8of7HnJXkVuK PZOHG68lrlBv6GwMtVVdPyjdkZg37e4vOwVbQEC/F9KiLrXtzQb6jPrMpiPAzhdoF8kVNOdrVh4s wvPxs0oUNaRIrkXn+qyMwD/4Pv7Q695/DtnY/F5fBeRgQ+7fnoKdkncvnWPftfRerJrVidSHECEZ BEBaRbFLfyPQFWklfBmoreHIBfzhRzTBN7T6au8ZRGDlgPrIFkpj2HNR3niEwqx8lWWnBtmfNwiq eAFPY1jGYzWNABQxw012bMnApJFKrsNzZrnFTOaIcdG9+0NTC1BYCDzY/6r2nG6fm5aKQ8PA3SKo s7i1FXRWbUphXAKrel9uviqvwt4AOKs1hMyMvPxs4T3qdoAiLNFMcTpU2/3IDZs/WFtrF+lsyOF3 8meXZVS2EfT54OQXoU7RE9z5aAt3MGaM29VmqMeLsrgey5w7hmFIMpDfpIQ9lRDSwYXAQcX5nWa5 rbhvT82cSm4frnOibwSyYirhuK0d1R449moIVpq0jLJDYBtaCPHSXXUFIxv/sX0FVnc3DlnVWOIQ Q2a8KLaJNPGV7o+twrk07/BT78yF67xdGdcyfIShD9ueicL2hPOqo7qA79Gp8oebQy/5zyibB9dy IwjUmcF2KBMM4OPClAwS/5gLgbQN/pfJ0p1Y8AaiXX4sBHkBIaKeB52jMYbmNUcD80jIBkcVXqEU sPy8lwLCdgPFHoBsLJQS7zxMwXrFrVG2vYOX0z19zHsVdacuJ3E2QpMOHPB1BWxywyEq88QMqcaU Xyq947PPMTndrp5V4DINZznut3BptR3ThStGI3SWN9u7Ip6325Y/nX0GnKO8Nc3mMc1ZuTWgRR/6 JNOyeO1g1nER7tPlmWsj0RqgZqO215386j7WbvDWW2y1jkP2YESHT7xg94AEZdiXqOWxwpgi5mV3 gNS1+sB6B4lCu93BeJ9QOMGc5Re+McGvQ3Q/xa5jyas0jJxpS0Ejsng2DmZrN+vbhyXoECT4tmlA eMShG62e2epZQhCI/+8sFZ2ukGKUZwMrrATZvWI6G5RdOYi56Rv1NFVyQM6BfavXqKUWEmC5/Eyi XhnjmBwP0CU0hBDu3Fpl9i1GVoV4i3OIdE1rZNi9ploCUS/s6gddSTpGT2AiwlhHtmVh5xWCzG8P jBuG042/fp4UzhWGeZIjiZnf4hoMQL/uVTJJa4wyTzO//6VoxoxBNQTxRXKDMCW9VMgE9cG9wofX Eg2vlZPL9eDYmO7k5R82Xf9+yzq5jlyEMw0RrHtEXRBIzsi+SVNpZAbU2ZcShgPMPILh0jaPhAzS gNof7vJCmAYDWYoIdpn3V+BSNa2s/+4z1lGdVtMX7Wgfdl/zCaGIpLKdM+23cMvlJgwuWYiImwLs B9uR0cjDWdh/G7B05L+9/NSCNrR3/4YKhsInNkF49mqrHeTibLfRL3vULfYjsOwIRm7iZBHmTpa0 HxlLnr7H3Szz48CBfAXNBoxfOlWapsodq4qlLVYpGHTLA2eS7ThNBiGDxUdIZnzUjUW0GKNUCLu2 +iWxJnGKLs1ZHI8idCVyA71ghZPpKDYPptN2/TsulXT8ngn7R2F3cDFywvCZCh8Ljfe0XwseDT/r 7RjUWah3YFOJ6e0/yDpaJL74bFrj89w9DNroQuaM+aP239Le8x9ulevRSCi03qqVOmUe3QF4i5HL XFMb1P/Ep3Ih3KmhD8qtvmemtujgjbv4pYkFJBnX6nhYg9go5ctp9EY+EuCXXdcjUfi5Ck58MwXH Mw/tERylzRTqcbA87VaSI4xDuxhP7QaSWXA5nDEXc5tpMLU/5SBNqtP1hPKlno1ilSL8lMsRUTZQ K9qrwwMxcLG+GcuAR4d+7V/FAXSGW1PQJ4C3tXVANFALV4z5sI1yyqyISJ6G7fO8PBFbutRYSyvi lxo84eq2Q9zBArLPeliacWz129Nac6QmZzr/XDR/Q+UQO8tcELqaUGS7Y3gMb7nQ/y2n/EuMFCA4 YZCPzvQixIHEQiGO17cTlNCk3w9vRz8dkap1vW9OcxDK40B3ZyT64cbyoY+nFyHsoYe+To3+jWLL dMCRPbVgvHfrbpZGPXdqOVlPWcfG8/4kcBiOUBN5/BF+JZFXr+247pGNuoxKdzrpBzn41uR4qQX9 1hk7tk8oCPwUimhiFSmNxvCFdJ4QfSghS/iXOa/jDCwbukro91oXEIzv6EfDlg/rEGlw/F3+nGVa brMA24gH5GtRK/zDLzFJxvqoEOJccfPLCsXa+nYbzkx6WKC9oadrzo3bXI57KejhzEmxoBfX4i9H fSKiCwBW0c1JYGYX3rhhVNyae6+cY1sd0Gzu2lYH+gEL1AqYJbvBiJpftciW132IGRtclFIM1g2M 323hQBkpvX3zNT4F4zPT+xGmwWOALU7oT9JJAx7F/7IfWlsPoeMplh/f6OwOgQKyFHGzIWhe585h SyCZBptfpyblklWfdFblA5xiwdSRf8HldSuV/jHURqBm9rp6sPGc6ur5iQ7NPPRahXDBaYkUZoRe EIuyCn8h9EDAgTpgQiYPj0RQfvd+clsa+o8YDO9WZVIvLeSUJl12Z6/VHb2s3XBCzOvsECCDBu8W ZTCviNC5+0PHqkNbPWljlV8BR+kWnqar4F3mlLCl4nKl0iSR7qnhTQiiawyFj5TM0YJQFtkjZatg mZINjILDAq9bpep0atJkHBB2OgXN1TYNi3FpvS6ajkE5xA0K5mNoJZ8Fx4e01dDpg3F9DR6UO7t5 P2yfPHhikiIIq7juIP+Ae8mSC+10vOG1zLHNmXHU4wuuKnXAYS/+JOnlKJcJdtNaVPN4BtfCPnpo EOFTGiPBi6n48GJMRWbMLAkZEtB0+7jCq6pLRm9JZdJnAtQR79Mk9JvYz+tZtpYmf0cN/5osZFER 0R55smCtTMPyiWygx2/hGcCRhUEAQ/MNJ//f2xXc16WZVyh0bxaGAD21MIkSovZNFbMBlrx0f7Rf gzV3VZcTF0tlg1vZjeWpONjKjHgEIaW/PJdXzX7vYmY0yKmsD8SnT3qvAsOZiiD1qHrSZjqXnOCt LX6OF5JkONTo3b3m54kgklBMX8C9XTHjEGwpkAI+V9qvlZFZvaIJe/iug+bOSZk/mqno5JuGGHvq vXqmdgdNQK0dUUFp6aeNVrpLtWck99IbQ75xs+SgDscSrTSDumS+u923jAIheDI5uFnHTteOTjoq vD6CpAhgS2os2Fhuu7G464CCDytvMPIS2EoRFAgbmrSDCUsQ4b6xruZf3n7mF2+cSDo0SEYYH0mo yCHVELj5grHu55Z63SJkT4Awr0LCrgkOtbpeMwdS9ZnCsNcojDwMgH0Oh0WqongOMp9kraZ4o1UV wwDm7iwzPENF67td6bjTLxo6j4B3q8C4z4zaTciAec1VRSvAryEbqrKw1dvkKhrzBNClAAVqDW3L PB6/EFBJllzqeLzBUZOHkns6BYotoGkX4Ua4N4+hFuYMRLL2o4bF82aoBow7+K4rOmenTe3/awoY kc1cnytBEdMmIs7sjosmfELqlIOrUrZkgsuufuUH0nxjMTzITd7d1q7k52TCPLkNNFEW7rQ6Y5iT 7vjrbVvncMYWlJL5tG7oY7/Y37dbER2SLHC+A4hpYbSvIzdI2ya9Toe/bRjn9Hy9AoamcFKWJjWx IVCH4xdrYN6Movu5LEEzyQtZpwopwbLIQzGivtGEiVIr9oMuldmKpDTUFw5XqB7i/Z0wFNmHeHC9 AWkqwcSy8bAanhKg7ks7qD9CYHxO7LZ9eFWiBChWjBjYWlFEuu5WkiLvVLsCia9qHa+jZHMsizc+ Fr48o/E2XtIDUDkFqVsZFhGGiSwrTO2u0eZP0ThDhJ7Q2QbtoFjh3R/FH+O+llnTG6ILBSa86QLc Y8q+yArl8oWv+O6qDa0IqwYZYRcHLUqsqnFt6azi5ZcjllNQT1jOnDUNReWLBi+4oNg6WfCxuuNK EdgNJ39h5ffJjneLMimGtu1Qdjeyyx5WPOWbOBBKjGd0MCbVV+/OnOQmmYartt2C/yNGcg011edR w/HD5ok= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/fpu_sqrt.vhd
1
16983
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NWXGYfuEjLFj/mhtv+EZzDSCviQx7fwuGvVjsf4A7kVlBVX/bFXFbXTrzsUVVb1ZBe8E0NYfA6An WtEDJyLjPw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LUVG6SGVKSjqihWFxg4IZDp3SEmSzmEF04N/gZ8aVE5J8bsnHSA94v94x9oULG40PN9YoNGC8Pr0 u1pznpeX3grVfeWYvK5midnSxKsCYaj9kPmGBZ+QDBpIY4cSTfDOX6TgPO3DZCE1/yQaxjPNy2aw RdvW0XSYHw+b0GQCLFE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LyOjFbgNb8/nL3jdS72hEZOwqsmQmX9qWY+zImur2pYUWXNuMIi0ypR4L9IDCvr1N2CWQ+5ymXJG tkLUImMclmxNCXDJdw7QnyoY3paqtzBnxwn7TaY6m+tGpAC5t+504pnOJsMLX0axazOuibGaQaX4 8Ate+ObWFBSwZRwJIhMsk/lf+8Ey3BCblocdwmJRBpRsQXlCm5CgL7rX38T0oof86XJCqtWHQ621 5ZkPW++vTriH9yC/k4GZfzHss9NOXGRC3fsyy8jaNnLF20gTWd2GsyBrz+ll30qrxeViSAXC5ENr MBLBWWSZdfNGiXBDOXizCMefvCPTMgpuF8tMGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iLhiW/FpaawJjTJfNW4lveyAlzdj5lrZ/z+Whg98uKiWnOAZBgPQKRydSAl+CVg/3ea/iOfB3TaB zz3o0WxtHDt5pR2fVbNcyLT57jetlAPF/I9SB5jf4mLBAlXLV2Fda6EWzo372dsSCmakdE7HsGxk AmM/+JyZ5r0fORcJvkc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XdIz513to+5JpIG1SGkbkQjyV/cpRzMy80ROQko1CjtnRmwB6iXqt0j7kcTvY1LijPMWN0pnWiIZ VACu8Q7EUdNPQUHccKeIDAjbvkSt96IFyBtDH3Ws0AKHY0N5DDdoYyHluchsnahzbe8a7liCQSwS /kQdMTnygRgnU4egADRPhebhC90Odng0y8AEHoDidGwmWiJBxQEpKzTdbS1eXlLstRi4m8DfiUNI xH129a35Ul96umJgZOUpXt+fji5uJ7kfIzKtrZyRUAPE6VGdWoidqGq/ysPuexpvc8+iF4/1Z1Jx u7Y7erRBBZOQSa7cB2KME32elnJX47irvXMTDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block 6+YuRX8G5aQ0TfKgD3xxqE/aNRUZ+y+N5HVZKOh64O6aQ95HN25Y+aUv9CLWGUho+eujirgzkpLc lw1y/AFbuQqFv0cjtRm6iXj2NbWZShvWKvkpyO2MJ1N5SOnGDoXUInjSTZ10X3F9tqy+x7+SCUNO UzNFzobVoCWGhr0DvjaKNgb+4ai4+sYfV9WhLynz68o85Co+0rbBj2tw3gasIXYS3Zlwdvm52OdA oYgaxdTFY2zcDw7805uQBikY3KUNS5kmGuFvvVyDGhhtSzrbLwy95wPIIjnpOBPzGNoVWLaiQHFh WwMYaerlcdkbgEGR0YMzC0bmvLOIfPrSWnU38AmrjIg7yPXTsKKKndxwIneWGrdGpDtTgGoyVSl+ ec35lJVdBrNai7NDnaBind7NCoufWPz2Y7jQHcZvRSV62q9ZZBH1ElRbQE7p0kiIlhcVNUxyWln3 h2XF5LxyRQZjZEtJ0hR1TrlS2mesuku5LmoGus0C8uZ6RJT+5qny4BcY3ET8WNlelyEt1EX4oPvT gXSogvxrpyvCV4JdUFUD0RFKxaeBhXDdP4ESU0IpYgv5fplJSCvQlM99nGVbda9LvjNpEqTViouF tBsuG33G2xBfwRyET9Xwei/GmuOJWpBufl3fvC6xwZJQkQzTV04SS61fteDWbH1XfYZseU5q8Kzy fj6EWXX5DyTXDl3T1hECyGg53J9xiN6js+R1Gl83MSfDc7NCPjlLOPx0ksWBmTaQseNeRIm65Sgw lcOc+ZXeE7bs1HpYaFqP9cULvB2RFu3rzaznep4rlCI80/I8WjlwegQtZFfRHZvhpW0wAyX3oJTw gbjHQyZY9gtu3RUYfpttSh9RixK7fQ6uvBmZZSS1imF5PiylEmfeb6KhvKTN2CJ323ULP3dlsnrh v/bY2Gy8GmDyMj8dyCTrTwwEOV10wWPS5vFQIXnFWDEWsMUmu0n0yb3FFKwXdwlU0se/e7vKq/Ha NYlPuzSsWRW/1eE4S9K97cpQ4FxlxTG6hHR31T0IEMCcCMzPvK0HYJUfX/R0rBY/Z1p6rlvVvJ0M ZAvvG6zPDDYiCPq8LdIVP5YjQ4jJJvDp1EIjBjfSKup8p8GSyzZnUJnvwcMaJEvZeV2HZ80SqyvD Cbm3fZge653WAsWdLCQ/BuKQlep3y1D8oIlodSkUcBbl5x7l+JlexNNJLQeBeoANqvleuem76vn+ 9UJAthwQBnfOkfVEbGZQOjjVN+KgDPwrJDx/Rwf1iAc1ua8u2C5jaLEkh2zMLHhq2GABEofzbEeR vki4joXGU+MtDa+IRC8flUVLRspOiAC3aiGw6uSTM5FukWqL+a0StibXE8rwMRXjAVHD6v9fnr9d Pq4sewlQHg3ibsWZ1pEP7+iMMgRLy2fccnbrEoJBb4t+OdlL3hmFSwSTIdmofJjGld/BsV5Nl1Dw 11xdZtkpVQLesFY0m4Je1cvjqC6JW52Vo7Sx3KL/PXpd3avQ4262/xjAIRyzvQVJTEG01cT957GP j6Hr414Xqzm4z8WEVC5Jq6bAXo4RVNSQwuBg4oDd9YYDalmnop3xW9C8imuN10GGvo3xhEHmdFHb YtcPTSXJzsy/9CbrD2zki2Z2Y0yAZBMNybi51ckqJoqgD87yk6nsyg868UIyG0FbLydA8mJM51MW D00mixLQoOR8kx9igtI6gKoNRwfBHMkZprgODzCWKu+Om2rQTMvdw51DTog0bsIol6B6hvK/c6eW fXHVedjW8WdgN9g3Of8vHGgRDifg2Lgt0g3Myg7OQHOoPcPKoQ1PqW/R9AZy6Nap/F/uZlBi8ALg a4IC1AxWJmpy7aHfzLx7p0jttg7JJmjc1TJiu1EdlRzvHEK1CdJBh7bQCuIGOtCHWvHmKsI2uBJm urZwtPqWglagHzJFMZUOzH/CHlK80v9yTCem3GU52Exof0QdRngg66t0TubZf5ziNgeSh1gXuepA dwNytrwd7OVnoxY9eARhHmrl9abnz4/+JKyH3lDYTLMyfq1HoDoG+zihVbsEJznV4xZfkI/nkDBy q2s//tWngu4bk3UWiiAQPQYwvzhFb0g/V5LrwpAxb4p0L49MW605maEL8bVCCVpHiMsjqYw+sm7S vIZiy5OgnV5etTN9xwv0WBUBgcIwoWFAQhniKhs7/J2iyg2vT1KWcweOxJbx/JJHiFtoa4M2AaJ2 Htvy5WnTjWn6Zl1MzJZzBprvBw5fezJP/CFZOdkrcaT5hRC9cZn8jAuMKslkgMU9Lp9W2myaBrie Q1SRZnjh9tTC1zZuJORUPkVDPCY+AIW/1FFWoec4vDsCVXVw5TIsvg+5+c5WoCrgyM11y/1iFaYA ln/Jk2NelY5jpSlYkQmLtLCgg6XM3uUkkAkR3D0ZjojWTRtWdw5tFu0u5bvhRjRyokhUkk23wkBL nNNrjUOWqklGW92yz7AU6zMuDowv4KdHbkZLcIM9QvA1aPC1WphTkW5tpWd6I/vHP2qFf+JoiuHB tRnCoI+ArM0TqMp/9gaaawfxmZcG0jmFrF8D+SUhm5DG1zqrs2Q5fIqhHrqfqCh2Pe1TJdX7MAiP nNpi6w4dWlkogAQKwpDQDTFI6mqa/Jopc4Kgnw5aEcf7JgDPfOVMlZY50GK3exL7mirh63ju8n35 LezBwMdE2M85Kq/x4JgJ04f11LXifkfxrMlU1McPJcmNfLkjza9BVI5J7HMVCB9RdlIW03hAu67Z T1J7IFt0KosWpOQdlhWE5z2xxLB7lcdTSFEvkIPk8q/zZXzcvJ9SIi2KFwAdIUpSAYTOCkLU0CcY zr6dXV/mvlFsUjtY077LC7qhl0fprmYb/3SimUkDwjwjkEMUmj4BsAiEI4vJZ/YRa+gO3mXqIu6S T2I4+DPTrnIgSjQktlsQnR2Mzw66WzqgXp75f4+UH5+3h8km/VOWwQRuPEzbi3Iv88UZiTLZTzQN Hgcoat0wkyvVQF6wovcD1TX67FhIkPAYmi45jU0UcnSfhK4v72pKN85caHFM5FjV/whQFWU9ZgIF zC3Kp6RvqLSXEQDHUTplW2FuWBEDNhsi0U0lPdESWLwMQuUlJLGyzvh1J9WHReMlw3aWx3dCN69n OVa47bq2B9sRF57sXP1RMn72wr932wuUsszBXLCCeuJgp52/92XD8Fl45D3creKxuf2JJz0XyI5o TSKBYqF9x1tQRKFAj7ZYCyEFWBBdrAHXawegZvvgdWCBV5d8M0PNIuzS5POiLDcNkSb/FZCvK6OA IlhcYf0Y74+0+f6IzXn+swUfEqH0KE1LU+8JhM3zOg/jpbsJw8EGErylMK/5uQHzJOiXpQZd6OA3 wmQyy8JWWpFuJde0pp0JIwyx5tteyQw6LD5z6CQtFeIM9A3JMOQAVRtePAz7OoK57ji2OpJ9xk5H UH0eC+tlAGWn/2Ew4S3sLTra8wjzvmO6oqDqnRWg/WB4jLGmkhNyFsUYqx5uYNAh+LeN6c8hgHIx rWOKw+y2qF+P6kYpcvI+m+HMGu8P7Yn+b+ax8HnDCeVR/5jAMjMYO1kexBuF7ovW5mQug7Uehyv9 UOTUEX8VYxPRFYXJXR/TdaT7LJY3ZGAePmTtMsZvvmj49YPxR8YSqkkF4mR6kneeXHOsvtrwqxT2 PlL8RR2w/sLldLVROkkyFCZA9Fg/4EqeVgiCic+cXH2cbkNGmwNUaHkhHEU5RP/wdOedvVNwegVC bmmIJdm8DbCsXAUrq8Bzzg+9E5YUfejRLuF/pkvLMl8DCmZVcK8DNsEuD4bf1obRF8hXuOjIdFgD ZeAYYhTJhdtfxnJ9WNn+0GkqJLfnsO/KEkh+oWz4hQnyHQPp+5o5yENxBlwNSDHsZOp/5piMdFWW ZzYhFG2KCbFCdvq9lFPdaEKpim1YcOQiBHeeNxgeu/nbTZ0SRF4gSd4F4L0w2QhrPaW9MXisVqLp Dbp4ZQWGfgRbX0mUcYkGp+r6L3udfVVmUUex3SJwpAIsw2CTMQ+iC+Z44N33wZGaoV4b+zAJm0ER qv4SFKQha2E4s7FH0Wrw2jnHfFIvseLN4tf2rQzWVXW9TE4DLdC4f8r3ocGBS+k7xje9Xv2k8Ej6 1fkvn9sxiFyFasWLXSrz9FrOkXr1+t/eaB2t8OYKaOAi/UEd8EXBRr2gN6Y/yN4VHt201lfc+iwq hM652Nogekogn8Kfh+LND3nLOd4OG6jl2WuldKak/UmhkaU4q7UQdvHMK2MqlLikqgH25Sm9UnGM e/nIO5Wj3PByOTy3nGuA4DIqkccqRBxPcTTpPxCJmexFkryz30q+F0jy8OwljZoXI+awRLiuGO8h Ha1Fn0TkKROmL92mW7kMBVUOyB+OSTq01pWCubKtssdZ2fFIUBQNe8QzZMW8+nPpt8Kf/6uWyFLF KgE+Gq3IaFOL5j6EvmWtlxe2/p0orfhP8oCO33eOVYNFkQOkBMBzDmvznjdGftjaSkuA6ZLHhhu+ LXG/n91fKaI6oIND0lKFZZSRvxetrRnwwV1lrLsoXUpoZAoUwQXlchgXK/gigFTG36Y7E8hUPc/T u0VuNFJ5GPwp5KOFOiRQ9KodVbpvoIefLAcKYdpR8G7rN4KiIykOIaFjpIWf4/en9QXLkOomfJI0 hW1jZGv+kdJIFvrCH1GTXA2cxOCk7aber9Se7EJeCZpSpy92HLWbJHnUw5cm8WECF2rI3mGRSnLu 97GS0fG/ASL/fvCNuWTdDxcIWWbU0cWZnzbPhKTGycg3SJcaH6OuPgAC5HeXIwQtmGuE40vj1GqE qpfAfIWURM+Yc/ZBuUInQKBBBvCBNQD9OKgW+p5zNNffsLumvJEWEMS/CpsrKI7pEzKzxVVt3cOn v/jmXzSGxExGtme1tq1Qz5mDQnrBna8QGxYlLLZR70PGBTGS9THk5vBvlGvinGiSSJEAlKB6Yf+h 4UCRRrlEOz4UbiTb5+i2PqjBIR9R1+fJGU7+YtXwnifXv7lBSQVrOuVpr43DVFGNpHs/0uopm4tm MAn0e4s0o+kOaR2KR2/Xs5uGgFDQXBMn4wpCR/ogMkc+n7Z5IQjdqmYUbC7jyAdyRlHc5mwLpXBj D5kPekRMAoZQnkld8ibZsrbDQZym40fZcTittQ09GpcsD/xbvK0D0N2E6bJaXiU7fBu4XRJixmjz QFdopCeQpH2N/Vc50fBS7adv8J95O4kuWpNSwK8iSYaAxK6eMjbc+LaCXzAE2Adr0XmxbRzK+1Ak xzlH/7V44uFFZ3bWbenIwdcccnUpJmOv2/IvGDtLPLFYnTibpZ7sn6Y76RHsDYMrQfybUPtqoKNG Fhjx9+8hSPyZbn/+6KEXLY5ENRWpx++PGZndoo8rnefvDvVSX+7ieBpc4ZIgnI6VBqyYNo0ABjkx ukYn64X+cLbiA7V/nKlxvcUfqPmsW9F6Y6XIT3OFFEJA1izfCFrX71mXL9zx/4cZ1qWN6qLy7LCB rZFBw6Idj1l+F4yhV2+VuLoxpwG50K9g1QKTRq9j7TwXWaE7/MOyGZc3vtCMfssVRQvXVB0AjWUZ s92fDq4E8SKn+BcLlkcHxAbeSTUM0KO8Fb9u/bZCEGxc/64I/IMPprpJKZqYmEUhARkLm+TMafHW HN8RjJ99jEKB/wT2DLezuUPwzo2hDReMJPdVsGzCdF/fQhY+cJ4N743bA/sMffNrR81CbrfiB/ea PTA024oKuGY+yCwT+4o3QCoXzCuVk2YlV5p8Yl7q3gH423icW8oJEYOZwuSMRRSbVzxlXJ4c1T13 zpNdGpih4Tk/UkvvA7OOA1LA7Nd5PVZDoSlq4pvWYTy5dF+GfQF/xAO7utDnuHsDN+kBdpo5yvVR QKEeG0XgTJEBVwXZ8yZ+Kz+nldqcfvgLL4yJcgAapiO8SV32tsUup7uK4nvCLas/VpVeAI592gd7 fguDYA7eOwcTSDYEo2KJwR8wdb5J8O+prM3VXG6qCaX6zunj09P8OU3bao0n9MTOBVYYAjr2pETM SEtzqz87f11k+T4dM/4Z66vhYoISj+boDgfs5J+NYutuiwWujDlivtFrZsFGUnDGdkj7zn10E1gc Yw7gQT+q4xPgTr5r8mDCURXNz9AOv67/207OW7ythupPnuhbkAXSZbauYQWjMqwYQYcYPjPM16Od V6STH3rCEufFxAdDwelqCe/v1DkjvlCQ1ANfsTI40utznlLEX8Z+5bCzeKyKUYrBtVoZiFrP+QM9 D45TkUGn9dIiaX6bGE/nkCQ6OdPebMTRJ4EoL0r7GagktqMfIIYb6xu2jVwo2NYA5waKPs9Wuadr G6oC47SA8uUAAD5v9R1eRiHxP2Kz9nWvdXy7/dR8jQ7oPX0cLJTZLEx1rdvyq+Uvipe8+5iEgwb/ 56tDbtba3HpdwLyihxCzjPoFq3C3Zd3VlxawKauGk3imMBB6QHiOOfGchoKEhxppVWt22Xr5cySq yCDJk0ge/YQEM6uf/OJEzvlZAF5lQoGd6kKRYM7RXgcNdmPnzc4foInbh90uIn/PLli1+jIwy+gO Cfomii2um9qSEZimgIhtiAZSKOHCf7U/p19dH+poy67raUNYgBTZ8tOQ6jT+sqWKq9rPwcMrzXtv +7Q86he03KdVb++FWzTz9tJBnSvwF3gNmq5rsBOjUcPhz34xGq5NgZTN8l3lQrquT0jjJEZNVJtu DsBwL+KNc2uqWnD+PBzNrDTKpTttn15ectzoDebd8AuG96KfvZlcY1uKU9EWAlbbcFGUrG4pG6HG L+dNJvO8+l9Jx1KHQq5gB0QdyuiILW3vmMt1p3/BG7nHoZ30zEnad+3+mdzYMK7lsSNztJ5e0moy P1tNQtlpiEmuzO9BBBBWasILcWc/P/lLX6VQNDQ6LApDe3Tatbi69rczePPaYTf+UWZyTuLDbar9 prZkMcFeB8xrDE+jGySib8hi8CZFMfHmOUom9JMn+JHhgvY5LMyzkA1/gxAHCvGVmyujP0swLJk3 oKd4s3fMS0r5/70MQVWFrMpdou1gL4Y56itMTCF+7uN8ST7yKpYtm4rhNF1liKH+L66UkV+XDGXo jjRMc7PQrpV+E9j7Llb1PF9bZU7oXsYzkcRvatvfxM438XHJwx8PxIzM8D9+tqHKP0y0riqiVRlN MDctVNaTdi3ONc217mSaw0WsTq/riowhDm2VETuG5B2DCvvKRwCfj41yfZc02+JahBDfE9J6s6ff qLfpZ7JFYTXKLOd1d0p9UWEEMD6B2XQNCkCcI6ns0mxd4bqIf/uYUAS4fSU/V9uSpMo/QNhvCauN eoloQwzhMaV0cKNkVbq/cmkVDQPu2g6Pvx2KauEOa5kvzViycwAyx1NFuvTLEpND/4WpMStxSG/4 dZPFgzukUR9op8Lh/LBqDE2EPeoI7ZFFI269gixGaaiVrWrYyjgehZgnYn9RjWLUWqGIF5f2G1qx AK4DjjHyOY7bgwBBA672Fu50Sm71jqT0/Q08+C7Chg1ll8KYFDTWV/lbaVGqhTvUAp4fN1LbAoei TsL/XiOcle/fLtzXZijM4rpKxwHGJlGRWNlVXDpSiEt22Smou9bilu/B5fDxCsr31oqe+nAvcF8j HgHfjdtTcfzfFxDhEHDkz6lp98pTi/Bms96VInI3SjkXHwEkzVue05cHQIub6lc86Y0TfEeYKwdo Cc88dw6PIujY5GEn14MuZsDrCt3iW0Ioyr1tj5z177ptZZnHt8N2AHmD5BgqUIzIaXq7iVdaneEL wQeEqHTpGNFoKGbKvnlFPud9AkIGMgebg0oUTNmibroaz9wZ2WxOexpdOnR2IzwKTmSPlO4CyTXz G8pAlcbJqEUmAWa2nHJytSJc0ju1PNlhgHC1GonhFgjZS2adekJbIEWQ4L1QNWRuHhpWELoBJOl3 3j5ZEHMYYYvhU5xB84UlplIHJ64iApG0z47lORSiaPJcOwsoPYLrvSK/TlQ0bGGjteZuBg9apjHy p4Y3ExAgdUEij7woEaCwCVAmiRmXcplvvHW60gGN2fPznjlhV3LwDgGn+Gr6Bw5xnogU/+X7WPZI BwRTw/Lnn4NAHJjdOl1ObrS+m4uvqETlxcTZIiCy1hM2gH41b3QWY+DCA6s/m8mJ+UZ/i763RiyK h44eH6+T9SBnOVGFBhO9r6d6AE2U16OFtQr2pcRW9EmGgeSb0hocIK4a9bcq68S3SyfRx7TvHWEY 162/Lypes4Me9Fo4vIHL+4jfWNnGIUm9VOkZplXhyT2sUNYNayktR1VtxgnqJazq0Tp9x6+TTjkI qHZj38RN85kW4mUGqrqmpz1061tn+SZReNVc9yVeX31bRSIvSJEsfx+ciJlzWNWYEUvK1nMgOCuZ pnpKUkjAlKaoZ6imoDOpw2t8BJQcSA1sePHmZgVKMXSjKJ6z7ObWc5NBb9peQgoEU8RjdoEDulZY pRqay/MorXuyc6H7IWrtfOJblzRG1htNTTydwNgOXwdPnLc6zVQZuf9JmFxo5g6/BKihHAcvhL0W fUCOob+FHvgUvhlcLX8YzuLEn1FbeK6V432XmHKwphhSkfsra551kOjIbHpBOeNhf218uXQ5xhkK GtYHyZr7Zul4Xz78eC9K7Xg5K+rbaziLykMoV+UosS/WMzBQHmT5LRaqEzccJFmDTDNnVHpQhXfJ vLfqF1sOHa6DFbuqPG3zDUqqA6Ltdkr7TQhOMNXPhXrKpkya67FP48x3TQ4c6HYOHzlbv2PH/cPn VzTGS5tFV05o1UH0QCIAaT3HTf+2w+cVPUGGOCdiRRpALrlCMUYVA6lYSloMpmJUEP7ktF2avolJ 4lzg76aUS96ep4iBRkbnVhbz+b8RN4leBCYv5q6QA/QQvNpX/oJw6ve9NB7CyIqaR9MPjIhyQqSM rAWvUjl+MhLqYpjPICXJb9tdR6LWDGi3t6hi4IWT4/JL/aweNnIMWUWg56cX9Oq9VrX5WV7O4GBg 6/VtqobgmPGDGv+N4lazKDk2uhrK+1h/ebU+4IH8/jompqugXlG6a1raUmdfx5HxVJlPpPU/9OaV zPZsPx0HZt2MTk4szzQpN6THB5KHnlPdRjUg7LzemkLW7/l6wxdQv01IxyYmCGb4lw1zOEoTtRD4 7qZ6GpLb0Cm1q1LN70gtlf08ffOs4bcer2cFfVOUnszjSNHAns2FBpWX1L0S8mWaMIALso7v4EoJ 3u75TZ+YABHkTWcWLG2/y6q3C9HjqEWwr6+4wJ022aDjxrmHXRX1DeME4IMOT4FkXEoX2J1U/vXX qEpYgHX5KqRapwFnSxmQgsEJeDsaqmxuRUfZsAO8mZKm3pfKECkz46dttP39klIDRygC78rwlQ/U AthvnT3s9qW6tIa5Lnrv68QPXXnRHnT7k4MiYaoJwDywTSjd106XTcA1o+6Goh7FBRBbpLGUCYUW 8sPyvnRMg0VtmrMWAlUCeD+oZGloq+TLHV+CzPPEP+F2OF78+Uy1qPDPHttaLewXUN9TKyEpnckI w21RK6TayKSPQfNenvNDaN+8+1pml5aTOnnbvCCB5RvsqhzNxcV4NYHRUUWgDuxhq72KpQClS7bR tr+UKM6L/fu2jFi23O0PSjn5+9pbi3y9GE2QbW5tmMarXGYmf5mhLafam0S0r2qw2MduoLPPmwGK CxLBUhF9OK9cc+Mq0LGvvwZlF8TgrHxJuLZoxDwj7ticRPUmj46OFCyKQHJxVo4QBl0E5gYJt8XP Sh8U6mRQkZFr3nE9cuXyS8wJtqMjz7DGYjOR6xFnSsPDJtfMu1+X8dFmUNMmNqUKqCJGeplLguW0 3oGtNvGbi66UQBF6J+VQlba0m8fk7GfIImP7Ji1rSt53d4WczwR2UWkus0tKaIZRRELKzLtClSDC EshnrHFKjPz3Eu/3s/5RvG/YKoX9iMO3CXO8szbC7C1GZZ5jnu0K40JoU1exBbS4e8oB4+OSBLCZ xhDRAImVYgK/B5Zj5T89Kx/HJSGbZRDehDpV0RoW+GNpklZOzvauM1uQgRGMExaDHSK5Ne+tSBAf 96kHDB0XPwweUhlhqDdrfWSPXsevy+t65LvBrNXjIUI5Fu+MkfMJuqRi5wptDz5wORE1SNEXZxr6 VDDEpGzypAqpaUzUaUe0wqWQMykqzUHGHU3BJCq7vykSOhsBPaBNWBVtI7b7axwJ3KMhbKlN8axI cj6MrPJekbzYbgEy940vp9Mp2xhVrpwl9T4ZQiWcUE6QwsProCJz7hIPb6QBIwkKT8OUK6Ej0yo/ WRBmIVLQgI5Jr+nHmR02iGfNWm7q6QknTEoRqCgTph/AugiUnex/Q97+7oNY5Ef+CRhr5sgXqrnk Uc8LX4efbXDiUdc5F0SWWADEQKv1VBaEXY6cjOSJaXKtkgGN/ugwC7QneGHFppglzg9hLHvCMl77 MJ9+iQl/WuTRFiatuFP+7ga7CzWUftiU69HeYkdZLzsz7TkBobouaky7ZoyjlnoPwpbv1hEOojGZ QM5VWEDZyzezqJR6dy7E4tYVfc9O5hVjnTjfPEiPJR39m08j5w/Ahjaja9t0rUwNjLZOdAyCQMaz AKRHm6DndQFk7gerViLSIyG95QjEZOKTqKQCI9opA6TRhLFjUR3XYnGKzd2wbevc3LFf5hSP0dLt 3/nyGlGKvtdBiD7Uq09IwHG/CAMYHNIpZzAv+wLNY4OrJ+rWpsEtTGvLkFyay7PuMFG5JhydcCj1 nVxPckAH2Qfk2rbWuslYfA1k1Su4I4hqeosgqX1UPudLOgiwerJwmrQpvmyNlQd9ZEMKqZd9s9u8 ozpqa/uGIuqYrayMK2LGaITkaf/zCIgE10NqUn02ZSFO9rzv7UP70SAwR6IFoxczT7U/9+7GTh7O hPOiwpvfk/Rqg+wqxGmSnXGiq5Pnqp4uPy8VVxJCyPH2op9sOhXjwDTqCmk+7+xRkV0wt+/xDf/g JKbs+UAve3gLi/q/DabPLTFNVKbBABrDPy6WOWkjsM1MwK8XcuEkBGLgItvfjgxHDNMzaGV9qNtG trhdH8aozC7OI1novgDRDYUHJYY1LsnBw211HltHMjYJEQfyFTGTfiUDRE4pd2WqdL0qlQRNfi+j lCBbaOt+s3sDSoUmVFNi2cVxAGSEnxp/0Ron8iyDVneWSy/ulWxfJCtCsDjFGdTcSAlkckdmJNxI qK31cDz/oifRrgzXYpm2qttUzsi/IQUyUw/N9XlqH4Ftee7USvIN5QHdiyxKAQtyXgo3qVVkw7RC d3D9f5sjNvEg+viOjTl5FDMt/vemqip4ltdLiA9j0VzfvlcaDKD+ZSC8ObrgkFmfgRdVOSNPoQEq Kk9SgHZpUpVOshP7IdvOdx9XzEPN8xsQLbjaNqRn2VwZ5HoNyvpbMdnzNQ400d0DYTF63HHGHr5R vkcnN9KZK2DNBMk2vR1AlkfTeLmR9iC7y5oXsq1pS+Ykmkk506TqfrlNrM6GQGQNJxUTbxG1uWQz tFPhnRbM9ueEirlb1tOMjaY/qKo6giZkXSzQXkO4wzJ7lbY7NNXa0Lscewqsm5Jh/Mv9irIOi8Nc akwFjtQSqyFBBqbwYmZGPcGqFyDh/SLFyiPqSDt2OIZVE4L3ONORpgoYSnpphPbrIzJM2fZOQzbT Y+QXoZFYfJKrGB2S30gVSpKnF2ls+cfvjSYe3tiTrPMSIH66h32O6bW7K0SdaIEfiQE8oIF4i73J HGhNL34rpinzzpwuCCxJD9Bype3nZrI05Fiso0GBZgp1dyM5B13ZzPEkpohgomlzhenJkik23oGz hOgSAMIWFWW8qd1m/6ndgfJNeCHR/rcYSDraSqSF/gZeYgr0XWuu+bqJCUh5q+PXbTjoBL4ndx2a ZMkStdD98oXuoFmOCktQwGsQNJ5Xf6DUK0kbuHexVY3rSKtpCUH+9QU4VyjnlqMtl5F5vHk/hAl5 aqQrGmcuYe+DjMxZ3GIuccQmNev+wXHdoDniUf2nOeouuH4GcEjXa5OFbnHj3ntjGbt0W8NtDRSJ bb/PZkjNenPRedYIKZFl7BiEP8EbTdGq0BW8PqjWPciDudp1PVuf45NMuik+i3+kexxhBq9zXQfy nukAt+raEm4NXsmcOaTM7pRU8C6VEqbkDqQSPe4t/0dJt5zzyAsuUaFmpNaSEo2V6MgDzjmMC6pk mgP2Od63viScpRohRdxGeymW9Ys1yaYp/cVXHk1omOg+l3TYfD7+9fwbzy36uLSdAy4pvULMvYJw ycRAa+GokoWSV40B2gctOlezOMV0HuLsRCpkUlOPozqElZ2ynUUm502VUEFKZY7OrL+2xofB9yxg MQD9ChAROj9GaeqKl/cpR7rHBhA71NLKyw3NvpaD0vRYcnKb2ErmTUfOvwd5Yk5dglhfXyeX4s2Y KH8gi9hCaiDD9ZQ5szyc2hERbUNKGWXnzk8POBKo4j/62HmBXXG/9AzzmBNHfDUPdAEbl+i72SlZ 2J5WtfckENueDU0JTn41v2Me024MVHlJFxRFAKxv/ZsZtMUA3EJgSXVuLS1sgC/tCmDQbYqQH9Mb XCmlRbGseK/DZU9xyW7FT/tg91rCVtSD+Udv6u2nUnoX6/xSOHaX4W4jspuqWT7kU9nGGer6U5yf z4YF+4HFSWGbNvgvA+a8TXtVWKpm1mGmiv1kg8dGiz67lIOUKAO9nnWeiw8kH1KsEv3lcd4B2V2K PL/PW18DyTwXegHSm9lidwGw28z0WPJMo8QUqVO+hjmWfvUfAwbt1+MGaNCYzYuAeGkISO7Ydgdg rxp+Se6a4Tgxj9gXHl3/3T6lWyGoxTupEp16AwxqViWPODYasQ/nT/Q4i4XhXxjQIQ8mEXziGiO/ jK0Ax8dy4S/RwnWNwBsve9QX8cMNDoXrYRu/rtmfOZMTYXTA6aycCZkQ0Fj6y/mCuYFAYThH3epi ipnXnN6jchjG1Cqh1N/AMdwCbVZk/HZl2OqtkcA6O2dgvwnP6u1Ko8vRlzsq0Q7wHZHKCXwNHpjR zMzuFSRj3eO3gPHRFo7VdJ3K1MLWw3tk2DWHZV2gQ05Z7we0M7UuEuLMhhbVheTZ0ywh3NyPr9aQ lPo00oU2KLqAGUrxLYnN45kUuUN7vpbPkcnsOq1Kw+0RW9OUYwQtOXT+x9Qf6ZUY2HsbIE3l0NuH gbk9GtDQFIZn5Wkvxd5maDkXPytmsaINBXK6Xo36gv0orq7RlWvs0RfZh5BblDDSLM28/myVPIE+ qOrHpvxudc9EF1moD89wTmj/3YITfeFuDaN6tnO37XE2/KSOtvTPKInqYS/Fzp+xhkLwwgn8CBbH MXXsHA1LReRzKseFlJ2lUtzm+zraA0BdNxRKQxGDjZWLWG2wzVx1RdUmiJrC25vG0cB2YYGCZpqH Trj+dvTV+SPR7fPZRjBHyBoOJgAVTH+75hdux06Oqw5vIJH+pa7voxBSw+UcAAp1YtOkEZsFpwDt fKkWTlmBsSG3gYMWkyTUyr48ChjrCqAOIuLmDPZ3VcbyTiY5AYO3GTSGeI/N8pgvkgrYDqX6XKkS ghU2d/+AQk9OAu1W1vQ1p2DZwyh90lck/Nz3lbDQ3TEH+KXRD0Qf508T38G1AEBbgaWb7A52G4Dd v9KFc4yQbCUwH/dFvf/NVYs49B258/c8lE1Ui4duIaIQYVcQLSTwBVFcTgHybz0tSMkLr41gmN8q RL6RyU6JSoaPMS8GeRfHClpPm+9O5LrpU2DvJ9zy3Ar+Alk75b7a0QfGxVYVn7GoeZzG6L5QnW3c TRtKOvyFZc32BIhVGhzF3VlJf2Dc1k20ORgMHqbW0icgHoXBAxMRXiPZxkz8vEar9hN7EafRI2Qz I2qfmblXlzZbkUU0zka18YlB5ABfYKiO2N25JsO2z4EcAPCbVxg+CxcV6BcNUQ9gXYBGEn7kAIvz wrjTsXPKdWarX1qibs3Ty8AYoEod3ft5VbhvYv9YE3BbdcPYyLkB8T2lFosy7xybXp5Sz//QI6dR UxsrBVsCetccUl6vdPFTa8gBOgi1Fvk326AqowAVEymnmcPVmMbacLHRDy7R1xrzaHOwD4WVjoeA h7Lk2TkkSo8eJGOj8xDlJ9Oo4VEJeieRnohekyx2G7lu5RPbMrE3kRYHAYWtubkHj+kVu3O6E+/U aHKl/MYqRE76T8qeXNKXvb4aS0yjbCVn2v/ThU1bI8S6jJtvNtuyM7TmA4Jo/ATvj0glUfb+oWMM nY8nGn/7X/M76CZoo8Bnw1UL7R6ZMiz/FQxnmSwNrbfuD/303DvlZ8rpt7rf0Bp0mkTd2fGORjBl HdhYChhT93+s9O6VmRPCFzekAmEyXMDIJ4kPvZtB79t8e3cK/E5DaXp6fSIH1zSfWIYNwJe1P1xg ixGOgC9sjCTWd9lKyHd0Fc1Rvgpcit1aUq6HX7/j0wud79zZ1YdS9MzJpK8t4YppwxsWvQUchACy 8dQ= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/operand_select.vhd
1
40780
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block aVgiLHzz+ufoVF2SbXv5OgyWKjQsz22HBJWg4qnUU5PaM0EO5uT38a4Dt3sVT9Pcq51x6mCKtXP8 QtkeOCY4LQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RqWgSleRzqQswmL0zn3U7kxffioolvjVddR25N9CFr/FuVGleREhVv/tY2B/gNb6MtPhSX5+qX4B R2H025C80wtHAFJNmaRVS58EMKWe/VL1dbLFB0fwT4wHrpnnw+jMbRuL0aUUox/Kq4Wwnfpwe+9k LTdLF+rmn/7gJvHZlbo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qOrxFRQQ8uYhLIBV7m1QGgcj5pRjk8DWBVYD3wLZd9Qd9lbxDlP3dFY7d9LeeeAw3z5SQtM4bWtR Hnc1R9g/D6Shz6J0hSL2G3yaOqIF9pxjLf8HOrL1GIQn3dMI2urrh4flZlizj51c4k7Ihg/tzu8s kCNdYZKFWdfCajQ1jLCQQnLupt3I4C0yzTZKjw0eUD+PQ/iwvgzZgvncRIkKnTubk0mvhEfMafVR LHeJ4oSw/tiEllz1Dm0JrdAhpR03UC2NvJKLNy0VKcs/L9qDukCudksahqLWxZUdr4kMD5HkK3zN NSBbWcaLbMMoczHMdFTP82v7tfrw44mQFYsCWQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GJ04F02MWAE3TRiVdSwsVDVe/BiSdc/pauqOEVVeDc63PC/X5fW7XdyKIjoIPScYdQ0q8K/3y15y geqZPy4Vbmc1fYEkqHr8OyZDNH9nVXjaTuZ/oHFYRQN1QIupGBDeNzTu+3n2KmkxUvq030PlHrzZ +noHad9MCBSAiq2UNvE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KyAR4dvL7izVQ/DyKVZURlRJzvyY5q+GeraOv8SvT2ANuHz63ahvRt6mise31DIE2GHrhzWmA2dS zcGGyDs8e7kURvYHB5tOzqAXEprsV8AruXW8GoTEVDa6shFX0KLckpsMd1pDlfnnnbj4/TfJ/sbj RdXOp2r03g9zYPp1smMZz3CvJM4x/ztJ0PHA5SQYvPRE68L181NVVWAF0uwAF4KzCnIUWdDHPaAr 2AclTQ8JYz1MPujm+oo/2U4+UzMFJ1vo4/ktpO+91lNa19mnLmel/DciNaOJHDZdGEc9tIkv8LEB KkkqH+X/RVede7Hljo+VEtNjUJx1XCI+t0Tm/A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28448) `protect data_block +nDv8FSfOJjSAdacqWJiqH28abR3F0BdeMfDY4Et00rLK4z4o5RQH89jpRV7MU6ck95T7BlPKG/8 PoUyE94PRd+WtY16C/bKzOyUKuWnA7DFUixK32CvN0uTfGCCIPYLrWHyVElKW0l4cZZTCvlPvD+7 63VLxvurRyTaDYs4vuMeTSe16ZULd8uUIGDOK9HPV2bUbJoQ7V7IxWJlcF6COgiVVMuEP29B+K5q OS4vYhkyS5mRd0aK+Mqhf0SeqmP0LfmWZdarLqpZF+KynlHV0olhgKnT+7rFAFCYQ0Ed723bwco0 LRkQ9Woxb1p35EHqUtIojQnlAqvos/0NvGM1nl/mkubavz8iorcIXXD86RenoJgkh0va9iphk7xd qCEV/33DSZnQ+TaYT6O98hGFnUXKD4/GEPHBj/AwYVXh1swYlN9Evy3JK6lAcYqpyniHXAZTehWH fFhOlUlAZ+O+xlx0zDIP4+oS7QXWafaiBbXkHkO00Z81DmWcSO+D8Sd2pHHe/VKHDbIXV1X3c9PP UVs4zxP7R5RJiWhTTOw6qE8QxM2sHqtqxZatJlir6/rmbiDLZaGBGhiNbci/KkSGBkcCjDsal74h 7lJbDiw6vnYQI1g/CSU/RowxTt/apjZtsst8GrNSk0TjIxVhEBS/Dtn6y7jgzpcb/+hFKKVFHPvo fKqr/CcyEStgZWjckMavRZWbkPIzFUN/UU/ArcA3mIkmo6QieaPfnAJRDAn9zbTJHIVuxFBMkHzw byinbKfhG0IaEP/1IWnKO8KPLQqGiagUcisuQqy8Hk5IR7HlZ7HoWDxNqQqDoyqxT5TWBFhSk+Rx AMyfALhAaOfyTkpAgSJgF21Sv22f7kD7mJMDrzXJuykgo0Aj4/zw2cynIXdAgZI+zAPDTyyqYZEi HrWr7Gl7U7eEahrIRpDbYmVjhLnMlPiqF1GXtzYfDiHEjik0no7roOoCnzwzI4RKHG1u7+fyz87m HSNWa0T3p+jJhISL6afx2Chhdh4XYSLABYggXF7/kQesvfJ6bVb+ybedxGxs7kFzz7JcPzQRS7GN dWxLq9bcePrNWKXxKNXUFvHsvWaeihkFnissU7hdyex0qtneEr/HdxIwv/cYx+ih1yLkhA0tJDh4 Xr4Yo1a8vFPtfMrfH8/sRIcSAvTugVVx5d6wYNVD5hEnX1w360BhrgXUatLxspkX+kk94w67BE/3 AcJP/xWoeQk+LzzfH/WuBcKudJiS4sxh8Hae2rV4dIIl5oWDLtap5249Jn1GZi2mPLa5HIHpn+q0 9+VrGqIpCScbepcSKTdVlMAudrKrq+iwDbapCu1yLWLxvSino7L0em9py/UWlGSPEKcPGOKwGoCB hLJEHbhQMa63FxuHjGPpRusC8VDGPkIUf6pLZ8ZgFdj8FY20Nr2xf3nzLziP6vH0Szdjn71RJfkw NjKwn0dubpOwm/ciQ3R6SSTI2bvRmOSB1IYabgsLthSG3t2UQb/HPIhlqVLlmVNla3EnZJ9ZcBT+ WSpT3lzvQJ4lO92UGpz8yGbFeVtRr0vriHW000lSbmvciqUwi/sFrt2KG/akR6EpNz8I2fVDLx2W 6unpMZzrMOhsy1Hd9lwzgiVy86M5Wq9FttIQgcTV+SWH9DAC3F6r2j7E7RQzBdAr+fqzI5vVDhF2 /66JaWK9SD2Jzez+FdDgB95RYFIRMiqQ3Ck/80POCvJwrnnKr4FDFofcebEe4RqsKxa+bTBH5t+I gPWP/SyutLBl5XLxd2YgTjqke8OkQRiyScBGZDF6Nlv5lENmrLMlKoC3Gh+CIjizWHazQFk7Xpf6 g3Jc/AQKc8rPeO/vkho3Ef2WgoWBV66nIbKLlyWQIWshI4uS1X3r+BwdapEA0iYo80scrPRV6K77 /Dd+HX8q0/FnVzLnxDnyEXMFh4i/vLo+SHqqURCxvm/LCFC9v3JDSpmVBLCr2AVqf+cD8Zkf+6cs sGNFs47EzDUUTSy+r5WN2APykQ5VG6tLvUyDP2K9+ZUJNzmQPT/IDwBZIQmTOf8OIKlwRTcFhAB/ yjZVWKNdgZc45Bpq64/uHZyafBKVr0tgjwqcaReygjgEeicOKMudoTZJVfaVIwjNOFIrZoPlCIc2 elwvZdVwqaDRDZjXSXtiSO575oUjDEStyzLAqyI+KDawlBj9e18TjpuoBOPRVzkz7m76mirStlr7 y9zLHPd/tYzzc/MLD3G+iOrpjigB+o2sKs0MxanE2LqSCdbuvoB0eomOXedXe1RRSRFF/6+51AuY dAtyw3jpugSqtpcdL1TVrv11Vn2B4r5d7r19HwxznZsxpM9g9v3UCt83q/724IwiSml6ZrtKi+VH 9N3v+P9Syj1ARdCwY9/TNkRZvjLuHayjgCrWQn6f80+4UIcNwv3i8HtkbPPsg4fC6s3BIty0gywh +PG0yNWfSzhTntRSh5BdjcJpnKjm/rLiM0nvDtnnpOwPSNgnX+9Hho5eWOeMDkHUgd/Z7MDBWKvP myg1tTBtvX1GI4/Ch9nFvA88ufcXSMQUEPU8tNJ7gCdQIi1wlxDhupSA6JieNt5ZbxBwTJfl1fVj q0dcWhqvaokApkh3MBBjq0Fom/KeN/CjpgePnbP+U7znAkd8LQcagL581wLYtgUH5G/Fl8EPH/0y ysB4D3MkBQ2fmlVRUdvnpnSp6s/B2ckmoWsNEYk6dkcGG0hhN8ItTbGU6CzkOFrtjcuTvN1nVV+w oCLgKQVvnJ7cWkkmcvnwL3Cp+GimIUQiyFL3W1Wls0QW2Adqiwx5JkVLJ+qgc8CbEhUmbQUrnQAE cAEIB5Nhu9QDYOZpmRF2WnpDL1EQ0NsuWx8m8fv3h9QgjeLx5CWG69drZP6F31WiuhLAE+xRpyec snkzACp3zqqWHDWwZzDn5K5qTgYyPegEpYGd0c7FnfXxD/yvCYQlqIGOMq1ZOaZat/OT4JcxbiF0 f7eVLBLq6YDpsK+RmdTutbSECrQtSl1Hmdbj9OOx3P+GMEDhS3v3vLCpeQ7mc6XFOfcwtDiSKtwd uqMb4a0SWWoKEmt9lrVPnGSjO3FElwIIy8NB1yX0E9GP3HGJyXwV8nflnsmU7EeoUc0BPuxvBWHH EfZvR7Evfww8TWIpkj+GUwnr0rIBm/6Cqj6vH4SQACraNnRI/nNtOJe+39CWe6QlSoJ+jXOG8LRJ Ibr3UkXhFzfJTsMW55CCajlCQlWVSymIVMjEJgsFmQKhLZb+stXJU5quKDLfnAnHfrncetrGec3I GIq4XZ6ZQHrN8biJaqEkrFfi9eCbjlcDUXu+DRhm5uFJXDrcZ8V1ZP6E4PXrDqiWUuBvivAh8fma p4Kdv2HQA7Vdo8izEOsM1834MjtZsxP2OnZ7UAPMj+R0WR42xQtigctaOS0cjuqAvonq5jhYD0Rd fQ0QFEEsV597MZqgIOf0svk9KIYGX7N5jnY5qRB+bisBagO2AcBhQpW7YpmSddrwlJM/0/vuVlA+ 0x96SNCzj7Wi8Y6BJOXyX/2fJctWnWpw+pY2WJqNTjEFDoumEkubF3sqIQ8VNeT6ieF1OeK2lYvv FEt/WBRIAk62cLxWSiiv2wlHgykBBm1/AY8ZgfmcpUyBzcOAisjG1Q8bW2fD26vQqGEWodBnqQ+E j8WUOqMuWpd1VAneecmzPQKyaEdDVixKWLAUFQdfZ5sHZph3nhAh8KKQSqLMcWSmTqNx5xvrWjqa L/xQBMrhsWwTkCSNr51TRlv+mBTCwIkImiWQV7oyIqEBHWKXnXkwv456lUZJEqG3fvRHjjGtamZC B6hZvGtmTIZEECgc/VuimGuABk15OxwUvJ7N1y8T9RXubz6xD+a7NMgkTlKPD7AQIEo1Qumqm/Kg P++SFVq8FsXAp4Ja5KYW6ys2cglDf/8OZFpSsxu74Cm+iRlsxXYlC6PGG2Zm7Zzw/7rxyAedYszx jzGpQEh0DEDDnV0lOcfu+YRe8HwD7AeAKdkpmZaY0cJe/3pF+aJYA/sFGRdQrUnMpAKHnpGz7sbJ eyvsH4TGmqlJMyaDkpSFcvKXFqLpyofZ4O7Y0hem9nX6dvSp1sLUQ6mpstf5xMea/NufShlLcm1f ztxaphOarKuJfvI9lXtl84PrGcBgOCyyC88vBQRJBCdrDSzhCz+H2Bcmjd8gigmWgm0QIilz6tjR P0W3I5gLQqWhmJz0GjP8BXLwNFHZPDiCv2d1o9OxPbRcUaemg6N747+k9LAowl8zqaQ8JAPgQ+z5 Z3RfZQBOkcRwTkznqF1VcTduXSxgvpw5mwaEBpqInUmo62ca1YDbpSY/EfH2rLrgecvrnntGl8by zagd32uzdeZhY18pbfZBSI2LjZkN7EQku/8ODPNKPOCKxEpHF4MsxCn26/G9VyW4Wo7Lo1TNJD/A IrI13j2AbGTgQ33tmhxpYVY2+72h+nLIhfr5Tb3EBQYWK07TCUMDls6gMaHFw1eYRzFGUWPkq1LP C0xsk91myHHWEpEIoz7AL5u4k++tq7STJkXQ9GkZ5GKB9h37b/u93e8t/vSojLZhspaykMCPhQNf je+EacbF/OV3CfWu1TGyqK5VfykmmgmrrCgl9oiAWmpps0x20t1h8uNvev3O8Gg7LgNuvXNMW9HQ TwIV/ALXD3ft7+FolUKUkwZN3+M0v/HD0PXNweYURvgaTJ2wo2h+crTXOvmC28dHBfMAw1sUvy5d J1RsiFy4YnfWDHeo8HGpzzOg8iFvPHRd9Uopsrm12TA8qNeuzyDJRmZhsIomkIPGFDKkV1qDUANJ Xc1T52RdTxochEhJs3MbqaG5TcKvTMRsquvq6sFAwI2sAJcUCGNmeo+1g7tZfkgbB5Gh3x62NjY9 b5MUT6FAq4cUhg7+XXYNWI8MnICNPyye8JI+/KRrZ1GCPSiwu69uuksoeLCLuXX7zHFLDyfSohAp NFEWLFHdNpuBK1Zmn9uq57mahcsQVlRTa694ayw1bMwDHyLcLBBBWs/G3sgmQZsIVEO/8s0TZlll qy8T3geRjqWcaEkYQ881cVvAS1rrla9kW9CkYCA7Fz3MqhEtzFdqug1LPYvGjEplthz0ehOZKIBN ftsdFOkQexTnKO/XkeOu4RKsFE6/W56fALHdqP268WVkgmYdoaxwtY3vRc2bvqNfbL+k69HUVIej U/77ZXC5Xm2broReo4Bx0ULkJ4MSOowjmHcY6+p9D5dwVzCcrh21PJz22onDc/wdqK5tUfgVZz6d q6sxsOLbzST3glnFTTR6nj7fds/2LYtO1ZfYLk23OyqSXgzSV5AstgVfG2CvvQK8NaRAw95Jslnt 3z3+IWlUh9Kp/j9UHM0zwqfeMQbQM76TEPy/PrQRMlJC2slLHpcztTeS1ej6ZbAaN+mKGC8WOTPF BhwF9HK151hJta76sKzXuxMROHmM1pkEbPxHg/NquwNTlv6BEp3zE0rZ7IWX8P7LMKNFRxrdPZMw /mU2zbaS4niuh7mfVHw8oH4OmvsSHiZCDHqpwF5zxdQO7uTxRsCVdmeCqedT74DUlkwLyWT+9rps v7nKmCuaetKeZBFbSwVtSN4pGk9FbIhnaZA8Iv9HGDYm/kcNnv9dOVdpYM7eIUJdzns630smtk1U 3Qka9ABbO0UoEDXjTRsgOY4iF6IDW5v9TUWX32QOwU4vtbF0oqfBHcfGhRxyj0b8mG1NJQQhkufC HLll0il14Thi+VIdVAdfh0FPHvZ6ZYg3vr2Pt9FsvFutYkW9BfDsto+Ug5eOZcRdkzqw+vv1wEkc nJA66VwSJKL9Mj6pOzMHMZcWbGcadK/xoPW0G0SD73GWr4ZPnWTiu+CQx7goJl0YUN2npBzll0wj YsCMFeHQGQYQRKAifqtZXeYyM1Z/dZ08RgKZ5VVZhHJf8h8Q8ehHpDMsBmCVHGKtSzuUi08D5gYv /G5J/E/aSMU+IZvj3MatcmkD7qz/1MyXzHPXdv06QzoNQVvlygaiYvxZ6zfjd79xizfLAGxYyxzL GYlv3jNqOsjxTlfHr6w5K4Q8cV6QP6pSdy3ZUhnRdm9jwtBzxYxs/3ZHpwov+ICl1OpKfhYQbbj7 lwN9t+kRbkC+yzxdIDAN+PudbX2ObBrH8+LIztN7D5GAFvx2G1AMvRfdHNPmbhc7QK7romhZSw3b d1v1h5GWtyAP3DR14Qlr4RSUbJyqGOOrxT5o6y+yosSkYBRl/yDIz2vC7LyCR3RVy24bqkfe1whQ BQ9xQlV8wGIWl+EOMdRtYG8KgN+T9F0P4w51laGIkKGCaU373PdANv9IsuRJqugnMou8GiRygUEE V7fhpE4SenrQS9jUU4XLyMZBNfzAg9XEQjPPVZoPDLk89C/T5aRV4zrBk+nPBnbLl/4WPIMiWXf/ 3eyne1i9WrhHd0rZ/+i8ptT0xRzvDd4r/Mq+Pcdjg/45AgnSVZN6vCCMGqQrFXVk9qeN+td5c9fR w2dJ+wlyAZS7CqomzDFmZg/jL3QBLvAovyOJhEpt5gMRdm+Dn5LdkfzdwnqfILoWf+q/SJiV2CwU qGIkl63mCa1nbNA9mQA1I23DSl57yTqziRp6DR2J//Glahm1pi64M13tB5Am0hkUxCztI8z9+UWy GzSOlkAM2peLwv6/ZYrqqlXKs439JMwXge4iyXRi7bI27+N2OSzLfVg5JF/w9maXvYXJa14ZoDj3 qcwHjYEBNLmJpNWPL6E+aQmniGaSsVw/EZalylMB2WJZOyCHrBrq1deVxjZ3vfkHBMA0Ppw6VT+N 0NkzzVjgUYyk6TXg3WlIpvK6lwrV1DFudnU5zwAnt6OlW1EBUIy266n8nsK29s2UhRahZe4C46NA nt8ghdT2ueWIOZRAmeJbTH1D4daWoB9JCIWuC4SCl6qlUa4p3gNS63Eob2Yj1KznwxnB1oDpxy1j O/Q94Ij4p41QJLwbOV+RtzddI5TfH6YdOznbH71Qtj2KL1ECb54zMdoUQf+AMPBPG38PeJfDp2S7 Hy2H4fbx/R57IpIvDkurzcBINvufMgCYzv1Boe9+G79Ms1qmDbYuIfxX6Vmv31P5ao5s1t03zC8W HIh0MrZO5RzeFoHDcdLauvGgL/gAujodjYfMsVxAqlb+3BlAQTfZwqQNGWqJ7CHlQHADXVIZnEVP 611i386ijqNWFjv97eT/sEaoLsIkZR/hu1JR9KI6/6GEbzi7FFm50sOIbpEaxW7Mmh8nh9mjMiJx YnkQZGdjMTPEMms2hYhLRY9ZGITsIxwBNgNrTD6BVR09/Q+hZypZOYco5rX+FJxgWu8cRKtSUpKD FalCqAXOinl3NhvN7sv7wA+vBPdgcbzh1tfYNtzFhCFxia3k6bRI5HR3BWElfVSGKol3jzDododt 5NpA1S6HvCLHMH1Z7XEBvh2uRKVqR6HTo6m3mTvEcm6bZAtpNY894TljmEvDonHPB3VXhKsEZjKr CJ22o+EFH8hULFNsUkYkPEdEtHQadP9CeI5KzhXMgLiHkMr+O8HQXidhkNTLjbh0gwOqWs5uzI3J dQb+Z1gVZbuUfUcaB2L4tVTgs1IvUNqOX4jTOL3pemZu6TbcjhUJC9LdKDP2MKMHzvxc9TFeb0Z7 iZLP8klusLWUEsMZHx6bZWcGyZXP5WG9BZwbUmQnDyrolH7R2vabvzqhkpUtn/u261h1dvrcLxtR +j3GWJLEN5hpPT7/p7qhzbiQ0qAwlHZADFSgdXibCTsFMDkhQmL0VoLLfM/O9/p6f+Kcg7AU1Ae8 5hX1Rhv4onIGOMtSH/s58SK21ocIYknocqeCB43gS9dZaz+ghxEnsdDFC3O7Ee4J0iSqwTt073sB TA9EVUKzN1U7CEIE5beSXotrDQX8q7S8/tdyJO7UXlroTcGkqO59gl/j4ppPAkzHYw1+NhLONxtp nL88xvgSfiUiny6PIbd4IkmMT86dUic1pd7HMQJKUwPpc6da4ClBIn3SIpAkUIurBCtwCQCZZuNG mP/exIXRbV+vWqNw0okBzRyIsknxH63JgqToABbst12I1mofV1FH4rTHBNOvUisdKvmkhzLN1etd 9trN6SBNfHitKlFQZhH/USU8GsjlFe0UYUxgkI2+JQZwWuXTjfDk2HQ3HN5BQ2u6Z98JYcyem2/Q uUv1UJK3BMEOyXh1pk5YdoqcIsk0JGBciaBvATzldXEg3AOOoRxYGOL0/mCbo7FKjFxrzi2xy8FY 5/SeCS7y1p46QsTwaDtIVeXWiaKyaRij3Hi+srQshZ1GngaKVmTqNiC3JHyXE/gw39WsrSqIfke7 mGXvC1NElMui+iSYXrL14s3qbGzWe2obkC9yeDWV2rH9ENmeiXTUEnv1wfEX1clFV4JJDokjm1gP 0uazDjs1+Qsm0UJtcnWMlXOD/3hRCbBJ9goqNM1tO65o3H0LLpXWOSLWczpPLxamMYvHsfGklF+U QuQ6FJMlT5JwcST7AnSFeGTf5pODbkDyWjox/V5kgRG9W4Csa9nLsk65idiVVa4wrG2mjHL41xGv LeXW90yJV/3s/vy0CtHqA5klDoFebUruvN9f1/Osu6o0B44vbYBUWLDV6KojZL1QEhxte+vnpbW4 MuT3mvEBI1lVVmidELYI3NRU0GOzosjxuYr4qOmOSipjgDe/CY8QW5aEG8Q36QIOcsDOkC6uoUeP haEWrQs6yPuh8C360JcShO2PjmfJ6Q5fOqxq2IRRjDqbFvXB/cxDDG/S0bHJw4e9bIaBzrlRYd6O 3fOUnGbGRg6Pl5ESOyBNyPs6ZHqQTT4JheI+WQkLFNd8pmC0zkdpFFMJrN9gRI6Zh7UvrmEJ4sj2 c/FDuVzY266CYJpHhqpDeN68pIZEGAeqpejb5zqQ8P/HX5EaEa6kAdPRbkADSrU9Mb0HZn7TfhR9 qUY3UA4kZxFzs0Db/KQ8q7Dpxxy+rvdDBYXHF2m9Yes3njusDYHUbzt4K1y2IeFtjW9IQ7xTGbVV 30fK+t9JJunbA62MtBHCtTxvpHq+xV0/GPpVaxxWPx1Ug735yJ7Q4U0bgzyJrMCo3PxEBaO655BA vn/qhPE2ZS4Xc+XBlwgBlQlW+VIcW5q2/rmXfaTDX7fzfm0JWZ/n+MlP687WyGFMUsX2+aTFzoCP YbrdPkVTn1sm9myCtyXWJtfwV1BwqQOdOL6yP5YtagxHTWu6pRvTY5qm7RTs/FyHETbKkYphY2Se 9rWiDLiZkYRG7un2HR7bNe7AXQTxxyhIg/uQnxSGMz6H1VhmmD/7G/zG0msDkRtkDRisiE+Ihsb4 ZFJIPhD8V/nbsAmOTzyzLzE8qcKgXaofj9oNprcYCrZNcIabeHxCd8a3Jm6vLt69LTZYPxXG0Vkj D3XRJM9FAOdZ7xJXpgGxyNj1uVHa4zNONMWEPoVtF1XFpwhLtnXsDuSubI3478PEye0IVYQ1lfhd 9MZRssvCfCeAbT3iyJLFIiFk2jkKVFtZRatqML/6SlaJjvuoETLV7GsNl9CSuDbZ34MWO6L14BkT /ApGAl1jar0bj26VSBdon+yCkJscxt8s7Rd3T+Uq6qoDavuGOgF15y2hTaVUBUQtjfMp0/dNYxbU 3Fc2xvMGA3N481qDq4EBVy3w2VtS2ppnKMILk4JzT0p8cPFa18w3d46NDof5vaHMGPa6decwq6VF Pc7ey/D3yEWEM9nzFHEpb9TuBnWbHVwXq/Kwxp6/3ukUHHpBNeCSRj2dnyLHFD/lEimtLPNb54yL 4kJH07z5wcoAzVkPomiphaYBQIAxBjFX70jW4qsr75VFfP12PGfnjSweDnEZVfiz4Goav+y1MIej pZFlxMOechfR7+4iXpgmiCCHN44duuNN1gOki5j2ZQbEZkITp9wa+jvH5apMMvhqULxbtWYU+1NM ThhgYdh7u4W/NLQGUmFeG/6b9LHI20FD03KucVDBrqQdtGlLs20egE1IG2tHrSl9dfl1/+Bbsu32 kFKB7TgnRdHeWUaPSNoQ7Glqmhx9gs1mkDv7LQIXYBagpd8+6kcD81D1sgnFTr70J50dMKVuzvX3 1rgcXI3zOXtWPugFjuUo0zOubeJ/39UVbD67RSjBMImcf4Ir+OusOxgVMvA64hB2M4eAR9nxmWpH 3SnEU37v0E5ZqNNP0qujJR9dVS8Hv6svkK4eIBSV1g2hfivNQTtfn43pb2jpnPaGFffLZo+nT20G nzgE+LkPxgVToqMmthLJOQgeYe+vPvxiFUhbSQBcQfnv/XDackKHiMzA4SW+XT+LzwVxKxCVxrHT uahegZ3PkFsk4uTFzTmg+8m8kQq1FPVUjsjW4q62jotkY91gjGC2ZXQPM4L8kOW8ysla4oblziAo eKdqDsoUoVOWJ/+LrvTOV3fp7a54HzEd3k17sbV3vCtEoG6HrOBTvp3jqDj2nspsDvr5Vxb1v5nL tsNT96xiRc6Y7HQw8qVjY2Xdx66UeAR7ofyQT+Fk5FPFAlhvCC0l7np970ABkWH2mtWCfxcnufN+ 8wComYbH/a4wjINKRsNwElTGLCIdSKker0FNz2dwu7UV0BwqUiIP146HJ+JrrL2eKh5lPOca0Me3 o3Rkm9jXs9ADexuy+0I+CjHmpKdz5bLtegllHe4voltNEzdy14ekIY6Zdt7TMIPfbG6uhnNGdA8s xikxD+BIKX31wnSegEQp2goNCHwIkijLP/yqjfrSMEDqY/B8nZT5O8XfmgKA9OeXPN/meLBqnnxM j+n9HV0p7DbKdvFg9G5Ub/LIaYOtHXhreQWmfDmEBm8GJghBKyTOBDeiI4pNxXjs22cjeYy9ZZuk wx6wY4Ub3C36d/2JWPBcg3myQdGxnuy6o6puGoDM7SrhQdwqZc/sJIz3T08mvBarsOwla+Yw0SC7 Kft1K9G4qyEZxzYQ3l9C4GriS1/NIcNR9nUid1IJ8Xw2OKnRcwHArzui4taI1SpF3OMKEm54vNJk aSOH20A1vjgJeifABDRjXzInTF+X1aO3CVDpGXzCYI39ITTaNyTKJQUgLVMxPQTdQuij7m0szE8j FiHd0fSgVkvDMgNtQKbwRkRvSdiQnda8LJMIXOHQMZc4ZYcjFALjs4kmLHZ2+D614xIl6p78X5MF HC7cthlT6wrFtdAkZ5KCeU6YLOmmy53HK/bsQMTQW4H5815F4436B3OLNUTlMC3TriK8Oiz/ZrJp hz5BflKWIK2brOtgTIdsRq+/Qbf++MdXb+pCiXRG6PsJTV1/nw4vWuVWvNTkd8ZSsraJ8ncKUe5Q 8zYDMkfruu8e7vfopdLdHsVpVvU2fA+iiKVTCaKbhBkpL25+EViEkAVBWPO8fJWYuF0fQmjE7V2z VquCBb8H6biZc0S7Q+sJ0HBRGLTfH6r4d0S5c0CGa7hUbS5BVVdkvlUJtduzdjllbxBn/GYPmjRb FulY27eyXo+cmf6QWkRUwq3eMKuRj9783h77Epkc+MeSRscam0W2oz3ngSgakv0vrsuFP6VpAsah kPx+XvLiWZ72qPEOqXp9EkoAlKkWmn0m0yH6cMy4SkQW/6YKWKx3mMGE1tQHNWGff3m5+8e7Suqh vz8tVMlDyAedSH4GLdaAvSEBsxO2sGglO8Cc2zPnl3bTQEDFBCdJXIv1SwEpni0MBeFzTYu3UDY2 rr8ApbeWgOtgMH6YtxyDGlKoqxaYKJ94/Yr7+mehUtjMPS4DKDwU29gseRcOneJrzjO7qKWpjSSR 3vIM9kwkREkAwlRR7QhBgcx/pgAHe9X+R8vcmIYhae6VNVh1mGiwRAngzqoWSjuZTpHb6iJ1FR2r MAoflEZx3jU9QFA49T+to/I59c4eQo0aDab3nIo65iE/cZFN6ssuZVkio6ssZmVGdpBnza6PGZzT cRiF4SSOWg5AG2LOl0LrMwI30kO0H6qqRicXzKtEAMCjfWjHnpcsdfM3IEgwj3tx9RA9uAGzo7v6 C8mhsOdmAY5fzu2MneUnZUzNEUbAYQEE/YtVQwY4zsnhJtFcWIa0Yx434miNMetERsnM/ghTi6H2 IFVE8RbH31U3dRxRuDyMN6+K3GzKgAxcCgQ3n3GHC3j2UtZE9zgy6z74tZBVk9AcOaYzaoiDeKfN HuxWA7j0gNlAbs9DOMpRXMmR8jfJQXhLGPN+cQIwjcvwiKnuYB5msx4mIVeL9W90bVOjPgLPt7eb hEswq/M9ewm3Pj814+y77/nH1I60jx+ZvctwTxOjE73LPDCKZ6/xsPvdEXsyg2ktBKqveWYIESJI 1XhyTcBhI4SAk+fqYKYJhp0UgWJy7/eVJ4VO5ZjyMz/TKYO+V8P16HFiM4b3YGdHJfSGbkv+VsJ2 zKmHMNL5gfkRVpBlGDHYTvLgS/SpyPcnG+FpvJo2NvSSVTZA9qCCmbvP/HJyXdRyYkGxt3T9eqhg egp99TM6Rgou0pjAA0v7tSZ94VXug/6Mn0WDkyWAFsZXRzurk1cHHsSPvwROUDXkOzM6oYEaQZeK gNsmkYp2J/jBuEiB47r25YPRATxd7cKMJMCQYlCpLY0dgZNfBmI8dTFRL8Y9Da+8JCvvNAPukEFx 3cSPf4pYD0Yks/Mb3ar5fRIJDd1wIRp9C+PQ0Z9EvL+SC1EYK43zMrYgknN4C5J+qauuVDB7+vCj M7KwZOqIdmxDId4xyqDqH+hlB4XJgMhpclNRcpbBrO3S8Kpe0zGg0k2DAzLtHu1FNJawCU+RfV6v XvtkfdAEeST10aAXXCSbkfZo/CUxQQYlKKU+1y2eve+cYD+3EjlCkihMRXlo6JyMix8rOxJN+xN1 DPZFTHwTxDeS7K/s4ESEqiv7E2bwi6N8O93bSd+DOqbU6kDgvS2OHmYaZ4yQ3rQjaJEs0XKd+hhf raGAuKKe+Rg4pxZA1Nx1/rhItSzqIrvdRkRJwYM3eHo/XpLJq/eO4SD2rYnIOlFaSUkaqc09bvDt v/aUdbfd08qUVrvSJ4rUY1M8YHL1sS6GKeS/psAFxHZuK5fcKjbrijUWnVShg4gAV3rzktdIWMT0 AdWYMHpbgBpu09pRxzI9PhqE1Iq3TqOFazZpbXOEi7tkqgBMcnPWa6Ty4YB4YlHnD0SrrKchZUQK xGLkKtlDI1I0nltwmwuiE8Jjw9nTFR/QQn4zh6JwmyJaa1YPou2oilWwoOAyMpQpWHua4UPaY30x YyvOtYTMQuaBk+vYfrE+GQnDxkjV5ozuS2mooF+beV6Ox++nUWzMipE288ma5B+FiL45b8/daOUs 0WxTVRNqONQ91W8noLNwq0gGCLyVVxvBipPS000REhn9gFb5+GkWNtUO4fu0H00A/Ni96bWDEwj6 Y+mvrjaO/6LnRYP9L2Yd/6iJCsEI/hWCod80bwFfbRaSwpzN9PpI5OTNbt2C/pN4zMPwf74qea59 bhIcD0qxabkpuHnljZUd9pWj3K9g5t3PZ5W/4cBi8t5OXwy+2CKTv51gX1zHyGtoIx94jY6Psj2W f1Bt5hBu02WklnG9ebQd2ifCCE1KGIZYC8dimOZWa6jxl0deNUg3ai1Q/ySlB4f8j70i69SqWPa7 aTczQSL27mGjlOYUM1U2u1TJj84pOYW5acpp214yLiJQuUiL9P+5HFPsz48jgpsJIn9cpkRA/8yT mN987RnNMDBc7jkgqZM4+h0cNbAjM9jsKqsZlUsXKBhxYkLwHSdE3yP4eDZkonpA6PAilo7mgJDc TH1d/h/tHCdZTQazh/cZ9asA38BLSfTayqjvfsZcSK6y/eLUzLVfLbrH/C1A9yQTjnjQ/hczIzaa Z2VfEXe+OHssoJjldEtIQRivOS2SNJcUx+rEOi1KwKzS7ndefpKYqWRSc7aDOlOLRCnMrcqb9KyW ZgLVgbZG5jNf6UDFWZNdjhUp6Qm+IYl0/x/dJgmCnOKl5OCNBm6938TGaE1TSqVySGRQXq2i5+U+ pLhxRVRUj/6dzSXsAxoBjDcE31RnWoklld9UQP7Wa80U+1+m/3aJKIBFJIMTb7+EqlQoZ+wgGhw7 noFoVceBsgF0C3BHqIpi4qMCeTa6B0He7j5aEr50BxJV+kHJIIBp3LWRc8dxFuvz3EHuwgpmAPty Gf3cPZhrQRTIJORqzWnms5LJ/Ll+0+M80L7ixVMFecLzih440ZmeugDlE7TAcYFij1ZGzUkMVPpX wo9H7zpw+7yzdt1WITFWnW7ZN1Q8EHxGrqiOlndJrXHqpQWX1JVpno8Y17KJPY34RMIloFIRyT+J dfxcINtkhoLz4EioqbBkKrwYDQWvRGzTMBtjkLOEbG6s2s0tVrgXOC807r5K2RJRECUznjlQGpSb nT9ZqyQTrRb/ixlaClML7dUWxA1XS9XYu140gKwmMxAAM0iTEOX9DB1SElIDv7qjBi+WyHJqfIYO 5mEBqH5B7Vbul4jyzaUAwqFG23QCfxYgZjJNIE0MMSEIpbxUOtJdcxOvXFXUmS/vl2yIIe/piZuq Ss49eREnxapQ27QvWhB9NhbYuyiAaxdAHsfrNuDOFhfBHTo570YeM6G+mUom79+wH7IDf//yHvCm ES+i7URx+j6MkM+hq7J4JdOran40EYKdx/hYy37M7EqSGTGkvcsFtOMhlZrOg3BKCEju1RVXFTJ9 lQmP0ylD5iXjAso4f87PnTqbqfcm+t464p4ItjB7iTmyPbP08VDsTXZfwPv9g2d+YVp6uKKqKswd hloOvRb0tVhKWnLEtoeEfhjJaNMjiuxpTgKO7LVWkcCs6v9qLRSZTDhJMrwESXvFPBqAhUHq7eNn 9t6VklYBaeiArfyv+BKemY1EFzQcvqr0JjuuTe4EdPneioREczn7Njiz7ZLJbfn1GZvpFtVRhHQE 1efu2XCQyVpNqKLkjSpP/Fw7lNXhlJO3JPwkSXkumMoMN6ELyuvJUnNG/RymlhvnjyOkqI9x0kS1 tEtZxgCij4tD2hw4k/QEiU2QsFj9DkyKceIAabCw0F/xw0dFmYO1KRsjhN2jAuMkGMBIU49kS2y7 L+8BSLiYXkReKIi1lGPPy1G1+h2EVJZvC4stBwX5sqNyVzL1oKLVJFbRVh9IKx84vpVGsRsdEbZr ZZ0GlgQ733RHhEX9j/lD6Mhiy/NhbryM+Bkn85SKGn01BzLPCRYqaZSzVzcm4QIVk5lc7kyBMPF0 DRO3PZzfaqB2Anu+majKkIjWVz70UJUIF7Crk6X6zz1hMU2GzOSiu4zmbH6nel/VbomN8/tbBMMc A+lkEZ2vy9ibyNV/jHIj6Ef539ydQDvtlEcqIkQEOD0W7fJc576j/e6iLntiTE7MfVJh0G/mZexz EGVr1EbaWj9auYs+wwgLWFNI7b5oxFLdyeOh6pqxaP6l0ywovRPTmrQZ4eCL+E/8vhWdnISNvUlX 4Yj3RdyNM+AS1W75JgwVaAVpFXRUx9RBO4EY4AIyVtyr2tPVNrrESO5fQtwbxIvIcbMwL0EzaOHJ MJz1Df5OFtX7twCgO05RZBxjWFR7LUDaptDWVbp/nsSQbDywbH0wR7EYepeYzQB15h5Pz5TSMQ6r j1UNT0plVDQQnYjkkQZ4sBbsHDgnRwmVaSrm7ksPdU0idD88BW3XgVNO8p97acav40kmT1DvUj3h DeLT+Ewm8csH9sv/EK9lyGlCxyW7hb19p2G544xA+9TV460rKMZH9BP29ubqLp7rlB4mAfFGFiNE f9zenRQBVrM8tGZeBnloMBSVRzCTSi7BhzhmPNoR3rJXMxNSKFCWwhB6RLFwYciOs8AndT11xH7i FXhdiIxqbR8k8Eiz6coFIzY22MRIvNE6Ug0BCB6b9a8GOdmuDMYxW8a/6e9+xtx9JRZVhrAmUiRS sOlKUTgaXL9KZGODe733BLcD/RZwx2zNsp0jxNKgd4nUFe/Bb5+1DtcjDeHoTd8jodBF2xnO5Gwq +2nxCuKg0G16zy7ZIhSaNKEmmA7GlISpPzBaINfkaFSTHgLnm9Wwr7TdE92w86rFadLT77HnieEh C/ykUQ9i+wE5Z2tF1LaiwmUZSAk0vmoxPj9OR18HeiNeuVTiwJUh3NIXM1BCwYoXH+Kj5F1a9UuD 1pPYxcMRIckrokegRD7rypsnT82WRmPQt5fNRhNvfxN7SLUq65wZSrXXb9yZpJM+yC79J+pIV5BW zvbCMiios9Y03CyV+CCTJKCWsWBv/OiQ86Ytf+Nm5Kx/DFBHBKoE9niYGM+PxFj7/Jy6iJMnUdJS 6ABbHz8nnlm7D7Ue+GnRgQ60Egi3etFLhieHVQBkDYzuo9FpXwoWUQcS9Jjnz5qWJLyev+UudN/k sQ7+SdEj0F/KFLCk2op8oMkVux1oxzlefdNcqDP0Ajg6Ojuvh0zEdJhWqcWXiXhnQAeLyvtK6jtD euLCt5jnW2C6ee2CPJtG3W5cbf3LI/x4KXA7AnflKcynEHfy44/FB0X/mLYoiFM2SGz+C6pqB8YC TOdUv1wRyr8V2x+gi0821xKvePPHtNJYuVk1oWPbCZJT/gyrfoaq8SQbsJytsUcQ62Lev7ugfoof WsEamXN2Xjg6RtiMxOH0NK8OIdIdvb7YtlIA0qHkIcYMHr8FoWGjHHOefV+Zs02/eohxuPAyE7W+ TGdLS93a3nPkpVswWIboRG76hVT99pLgCaL2/UxAKxwskcWj+x+P4oYaVZ4Eh1nXspWshF1vVdMG 5qW/2dxeTa8dBDvOQ5qC7/SBlbTiXX6q3Qp3MjGBjsCPyi2CC4V91Kbr8NQNmMRQ+dQ93vy2ORUu Q02xyGySyzsitov5bEW3K6Rd6oN+N0YYcidancpsIdXxmWGXuoYMrLoC5haelQ5yigEYi+wBknyj gafuFDynVjN6XIOChZR9tJjy/gZXHorBFuOPnmNT6SzcNJ52mMkeNNG05OHD9CbcerGEuktwlS07 gQrtHkdtPQpuo9pAkFcf7hoMyui1yCVZr6daJavL+7/tSdx/+DyjN/TJv3gsakXh0J8oKHUi5Sp6 Bx+TdFHgV310/Zmyqr7+3nmBdQF034brYwuuDTuBgJ6eOq2gZ8IkE5/U8nYUNlheDMDHC+7TCgAI MjbewXpora5ugej2clXxZne11BkWSFW4gRGn+f4KgxcMO3WLjJjuwES5hyfxTSgb8sce97Crnxdm Z4OXt7SDI9u7Y67WzHDZGo1+uASlFkFh5ih1XZh0Y0i+3A+UJ0xVIuq6kk5SpKnC+bYQ7uy18pRk vytAHpTDq2M8Q5Gl0BUUWjTvCu+rqwLTCMEzufwWLhxHnTLGgOoL9LGY2uF//pY478jSZASMMHC0 0vy82X22xqH9Qv91u4iJ3ZdDdLFPC6M/aR80h3WK7JjQ3R7BAlV+IlVDm9V3OnlXfwRGa/ToDQsQ YhgnsIarIeRrSHOEBiszl1PdRxV20mEf5Hk3IlcHicSazRLP41PF/JmFI76ldPxVwbedcbUXwzD/ o1pVBZF7+NgEYvdjXcLWj6ou1IN4M3u/WzAWEQIKgywk147APSEFTKJl/zX2jMLpaR8YmkXWRNty aWai3kWdeyH7RBZPlZ8a1H6mxHAUnc6TMZPqLaQ6D+bvGA1dBq2MY/zsb/q+jEX2yTPRex3TPC5N rILWN/yyAEtlfwRoQrt4EYtmMEtLtmzb0JQyWQnr/s8NNpkVMPOo33JSqnLixGdgQtPPT5Xo2n1F 9E18cgKt5axxdYjPXnFMV9O4twQxtbBtDsDUACRmbQfB2xgV3ai3l0ZHI/PSJ/NKGHZ3dH/T+rEG 6QjPWRR/3F5PQKXKrdQu/A4czqgLxAiZp2oweWwTsClXFxUhgMb+i/A765I+Ibb6JBaeIlo+41Ov YugIzRz1lG35LmmOWcJW83k45rS1/QGlGLIWw5mPfx0UKqnF8jfoeF6ttjY3lLSrXJK7GUP07uCE e4OWLkznmyNYroHRwKvwt+v71OURRErjG3bnN1WV1GGt8Of+0L6ZKyKTfHxt5iW7yPCQT5AIOcoF g4UWYR/YeByRvhFnrsxaqtH5JNQAYxK62/AZnMZ/aDGVav2vbTKAh+Y8gEg/Amnw/FOEz/jIXfcZ 5zW15R7Opccd+LEL6LLvw1/PJQNLYWxwcMMd/CBFI8BCt7n7SU1vYy7U5Owbv6MkDkVDsP442dGb SmVDwVgWIyzbg6Kla0Bkvqglqh892REEkoK/1CSwAdi7CswPCOtx23QldBNeFB80QkhXqWfY/hLX 7+RtTdLohLZfZyQweIrMx+XNAs/wdtvCDkmFoBANtPbezYjJkAXWcUSV9jTeVvXIFDDecyN34aGF V8/YTkphbQLGfZybOX+FcMm3k+vqgAeH0X7eaV6xK5IVrxckE8i4iQvuj4Ybo3xtDuDQBsaBtHeB fsMBdBCU4OR3QVk+fV6lNOrWXUmjJSVr1d0j3H1AaoqlcJhMAqoHFc24ntElvR9dgbgFTqYJK3tQ hvmmsNUMvK5gResA1ASV2U0z0v248Be9gIvwY8O7qaBRo8/q4dVWMyipJNBWMvxX12UA/XYks0E3 pLYoYyAkhGEGTTxTI4u/PeRD+bgzc1CFtypZJf84cD5DqAaxplUeC67Jkwbu97tBfPc8BDcXETBi 7WK3VQmJ+KY8bjvJuroo87NnOCcqLjLFF5iuMK1h+M89afSv2Ls13EM1gjrkHbqextsONCTMGRZn CSuS7L46AHBqZ4GlJgdOL1xmvYRBuUAk7ukKNicqEWOnWxeZIh8tEcR9pGQ9ocDjt7AHWcNQaOw9 TGav+aCXIJ2ocfJHINNtCOE72QrSkEosFJPVAg7BMATNuHcJOmxqPFTO21ctb0WCvV6n4tP3svy2 Vd8PRR/EOwn6QyhvdH7FTa2/fetnKpNL3rm1a7u4k6FouygU4TalOG3U9pHD55vj06AZkbKJTmcs /4M95Ja7/f0WU0pqtBjWppe9FJK89CXAN8jr1cGNELR/gTsnqkosQ+uMEnRbds8UIMWJZhtjqJeS PGdepJdhPgOEF8/3b01Z3cZasigeE41ezJqibncq5N+GsDFwG2KoAyR08NV55k4EtBQfHZh/obod bLOpqf+B1qMoUGQO/6fqEoqvApMz7qpRI69hIkQgP+fiLTM5z5Fr/C35IMSNvmC7uh2njeIpWDzK PBukj50/g932A6W3wFuaUOPumxjzZ4Ax5SBWkbThVK0SQ9mQZUIjaVHkR0H3xJdI++L5JU8Iciwn oB9JI9Hn7fHnSHQMlVbs8TE54GPzzhXwlhI+QoLZcSIt18G/ikJK+C88YNxVfWqjXHIKqrM/JxWZ qsQhMFJ9j6Hcy7y1FTXhKHwlKjz0tOHcrY2hwOoEW6sAUJQcnuYsg5fXHnhuxGeG8oXZKXsruf/i YsP7g6ArxHaLj2WvDPIP895y9lLWQ2+PG6wJwHUTP1RLeUu4BZH0wSc7UA01fK6b+7ZvscO4g+bL tMks/z4W+Ay6NjVDsGZHbJT35Oy69P2xhntxLMN+6bVMUYdDSEOrNKbK8+vruO25BjQVnQOgRXrF J8OJQ7sRUovw3O0IZc34xKRum7gHLuNUSrK2TmsSGTNB3DVSJqbtBdo0LboqW7ahe/fj6GSVELS9 GkW73tWg74uxyM5FGQEYYYq3aezsiAI9G1uLjGqjpF2sDBktVHJLPrZjegSsT8g73QrEJwuk1XWm zNXRjD/3qxk1JASVAP+Sb2PpnowuCUqHb6mhFjZhGafyE4jnMAasp3aLNC4bC5//DI4riguiCRED QHVK/drbODXVJUyhd2u8o3HqfTsNJDre3LoEHRi3AIrocH5kLw4lHMUnsmkFZSBVqmh7AdE+ZI5Y fPDsDFxmfHlWqB2J0u/EgKUs7kv3cvF/TE3s2rAWwfn8PQ2xVO7G0ztJeAhBX5yMKect+JpGDjJ8 vSLznAAiP5bYLqNtZ+Q6I3BwzCcRqDswP0okjz69GV7qDr/AN77d98STwOmkH2I0TuGps6F9GfdM THF7XzxOWBiNmQH8fnHXzDuBbIHkaeqjhiRClBwL/i2ux9+2RQ8/ObXrYDL6h0f1o/+XfBELv/iJ oSym2R1ilMOWGF++MVDtPiXnivpPqbEOrYmbJCz9w8089a2a+ZvWxzInbWYp/VbFKyloOLapbprT j8Si9uaUwC6kPEbnGAOkSgdwbsf5SdsiLpWOQ3na6GBrrcr3a9FFZIPxS2bT+EgIJDOSqhOKoyZu jdws01CFCFWd8sx3pvlFzYbEK/t64NHlHJxo65xMwDmbwqIyti/g54fMoVakhYemkm8FZhZ6aj5v 5zJ2sx4X8owIjX7A1vGWyUbe0OsQ5BG34Nfnxg9hf/Hlw8SMXuk4tHTIGupW6sEe8BEWr2Shz3tQ QYQXaPYWvKMoEbIJaZyDLW4JSg6SyYogbFPkxsRvvqrsiesc05IX9Vt0wPNVCZeGQ8lNFmZ4iV9O iCKcgXj2mmwjsbCRqZfTjQ7qBV8omBEP/sXpMbDqJu4wafLDsTyZ6WqRBMRQIKefZ8wcZtMrcin0 ytQ+bmSmLK4Y9xZeXVsNXTCCmao/DbfHMANycpgZOQfgl6b3rHeEuLZ6hYyylzhE3XYSd6K1wJzi l2DSP8Ta8QsyqixIPyTDXuIFbbz3pDGUVdVnkXYl2ByTFArzOemX8xQ1YJ+TFO+puiP76RyGlEAa GfSyPF3tj1gS3fqn6xP+ADtnePUsXHuKnUw1o8CeXvknd3qfNW52DDuL2ReypG91pzGDV5XEQMYd mHBUmqklHYdBXE5jgjVyyP4E9V/mxIzQXppDajcvCASm0aT41/tKevg7+f4lWx/EE0iyMvTdepkC kwdXyAnQriADlXrn/psrUoseDBiXgWnBjsklIjH09zQO40587f9MtMQVkgv6JDI/pLDw0qX/hyjA TsfaKezEUBAjBKioImNC2a/YwCLzD2K+EsOLBMrd8gV2X2S6+gfNl7jXSVf74XW56n8XbjbUrZy1 en3WcKj5aGHC47ur0sK+H/Q+0mmpZ8AJxA4bnb3j2dvQyp83s/qqUQinlyNzma4Lw/p82m9CjFRp 3chU8lwzkIfBTCX8MpUzsqL45olxqE//+z1EzPLQI8d8jyy3SIYkqt15tov4WLL3xzfamEte77CH prfFQ9yE+zFQuflSmV9fHWXBY7ut+TIMmpTj2S+RDOfuDcEDajhVstU6K7seDyTGLAiR5OVpMipX S7sIEmx2XEL63Jctp9HfyOXf072ZK2yoKqHJYacl7rjxj9RWF8PDvO54X8wfkTXkjDv1q9/fRHNm r6dcgW4/rvLDJTg/tlRteG3vrhbx60Wa41KRitqa6HKCTYihWheWybKtmTPJTRiVvCTvHvkVydsy LgvkCYB7BGbUpdc6LPNyyhDdb1i+QflzoYezNFAS9ILrZi2y5okWAGozX5aC6/NdSCACHNzAGKHg hrkUe1eeVXpPyhIMF8XWBWdM1qUIRPTh2Rbt2LwU9IrTaDBzByEkKgi7Dl3IVXxiy+V3N+Um3+t0 2o0I21AMJINsPNQsmxFtlCGmiJso7lqWLCNggW0BCgJhGsIJbe9GIsY0v20qQBBkhJ9+gYDX24+W E0GkYfck6yEfJPRuYqvAGKZyV9L8gP/JXaPpTJhT39RVTn0o1kL+S1Ti0EunuFwSN1t3DYPVCaYb cq4hlX7c7f2WR73glxnXJK79CRc4xL0HqbZk/UbAkaH5QWifPOSmUFLICANUpT9kfwqUn6zAVziE bMABijDXyW7xCXJdw45c7TsTayeIQAYCZ4OiV/C0BxxhfLUvnuTTRVaKaKTW7y9eEraHZCFAanF3 iFIc21Q6IaJCcp3g+lTA0LTr6+WPzrxr65hG+r4gObt7hQVH2zXcKqKMNP7Htwzlvvx0bcLhGRgS d/fxaODCblrhxAAxIOVAtl0zO9J2HvDYxXUR/3/I2SgOWsn2ThwRSfs7VQdNIcuewSZMRwjlh7Wr CYnrAM+bcCuMF2tExpW/OfdIL15oiiBPp/120M+6yrHZvmtgQsxzsvrXPyg3Ez/m4czqV5nTnVv0 uKGA/sb+mIb4H90J4tPIzs3Mqeu1QU8nw7618e7Yiomor4OCASnsjKr69GUX7mgLrLU0GTTBJbwu +FGtrtkZZk7n4pfK+kPj/8Cz8bdzvDW0d/Sr78y80bKVexIT87nLPtNF9MyXu7RFY8NauxDOpVc9 jOD3xA2PVey+zbykLSd240t5b7Ail8GvxschGCzlktloYL0E6hRLz/JoxcHdGdqz7AZntyptdHPk 4hlzf/vNgDunnwCGR56QNpJHTXV+x2InYGpWLc2I1BC+NBIZkz2Jn+kCEPo4jc9L8SH2QUGYzEL7 se+Za2LjsWqrlfC2FJMwNb8wCciyXOAyBvbh5XBHYOmfsYYD5QsI1OIrM1vzI19+s8jhPOezQp7b SAF2oR4FDh/CwHpHhddQHqyY0trHCj1Vj7cCn0T9YBZhb+t2ahPg/0axyEe6mybTl8YTswbkzcdm ftOfet7L9jXdEtpTSSV9ohLV7ZezyXaKBXp1LU9Sv9nPmq6Cc++eP7hIUBfRyj28Bd3CZ4beWFjx ob1i51sBYBF8ose7/XJRwNu4mRtirN4V3J9yqqlCjjzEsYd7d3xyadsKgB2PrVdEkbpFUaRQ6TL6 5VLpFuTPVSVzqaYnnPszCKuLEYSQUQiN3CT4PYy1tv5xxqR2TynTjPjuqhqoep1SGCIxE33m41Xe uDsvz5CZBMs3G1OlOBGCyto+kWFhes5xng642G+7d50OphfZSqyteSTuWDs846j7z1UO1bE+4NvE Wh0j8z5gA/oDxH2HawqfYw35Co6qD2L2u0IMZojwN0I+FCJSE636UzyaGReREp1jULa3VoTJ5055 SXSpdPr/eCpFGIs2TIk+5SNrnnnyv00iIa5lkhUAX0H7HUEJOT7tfrFheQxnR+QVtYwoY9IHwRsl 2jTrcSBYRIACyNRcpbvhbAdMpcMFLdXYZ6Jc/51uc0lF8LFI9o6ORtWPpPDFXWdvJ7titFeYFImo 4tgPzgN+89CPtkqXH9N9o1iEcwMqtm2KRJ6jO2RF1AX9CJZxVEtrrD1/KrXW57pWMPM4xxuuD4z6 K1pBmQokO54uSUJ8jDrzgZCzs9Yt4zI1Xsq2xPtk0Rqn8ap4c1W8f0vWtTjThRFli9fJoSGSkSqZ /34/5yOjAPstbmGEWXfEuv7lF13CqkojhB+3IbYipzyXhlhv8+2IWw+piJ70R08WORgBlYBmw74/ Pp0fHrv+yZJIxraQqYQ51TpM9NZk/92mNzW/JfqIGHLdoJPyh6Ny08MSWWbbR93DnPozKOIVv4Iv PKdEDbFuG/X9dIf6wS6HBGpcaPEaAwNkNOFnEm5/m2MqfHfh49E5CWjeUHibkYSZsOPfyspe/RDL ML6KFTWks4qj8XIx4y49ANCmx6okjHP7LIkq5nfFDdRIP/9EOyWgfrNksK7b1ut1oZltUtKlw0wH aO3sZRbaMyB9HPvzCaWa0dMCXfd0z1QpCS8MS9zp9QgJwSO5w+ekf9viE71WQ0mRkapDeY6Xfxnu 0FlBKkamnmtvhIrpt/Uj1A8dgNDopb5q5lwkD/nHC/wXH1JvfsYS+T5FfKDNAodS1a0reWqEIkP9 uTLhwKabunoNbucXHvxgJTDTo/T1MnKYY7AOJU/Vyr5xPsUSjIUAXsrEipAcH3pmW2y96n3/Bmu0 8ZRVv6UPxFh4Vl85lrrWhLoYrUVi8F/ekuxfbSZWteTYsbWjrHH15vyBY1PlYzeOObonizPzhSIn htt0ALRekkODeHGn14IKe4WgNC6llizBAzzAMqlRESubpo98UF1TcdSRHdw3Y9rAladWg+BGA7Ia gTRUZHjahD4JrhxV1wj//r970r+Erlww+rNrCa87QKRYhmsL4v6J1FzhvVF5DggTUa9Asf40gH5j plv30EIaDjXKeJ+yb3drTcKAY520qmQUKP0tLtklLOMy6tquNIOUUVpSz45pT2e+D4uZuMFE7zHT 5xgDDxrUhHYdn8GNLwwBBi4hgNQ/gfULDNvlKNvpxV8pxpHd1q22tjZB28FWPv5ILkxTU4OFNN05 YFo5nJaFPXG0ttMNpwr36NyF8WsFjiMWIbx1BxBGha8APo0jATqxv6ANzW1zecDvIZpsiuoB/l9E PeZYrphPh0dDNyUfZqCmUnNWrTWJgp7NAyxWeRFNCYcK4jkAKB4r8K3mXO6kd0TFTpcnWjY9gTFm 7KkpMn+qJhElYeu4jaBEr9P5J4IU74NUZlLURGSvoYDEc41tDIDBAr+3pQ75zIIvE3vEb+dTeOqF eqAfhxVY4K/4bfWUM/Okjuwte7fZ/Axih3DQxyyJ6wVlLgG+cYfLzMvTmcW4AqYDO44dP9R0hjVI Xce3hA4/qIicjIcCqwZVRlQS7hjA6YxNUd0t2whRGAM8Mq/DVXqbI3WYyFWw9xWapPUuOyNsVjWz 6zZYfKsBaKr1gkE8Q64lQL9OPA0VBnLWUuC4jdl9ILDPKdfNT0jQNBObXuAPDM8Q61DEQ5DtXu61 UcSh9DO+jOltdyhUrQZsbrOxnNF16K/4pYWDz0ImguPr8XPkv5lJ+fDvLthpUwLtAnYkDr0WCI4q iHrgO9qpx7fgqfmmFMc2RoJw4cGyBfdPJnkrtZnt2kvCTBqCPRR6BstGQE/Fy1vyGs4/3TZEJA/x xzo1OZL968xBOSNGyPY/jCeQu5LQftZrz/a9QNannOojfkIZcobvSUcvNO+2GNf0kZ21ClA31uBl JwO8JY+MpmvgggkVjEIKph0cNpNX7oa80Cak0jZotz/ztVpyZGApo61SORKLRxnerKr+U9z6Efsc APZoqLjtaB4ppBR7x7EhPF2HmAWdXiNCqEO9XLweE0wnFGeVyqvcZP53goZOH97pecHdyxjZfljM 3NOAXMxSmiJ9dnzNUpUZWfN8wmOIuoFRif5ApysWp9t/Es/INzNdCoK65gQcrg58rEQwhfmQXW4R Tu7L3YHLzPThaYcwZhGrVYuJ/Cq/EaWqJ/fJ786hTqC6XZBGr+wPWN9SfZNxPR0HXhTd7XnjE20L 1K4F5a6G9P16BpiR7D6lsVX8hc7GhH+lynDYy4OImf51q6TyS4sscuh6z5SHNS+ZTCbxI2OEz8a2 9KYaLlB6gIAh7+t6gLz+MkyKT5WwVd3Ho1ufDTahGAml2oIpcxHTocffvYzqGQpffW4IHf2vKPed r/P9XSt0yPAkMpqY1HVONSanT5GTuFvhQpjdDoMcn94Hjs6lcRRXhQLcoDuTdykb8miFsQKT1K8r xXdVQUPiUvHByGVYM8i57YnH/EJKr61gFpJylYcl0za0WqhkxfJ2OY0revRs5K4sxvXQlAlY1yY2 8oUbyH9eieePoLT/UuLMqYe4ep2vxKmEJn8l4YQfRZxPxAweJJZ+/JKH80ybyNjxRQp3MB6p+afr osnheBxyFfTBa9frh4YNdbTbiFeed5rAJiqTj3EdIRVpeZkzf3QcDsNA+0bCN1PgSEaUmEMGt/47 EApPKUbRBgf4uyEC/qN3zNnMpyfwgu+r1shCap5Dyi+hN3FbGhDm3sDjbFjOCc5WG3eoVy7CRuZW g3y9HaSbYT+8TTNXbDOsYuEGoEObJ6VVag82HRflx2iPoTIv2SpbHnihj1mXnVHI4BRhOqsDopTt MeCAL5cRmypdLlWrXFKV5o+4RqdLHdl5z46u5P/ezIL5Z+vWheakbrkTlV4oX5AhE7mvSm1xlrLt nn8hyrT4fOntBRBRLt6flI2fhoqm+Geo8HZcMS7hkiwpWVVzSbGQGmwwWdYCAD5Sxkn5BDQBWsI0 idQPbvEFoqExIxOJX6qIdIgNUpcOGVini1vmZyvjZQ/HSHU6EF/OcnugrKifHB6EVvJwUqtg7Y38 CUBA+T/ZutBlcF3v/sfZ7PPvGnhTzMc0KwnBtUkoR0yxqJe19M0abNqsGfPsoHHWJsPloiDPDCS5 OyF+hA5oo/S09cZfx7Xik3f7fy8r9rWkceVihu/mlsRPMi7GuIo0HBIC7lLio+FmyDsbhdbqBQ5u Bf/DBmTkzvDlkN3veu5+jJrEQPrUNWpVP7ZTlNRhE1VqRMzTorGG5YG7D5GYFll+lRVNhg373BGs kO3x2MliFf4ONaiUTW7XJawjZ613yqohsVgqyvjSALo9+RWKTM8Kmr0yNSRU4mijId8LHZht567e 5Oy1OAFmN4Ov3bFKa47CjsVvVtJ1jRECqMW88M/oiyx2PYzUPzQzTz5zbiLM218dTi5wC3swh/yN L4uDG/MJ2hbRZE45TZJK4j+QAKdH2btGTd5y5vinYO5FkTXpbnf+efWwS5tdFt6JLRwWbCsqhXjI JPVNltF7pGNDeDaxmH2IMNkKQUgZoXBRNzL7qzQ8WMwTI/bfdgueDlnuRzZm7SX54SlEZ9y+ZfcH kgLQAZKq8hsLJdz705sgYdwBb2RyU0y/210QcWjKhl5XEe3nI45VC9lm+qJOaLBTqwyWFgpt5Gei MvS/JWooNDNbEujiHa9ZHCZqKT7skrwpiAZYKe6BpEqjIP5OszH/SO1AML4bO6HuIgbZTzU/P7ag 8scPLr8FFc9YILjDK0vl3KjcXOYqkrWFgBLYxF7hQFSV95I6L3bAa0AHC/+sB1OfIXEIj62FoTZp 7lQw2AbNfqIrgsWPGRV0FKq2cwK1/Tblejp2l97Hc7yAOJqPngC9o9pTauuOOVKJPz1LsK8dSuSB lepl6J2zP1Sx83F16YGG40Iy7jBh5QsPauDbVHkdMyEF1Mpy0LNmj6aCv3nEdsDx6szkzCJ5f6LA O1YtoN4PNbDr9z/plQIa6UKK2eD6UuPLLdbtE9EnZgzHGkdlnKyJsWfchc88UCbGYvKBCA9hHM+W LocVQDvZWVKlXDAWbslPSanAfmnW9e6wNaQHtcKxD/4PyMKmgCkCgycluoPe8U9jpjO0n+aTZBgM FeTrB1gJ7dGQgWn6RXhyHGTrVUPQaz7fP14qu3sVu/F2ZV6nkINPBRL4TXUZH1HhKxMrE24hOLyz 76m78mbp1S7QByel70ZuYvfMOKWrGUOpeRhu3qJGYrPNALR4QYRV3T4dn5THycvCOj+R7iCKrbjr IyBMCDko7uZoZ097sf1rwMQMG8x4G+FXSJRdxMvc+/7HdD0mXbNMt2n4Xd+H7WFX6G2x9F199FTs Qsi+Ar2jyW4EnR1n9rC7Y/1xEUgGVtjcihmbC9GwlntIieJIS6xRWzYQZWRVjs8MdwpJ8npukULu UyB5kWInut5Cgdm0basl6076QkyjEMBjyL46vxfyHeDckD3C3IcFQ8XCkV+//WH9LgHJ9qiWQ4Xx aRFCzckD4gmreSXh20zm4tLfP6ltQx2CUwfPHUj7Dd2Xjz3XlKEX4/3O7kyLxXQ9u2b6nVZDRuVF sUFskaYEtkCtxoSuc67qP0vKvkTwKEpp0mgGnPokmrsxBRUE3fKGZf9kh6KjbA5DQsvksBLTQwc/ n5GR1wvUNHNpduvocbqoVtI2JJRgKnrw/GhoXjve7EH1Ta2bnRYSEdsGV383nQKB/HU8AfJ+bxPL wKWRORihZv6JHlMqlj2gm49PgXP2j+0oCTSqmpm9IuFVxdKW57HWXxG0HYhFa4pzRn7k0b1kCv/U xoVUK7n8FDZEvpVr/gbH3KFxq3pnmXih5lbs/eE9Y8aiw6ri5R52wZTLoIXm0OuQnU2xUb38T1z4 h+XIfgnWxR639pf14pKCeeMOI4gvwEMqsW6PFFz8QyaR2hZOrgw7pWi+YAJ0lIQ8pBVZp59yXFcO MXsY1A4eQJLebupztfIBqtk4kZyqplnLGinZ2bGQ2t69Yg7Mz9upJ18iPnvmgB+Fs/isD63ZNZO0 4h0eh0aGSWy0WfXFRDO+DlaAVSaJgJyjveSLZYBvZHM3AxbfFwb6dpVFMxrJuHalk44TfVcJsVGJ zdIlXUWVX3gNorDZTooIX6EkL7XnadDtUaz/DfJDS4Bnh5gx1iFk+Bz3aOp5IWnssV8wP+6zl1j9 RJ1Fh0Luhc8DSkZPzHzYvt0ns2K8vvhyv2IPQOKCk0ECihWJP3jV6rTOCqGE2zfbSlHyQs5D8pT1 J2B/e0D+TTt2LhwRsiZCP02veXbOgwFIKbJcyYpc4C+xUZs4Xtyqrz5cmjI7Kh4j1taCqH2VUqa4 bR/r+AjTlqLicKRmRaHny4j/CP/FDdSJsh15nFj8g7t75U3u1AUhATjjLnQSnjyCnAGpbLSEa6Fh uZq51jRlWm8m/Q2ULgB0QA8zqC4U7jEWdrkrH8DisWpDMxZwLX3A+N//Rb7eOBZlHMX3ZXMy/45v B11ihcPaDdPaIbdMG9V+p/+YiH01VMyf8rODO929qF6NIN9Fb2FxMGoQ19+5sIRXjVm+3D5mZWMo LxvvQ5UtqX0CubzUub3LUg7aUhlFlqAGQ3W7NhY50l6JJhDrhL3voY8mqbO/D/32lHHiH406TIQZ YWxqt1BHNp4bfbcdUrnXpRv1x73yLV16pq+216TbAoSh9twbWI1B9F7L9kKhVxT8DGyy0XTWJSDt UX2+C0h78QCa9OsN+PC8ixbVdMSWLfLT4bDG6x1/LmV2kdj06Kc8/4RizzYYbHH/kB1ePQ7YMszs Z+HlP72SOXiIOqv++YHrBOrRV+W32F27a/knjyxb/pGMyjLFWgzaVYSHhQmylElF0AKqeLIyBdnY v+4HA9MSussLX8aF0W1W/i0aXO9PZzu8wamKuHyIkBo51sIi+EPfNlC5IV2Elz1+P+R+B0h/n25J RIAD2jTuVLjC2arszIEkmjeCxSWVRUiB1ZUoJPKwMDlKg/cHNxRSXaiJS/4uC1sttM4EA4oy+coA Gfu4xwkisMc6lw4cwcV6lIbtpx/Khf3vTLti+hop12Hh4IjvUtN8TW9BEjhmnY371QgdBZ0URbfV 3AlYjv1ojS+pIXw5IEErRgLkNs04i1OTjhH/Y4vBEDfpzt9PZg4U0iEIVXFO05WCoGTDIuLWtGln J+4zpm5fGFnz8MWpyZ7oE3tcB7H+wv6xYfTUobvL/gHUyxG9doesdZJt1ZEuiBt6rjeFMO7vpPQk FNgEcMsPqLA0MrFl9mtYD/7FiqAAeqj/ZCoNSE5kiLw+EKv0Tr/HKJ97HL0Jp7ZhVPZ9HIgMUTsd RjktOqbu76X6Gj/mm1S+NOCKXfoL7ZKuTfe7aicBX6IWlBfkNQPjo9PS1m5G4AZbALnZgCNY/W/y bCSvh/8uL1FjX7NXRryPqXEXw9nQkvZdDrQHIfXkZSpwUND6MIlGs13VmuGaV3Pb7lhlyERy9O5u +Z6/fGFluRm/ttugqLB24+BdsWNWd8ock3NhWZAkkkHqoTw7B1zAxaJk/VnIx/1GxLYsVPaecfDN xNhagiXhX0QKWNU3nGSCXAGJQLhYGadFnkqOP7dcivEM2eyu7Q+PD8WyEsKzWwPTYeuIQupSMnB8 NXuSDHrtncaONtZTC06dwA8A3Yo9veuFeLPSWHYcGHhnCRVQiBpsiWp/XvC0KWnv6+QQ/3uU5m+H kHyvszYYZSJ9LKKtxgY+pgnzC8FS88QhhB7L4BPJJL58gYntUcdujJ/f7dt5JyQYLrYfXJ6YRRAI LAaLp/r8+XXCc9BRtHixMJEsB57Pi8pwxLXW3L2gQOAbcBx4eoEQxPAn5zwfgnI46dXM609cMDN6 /lhOCHlDdkArutA6UfYuKt8YsNU0GLrPiSrrf/O20EX3m+SKMCVlnVngjUaxLVB9BRQ5tpe2pQm6 s8zt/lSgEv54KmBjy2DGr7A3Ezdr3V5rjvXEy9pD9OUPR6oqxWSCoD5pICVfDgvyNkJRHT92m42D d/c4lq1CVlcNTBRQVq/qJMVetXvyG4oGcBDL/Hs4XqDD+/UlZdSKVV61l8w0a6iNV+inaSz3Nt2E frEbKhVbOI+7IO0mi/UkSCRrtVnwQP+wsoZwYlXV/BSVp8MjK/qu7Miw/5Yj3GJnzDa5bAe9CfNH Q0BIxEj19BowYhRAzx198IBV0Gh6O9G1Y1TpR9e3F32+AbMFkeyVCdG5a9NLEzgqZ3+ScOM4s6TJ UR6UM4Ye3BK1wHdCvwohtLCbEQmYY2tAHk5Ya2bd0aCc5XQCqNmqmg/CDA2FvXNROrab0JgRTqsE fPJSI+tuJVptBa11t+MbFyO6qVr4PuIAFb2pb6+Q6qQtbs3hUM3UR5IqI22K0if4jOHB24/hvqkZ GevSr2iTBB3NhEB3eKTokDJ8k2gAeqSU/VrmH94pxxmE3ROElWvVQ/w00bVkz44YG4mlGQBQojM+ 9g49Mkvv/JlJ0fCJ+bQ4FgKyJN9eEvrljDaNyYUCDyM2G36nEQ0gBrLdDN6bZK61oV9Su+AQM+3j IinR0DxNskh1s17koPFie0NGd+J9oRdg76nPJzMCCEYU0D/GxdDRaUYbQEeKKLdoRyipEzVmJRNp /iDCTT0WnynbSOwxdvfEoiWkcN4whsJBx1/bdvx5XtYZGrbTPR3ZcOhV4I6YLJlJogTt8LxdvE+l MYR1ZGKlj5yhzES2u8vTOixRabTnqhlu34j86os8yVPcKoPu+1KbKPBj50R43Ue0hqpN22MAGPX/ t7PhAa/qZrkcljlEwiRb7CmIw1t+sgyQo1nvmfE5UNe4VVCzFkvbeh39oFlAjFUK5IHIq5pFMdbc mvUoi3aJfZC523vNOKMItyFwk9Qy6apV/MByOx9EaBIuePAMXKz7yFETYAZYCPQ4HqABXqWDBazu kFe1C7dS0+EGv1rx3edr/URm8o9XrhXhc0/hlnokcNx1RESEoYn0jFU5ERUbkhxgAvJxksR/wTVx 7oB1emLnitaovzyv0JkEvCuKF4aagPzhx3i2NUyjMM16b9WfYmuL5pFCrV0Y6nromI9xOQNLh377 mONdqM9Lb3TNQp4fzOsHZ0o3PAt5FN7jeHfAdDNGSSmbT4uykcU5uxZsuJRQM1xevFSvYkxbyBeJ TVUdMIHvXYgGd9ADJva3AIJxV7yrV9/fnT5sDVBII/XRMRe02Jy7/Ok46mGbqG3gLjNyhzqEYHO1 3TCnPu2hy24ORHLaOw4caFXS060/TdKJ5SCidSpUaAKdc2nYq4S3vXUBl4756OFiZFvplXRdaPP+ o7zKjdSh6DAEy/2HbFERmbi/PR/1dFPJs7iqTx8d+ZlWXDoURRu5dM5WAAOl/CJ/MnUOxSQu2yRX wKOOKoG+PTi4T2aWCqfkR9rw5GR9x9YKgBOROxs/x+BaKmI6v+2wsV5k4OnwACO0KzU7KrA33X/4 5EbP5AT0ZVJLHWLw9KeJtnpGh7byECoCavOQibSVZsp70xLV6pD+5cLoiHziyY30iIOvsfitwLzF bFVP3rOglXQ/NZRvqiZ2VY4qcDWOYMknKOGIb0/qIIr6w7s3gTEgeahv6YBd2Q4rdrDlGGPdatnk wQTutET+muuLSzfYpy1MKhJHnyW7WIjM07xOkV18UkvT0vExhzDpOBWPaVf+gdRQ044wnnt/pINy WKokAW1SLuT3ZYH66XHMIwH92zZk3AWBDQ1fFCk2Rsai6+cGzWHMJAxuVDDolhO2yKgiVIZhg0RJ f7pMHmhaCx653bWDheAWz3U3oZpKjtMt54Zom9d0MgwJqfv7AamiY4n19lFJS1jKjL3QR8aRds7+ 3GkvM0P4Hc0s2irL3AJNEdBA6AOx9WBmjnQXMYlDaV7d5SQ16C2HF5Ot8dPz/7KH0G17FBlaCS7R 6RtaP7HT8FHpAFSDhFAXZUIWqIcClF2RK2bILuAqGDeORJA/jYljKK63Y5F7KRmPBgUWXl4ho9Hf gAicrYq8LErys9K5xz3B2LpESqX00wNgYBLpVUZgJftyBkzWsxG4MtCs4jgF5O75LxFIJz5vboPN 7xbVRtO3rKmXk85YEOFR6/iR9Qo+jSFPX+BA5xSh+rSF1KFNqw3BvC4pXTjJBg+S4tVADJFPxnuO rY1A+Y/TkFhzu7QEl3M0209Zck59A8UAGTflWKY5EBEdbExtDoI336Eu61gczeT2bDiHfLPSp5Gz h1kWUvpcvEGSmYE6dM56d/IOWJuk066hTBNOrrRp7kh9T+izNvN6OQCrC2t+zcyQhVmWBYkVh0gM 5Qe9pypWQQV7xRxVR4ii8B/WgCs/rxQxUYtc2/2myBQHS42IBNfdEOyiod6kFdJZxjJSfooK1Ccz yihbhsMb4guOD3qe+eTJozupTpVgWNoM59WTr4i4mw6At0sOPkey7GNP3b5PLPzAu0N1jKzG+1pR evA65ngdbUOPARFNnMPCbEJq1K1byd3S3lxL3svf8HYsCzilVVsCE//FHdtc2I+YFq0EDqtymFGk nuV4R35adrP2eI/x+JTveVKex9a/ljX/xBue/0+FVZZdIniZJEZtp2WZBZ8AUoHlJTAqf1JufDuS OU57U5X6xaJRjiIb0ttckv0QNxXnGWjsIb+om9dnRRLz95Q7BTFpIYL6rHzQTbo08KRkD0BW+LjL doHYrDrJOzVLJ24FosZfhQzwdcXjxP8HtV+NJcgwUSEnBAB3Ybnjme/tcUV6mdjVGdtOWs0DWBLh Fzb7/ZkvyOxVnMUh2UoAdw/uQ5YsYqrdSn6Df+wk8fxd8uB0Ei+vw3fn3Z4ErgRQ0M5/cntdOHie kLI8VM1RfSGRkFMiap1zCDl7mA3iHBssviYPxpe5QSuY+I7GFj/foXGXEOSFztmlwp2cAmx7yGLi SXamM5spL/JAz1roY8e60q6y88TRxcFL4qDSvNYfhGLwHM91495b+uA9YUeMa5cdzGRqJAZwIZ33 LZvLK99hHGrBeynWoPE0AVrwpgY1ArsOmlfaYB/P75P2bDqVmp6EEopLdaq0sroDguy2lc73slPV xT8Tk0hC7KodyZ3JvTFt6pl4bMO+T3sGvyc8wHD9pjdAx7cbHgUejzNd0aUgYeA7sqJwV4NYN3Jt e/Nqp6ahSgpxpjuK/Rkm1a6gyosFlbjuRfyecGri5M40Q5EFQkyR6bZPS5YvM4AElnYI2sPTyYNz eATN/lzhSCkoVtDORBASHDmCs/PKEOMbWyNeMw968Z+M1wWEPrA3oXEmXcKB48EhhYe6Ae2dWGhE /kc3WtBk7a159QmC6A5/b3S0b9PY+atm1q/742nCtYY0sABAbpNnqDJL01C6rBIYbjKuMHc9LMw1 dLO1RycAzZgxsp0X2cd7oMw4b4fINmQ9fQ4LjSt6oLAMJz5oYy16cQVsKEnbcIFyq2qvHRau8b3n J/s4Rj37lcVnRZqkUFcECBcUVq3PQaK+Myl4NY9wULDJ4mXYNIW//nbvd7cyLGNi3bQWaD61ey8z RRJEL8mrtrrRvjzjr6SDf/sEdzGNZZqKFoNObkRsiOC9FcsTdvGhr7G2rtvvq+NEDq4copVQ+iTW WaKR8I1jg2XevERgNQ474Xysl5bYdxI8eIAXDRixwclVRU7+S0/tIIGSvyxWpeyAM9HzwT9KZ5gh FOJuFKzoB4hGdH4yeNgHAQPjDO006WyUDZAC0avbgP4bc4kQJSQFv2kfv2udEIBZYaHQzvSRHG27 ip9vO7vCcx4MRC/LonJY/3fHqDHOhPef+jB5JYZ7Ho61O/JzDFJ9+dSoKDqQdJJMaUkIDORrYtPZ e5HjQSibgPyYjH9JW1V7o1mJE2k9LZgq5aWJzRO0YcyIR7odXbuKqlYCI63RFF2Ru5iridZf1OHI c2Y73/nBuvJb4VO7YX6k0ls6cGyrnIvY0iepygMC/rqgzHo+ecptvBxdbxo1emSONK2QLwxUQ6sb LMRJYbpGUCRR+NK+gCG5TNF5PhOlwRBAQdBzF5DrmOQNsSqox1a0Gyp0x0UoPHlwzTHYDYeR/qRG HkLN4euT3RHvK6R/4PAq3jdk0ZZDh6Ii40L4zHlG2CCyhA2WKRFLBZE5XkrIL0FqlfW5ZaMzD/tE BiFVrXLYWnYkRlhTRZZRzAgLCWHEkpdh6wf4EO+jeVq78Kv1U5yUVIg/lbiw2sp8tthJQalkWq0k 6YW9MIMtVR7UfkdwHwiUgvJvBtwTWNzKom0SomPTug3XFv9Ac9E1UBdEtJQGZNzUhjw6OM9o3Dow KCoc24NI93fPPTREzOiXzK6bcNXuXAYcdxNLklO3SCiO2YFSymSIVXBeho4uElAXZt95egry8BNB mPvod/6ufibiHJbDGpu5bk8MItN1nwKagSY7zmzroJEP1l9VD7/zoWEb2hLmxx76y8Wc/F3cSTrZ jvIHTAXIkaeI/tAh04/GYYaD/oNlDtVq1uG5sdARrorJuzlqZ0kiSPp481hD8fnBDy6pcj83f8xP 7BDsg4tYccI8zARZqPO46qVEUkJhAR5v+wC4fgTtetu0jpsqed5RqTflepYj5XIdlP4jEmzy/SKP 2332t956qa2akG0Ckfkr9EkxeHdwcQHEvOf2iBzDNYALfMKR/Y174l30J9RDUjJX6ykd9W5sAFMU GOISPC7AtZKMvSNx4XaBbPK601o0w+jzVhDy2HOtLb/ZRu5vla2Uzzg1wHQaWPIr+ubCOcF5s/gm qJbsLzr43I/1jxvS+vQO2whmwPhEJZ6/wKUxZc/Nn7dR/9AmjeQ8RuBCF+z8ToL/4UXYHbe214+B IERnDbgQtysyMVDch/zh8ewF4pJ1JGcqxfJqHsWW7JQ/n1LoKWvTSP8PCnqBoJ5ckoTfARbPiB4V eWGfOFz2Qjq3bBLWN7pOpM5g3kTgKErINlvhh2wvmJkNgPFMb0G+3tpvoRjqDMXfc9+ZMEHu2mtD WGd8UmA0mxZcs6o0OV2FOT9hoFTMFal/M9AaBhPjYPOZ35uNc6dJQLzMTgoCkhfrhCIo201zDsb1 qfGNIwbIhD0waEWkHETLy6mMqoPk4pArAIYQZfMhS3dRbtavAOEKmuzNjlfzLkF8MTKmrnNAZDpK DXKHbB2QkrD3PWY62uwThQjPpUHomCi72sjwc0CzgV5QiByI3vvMKVwrD7N5ea6EQpa8jDXuMGkJ 4HXwl0RwDkkOBnpiAshd6iIbFY9MUzIr1ryNEiWeqrnUozLaUBTp4iA6QPahhk9zQRyVwHg8ub6O 9jqohgh2bU14PzvBgglIaZ0SUVFCWV32CH2gf5tTkL6wuVPxnQDnD1lqZio2Ytk0iQpP9Thg1ivr yKegKfeuH/oxMemyixlWW+Wnpv3cKQbiMGICcd/jQGkKe/87XKHHuTDee5t9o4CoEKa7CnYiw5zI LdVJsbjFkAA0MZnUEP1leJXPq7sC77X0x3oGIKY9rEFiV6h/jv8K1toEPh0yRoBuzEJF+bNX9q2P e6reIue9R0DysbsbNMDN5mRjarFaYNeYOteLyil9t4pIk7GnPNOcKXOt1Il0i1qivuaUnS0jqxHC 3f/w6axeVb+ZCuvEjC02twch2YPnmkCSrVMaq9YH7pavy+wxjGt7ExpH+VoVwbKbQMUQViBxLcP6 +iCQ+mBQ5HFdmhKmGwY9+wF86tPdWccUO2FytMkz7P1DQ07Tf9JIHpW6yItFBCbkGgUhoSwh3uV2 816Nu39sEMM7dDO7gEMTpH3Ht//QFP93VTKzV0pDBQEwMDHehBtBkA4X6NYALtgJ+9UdMBNb77Qu 76XfE42+sz90lex7oJfU/ndKp6WmbD6VXs4OMTXS3rT7AxH+w1j6UO3oVnwFSxq+0Rfs6Fv0tMGf QRu/OR8HFZbXRliRB6VIrw56ohMz5FSF3bnEGz/vFt39xMj2tnX19EbWpY7Pk3qLtHrPDV6BxR3g ByexmXY3u46Us1mnzbXKIz7DJKZZUcgMYsl1kKxcqc7KSJH/drNWG/taunJWNnb+zZMWxg+ssYzO 0SlxAuBLqG+NVEwheFP9caXlcvwWUpa5Z5hb2ruTOqsjXL/wPkFjCZF0N2wvUYPt1XLRczbdTufS o4FoEaSIGcJKvoo8/L2KwSJUdMpu6+JRz5j66bVSBfk2TWQCYsAYzu52H6mZ32ZThEsYDmrrTh2p noifCtR75Ub4T09Oo/NmsbuTYV2wvfzwoWNNZmscRokgNE+Gan5Si+D0hLNrlogc/68DLb3UJpe0 FZ8+SVB3Mr2Uc4og4B9ayQimmilpXlRBvUsNPhaYtnHCjmXd7rLkr7IbhOixAceJZNtyRU0pfktT MycAUzbRY6IijGbZAW3N5PT+lfVd+KPOxEPTCRkWpnNxOTA/W0/su0cMMalBbw2HfiP1o0PjTQ6+ Y03Dce8VhQd+AQMX55i4VlxNgt5nQjaWi0r6nCVB0jH4B3PCmB76QOQ5Xo1WiySzHYmVX1LhDMfG AMGjWkrbuCQlxpCrJ7svV2T/nJJ9Vs6R6dN+SniyaYBcsE//MHTpw+Od1hzmTsM2Y0qgMBviEAmW Ayk1TuDJCS8C6nyKBVBykbnrBnCEE9Sk6UHXJBatfeXpLgWdnyG0Tuc8o3hWHDSVqUHzItcAsMfp adawjTBTOiHpLn2l1iJvsSKMHTEFoBNXmvZY3XhX/2WvK4bXAmsIIY/I89H8ZNpRzGNGohjMVSSy MveZVpeWr+W/2hYYUmykpN+YOhD7Riz4nuJDpr1e0mL4I4bg9btRF0Sgu8fpLlD2mVuR8Y54OA83 EMugNN68nDBns19LrPpKkQvBL+IKgDLwov1pwej+L4pNn1ckC8h4hflvhHFQ1B9v6TYp5JiN8GQD AOWTk7NgPIIbUPJuMCC0FpbOKuvnxWcXkg1LB4TN4dnMmpp9F6z4X9bjGzrocrUc5PDKdHN2klTX iE+kZTVHjLx15kTxMNHz2feaZPivFuGcJdqPvLK3s03Ablg/t8XEREfYKvKXH6aMYPq96Tpl0yqI //cMNwaChPNiI5UIFCIV/bLc/zpU5acnPgp1O8gFkSwUPwrJJPF4hvqhKbhqG1R7K3OuZ+QKYgyp GDc8jTXa1sjjGsvfk0yEpsK1aajKx1XNVWR5oGCqFsHWxAH3jml+huYQuRlK1hCiiYsqPUniKJKy 2pQnFLQNKyYuEecgoGQPasN6eYIQtfVtnTgKXvaTJMq1GapjpmTi+OmfphKX9x8L5liGLMDfjB9c BktgGoN4Ys19qfgwcYHaZ9tYzKPZqR4XwZ9wmGR3H1SOvZe4nneQiUaBRZTTZXqNKMQscudnYOPq 4JUUht5TfYfut/Afp6Bi+xQ2jXmVH+0RSH9gDyhz0Uo+LUaY+UrViy8LHva3/Eg2P6gDhsYz+INc GgjlVnEXV/8MVuxgmmBpmq/NqkRqjwKOEipRbZqJ1EwWPkjJyPtDiES8v4fbUYnHZG4Igbyrm8vN SERjVdT9+yuNqqAzPq8BArrDogGGwlNsjhVBTDlKLy1GplS3dzN1otS6BTYB193D6GR5RgH0X6o6 00VC+JdX45aCaNHve/kWGEThikt1BzgIg+DZJ3+PdcRUBrTOW6Fh1c4skutyvz1tydcN0njjbQiK 3xCDo8ERU3Ewbpgl8SgSEnGzAZpiAZMEs51f7lUexdLWzJ0q9NH1EE4Fz9NyBhkwZzYLIjTXLswP WZ6upn4KNWcrpO0Rmus+XV5EprCMI4Ec9DGJv8naoxqjyunsosqSutEnWy108s7oXgApkjrSm28I 3Qy901NAsui+dZbMvQNVNKyggjmUXALScqp1vmr9a2FEoCXGVPoyjK8xQNE4br7P/H1EDW0zAn2N 1I2EDSCKLHlq2UJb1wtILbImLgkgxT8QL4ZWzgdb+1ICiBdyyB5vgvITt3da5j5I2oUjT8yWE5qI jApdGukenYLLzm2n6kBPFTc3JgPChFt9EUnZgkZiEqLPwFjs+uxg6TIFCYRd8077g+ZXfnmXBjwT he+a0V6Ml2ycthYm22u4LbKANG91ev6BoyqfAXPp7A3GVTIJdIZu/IGVL9cwJOtYX/T1VLKtDiU3 t5kQftR+mfX+qCUXjjnhU1O7fDDv7kmIpkHXQFvdYk2I/T1vlvxfHCS9Z+aiGiUnW/Tm6oeX4wQ2 V2LW724= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_bin_cntr.vhd
5
24719
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Z6BHU2DpKswXqZYCFbHbs6a8d1sMlEerj8R5p7Q4uroQXoAm/ziSLPHXFPy+m2ZJ146Y2Lfr/BMZ 5nVerCq7Yg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Bd0FLQRO1CM4cxLq8qAPSICBO5PIFgH74KkIqfoCaND+HNeiRPEc+zyr+szFQE6psJUwUQbU3aaR wiPNvDP1qVUmWouryD9w5kFYtEcSVOesfRo1lLaaopl5xXJiF8Is8J+0U6DCv9DFWRHzurRsSGDn 6KlB8TsTP2X5WTsJL44= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OD2PLZMCm8mYqjpsOPbZ+fUR1/mwKOTLNHDzPuOokE8UCgBAEcqONYgcSOr3spaM/XVjCFYmAuv3 lGlHbmrxPz70MTtXrZB+fG3ehJ+MN/Lmh49BKKDcYLg909bHsGc3hlOC8PaX34MFYVDcuCOnprV0 2abBmLaHFysESVGtsFlwxwwC2FB6CAs7LqndGSBxptzLAK96zx0rIbEsERRyjgn6XgPL70cz8bSy 1/DXbkVXMjrRMigx3p4/rCglfBZddLABuRCXwIBIIq0FoKpYLtybYDnX0o8haXN8fbTHXszVV5NN SbfgQJiIZY7+NmZ+9DyTQrGdJxl6ozqPLRxZ0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PCGFe9q7siwFqzekcSvOanqLjpn6mQJgh2ORUjjCXYYSP3cd0+4zUU6fhAeIm4UxVtdXIWsKC/6T R3e8pUrnLUbrRSa41Z4v5oHK7aYhrQePZVoIL/P6IzIxxiuLfM7fp+fdw2Gdg87hO5Gl/BDuZ/j0 PjwMYHOfnT8P85jZdwA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nW7WFpjBPnqrXIIVZi2xrjN9+OwED1jPwXqw2SJp5Ol0BVn8NTTSzt8wFMR8lCkQuuelBkSQ+EiU x1hjDEIdL3yyBgJJi9Ulv01Z07MmJvkQ67k0VXiU+g96nXA6efVXR1PTfbU4vEcSIvUB/ctDclYY fkS5gg7lnoiOBMq4uUgtwYkSCdUbkH7G2Rm1vviE1PSBZpOcKHxoAZY/8cNS0tmm/Pgi1IIBaku8 hRb6Pna4V3CcliCvJ13AT1gn3WDgbLO67RDFv9lQaXZ0Xw2/laf0otSPWdl9/I5X/MW+o+h4EfiW HEBnCJjhVU0/gg0cP+xgr5ndm6dEx3XNg6F1XQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16560) `protect data_block TB7MW8Bm1bUm2QgDxPjKoRYk2JIohhiSCuQ1hse6vuejol39U/ElymzSw0/e/pBQk0bl328apIun i8UrjPRGqiUJUsilj6gOGCL5IV3UmIPvyqCunh4EsBh1SdruIRvHYq1ypL8MzEA5JxsoE6MFByhf DwKDjMddWAQOs7cZuikV+ddv8r5qv4nJ0koYqT2vE0lQwXxF5b5Dej59H2vq26zmSBFvLX/E6gEK PpFdtPXZHI9h3k539Vlxh1POp2haNW50CJLal+dKpI6/RVII0NSxwl7xhtHmzrUSEQScicJ7699u fEA54xnpbbR7Lm98fRILH/rpCeWbOekWs0DoWlYmpklCEaB+yp/gb+ywrwlm7W+9WCT/qkzwGxBE zXo8LWvnoVI4nDnqPBtrdDJ1ORGhEdRuoZdoJVQNtF1y+8HZPBldElIaKDEUlCwktCwaWfa0sTo8 4AQm+V4tj6WvFrdmo7djOvoGlsItsLWlB5Z8V4nDjx6g25pGmL4qsAROskNOg0hpqYPOsK4IB1sB Acu63XEt62DUVb+ij8NnhwfAk5ky+USdMr/v3S0nnPKaLCJHyq4TM1htPVHl7jmM5yqsbGVKaae0 uWr+U12VFOHfcxcYIL0cPyuFgN5CBMezkVNh8Eafy+h2gaLt7gZvY8wUr5LASm9d3qyBkqjATYSx 3bRqVRmjPw7DPe/WXfUGkJZPryxAjiUxKs93gFQovcvcW36JmtVpYKDtLoPps/uyew3u/LJAC7oA 76GztniZVFkkMYwCCIr0RmlMAvByNoCEKlnP0g0jHO2Ca2vywfiadEAF64BZg6xLYWNwpxfNn9Uo 3YBxpeD8PLvYF+G4OhBWUKCtBedkt5feIyx3Sr5UKVP0czIS3ErW3wd164HJiQt39SS2KleC9Vt1 JoTeHJqtK7t1o2+NGu1tDKrVvxbkIt1tycrlGaF4ZqxDe4wPausgu9lMfUasIpYcKDlBA1sbNP4j RJ0tUVENY2jON2OGuMGI1j4/4JLLyHbItXfbT09nAMJ77gv/jC4h5Ym+yThPEPTxAwnvvA8ikF62 wUTOtrO1IaeUhYm6lqIubG/iZXVkFOEJ4UjBSCKLaucFK3cLhe3K4pGz9Q/NZyW6LkqgsIJNfykv LuHdmQgNsNAJ0HDGlf+SYUNmWoiBG+H9DG857zSIDBa7u42hfGz3sgm2BM4PMWDOiT9l1rVq7PHa 1PokskUcZRwPkNMtwpOme4eXJ2LUs0+r3dKhTkauDfuZrR99C7MZEOaMq8V22d9AYzTjoX8hksl1 w/DqGOFLPMJ970UNgzX9R7wJNEiG/UPHoMuduBOwRp4kLDbPlhKeCfgq7z4nHwqSIyPt4xYvpu82 rD69kJ++tOcLf8YRaAWQp1rSbkFNMpFX+8l6CceFJcwjbvSpWHFFsbo7HelxahY1cwltqjKQxbk/ FBa5Th204MT+ra2RBgddstT4OlhVpAUYgB7wa0kDeC/wrIb0yKaVzmQzqp2T3rgFVncmg82AmFc/ 9oXDtz8KwRitPXWqrneE7Zi7l4YvxFVvSJ1zBGL616cDgbnYsuKinXMOPYSh8uH7gA6Qmj5OrrTK uCtJ3KZJx45f93G3djy+KT6Onuu3QptATLqtrtE/hel85N6PpW+aq+dUB1K8JmJAqB2xAmfxUDXq B7whGbYdkhLudNWATyaj2S9FrFUeg9uOeziMYzfaTzy4G79PoWxgjVwAhjSQnlymBKZJ0Dyi7USD sjDr14vKT2J/cYNlrBolpOmlo0vTflQJ8umFNRc8jMAULjjhVgJTXW77YwoXm2nHNVQ7hxwZC52C 6Kzqo/aAYI9wJlkUvlbKAOQpwzuX1BtiT5hN+cqEWcZ2HOI23NdIJ9a0jbFgfQvsKd5AotCKu02c S9S8Gp6vngWgpNk6n18tzBtdegYNm94iX+4VEMLbrRqGejQkuH553WU7zJNt/m1bc8igzyq3HGHb oX50TrWr0OLxVTtrc1qEurI7UsVayxaVem4QV5h+y/51KAY8WG1JLnus20y1W3i8/pGJmgZ0s6kp 3ZkoeTP9XEU4DKe6NAPCyJlYgizgnjlfLQJESbZWCkLjROjU7C9ATzkqqdzpTRpscn08w9pRchJx EIBSy9zR1OQn9H/vKOCAocqS+M07ZVXOzMY/RB+WldXgSAH+ICkNilnHOL+p7py+owRF/u6LGawv hWSK6kUAjkhjV1EoRtTx0i0xOhi/rR//ZpYVfyTCIuiHcoCFEKL2Td4A7dlAde65dHOMFQFIUdRU jdMBIZGnN9X86SL8/Uy/oEbPoM4ZAq+Esq0p3CcHimj+z9NQpaQExbss7f22iZALDDf8rIVJuBuE iRBzlqq7MHJ1pgVpvzA/B7lHUdLloftM42Xd8qFfZvqw46iYNfypE+yYJrOO8/+foTwOOUP0QAnr FLVadvyWp5Xbsf9s46fUGbtz8n+KJ/s6P60NqUWr0Cf77m18hb1EWn2sUb6N64xoOH6mqXSrgx3W YbhNMm1YkXbw77BgTb2rk8zqkykFmt3Ou5ZxHgyapcAvm9kToNvMPMe+7+pGAyTsg4pPyCilMWCn uesUiCOumg2gwJHV/u93O4jTxZUAesjo6FSDVA3blXWyb51nwlupzYSxf7JjUZy0/PAEQblvje7y /iFZJN1fdeBKo2NcEKEvg1oE1KfUCyIPuI1LgAdth3whnGVLfD5GKajKnaStfIVfhhlG7CvOfR5m vsqIy9qFsHcYNFPPTHdbCRzqxzHz8ZpCLoW0lTjL1GLkdJ+36C3Y6x3bntd+umDCSZ1u2qqheKhy P/JHPqKSWuFNzQ8Qlw3nG82PbRusHrHl5wyN22HtWZ92LBjvlaYs1r7+c/e+cuSSCDyGJTVNLc7p VceD8cHXX2JYV29/Vz80/zXDdIGMUZ6sNK0QVEMxRpcbJg8p0pCCig4TbEgtmSnKIvFuT14lyH2C /0kssAGP6OyBw0LQL7rkhaZfWgrDcPy4jxs9/bg6+qGAbsi580qG0XghZCayBVOUgGOW74DI+Wvn bCwOMYyKgbLSMr+72vhvFcTMZRM754STwToDZAIZaEwCPQFO7cEqZQR57y5Oh63iz0x+VJguDE+H EGfuIGfwR7avYfsKUH40zHHRYADnaJ8A2ymS/r+stFSl5EV6Qg2tf6kHY+KO3yqZDrRtBuLw3yM4 0GVLT96VLZdUiqM6HA7vfpRuWzbyGaGBAzn/1fZ6xrujtdO1e7ozSvO1n1ZlFieLciBs7pwb1d6W 9BSck1YkyHbmCccwX0jkAlR3Xupn6mzg0New4Ew9+Eeo1XdFUsBXy+PtSwNMgdLaTrBecNvgpHed 8U2VEeL1WcOenCkdFSL+NvGMLkLFCkw8GB45DmaXPkVPPWwFwK2W3J9n8Ee5tz47/NeTSIDklnHU cEgKsX8sA1H9VBX1hnGYXYYbEDNc2EfbmofYC+J+O4+h50EzpEy1tBa8V6cjf/tyeUYi/y0c1Nwq OMKjI6jWJ75LFo4tIFqDSnSI5inyapyntq9zGkf7kIplsGFoQ4IUetDwRvo4RYFoaGH7J9qZaqE3 ivJPpJ/1bsBfWOC2SP/53e+sOWeXTmIHcc8TUeHB3x93TBhfEy4y3JmxFb34GWOnZNwbFiobdytN w3IoHFp+gFp6NKVRm+xuxqiFXDuTv73oPR35nz5kirVsUwZW063DehXXkUeT6EX36ewBK4tmy3cg SG3Z+A4AlSIyPN82HuQ2tjdEliSnLQCSvPbv+dWSgP384qIVuplDZ4G1aSE24vLknSC90W5LIwp7 YKAlOh8q6ZWZKINmRXnIDIWm+tLX/7lcq2jAU4UjSki2gVFkcBJiuRDEOKK2F2Yw3ZuVWqifZ3FO KVrq6chfEzH7Ym9G5ci4eM7Uv1b7RdERY2bUIUlWf/j4p5z/Y8mSLhECirHHfxT2PG0cmpOAHdr4 ao2oftjNKEmrbMuTwtZ1qc/DXABpg/X+PnC2lAPFZDhHeKFyTVB28ckdX3tS4rRc7U9f5M5s+XmR 9ULlzucFzxNj9KRnb4tKbioxxu1Ch5t/49KtDgd0XDYdfvtZI1zycOAozFG1QqXJtPrYgLRv5+U8 PT2Q7i2XUqKufXGtlppElZZJ8Bxk1vSMk24/zG0dGZ9v3E9dBsbiu67ZbL9fbRvyNok0CcRifiZ7 rQXomPZJAzhHHNWv/Nx6K+qF3y5WqRaQqQ0aRU0LFlu95ax4YUr5+QZ44+IdnBshKcUw0rjHFU56 iI9dU2IOkhBOnRQSV0hgo+x2oMOTFHkBELSSIUvfmJwYQ0vth7CKgsHQHSXJbzWXHt9eWgOMJfO+ O47OVIcCouIBC/CGUXfKxpIA2a3Sgl8OV/u0wHdQEeYGqdLQLif7X/i/LXCwuwHeSji2Gc0XDfyl Jd9nU6w5t5/t5EcJxZCM9WRbrBIHZs9hQdp71Y57aen6H03mARdRWQ8sZIXVAbRkKwLRbvJWDGYK c9eWBKAtriMmmPf+pnlzOTtHDCJLZUZCV8U+Gfu5/v5oPSNhxAguz2a6YEvAqJVw13kibd4Bzbkh fWp0zkCxc+igVbcK/HgfmqRiWz/CzUnWZYHFLE/AowIjub0Rl0LnCoN/DPX3ZuH/orfuqgr76GGu T5dglUaDmz8WEeK28n5mxH2y8Wc/c5w+pQMaN4JL9K7zBNwRB0BZz9TvmzOX+Xvyhz3ESaTYN0sp K8SO/a+/XowSIhhMZYSR0kYu8VSEkTHe8hGK7+ioO6Sd2UlENlqM39/E3L5B2XLK48bbojKyqZVM aasIttuGxwBG7dRgXtXoMNYRAebBcJtxWCCqpzhhOznAE7rjz0R6yxUoGUFt5USw2/bOmTtbHS27 odI9gE7SiM+oHTSxh2rgPnuHHQbDQ6UnLvmN22tKYAsUgOf/g3UeeMNjuWFDdmjRdFUXe09JCs2E wk6iPjklLNsaF8u/RFEFa3gC8qbQEfQulgAUI9+TL7WaO3gxNUAQEE1rDw+dfMLwUG4lyXPF4plh EDvZGAVmLhmN01/7/IBf3xLvVSqe8ZD/37jhMA7GPcEe6GlkttLalqAbMfa2anHGxh42hI9gFuRz J6ss0JEu9kX0xWGce2EQeuVU7iZWQb9cDorkvsYpbUXqaNyjPjVkc9sphQ1/qWvKtwzm64R9TN+f zZoFmk5XQDcPyc/dX9hm2RG90lLICs1ZvMauf7fA0lmS6f5yExhs5QoIGNRl/2RH37EOsk2NIt9g CnViD3KIcpmhbw5igqwM6SOvicyvM0QL1O9pZwijETHPiGUyvkPUWhn9YlFvPKzCKGQ1EGIN+y88 eGa5DuCXHBY+MkvcyZzKDH7N6PLXyz/Op4AXZnCtaR8Cdwn216gTAEqNbUv4K0olrA6mk6m+k2js lw/yF/JypeVjbnArRDNxHI4r3XIEPbRh7w/BYcdk7Uzan8P6E5wTG+ARn2ZXILxIi6ICqKmiqYNN DhDyeRCT1a/hn0Sc+OP+wbUCR+o2b/DWZK7h5DJGjfjAsMqhcLmlMWv8IkjueX7gph62P+PqL+fl 7Y9Rj1J3ft0NAwrfwd4gsmDRez16EkQ0Fmz93mm7Kh30j+/L1AK44/cuGhnyxDb1rRk/Goz19Ins f6pyUUGNQcK5zWbADv7RJtAimPnl0/ihuzPw+iy213h3W7DaPDwmhOwdAsUc8GWm0vD5242txiA4 6Jg4mYtGMJNfpDB92QcxgBqX26CUR4IbCALOdMN7MeHZfIdZ61/f0CgvbRHpXJTJlnOn0g5hFbIA Z59pRuN1yslvZn2VfP3TRxRPcz7RrdjXUu9+GjNlUg5Hu8QguLKVMkks2BCGENzsGzMVUf+MPSUR VM+rC0W2QOJ62kfOaOXpdO+GiV06BwnKkrKY1I7ZMEaUTisP2tW5nMBc2UICnefOJgg5JatuUfDA Q85dj8zmrN0oa0jQ9rXdjMFN1RhH84lx4NMfyqnGGlpzEJWw72DRKgViDsXG1rHH9xFlNCcgbkzi ZNQA9pFPqpaS+Nu5fqGytkNnf98V/TOH8q24CoSFBNx4za0JXM90iTTTClp8ZPBoh6WgSou1L2Y9 g6zZSwI2DpCN2BFqpafnlmNY72zHeVf89MJLM+mVA9IXO2f1VFyejTehB+RwtmtvcsiYJMQiuAlu yvjJI1RGZVnz68YoxJL9ZlRFV12mudIdr0n2xhlf0XwTfkLRZCz2q0i93F4eHkdW/78ybQ65ufzO Dw1RDtl0sVGBlP3w2dq24/6RJMSsDrqm8ieLU1XMkkuohJgvHT0bYmjDYO56N0KXAi5u8O1HAV1h hT4MQ+qL+TJZay3d/WotnSb2+G3f3bIXzNMyiBA/ywHP5eM8ecOyMHYzjhCfOAu/fboikXnMF7XL MgGpGmomMn1c32l+HmLuScK8qoOCkgpE6+F8FyyhkhfeYC7V4YkV5ienonSfekVu86k5ydlgHKF6 nT4GJi/a7yZZLJRvNmRVrT6juZiSc//+Eyyv7V4OIt3MW+QdDQC/ly/dm9FlUuNv/N3J4I6Qybae sjA+IqDeDf6ErfFDuzd+Z5aKUk3Nv/mhZFl7rXw842q/HgAMjPiQHQyhnXBzvxdNlwyZvEJSsQNL byp/g9YVsIAwoVzvnaEoBcBIRF89QY4xm5ascAVLkySmLALO55jisJp60f0BPbu8dpHEkAWBTIHl cikWwJctatwIFjCGmVVUSkFkcp/WBKMEdg3BGZJ7S6hiBCUrB6FAWNROnHnsM3ESpBXN6kRx6XP3 meo4Bt0UciLuUdL1C3Wgs4qo1xEqejjT1A4d7071+j7VDkozLv/gHgaA6PmVVYvbeqvOUyJhDq0R wS35pu/zWRsVpFfKd3I1TNR7smEEQhfABDeMzWvnDb+ZeTXZISmf9DEsjO6WKsm2ijYpQ7cvuWhZ GPj+6UAvtw4iw0kKLwJXPBdHX+9i8u3ixvG3NfvyFbqNRkcuV9W+oBSUitp3Pqakhy0gKaPbnHii eYHTmlwGB7UCSFvZPKUzEEm5r4H6DSPoahSnFyxaNpHUJLjceigL4xUUaZ7tB7+hLRJMjbIxHDDc 79dHxc54szn2jlyIEn23wuSgyJLbDEMML8Myvwfy29Zi02Oqv6vUaPKnMy0crR7gOK1H7ukOlpvM AQdzj7cun6+D7vm26f15i5t7ZSCmwhQ3vif9mqEmlR6E4tPaSPo5CziQLDHbjiHP0Xz9TkGMT6Io lEyQXul6yLYebk7uyMvM2jCHlrDRmvBUyGtYQ2oKZoTOY/gdJwmd2QRPBfusRK0RpuvfESBv0IBz dpZTWmzucX8hXEkrocr9Ry8OcpSVgd5nkg2zt7Dg+b0AiyubCl/KJ9+n7+xGrgF7nVHD5gH7TO6Q /eyROZUJ98naXZzV+pjFdukz0RRZK85iDDls29QDUgFTznXkHuNM5rElTsGzQDGMYgJuy5xISoT0 tQBbpi2vIzy6yXZYqretIKHqHgyvg9MQ+UkFaT9dHNT8Asy121keWIasacVXEvxi9GYZEKmUCauE TlJpPpl3w1ZDzE80XQIgkFb7hiqlntkCiT/Z5jCdb9XnOzzg4YXTde8v6kKQA1QBWVJQSzpGWxi5 j+oYJQigiZriBAA0tQVH1li7R+I77gZOOL/emcQYSX5p3sISbNzUmv4y1zKdEwzOQ4mEkrbt7FwP fwMrtPRouhT9GlKGM1EF/zg9CZay2VCexIl+U2ty8PkPKJ9CM/C5QkZ6ozmH6fVcLa3DOjeCMKPX FsQgccBgXJr6zmOBehU7kRNjmdtw8w2wSdP5VimuEt5a23Hmk0mYrKEWtOhTKuVF8SUWA5IOJ+e8 kHt9U6o+MBVMbL9r/IPUMj/qyC9td6zOLgnfSM68uQVUpJSsUjBdH+imdlL8dENqs4Th9cDyfN3T s82EHdsZViDjhoXtLcTS4tWQdmWBS5XO9CqQWZw/m/rCzkgPng9tM+OWtHyBRGrp7Sp4IfJxqOLV e6KncR0VZ1Wz5NInGBYq4sZ2v8gCSY16cpNl3eb2iW/eGCv3oXzU4wMuZQTyu76Zmmt5p+LWmOL3 RT+6qYIlwZGaIblQZ7xfXslV/S+ZIelzZwluHKsgM/rXqqAkUz1NlEdMXXA7M/unewrANs0s6mVX X+QvB7GaQN29lX8QEVBf/NsILhEfFn8J/Qj8iOiGp7+oA4/4VDKWoiwGYJcyTuW9IwxRGE1ktk/C HOZx1S0CFWN+AUs65WONCObpGQ63ywp2a+jw2w7FLv6kNB4agk2Z1XFwSyhxhvbVwL1YLYTzB34y pQ808UArYSXPtfQeI5aiR7q7Nrn6W1PkVqEiopMothMcDbSdEf39g/Ssbf+r1VV5khxGc7uRHJ7t n+eXAoCpb+O/fTUr+TCP4/gK40TqZhtosNZpZ3aagrNAsVF2QAR6gk45Cq6nkJQl9YUpLX3L7Ydt 8KfAprrrbwQd0BWSIv+BFeOjWS7UULdVY9ec9guU754SWyRBOlKOZi5uDlpFLRWsprUFjGRNPhWa OeDYEc/RpcFRvOVay66rON6beRZOrlUycvYH/kLGGXnj0eqqphinp+mmQg4aO76TxMSfG8MszaQb Kbpm4odajK/BjX3XVXJL140RZLqV/VfehSTvIJZE+IPdFxellrQFI6n1wXHyNqS0EZyofi2kwX3q XjtD2CXwGEaxjSAJU0a7xk0VWx2wHVXa+JnLRjtdpirwk9wHtoc2zcPcOR10eMlgLsyf7F/hr79Q ejGwZya5+C8luj6S04GFWvTRzTAWwn+JQwBZxCn4JoeKGV5HfFept2WjbhNttH4VO/94au0nM13U xYBGIyXtPZjK7Udt93fnrcHPTyxS0qKOu9JC7Lc5J3B+oZdenYxNNjNx+Me7ulD6DOGnlXLsTDig QhrY1V5iobtZeMh4gFVEfDErbq9nYF/U7oqosHsf/8k2/KHqvFk5hZWnSSJRRuTTVBlp5y9ySuK0 Ou4iCy0J20AdBto5ekMTNo/49OFWHDY/mQTZw6FrJHhh3/oCr0t8h66omIHUiBgjo/QNpC30V9TJ dvF6tQf5VX334gv8eR4oHnPyY3VsiH5q2s1CVLnBA8YoFvXNSRjgNvVWoLwJmU9RyAtmlSjYpSf/ 5+kkiH9mbqGkjzNf/l4IEQxwX1h/mLNY+F6wweXCkwJ1wuY5nw03MnMzAn1lMGSU6eJK8nAa8stm 280O8xH77MR/oj/21Xia/dzU6seSXmXRREx57DS1f4BZEjr2MDNOgMbWJxpE7yVa8Kb3SP/v9aAg DcsB+XKAVlkuLo7eNiCb5gvNMLVKGzuIa02ekucHIJdR8LzWG3PkTfiOv6Ze+DXd1rA7MLRcVJLt 4aYKDk0EoEEzh3zGePWAVECM9uxh1S61GyVKBNIEzDBmO/P8lKdwr4NEI7Gm+E5QuSTt2haQge19 tHf/5bfvkXd6qBdxampzSVF/lzRe/wnV7XwV6vrahTaN80+s00hR18jCqXhdZ9R4G2rP0vIHoeDc IcsaVGW0b4oh5OSBiPDythh3nt6BSsXzCsLFx4Ol6aOXTguRTYXUfPKC5AlLj+458vmvR0cAR/Si uVCV/FAsSj/fPKGa1sY5OtfYpOoq1LO25JEkNRSKO6mtjlifdyGsaP/4YHZO6E9E3edJ43CrBGAr PJ0RPr7wNCDtLP6JovP7e1RTbDh9w4xLgR3H6f6Hk8+oQTwELwFq86sLM+avHDZPm+KwkqiV/p5t C6vh9MMjU/W4bs8Uk/MDIjxhlkEEMREaVVcyjMfjJFnnEk1dPvEst1eBvhblZgx78dosx963JnNL vmSiLsGg8z86GdtYRSo3E6VG2sRmfSXaMyjFSgK/+8XvpaTn2J7aTI74hKALLTfTGy2IU0zsbOQQ y2/RrTvpDEjrEXZdSbmaJQ1ObLBeyxjowNwe+4G2vqKy2ipaCR24u1stbIlUbRHzXtk+BdjvYUx3 s+nj3mie4inJRGibbHbzDEaIyeg6ZqTvJdLh3wq9joCkd5rA0gtdBHQNas4AmPP965wB/qWKNQE2 t2VeLpiwx+2bSyFMcIuupBqpanaug+DN/fwdVhSurVGAHmPLnRMwig6UzaHfTfZU8LngBSw0SIzc zeZpqGrF7+AwvR03eWekHKghVFAvzW1/2eb4adNZYqNeka8rEsy7thSdRYfwOaA24Yp8wij3zLUi huoPo0pZ8YpGLr9JW8xFsrP+p7skhY6JClBJqdEM2DlKqVPbikm4PZMVlTy3sad+VeIIJKiwKs40 mcCXjo6Avz5P+vc0pRZLUlc/2IugdG8N9+f5TD9vbwKLnYaTCWxMFKZDj3o2KIdREkxDv5xlg3vG VXm5uVQr/tFxX9sH9CAZ3elUBegmWtBIeaMHvcqR0inx0+IQMG4SAK3FV2XYPK9IeOfJ7Lg5oc8N Kh7vGzGJWn2pecs+/M6TgHWXqUHjBbWJHqXf9lNciv5K+N7YNyKN+oSI6VFMXIOCNFWIU5SoqZr3 r0+RUkcRDLBgVBomzAgUGSGdICrEHs27hfeIHgxoLs61AHCFvkGYaHICqV81+lmS2AEN6XeMvCEF znCQBaAVEGtuumc5e7SoevSk7tWBl4mTt6YE/hFL+auLWeJCALF/UUQ1Ec/ZnUILAiMaFDFxBpIs KnykxBdUFjjarg0Pr+4nenwoiHWNcKTZrQQyXIJM5K7bjfuU3KuM8urtiUI/VU1S9g2vHVPT76zT KdX48Um2GOYIFSDotk1HmoYdInY9QMq9yt6kPpaDWqsHv/Y+5eb6xDOYuFuxG+T+sL91Dt5VgbiN 8mUzJKHJgfC1mGkHB86SQEzfQIhTgR9mbb0IO0dJVHOZBPqumDK48LJq+vUv8dT/kppm2njwIOm1 c/rr2Hu9v/ZAdQqL8sr0FFwd2Wf8PO0bKb3c5fx4O1ju0XJjTxIHe6gXyS8hUMRJpciUMFP8+wBV Zt3wAh4sCWQ6BGhtQJPAbwJsk93tS/d0/GoZg0IKBIpViLB3BneQ/vCL+wqzKLBDjrGq+Vr9chJL zKpxIe6YPbNE9bufMEIyZWDM+F1xwUDEOZEtVr7zQ+H1Mh2tTAZwvBhH9jXTNuaRwxbv8qXQLQxX cqw8OUx9s5uafmKRbRzjZrfw6u2vIFdQ7SFfPhjmPyxL123GzJHLUfbGsgCcPd0LxPX+wWNoEmtO LxU0ogpYLXGaZaWcKgYrC/Vrg0ZMa6DM19I1C/x+ak3Hn1eUYCMILDuYaNo+XjhNxYhW7Dz7YNzu IvyVLruJ+NIu3ax3iaNS38SaUhOPMONq1RxRkOxWKDyPOfQ0cgkoYY7hcrnPmnE+a5TWU744YVm0 0mztNz1SyVcS4jnkEgZROFOm5pMLugW0fNhyp/HFlySUTrZJX+1ChnVQbnleUNuaqh7EKSQiPcUw Nb3AaLTD/lKHVXqiruuPrSRpGGq7KPw3wwJ8uPyMUl1p7LvsOPFifU9H+i5Bva/N72RSROrGOzDU BT89WOQTIApGz5IasX3S5VBpP6oqMGVt1OqK4iHOWg7c9Upf8y5QbUUzFT5QHw2ZtLX3eJu8Tj7r oSf4y18e9CysBc3GMR5vvKwz3FC52iPK15AOcZ7z8u1WxoSdQiFyf2o9t2tDf4fTgIeNVbXDmhbM jRKntcANON4fSe+xf+At2Orp/bdiTlbuEgzlHgxMhMtqeRjx4btTkMzbRvhrlUc/5bMLvB65yQT8 zC+AyeBAtu7zi1+vFG9Vjw+YKkYVk4ICOPHbVRcquC1zazwMggkennfgYIjuPq9XLyuhrPvpbqiN VNBO9bh9UU8m4qt07e4gP9dibuXEZIDu+4vzqrtGYna3bO/0W9uEIZpiPNxGWDhbH4bMRqQ/kuQy Gl4vRJI764CtHwe5FAHMAtW0gIgy+TNu+4askjYIVKrJUddpyeO9fX7CKvs4/0hMmEYRbSaGElCL N8iRPcbgQUVlBdhditqqMSQihqVOQG2YS+243vbGr/SJSlPbfdttA4MetYEiqMjj/Rc2uVrbhbxk Wx6Gi8q1vAEjZoRx2UWsMS0paEX1uowPKbM5gcT02oJoPxQEbJ4hK9A7PJN/tXWtDdH58qDekb+M CSJh0k9PAWcFsHyRt8IoQQZzzjV7V0PW+dV5wAy8V7Vk4w6ssyMqtbOT6WSCfPYIfTzNTH3O3w6A isTQXGUaFyscgC0udWAPr4eto1uBHOoG0zFGAuqaFcnL9xFrHBnnked5/DhrJYhJXxy8CWuAYZLa 5i4wIiE6Gj5M1dW8HDR8aYYcXc0rTNIt6PvmR1QTslaxaw90jPwOzJFb8JPEeOmZ7lVF8P4YIB8C 7I4N3LZBy747V7+t/HnB7IdTo8F2yLl8SPBjzslz8EWs6UQ7MbnJx6IEM90X29K9fHOFw1ftm5KZ C0IOBVBXAp13IEVZDmM3CM9jZDAnWkyv9uhvz8BIBhP3HDZ0gyE6Vi5PEvKwS3uh8+Bxq7a2SLDa GctK58BPGAm4HMetUZzgATDiBzAf/t+fjDbtkSUpHl7ccgxN2F+nKbQhHNowACPbC6IIVsieMDR+ c4HJXwlPfBmRYJZS7Mw2HflxE1ynHzkNu8Y/NYhuYZLFIe85Co0sF0LUQ1NnPxOaRn4hmH3NyPpN 50oAApfC70s7A9iSFg2P/qUa1oets3ulfeTkqrFt0w0zynAlMF5iy4P+5DuYTeKotVyPX+83FGHs S36mCRTCgfHZQxXhbEMe0FLKa7FyI2R3kc5BfJ+fk1ytfrCP9MwVZUiL+BnKPau3G29aMTuY9ZMC 6OxeHT9YXJInaxGw1eMpbP9iiS94yAtxGNAwjtEmm4IRb6x1dgQi89Q/NHjjiHBDJQKH1TC96zIB sv1dCqBZ7xJA4mhStJH/wPD7XFYL6Vu5yho4tyb8efPQwMmjBC3CBP4QNuVOsnwHbacQ9QG4PUJq MbEsZcdGXzhmyA072rrxUEwQDR54tt8LNLdaeI9Qbwn0hygKOH7jVc7ciw7P6ARHeJhWqAEqKrwh 77pjRM4GZFh7aoOc+pisWtfpiZqzyuKuIPhdf3vNeaihVhhp04+JOzHuGcaUDtbVorZyLi6XHniz HkgWeQ5HAfYaJk5mPwwSYkfu8A3iMY4ZRYQ1I6ycQwBdmXq1sVS0kg6WNPtfhEqgOfkOyb3sOgCS higBKvu6rJNFYteOmJEEg8rJ2G60QnD/XU75GyScOPNwXaSoQ5iif6JxxBKu1H4VJr0SCQwIghEr 3QiS2j3YetDrbqvhMWl1hb3gCdUDmqAtcZCOmyZ7HpC1vkn1OFsr3G2f1wUPRrsn1SMA3i4HA1sx uSDDRp1ld24TEJdxhCQV0K+op/39BaHOC5oSi+ubKUgV+XKHWgTkxsKefozem6MlYqzkxJSAzlK3 tntb4NwA3eJbhMiiQyBbtSdXxH6DLeCogUSzP/f4p49AeO4kMEzV+tR1Rgleycz15VbmxAHTTAdF 1XbzkDcaAahhZiJs6c4KluLzXx8S/uHVjU0+yYFIcTqvhDxwpxfQD6tIela37YcFvuWLYu0L/TKW wZRm/PSMfMjw8gxPjpeJyHOXUbSb66b710Fse/5wtj4Gb01xUHH1T3IoSmdj0XOsoYPlKcCuvitV cXmeQOhe8xvnHSEspXGdcjn+NXh27W7ZktS3pjJB77ezdAS7fH5lw9HJSpwFhiTHTLrUpD6UZ8pL kiNSJsmC3wpGPm1gAgNM0D/3hGGU9R9tkHXW7QbU1y0C9dy0lkg/q14nmEQbMD8FuRgwS82wKJzO dOtLW3UagT/IhnNsvlsQQ/yMI1o10a5KjpDlkuTL0TcHdU/deEdNS6uSpbhQlvt24rR8glJ+SfZR /Pn5ky/eXn4q831fbUQtg5p5sE3nFjWDQjsDKrJvu+14RW24t2jgkDc7bIQw59f8gmIg4Prh/Il5 TJS6XKMi2riZeVsoJsloI4kLrKTdPSB41Io0zv/Fz+OzbzdB2U0okttt1XM0JcNeIHdxt6WJydDk 4hOsc8Yjk/cyaAYcErnwq2FBFDYs1iRiWc9AC1elJptXBvz4V6paAMWsv7+ZlTJExOGCuVXfGZVR IaKAHCDA2hCtDz0SXkp86I8G/hV1SPXaxTzrOCv4dPSHGMD8JGqwJcibQkJEKmhOR5ZYtiFMecV6 C4zmHXGilPy95FSooBJ+FFc1bBw2TvBK47DpxAH+e9ABQd3vCpsRWrExmBfGV9GfGgZ+DPqPB6Sv 90cK92Tsbm1WUg0wUXU5+sNjdiUhzEp97sUgmca+aG9ylCkvp53BMYPzn9gesi9Chvm7wJYfgZZX lXsLT2jzrhfLODclV0eMPJrtNPULchn44r5Nvmb/jkQxxS2qNSbmo6bMfx2w54U1TX6z3XrhngBp 8qCZetQpd0RgbgJ8GypPFDCQWMgqtcqNl6JIeKO815FcSLymnEWSb69+URnm12OZNdDDnerbut7R nvfUokrl6mgAHexC/ZEL5aQKQ0f7RTXcUPauG0HI9XFYLnFcOsRiMqHTWGhkb+RVj0nVhUFm6pdX g2jwP6J/G/MTSOlDMNQGTPr10pT70sA8ENuYXqoZdgokJLTcH3xMFhQcjgZYIKUkA2GrFk5dulbG tVVf/+369VXwHC71yPegZKT84WYmyeJ5XqGpjuyjlqTZ4EMbygnNVLd8yy2ZRGPtPipBXvF2gE8k 7JmUcwiEYAFEvcYZTxgky1Rg7a4GwWuM0ZId86PDgf9adj1C3VMaGbOJIjAwvK1HA98sBnaWVQUq 0g4Q+lqGwTsHzDh/Ub6+GeHCeIZTyiiLnN+NC9iwmPLqvI3y6tkxfIxT6KGYRtOavOk9oCvS6IA+ RbbJDmfN7TlcBkT85lrZMzfkg5I4Gb9oxnyPdxlmJxXohzhsVcslHWBuryEbGbpPGUQKx3i6Pxgd LW2M9bwBMD7131/ypgurijogu4r9im1dlpEYjNkTv7f0u3efJXUOhg9oguCpGVWFlZL2M3zNi8wO CqqTy2AFgIyhBhwmk/Jb6qKlURxej4ue0QC5NFFVYZ7D9e5wx3JXnMNVEDw3kv+PPto6XvMvXnn6 E2Ls+e6adXe6fpAwG6hxCnQ947G8GeEVO5EXw6QxAhwbMBvz5wevjkG4ZqFChheZv5M9LVZgN4ma EnlYVh7UjriScUUgNo3EdOG1Yd1rJwfCHBnoToyk6BQ83G8tG5xgSYMEGSrRDO7cWF0XBtoGoseo OdQjF7W7fm88PrLBPwyu0jzVNsxP5fNEvYwxkhs+wS/kYtYyZptSIq3DSVfcAtB5wDLHv8SakIeq ltVwzbAdmwDscMIUZKaEv7oNdybiLzGmCZYR3emkh9PfGJ33qe9W7prIhPfVjLK4q0AI0BxheKfi t6Gzx4K6Tivs8BYAuRMScOHLgHKmd0ZQc0FKBvdJIuG4TFBV232zNYyh861vtQ4W8Yd/keQU0qqB naXOXjEyJ3mKkrNU4DRkqUsJqc0VFtTmFe13CttKGCKqhKAqaHC5iwifdcAmqEfENPU+qU3L1nyr /uJSxmc7zj+00FZnLqV4sjTbqA8S6ePCbqRVuQTPXegCvAZiWhZOCz9EOyi+xpq1rU02FOhE/rMY KYeF2sUkl6Nae9c9agrcEjcaHVkcoMKZytfM5a+gHdQ/IZ0Elyjp0up/ReNqd9rj7NHRoR18jdcV y1FqqIgEimd/FpZSeSv6BmXzqFDuOHGdH5pmFwUepkyf6c6LhRAufWOAbujEw+IRzmDuZ84Hi2Pd QEtTK1l8QMDucLfDJxDDzNv1zyxkRFIzTzsx8lOcztjQp6zr3ISGzsqNh9Ogi1r5EASQhtsqZDCm 7IvAHu+b5bD2cmV6KriLaz0G36BcpAUO/MY2FPj3ma9ZK/ZjWzPgbRKWY93KB534EpUp3LvKh+LS 9JgpRmCbJ6dMdQCGRElR06Yis/zPxtgdGBtvFJMMsUOecpuprJvmYGQbVJeIdbbwDQEHErEaXuSN 1TRUNd1fzle4iR92UXNLc0ljx4sU1c1YqHFUuKcBwL/Qo2IhsxeA30NzkQkZA0z8n6tOXVnGqd8b sx2gyxviatPAWJMeaaBcm+hLofpODg6PIYG8fTgPew5tzYiJD7NTywPEGoaLrMCYKmh8q/nRZNVP JmzvtgmSma4qtFv9LdpTl6z1Ovtx4PSzJdfoZ+MumzaBkEaWY6ja1ysZ3dp65elUMMctR/0YaY5B l3rKHg8/rz3rlyiMkSBfMVsnXGnGG71uQl5Z4DwIVd/oqr4ZT3izxblFdXFDzJWLtTik2LoVRnKH ackzFq5gnMYnHIr8WzBtMhaDnGh/l7QUzqFnMyknQ9FyfvYIZ8m1EnJLeOYjhndarKSaJrhuzYse uPyYLleA4zC3uwydkxnpxX8TAIhY+xo5mDNd50CUpx/EzQbNIBpWbW0W3nlUvsHQV0i/b638aRT5 q3jhQz7Ypq98VHjnyed+j7XjkZWc+mRArTu9Y7/19t6Kp6bZxQgdgEDlC6ZWGBo8VNTj/pK+wjr1 39kLphGmBTcoCNmuMJiuYkS/YKv7StpF4Hk2fiqyVH4kjqvxlP46bDmQwX4cMRHmy1ILDy4Y4urH XiqBnlmOZvKA12QWiaWmAO+5zxBNgEfcgDU69Imq9qjphYeK980gKHM9ha3HIpsXmoNsiX1nXahd n04teHJjFTIoWoZ7u0yM1aKxKKB2K+T2Pew4iabBbb6e1VcDpcScTQzT/iJndEsVzVyfKHnV4r5r L+ztMZcx8O6ja/F9Q7Gi0g25Sd1XfOiDhakUksirngiluyitXRzZOmoY81fZAx24mr9eZkhUpb5I +OA7YStwFWv+7bCgbVvRjYoHegRofgwgb+KSt3Te5eqWg3IGxOdgx85LAo/cCQZ3ecKYsY8WLi3t mh+AG0rKKbCo0Vf8uqsprPGSUt/hSjlxWNjZieH30LZ0IcwTEqpjlWs5k+/xaf9qKNkw9D6bjoCW Sx0vYd53rI9uEnHAzrmvadyQbKwuI/Twvkzm3Tx8ZLV4remXG9CqX2tZi7fXJxqpq95RyzTp2jC4 JJ3nB2qWh9iNdPXy8VxhLqTtI6zuG/A2HKS0zDkP0lpPTFTB9nLJiK5WkdgQ306OnJJZTXywPsD/ bwCt5qQPU48I0GbDekoGdWlrDYhm+0R1+sg+wzbcBEK4hoFAOwvURVantjD5+8FoloIkUvhgiMVI KgIk3/T1VPovQuuMIKmbQRCBbzMvyImpWoy5qrV40XkY8QowXOeL2BCXh/HfHld5owb5HdcxTZyD Pr8Ec+/RqSYxu41X20EJUYSyvtvq4pV8w2RKVd7y1e8na7O75rNpzi8LxcAq8RkXzNxZ+MoTCVaM yu721SHSF0XB2L+SZusdUt6Eya21DDZS3hjujYWprYDfX7wRgJDZqDxRJvwTMQ+gDzEdATBJssYK U0w3zbvrAx3PmlI7gi6EtrbvtbL0QbysSl+GN0YxAyaocus1kY4RbR8tohdtfvUkhsEaWZTEhk3g npZkS8DHu+TG7ueE8DJrcoaQkst0NyApqWaOKveavMnm40r1Gos7Xyvfixe+wFFN+B5uEb//TI2a BnK5LZyu0q2cygNnj3BSGAGEeoSrTY2HASl9h9HkzcHAKmnJv26700ZtCP1XsCcxL9BzrzIuz4Ao c3zv5bLQdGkBMfOwE+CdfTIgyXREPkBuPPRCE1WxLqGmcnbcUB4Pw3gxZdabNQQu9Vju1UBFYF52 hwWIXCJJsljY+6eQZCrukuL+bmjuoot29+LUa6vYp7qrxVJWMXhEHtH71PBFwH9WmGnR3OGIPriw x2qg+I0TW6rIcWyLtnr9NT2jkN8AXuUiEefIy3oigX/0/emDKuLS4uc5BJSH3TuclxqzKMzFoLQh CvqJZUpxfepxxi/q3VF5+c3OpweASoXvcJmZT/oK5aQQlifSarTgQm6tdPq3/WBoQpsAWpFx6/xx /Oy3MIK8R7UwU/pYjNeu/t+HVL2dOq5e+eCjIhLsYlnvOFkr5wU+fE14Nfu6rzwJJJ1mEu7ERJEg 6timF7kWzyiQQbIHh4bU6ImzVLMjTjSOHHLTuT5jtUEnfxojlkN+Vki6ghkP7AjcDOVg5vM53K4J Xwoy4B/QeBHd77Lt79wQk/4mo0IzXs9khRyZ5xr5rICzq9TJeQSzw8IaBWE/jEFaHwdlQMP5mFef Rl5eV9QNq2EiR+yI/FcxsBhgavIwDXxmGesUK02o3nBEV5liFkWJNhkOL0FwCV8sjW0HEk/p9zpD b21j20Gxxvza+J0tB2CaufLXGvCyWFbURjptRD9wd4ecTaVlcsd6gXEVYee4yxzgM3tRuvzKT4OZ dfVZYrR6acqENeulmF1XoORCpU+3eLFpH8hxaTxqt9rgxDX2MGgOZl/LXphNyHeADqwQzVAGO2uB Tz2x8OtN3+/KFbJ7VO7GC7/GRruZpc73a6b83CgL9xoZcKl9gul4PXyKe+qSep0rTIoq6OAxOIur CYUtolZKBHPPjT3xGMAzPK+nwmksswME/ct0a3HzXSJtJBdT0u+HlypERpo1twp2sDKryP6gbfpm ZgytaZ4ZMpdcZTUCF+57kO+BiPOKdaEGzK7OU/eoUb1dbEyDl6Mbcru/j5rfTZFGWJUrkMrr4BLm 5KCvGOmWTwqCWzeg4p0sWtYzeku8yoqxBx/OrxKOFKMW6FmKX+nzTZQhZENsbJ7V93DwywZi10kK OGkiNEmSULRUSOmwCsO9KaLxX79wlbKfB8nxNCN6gBUnWPvKewcUmARQsQI4jvDo1eoZiR2JRihm 16RKTZYDavrTeYMMP+5vgB7VBa+oeGxbXHhuQc0SXR+1Hz/j8xLR4Ez+pzqgTY4cQjAnyWfYEdLP scu6VcZ0JS3kUT6LJGTi6DBDq2/5sCUAVkU9EDEy8WxD8XVKlclJx1zdnCViti2+iSwrNRCWrFrh AyUL1eRgXcSoKuTvclwuKypa4z1vEiqFRoHVnUWKls0O0CMMEJ9CzYtLfWOSml2KQwsgIQ8a7C0C oILuK6Lilll5ZrdOPNxJt27SDDE6n5YOfkqKi41PvsLA3RgmHd9BjOhdyLDkSHGltxiRCNGdCVAZ z2GjjGBJD/rxQOtEf9Ho8bNCjJ7dZ2CxEjQcxF+vQKpSkFA7vbLl4cl1xCMo4YASnyOn7am5r1SP Ggdp5CDwmNG6tv1kovkaU1kSfyfOFRdtcgPp0bmWei+KReWbjlpS42LPHhtLS6gekoXBXD7A7HoE gBzxCVHjZ8QBI0ySmQwFcwN2nCt07h1fetUNXj9vsBl6YTIcauw+09KuWG7aWHqPNtv89C92kgDY a625Xb9K8xmdfd1uifV3polnlvZQY1r5MEKtkzOraEm2wY6BvbNmlJVnF7lNCL0nXI+jDAMumjjO rEXMSMBaat7AFoELHPbraKixL/qOFwmqihKXkMbhtv7LR58QopvQFTDR4lCfFQmpx/FOoh0pVn7E MphuoUqehxN0GJYIVb9Kvl2rfYIuDXGwDTimF+OJC/qpExwzO6oaLx9+KA0Ah/reKi31yXtrgdMB /ra4qDiXuTNso4tPe7qIWHK+2oFTjc0Ws7TzHezepNd1m2CQTa6q4WR00qBdwfP8MMbE3b2ZdGTn b6VByvG6JhzzzoGU6hdHkxQU4eyIiiFqRKotLv5CIWmN1Z7cZ2HL6isf3rhEs26yzOI9F/wxB2Wq vEDUCVWsB6jqk6oOcVlu9IgEt/YpPOIc9s2SiJJsIZ4Xz06FDXq71qKpEbheXmrk/gKNmCC4c8GU dlZgxyNwRmz05OpwXXekCydMW3X9oU0d39Mryw4sCbqwT3IfobIGt+N2LDqG8Vu+O8tv/lh8LQa1 CAMjrIegjvD5FEpYJgOSFtZMDRDEVAYaOV/sN0+VcA9g3nJSDAMlJxHWIlo9bpCJAWjpVDe+Z7Ob KR6N/xUk7J/40xbfA2ba3cICcRvO7LzzzupBkSv/noaaarA2WFI1A7JC71p0QyZf61RTa1O4Owrr L/64fepW4Au4K2F+Oby6lxzHzJB8fwD5Jgahva5xzPxJJgomc9j/yZR3BkL/rzn/W0DaqGbssJfK G6AB9GkOtoQzuvtzhTvuLrjBQ9SWAPJCWr4Ztdr6UMnXhMfgoS7/r+ruHbLUJIw1CdvMsApGPwUf UCNcdBGcMbMC/cxXRNjc2K2hhJGUY1PL1L3ufKiGCxnLxy0NolIiOoDPE22qTRd7uCetrRht6kNY Boko08PdhAMsK3hyTZgu0ceRWDM6ATmCwScr0iXIT/gUzuZzFq9xqAUDUqDgj64YMFPF23HzkWN1 E5cniRAE1dILo5gn6mss8QN60Tuo0dydC8m+lBXh2yER5du8Cy1j0arUCK8vXqlds2D5r0JCJcJJ qPnVJcz2/wLC+mueuDbrqrkfSW+ONkeB7ftS0xYcmM27E6rxraDPjsnqDFBhO6iEfOh+JQ6Efk/e GFTPC7rIohjLX0RJmlyvtoz7oDtU5Mt3HkfKhh3MfHOGGcdU9zjlKYao450CK0iSAGUbM/gXCMdQ wBgOJIIsC2BBrRVMDhn5EN5NQkF3a434ZfsjB9hYFFlgg/xMZm5Vp2MMmTefJtXdkkEdhvWtEXYX lgmpWlRsOH6jgYBgZedSwavycxa6TFUHEnj4srmilf89wuOav/EpFblR9D6pC3Ar9Wf16c+ScG2y KHR1J8eMxXbONjrnmUw8+UX3xXHOcklMdpFCcwXjaP5/JSs7OcFcfOzvLsKLUTdPVbgXhgLnbPFv R9KLjaSUM8trgyhfZUa/amAlXrrIcYxfQIJdI3NK5/rx3yG5QinqETaH6/1yARFpgOrmaOdF7m4+ OQP0q8L/T1XtFdBB1f6Ekj8iB0oH5zmyiWGl6W9oZWqJAhoXtQBh3dCrP+yIA8UXa5KxdlwBcyVR oD3U7HUKs5xD3jLwNT6qxnB6G1hqnhdLwzFm7LMkGm8/1YYzLgwYp9ljREKZ5IdsGjys1mKVo5Wx 2urQ7OH3TdUl4WUWVfZrRwtI9VOTRKCNLOZZ3OYp2avc9V/jTdavl8ohfVdek6kOqKp9gVNgrK01 ou0dHpCyVzjoLxDKsiiEifI8cWyLAHiijwwjbcpcNEmlBefRYdaiZvGCQUi3EaOnRt1lDw1biqxm gxJbiFJxnRRerk+4ZnVrth6ZAPtOYDtI8gek/AavDrS/ljQN1JLXxfippjVFhX54bg5NTVGjbKfz ATxXXwQuFfLPLMpvR16oCc9/cH4eShQUaOQcM4Do4o5Bnbc/W32faC3utxOG2lwvyQ5pisH96dZV 9N8lLok6w5K5MULpcANhGFamCHndGZlnoUVAxH1oSpaGt0tNEQPB1KBDGUDzR5pBVxwZGSib0eBj AqY1VLq/CIHMtz21RP8iTDu1eqpD+j2pxmjvMNHImMdLt6rlhR37F6IqZNADQdJieQgitV69Xrd0 w32XdaArNpoNMZK4NQ7h5Lg6oJdFHTU2vKfz+Q8fvNttXVPHk7Dpnym2/N/95t+x6sTzp9SpuJL/ wteBADLy8DpQ4osFgfJnN1jW13hvTCK/am8bZ3EcGc8UQ7JqeMQ7qibJpXAuC3fCNYL1WxEmCVDy JR9a5AwGuIIMD4LfTfiIAz6790e1+p40hzK+Zjie5IliQycZWzOl1JJM/tysHaBBAVxjv51ILS3r 0CTd34kcwbzg6qh2FlPyMABtHNQKmZ9QAwF56goiZpYhZ1lNdlT6GIpgONqi2mM0o2xVlqk6PpJ7 ndESx88ukKGqa9PDu3xN3lbtVRF4Et6Qq5pGXrYXrib9q1yLtOIYex3pPmNX4F21IY0qACXI7oY5 /zV7enWMFMkSCeUPssk0EUt8iesnGrjYZ5FGv03qL+Vimw/TyPekeq7g6oXm+j2R6/9M4jngdcWa Ln8GJA/cDvKoYVqRXk0Vw4GdAas+LJcudfoPajIb7stpNQm3tpJoiEr5P75Le1mE2+L71maPUS4C ZwK0LICyvLBAfxEH5XknzldnfcVwsOyQS+F5vX/vBQOLz2sFIivoTz8Ceef7bgu5I0qocgMQQX3C JJmBCcQelQEvDX9yFqMaBuv/Pu/36Er46vDWRhKF `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mul_unit.vhd
1
72119
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QO5s5ApCTmsGUFg+izwv8aGDxQ+wnWI23c51fJaFRh7iOPM3k0P4T/4NM9jzPsCvelg4Iio61P0o g2P+LiX3Bw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y+n27wXvudVP4Z+DZMBiKkSXXaFLsZQEjggv1i8srirDsfyh9QCGeq4eE1CNDmZsLy6+vsEK7n8T 7Yw2fRWmApsMpAJk9XP3JbOF8nK4s+Qk00rrIGkV1ixSgrmMtPKjVpN+eTkIgGS5c2ed9cfg+NfU ravsxFHv88DlodISL8A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NUKnv6n4E111zSDgZ6XNDTNJPYc+89giWE2DXOx1utKBYL4H//Tp4wtd8Exf1gIxRLBIvsn/6vn5 0JmryslqBqsuUnvDEZLCpbdwpcUzsEbZ5ega6MdF4ZaQsLvTd3H/p6SWJt6WDKz6Q8ZdTFO0Eaye Jvj6lqENsftHJygVYpD69vZhu6+NMVixxbJzGf8QdFLBIDQyLTiTxnaqYHSvhjuVx1nanX2yIdDg kCQMBt8lIEpIzjvR1bm6O6zrJFZdPp2adxo5IQyt/L9PMSf54cyDpnmgDOyV+MXSc5HSxp4ZfYYg xJC02YqJPRwX81G1ExoOk8hHpBvIkEPCpTRDZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1eW35Rfe7APU55r9xOJuXT8uJJ6bARuUx6v3yZ2B8Lk1BUUUjqkvNhGVssEeEc28ti1h88gGyO+9 4GQqMqHkhtbiXw1Cm7soCzoTaFDp5wSjQO08qumPQQr7SfqYvLhlTE+4qUhiHNh96x2tzjaHceIO ZRpz5Jn2mHrWh3Zzz6w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nkfG8pNE4T+M9lDM1NO69fd6AfrDM5cxhzEDI4iDk5Nmrq5vKDtbdIhg5k8EN7Zm5jfn93SpKC+z 8bTVcY7Wh8/1afiCDyUeOFumQXZfevjwxF0nG0nLUNDESUehmINo5vVhrSyfhXGXVkzvAIRWikrW WylWZ+GiSBr27qDxY2pCaioMMp8p2DZWkPEbw42jR2cJIOTzrK/R2xp9AJE44TDtnuEiyUaAeU86 N+k71wjMyUhGxEYw0rQXx5DSxR9FzUapFCEpyui2bcFeuzv959OWefdkzcsjADBmowX5a3fojiDB tn8+LSKZAkANzeMOqQwQwQ37VTVun8uNaX43Cw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51648) `protect data_block VKbWMWxjaG0/FVyYjwXAvdTKDO0wCFSEceB4w5zs2LC80dB/ryorrUeqhtbvMYSY3SLBj2aCTHYu +Jl7Cshka8IeHrUyDRz7/V5MRok22AOZxCtrZovSTIgEdpeadkpHd/Rn58SXTxbr3ZoZ5TLkUe+g mW5pOtX2oITa99CCjCO97OxQu7SiMS3pDCQ2akMe2N4WEf1eo+vI2aHCkvIcz4zl13ryUdCD07qr TM3gIUJAbB3Wx9Z9U61tS9UFCIHJnEQbUW7WNN1uTj5Np3knCQjBo0y1zR3lIQtxt9225+sw7fWT cYnFMbSERhBc78Acb+ThgFbF9JLEtbnen1aO5K7lL36+U8r1d6mq1tfKm/3MmeheXi6Iq/sSSnSm 0Z8+zpD1+pzdAfXl/BkkJ44kTHiPhArEfOCCiADju4j/icKKzRYTEO+B8IQHAwrNLcWyYQvqfvFi f70wnDvCiSAfuBJUIFiyW8FBSltnKxZlJsr6XHPv/LPYk2FiqbVnzzOfw86oYXlq/fh4DyqzWxna 7LLjRH7l85Ojo3qYqShVxaQM7LUUEaVGL0h4GZWcVhVbJQymOkAXh3PjMsehtsgwij5BLGigeLe8 0+kJMW6acSQQutlvwyR/FQce1NJvfXJSkK37MrM16emXU+whCbLDPIhX2QX26EjlyUcqpYk8Vcrs 9i9DGo8X0T4J6Yf9DvhLEtQx2/FB/ZTIjU5N87VD4KmiC/Col/UwMExgY2xnln3M0doZoNcmvbnC rU6egrepsC6r6SU8nBE7mGLgK/LHGggWkX8dWz2hfh8PTbPpGTOD6DxASSVrQQYM4jOTNJyfa4iY hRXnI/5i7IX6rKcSzIFXUeNRyX1x4Y/ObswFBLjuaKB5CGEQP+nxpCVevnBt71ZC65bmJjPurwxd mrsTASTGZNM8ritByksGZRIdllYoQRPXnSX6cu/gnfn/socJTSTk0irlhzJL041u2to6VaS+JryY bmvW+PARJUoxRe0TsIy81ACnWFYJV8uAXYUQvTbEGN2XIFuziFQ5nE2FgibKbWbRIV/jytEW1loJ sWgR8U0Xc5zIOuf5Jxsl56FFntDnvXnwR11b31tpbkJ55gXhjHgJ5Tl1E27iVJlHggZcqdS/ow/F 4U3PxoVyvXs/yVc553cYcKUg9/rG/W/pnAcp4uYLSjnmW4laWKMSi8iThYvO3krcxGmsZbbVb/2+ rFwsDAApcoa3bHPQ2IpeAxv/RQlYV388qfkw36OhVwVGDyVU8yMw8BMUDs1OydS7lqGm2cHjAypL X4LHuhwpP/tRlRfp8reh0iWeWP4S+xMZqtZ10yqlDQyEbiq2CUgDI1n8rLh7k9cyQX4/BoQxlS5z zz8Ijs3lRBR+Tsm7z44+8V2qfFQRbMY+UqeLYjFIdNqZQmSHVSHnYb3Y97QUT4T8tRLFGflijvW1 vyq2CRldDyeuItpnfdeIxV5UIBkyeNg9UVSIbejnMskRx6NCA5Q6O2guU4Aejw4rROmONocDmbJl s8My5Mz2s1EvicKddds2fvrWXhX4PFUR3kk7sIYLddc0QvJTxFu36sV1CUCptf5z03uMQYjfXW/P JsFiGni498Vf/jFAX3UEGhQaavOQnVPIesao/RnyKzfZSuNqQ1KYcs6esVn+AxlIqH5T8tZnmZVu ijvqUdB+0FcOwRzBOMrSAyIEEK/GRtqgV4djn7X3N6AtSoCVXXKJGOQ4nn2pMjGMu2kToj8lKJHN VwOaBoxxbDUgiHDy0YGBfHi0MNzSEIqWIaYxw9oYElL0Hp90v3QQuhxM3ChdgPgB5n8zk4z2Z4/I xl6vNiqThzIYhvJiL9cYdmScKk7zlLjdfQ/AdwOorCWVEEUpsevLKy96QLteseegBMfx0/3NVRWJ 9fSh7DFFLAKxL8vQ9ChZHlrYIS4alPloQ6O8fziSdMdVb6+Ky+TW6WaUGI0ElQDMsVk6yP8iplKB cw/Cc1Cf6qY73oOqmg1Aiff5CmhMyUobWQhFLein+8JTht00jBGvEaKWFe+EkDgxKhJW3HYUpBbN NnAF3rhF8CaYm6LSOsf7xl+Uj1q94Lopb/SaxqXwfpbrJDbaNeK99YQ4yHHLNfBPMxKUzOg9id1h yfC/4GXUNdUBpjwno2OKTxR4bfFeagmfgnl/kvQj+9+MnKy/V1yQmWGPKkQcm5JVwf6yojboTCGc ZidNSMl3WcXHpF6bx5ZputbvvMPZ9z9r6gis/Xh96R3SfdB3bUb1Y0vj4jz1GJcvi/+cf4PMIriu cMbuOka2kQtC61exz9ztfGfoG3xptYSje25AYY6Oq/JfAGNJBU7bqudu5fEmcXgys3Zr1z2td1ZB KTHhO2gM9Z7kn/jYo7AyKdPhKzsEbO6Qlw/iGFYX9qvGng9ON3nE/PIHZYusSFwjZcbAu3OJohxQ tuJIPslCJ9j9TK9ktyed9J0CATzo84wnA/YYcxHJQl5fuCaIpExMsqyjZm3tc4S9r9s5h6d/fSXY kraRlvAaDfcwDCrtUx1sHUGIkD9AOCaH62kQ5OLywjDMOkX4UdTO4d1xw9JPLCYBqBcsETaYSZV5 bQpykVjz/Sjaff54UotVLXIZF5OK06W29L8ps2XhHlcAdps9tmBrR3G6U5PjVU/vpkvvRABRPcig FYSIbw8ryOwR/1x0I5UAzA2aQhi905W5OqSN2zE2AdrtkFqDmSqIScDkvPceRPf6hTnLgKKzf9pw W1XdYuPRfygZ3C0s+u/Bfyi5TtUGV8LxHps6A358/0a66VuRPWDJhTcg+TdVKBUnvqSARmbboOrZ 6AT8mLjzpLKFHEXRX4wHnumxBYkEzSrsGVRw8/HsyGZeBzgrt5lTHj6L1lTjDaTkSLkMZj9VXfXd 92uOD0NFHwv+lqTu7QBgP+73nocAr98/auuMneCce555kJmpLiJdXHMEZ7HE085WBy4z8OjCTnZq OsHyWyccIVg8A5LSmXS1C0xdUyp9N85Wu2TqCRcNd6fSwgHlw0f4cMqkLqiRPpW3ySvv+gBtJT6X evulWA+k4QWwO6AW6nVzKAqbSTcdnSmpmsUuZ0ZrTRARnZjbXhCNJ6tjipMESBjRKbC/atQoN71D E3Hcj1/Ly7WHvSw3+mwAwkmYUhkIoBQac2gKzxe+TijhFVX4PxSWQ8mzyvdcybkAI7KKJBA7cfW2 tK5IwXnwhrpq6gySHGKPScN1pVx04PJVzHy+IgrIforpZZS6KAbWu1fx7VKKV9vQvd1W6fByvfEL DiyW4FiNx9SA/3RYcCtfCItSM/ZF4sgNfg4ruU3jhdIB2Wv4/dMyKvmRX09u5XC+KSGD70D9zmfb +ZTtblQ9fx9gdA0L5ZFFa76FkNhlYe9H4rsRIvdo/KeMOVW3Jg8xWDyTSjBQ0qc46e9BHrIGRiM9 1e76w+3gjBKR5YX8mdF3osL+Fp27yfGLf3Hiyz9tLZTVrwweyFNP7r26oZ9DzGtemdGf4UM0QP9f c/gKSHZShh7XE8bk4cx7MgqbElaWzFB/FILEsMuWXfGdKzTOdDxGme4JxaxWJ6nleGuOJg/S2bDE 04pkH+98dNLLWL6iI3VFIuBGNfZ8vHWPrJCoHkotdqILH+T0jQhEuATDoNCcIvPraBk/VC1ArCyr XSgYWQCmyt6UfpdwuqJJ6H82nzSLOr7VwaxwFFfbU//Jhp6PrMm0qzboAuzJZY+dE+RwhMX0DfMl TtB05Xhl9JrBYGWmYgHvroG73yrpnL96Sbc6/1suflgU0l+H5kYQmB7E/09xyxsw01mXI9BOe3ao z23SMOsxLusl8nhnrnGU654mH/88PaUo8UK+8vZ4GCnETTCnybhs82dTq0bWaxRSB+Hj6TK6SCsO tUTS51tpIHcfpf8SBXWpQbXkONUZdNTGImR/xzvhn6Zz/YohI91dBXAqLUvoWdn58OMCYLJePxg/ SnvNj2XAL43WyxQGAlGKuQ14daLiYH3d48jp5JqCddXYaUDD7pk3lHg3hkLBgdegjq6lIS6ahtuF /zJLTqP52E1hWtXiC26F1PAr7s9nSUlLY2LKOBfqaoKL+AaDTGqeT5LiVcOV13xkO0gl8orojWMO fugFAGSrk44H0+l0RrlNHmrx8eOWU9xUwlaRbcI5pmf5gDIm/xzgVz3cdCxh69yIN+0/3DqxBe1r SxyNeJPAK0nmv6dEPtTRwMPwPViCwqoqEfiqtSODbAx5tAbojq6BrjqPkmMkZ+YqJYKpKv3ivjYY iTuLonSGPFeFkS5m5d5nr5OUoBCcWuaUyyU3Xg1MTlgUd7nr3zIBUolOoYMadDkZHtlqH8O1e59P pBELImAN5hEs8aJFJdBlOPsDPwrRWM3iKAh9J4sF2anOYT+d8tHznuvvTiiTgd/klx8RhO5M5VpD P58t7csbcxFVN7gfFkanpvu2xgipInMaPnOBFYgHiiFBnmQRIPVAcyPJI1Wr5HKgPld9aQvTS9Ez /qQixCVZ7a7JQlS6P2xTfg6EbnIJFfK/rmbXJix0yhYbUiSyk/EHaLAqhejfyn0+CH2RzFE7m2As O4pCQDUqQsvhfxKtTsiCUZM8NkvczWiQ/cKNgJ0xHy2Z6gto/k+1iWACRIisIWbcp8UoFwdyoEbB 1rQwO6RFf79WemEUsnninKkza6C2XYn6x4P/cZnY3/hRj91Tycf1AbQFBJww+de8pYb1SGndJNbv lcyv0+V56FYBTO+lyUU3blk3TEiYzrNphK8oJcS062mqevuvgRyUaisXXr1O6wQs5TExWWBtgJcN mGnX39NHsBvo1LxGdPIvhRomCqVnw2138a6tIMj7czIb1wpZ7raVwVSYxJh2Rq1TBFe9GNDYxplR sMKevUg4LFA4xUcv1QgW/lqhLGbMlTbkQ0CtgZ4HDDrNl2maoLbmMvLXmYup17ZS5+Aj686Lb1IU Vpa58rePtI+yElaDTfcHXY6JWABE38arqYrpyJR52amuSe1C/fYKO6IrgFG672OrEJJeXECvaJBt uWwaMbmT8HBLi+Nac81EKFD+4ASaDd0w0HqFlxhevTMMd8tIPdHy6yY1V8bI3QKBlcGUfTqzDdtD UN6NR4UF1ZTF4tZzel3eBZVa4WKZFu8sfS7YUhpG4yu9Qn+V73jDRwA62Ny8gqWwqzGlsn/oyQMJ 8XdS4eUJ6pJtjxNDWFa61sIF9XQIcalJOcv0DpvrA9hsmA0MhfGan+UfpjjEFWXfSuD6IW3mSZKD N9sme3zZ2OXzolWUvncg6L2DKGoRqnUIoMpIQicL5mUTTxD1rPP3uJlgyv2XaSsA9FegoXuva5yv NH9l0z186oD1vo3VCpc6qNyE6Shbd17OrLC9GMhaJpSGYWrmVxjVN3JVkJfKuevdLB1m7TYCeeIh JOF9GppBlA4PYDAoYfT9IHZRWSgkaQulror4kC+iLFvqtTVA4LYMq38zQbKRTBvmA0pA/jAM2fPw NTQwwtbK8B2+b4htUvhrQRrF6Mr/TNGMzjOlEAZmUW8AOQAWqSLUvHjyzvRzYm5ktoovt04+iNV7 w4+4fQ5z+eMyzrQdqZhAQR0Gj02Xtsw48XQ6ksR4OUADjPYrid4Q+Y/cdS7zFVfaih9DESogH70A hBb1Q6n/qCOO7CrP2tYVinuKK9n8vK6aC+b0w2Xc7UCF3qsbNBjU4u8faV48XHeQlxtxuTx/feq+ UyiELbYI1wbXzTm2xhzt212K5mmO0rx1SzNc5sb9LYBaOihI2zrVOH9/qT8qg2WOa56x8KrBMteU cdjq72VZhubBviVH8Mm7epHmHlm6sTSPMZVirX1SY9kj4uIBJRiMdqn8G+yEc0XcudQEBYjV87oM RtZFmbar0LnvKSc0HwQn7is2aXBQzI10mB0g2ZGVII/rfhmSh28w2bPrcaKqo8IIBzo7CRVpRh2b WC687qOhvdDKPH5iBlztsop+OzcyNtApbDzbvRJFq9yKhUbuTClkl9zBbDpjXYcJUWKbkWeICgsm E841H1V7SEALn/wx3Y0F8gJ77qI0upgON/Gnq45I75wcGQkZAyzBRn9QC2rgbdboHYH1UbrvrSXm OdDGJHmXNkiawGTAYsEkys10t0VP7/sYW0kgPG90pFXzcGDo5I14TmBB8P3BZSu1ilSW/qE+UKyR pShoex5SNl3IprhdsEXXwyLH5upCCraUh+wsCCSet8pttM3psBiaLo+3g1McsIexbTXX1Ngfw4qA GJWAZUG8LVveqjJ5vBfrNW+2lpeYLhfashItpnOaPGYYmpnWl6O3Wr7ORVxC1uUa+BfzfgRCHaKi XAA5yKKb25fPrcmciWGCiWIqiwbJSCokEXy4KLX+H6F+mJDa9I9gGu5jS5UL0QW2HIP2lNd42LPO Hm9jDqjCmPbWlIXrSkSl90WrB2U4P4aSX77bqMnTxCVV08M0PJP8lue3yKHNjyVMhbP5iwGdwzaE hAzVhsaNtpW6ZNy5z8FcWCjG8IW3b+1/s2vT5idJk8uqc2aYeWapARFU2fkUgs8zSK33Zo+sYBVf TcfcQnMWnywetTSnH/ACjkzeHe0cJyecI0XEXxvwLJqxah/4qNrjZJfTSGBxXCSqPdGQsYcCHLHD j64DCePpGZdPDFGqPDU3DU+gy1qA6R5RcFos2OZ6nYOFmYxoin8NZzPnHqObXLNuTlsnnYdzTM+T 9+3O9fdZtNNNyNY5OMse00p3U2KyClGOV51lc3IsE9Cm2skHdMFwbqOnvEA1Q7Y81C5Ng5xhdpLG +z7b10TGF5GNIv0pEEtavI4SQjQEf1vpoFmCmRjt6oLAtK0NKe2H3vyfIkrLrElA8MmMvEMsOOyN mkr5w5in85Rr1JGrK4HBQkwWYiQx6xa6nu7BNBYuHxxnwY2zh94uMJ2nfZ2WRMiEm5HoS5eLE7o6 kIBfKveM5ZXNF9ElzgyFpAQeL3v9Ra4bgN1yNfcIx0AiXLQdv6bK2YcLYuXqmmFwMFkWreT8/Li7 aPZhg7ASa/9pOKxv0XR1rxcYmE/yPH3mNecdCsQaoZYnksSYN5/F/2RU/XrdSgVYWL8AaqnKWc0K SQcIyY34GfCSaAo8WI1URD6MB6EW8jwM7ghm/9bElsfvrNzFUhpk0AJhJGqIYIfBuSPSENSGOKZ8 k/4NlxEwF6ta4UYiSUyvhRzw5Sfi43SBaEQN4VDoPLtoev74QzSAMeiIW8BAGmyEvXlq282RY1v2 hiiEi0K3ql2Vm1/+0Cf39x/tm9Objus/s8hw2pYM5If0RL0T9/M4ChyrFeCk04Ice+dxHXmWprMx HH1Q5IHHtXl21tNbNWYSMfWk/r2NASoHr8QaH5x5J5VHVOWbC4N1epT18/jGtdKZNiarmIQ459ww mPxWN9GwxIM4L1lvkkxAFeuP2nzUzQVA5ICjT1gi0mUEMmbInekDazwoFVZvF+7ApG+bOenwnBK0 SI9mbt0FY3RCi/8XqKutLZH/dmywWX/1o/LFySf7enG7tXwA2FV6UHF546euo/5vsmg+Y9ClfoRb xymvsYdloSW3bmkh6nt0mfYJRKpKrZ3j4NA6C9VlAn3ZY+DYdq81ueRgYc1zP/r4Nm3ihpxHohGh Wr9aMtrisVIWKmXa9nOsZgDJ8/3fVG8dZ/4NTYSJOngHvBGSX4Ex6YmontjUk613lwKHHyVTDw6T 2Pf0DUzPZB1pj/hHavux4pFNpEmYFvZfVqqPvCiAWHxoXgx5b4ObXWh7VDXzGeNAB8NZyHsNo5PP OzrAXQS5f5ww33KmUsPCEbqozq7+BuGzg26IN7DNW8kpreYqV/EQGnt31VfMDbqjWMHzqV9E7MTX BGu2e99vDpGNrylhLAisWAwMBrCGDeSChCq1qBdzHvL1twKwHnkCbbGbv2u1tAn/du/hMqh57EHb 6Es6ojNXjaw5GB+L6vxqfbdCxxNofV6a/j+SfKZdq2/rTLCCDgWFQfzSRMed/m691+Ph09FwMrpW dEU1iO/65LCNUD6+8Za/zqa1+U+tpSbnHTKwEQt7PruOSXAIn976ni4UGvk1FsWN1FULWEdarmt7 YfPVFBshkJvKvmmWafPyr3ka5eXjwpQYFs8pdBspPhp90hBrEEJGjRt6lm/JTxQkjca2qPQp/ydr wiV70YHhKB03gJq8Y6jvDmQbtoy7iE9d7dJr3vwZ9u+rSFZDbGExOpGmbZqKMVrXMjbDWxxCQrc5 pvUG+CVifwLHfYNuG3HvcRaTJdOwkYlBiSyhFou6lQDLbkzuzdMeCLOcjlVtnOvpvLOje0oi78pC G3v+YRcTJYXVs/XdCZCV8lVoLGOdfeyPYRKEc44aZxbEzQXtLFotCU1bhopQCDQRmsFPhM7GIyr1 yjtZvK1iP6mvdDBocvUZJ4s/SPXaSRiZ0cMk6h0qk4X4zKYoiLZX1rehBJ4QmDsZUUTxXukQ5dPp dnd8GA54HeJdTa6gjMCe9w1ztzwfalE97/pc33SSF8XkZuwK8E1s4oxqInv5Uhz+jliMFoEkLZBL dzlsw4epO0y0wQFOy7HltQS4oG7D9sr3bQ3NA9miNFa02ViT2AgkZbgE3DR5ZT2yPKQnFp0AZt5/ qagzW+srqKxz4VHhXbNULbw69HbnIWCpHGog+QwOYQeAUDPEY/RXjciVhuTiDGuyv1hrKEUxB3hV fV9cN9ZJ4iinsRaqSg5hFyGHqw/dc2o0/aPnFQUGmjpJnl2QMy/zHNWcxlTjtkN6YbpJr0goGTwd 5nqfxAcG8wjZvNbb/HPhy2XWECBR3bZRn44D/sa5RBvQbud8uISPimm3EBSqSIvlS6+XA11NvYKj prcI/fsjnefThncEMb0nqTFpFUMYIQ8ap+mLSKeN1fXQuyQ0fy/QJ1i8lEOl7s3/1SQ4Wcl5Vmcg 7LqobNtDxxMw1RYf/77nhklFaJ0S94U5xZSz6o6pO2+0VI1j8xnir2FLimb45cXZRQpTitLzppv6 RBdBJxHx+k9TbKwVlo0Jwig+tpKkjyLA2DRcTpWG0Mx1l7B9ICTT8DSdj8W5zm6F077RLyK00TI8 ryNYVCOhPEV3J9qnOvwBM7XJLEdcPfCxw2bfZmUG/kW/P4QC3ZSYrjNkOLgd4erGqPY5+wSUTE/w VDCjkmQ3iGn4dQbbhGe69CX+Vohvaj4999zwiPFJdJTvJvJeWEoyLMjuhUMFcCZd0d5sGC2OX0BI 598+h1gZsnU/FP24C+qOBQNJmucXkDUqccxNzM7CiwkX9Os7dnMD315IzjmIG6SLAqD3Zsatfclq ZacqZy6v3ENscvzcB/TxjpPllj3V0uDzVsCiOwJqyfxzfYQLzyijFvZx9McAvGdETV1YixFrLMws 2Js3f/LcxZMNeNM3YafZYWJ88/+KP4ap+yC29EqCYNPUyyny1ya8cZKb/HDK3EbpD5u3t+/46+tF nj8+BdxAoenWq2j9O+ZP8gQnpjEYt96G26hPP2341ekBbGnh2M94svEOx/Az+wbbGf7wju7lWzfy 1mDLkZ8vbRFHqP6sBqY6P2tEwVbTiptcg6RB2yA/URB9lTX3fUMOw0TJDGcZBMPv8Kbb+vUBPyV0 rsC0KDgAbUxiY1JdusVdlJeIgfT8+5CVEMF3+9anrTXBYgd2iYkydwIBPvq2S/5DHLx4A41Ygv89 ndMoGxEfsmg5oinnVOdkHiWGeubl5ZZ8RISLqmNgRxyKFczakIGxFg3AP0JnHzXwtQNecUDq3IMf fowU70hEULFbByPRn8RA/oa4cxmEKO6JsJhayeZfUdu8SVuxrZQtNDhLym2Al7a925GOo9X/AcHz sM2XYCbrylqLJm9QfMG37n4816D6LpObzYprgjjVMNrUKG5DnUiyw8D3v0XZm5+/uscnbisMH/9n KJ1vRRqB3DnJanMqGJQCf72/GOcaakiSrpaWZ+EZfaEprdz3bZou+bFoLTsez8pD4TkBRqQfTx/B E39/XgYvHLi3LcQQZ1JDcEoT5PckEud3RAIyiVlOplm+DBJxDtiuGTG7gKZVNg4kl+e9PeIMvbud 5NXjzUAyJCfPojW+3Evufwuyacs7XoYmgWYEGsOvCvLMjMP4Jhv+X96Z6FjWBdi/q0kZkHvBKOoZ xmYabcOjVV1JQkymeSJqnQSVzo8oHVj/YBpFbQBJGtgVm2eUGcyg/+zCBaZBzM3ftmLtHIy4tNr/ qY6Y3Ivpt8SFlQXKgdCkRXfMc9s8mvIcT2sgSf28fbbXNmNYILFRUnmrhIEDG+C6E5No65b7/84Z oZz/LX+1yOJFcwP3BwlRC/J7Gfi5+MJV0lcym/TGCvLTNGZXIid/uX3BJQqFV9uLtCQGkKnSucqf 5gSrEAxtAsdjrOPy5fYPrj6dES6ab07+tpMILYbZqQ6W9S7msFzN7H5K34slw7STPVs7jdjQuw7S hir9Lmkeciu/et17mYqRFgVK8xJv2vLoqbRqQDocbdkKD7gk5VBFMt7mpo35qP5IS6YDu8BdF5Aq PkVRlbuhLsav4sd/jAWKJ+78HPSmScCpZUZIg82YBFxXZtC0R9MOszNuNa+znUbdaXOPru/ZfYwK 9hlLHQNNfgzuAFSUG8H0kveDduCTLyWr8JwwuV/JiSJj3cxJcrnyCfjzutecceOEwv34ExLLvX+W AF065mkUI0Lx9R24SkEWNSwarMjae2vk6KP27wHWMQ4DHIAseLbhFV7Z5l0HH3tUk7wPWWxNuQjL smxhRyRUSqRpiWsifHw1NJ4tL0+BxnbS8bblTnLHELSUHJ4++5PNC7QZK2E+Cf/pu0Q7Net4iT7M C8ekw6Sgxci4oKVQ9WJv2xD+VbXzbCm8fTMFiJkFD/zsny0yngVJFuDPqdmtY6ZLgV7v4R/OulOx hP+YUdpfXutpQR8EpYXD6CH20IRz7rhF2QVN/m+bf7h3gyBMl2IYSbFn3AVAaHqYHw/R/R/czNHV xr3nfaH+YgxzeY49EITEUPR6/sFsjHNMGd5IsbX6G/eDmDIgNZdx9NSWSKgo7Oh0RKPLyNOrIpDM BHARcxB8FqKeYQzVXsM3IWvn2of/B6HgOUdw71vyBW7oZlynSgpdrhSzt5lHxrAox2Sq5YPBOOc9 mRobkAFAmaG3OPofVymSy22BMZFLLI2z28GL5l6hFXX/j1R5kie3kDve65CZQARVIlguPpiK2cwT cW+Fn8GqigxAny4xde1FsVUzH7YKzMaStuDzM3lPRzOQQmP8qIk+coKbGuklWfbv5cXaRM0/9F3c N0fOs0hPz2w6pSqDx0BzSOYt2YyAopYPHHU4zj5z9aDoeWYDNDRbwYj1X2Xke2I7VBlTlWSovO9h rMem6EbEbuqkaNbqj1qMZA2sHXAcQqbqU/0ba35ja7VahdXm0i42XN75qYt9sInekcizcT6EOHII NO/Gv0zov1vUG+NNkxq/h4oUMpodXlyrcmqh6Tl6qlzEZQiV+6K0f1sML8FUPjatxSVdsbgyJvi3 MaLFRkdO6VGS8UABWCot+aPON/C5nQeROO4q8I6sD3FX/KuQoQOl+I1e04gyRJWOa3av8ldVO6po SvfqKgD4jPa4eZJZZDkQ8RxGyI0Fkx30qnt/1KLERQrWVD/+usekEHCwYNHudnhjJkI/NuJZJwz9 bp5Cedhw9Xnv4N8RAfMOkPEolUPj8+8jCdDG2HnCxzWRhKD1W88y/LsOoiVxUDNgBqV7YQlQ3aCa iUWw9mIy/S7HRtw6kkz4RinBnKj6n1F5L4Q3AVeUiScWLXbrUDzIze5c+BbdrY5Kcar1IbchOMPJ PqG8wRVFdBduZZu71aZYIMMcGchTGSeIVw1GUbav5TJo2b4WKASE4mYsKU0Dzne1fhWuxPgjuArd tokpJzyadNq58xkwkdYpO4sJUijYPxRntjmtPvrTG2TfxQ7Dz0EI3lW+qSjYHc4KwkzMMJpB+99N m86mASnpGQQs1zYD+oH/qgwyW4ofuLsHECcoH+60leRYlc+/w2jq+TaOzIhPjTeB0jhPYm3FPNLh AqGge+jUawIpd7xs1BRsSlZMyS/i/pFsk287f9KeXs54RCB5iPJ2zuGJRnekJ6zm8cMksroXrmcD yrwW5HURBP6kxSTZD4vV/4B61kgIEp5Y7wA1D4l7dFqNkCE8Xum5WatLRn5v9HSeBwJHjDnmTf2A n832CYSKLv4J7Crkzk6/UkApswHyBuCpPrytNCMnQSzWNSoz8CjLmlCupkelyOFj45oFXWR7SGDZ 8JXY6VT2fiFMH+aEbwjaOqPBf05M9B05Ko9z53qDENflDtVEu5yRxsPoNXtvm3qCesI0CCYv9uvz iFskraeB2245iestoJw0Cf+aTbxeUaVfdjAjo+sgGO+YikhwAEKarVE7sNXLIuwb2YYiZoATULeo doI0ewkG38i8UAzIWrsVdJdO2SHjMWDAWrm9rypwb6HpJSDaSGNgDJHrofV1U99v35iviVfsgbzo lGo47Su1ZvDGC0UpbioGg9eABzQ3ZjzAOLKV5c2gyM15Vq6lEDFKqVI2qOUbYFSm7FEdcHUcbBD5 SXNwcBKAMhioFLmj71sK8NeQzWw3F1iofNC/A3fWiUinc1DFE4wSapf4nA7Q83D9J2XVh68McidD ZtVtE5FgSyVg7b4seiAnt5ZEiHODxxGPbFW+/rjZpzefIgAOqliT06k9nplA06RNvkId8Fmpg3w3 tBPttPAVomCxIg7xgkaOeTtKmiBPEVnT6homJOpCsztu6cTsSxwuuiE+pzIwJO87bZE6875Yes2g o7W4d+DALtD4ctNibBfrV6l1n3p8eJzwP9p9tpraKsfP1ASMML0sxoV0nEjMgg/KAKe0KTU0GOhL pJzJ78GEpbtR2CWEawD22EY/SGU/3ntEF+LPxO48bdQefQ7g4+2x0e3xkzw2GF2hka5sJ+zepA6h KqTJ/4OEeU8Fk9fHDbCeepUIJPGmil6B9ocXpITHBdleHCZXbJoQ/PpBiXpUKqVY6sAKbnrUYPFx zMJaZQDTXgnFBWKzNw2bgaoTmmCRK5F9Z+qg7KJi7RrGmQOZZTRbuiUmCwO1LcI5Bi6hKl7a2T5q oKSzux9yBrWRsU4wCDC7NwApTEEw5A8YTuEMRYwzAfxykoyQR5xHI4ehMA4BoD0oYJ5l2wGlUBHl EeztqG2YUfwvfUClqBFzhXTJtgH7a4695G5oSoXLVi0plPdGdoDAVpWl5RxUFCfpO/657pYeQiAv rwQA9oHVe0FTfF8e7OJRYp9HYvYzUQHafYQeP08puvF3Dje2uWC2NR7R5SDZxFSPW9eqPR/QS0+r nRtRwXc51YODG9vSMUx/iN8wdrxDBgySV3ppljrAQneKIsvOPfNpCUFyqA/GRnS0P5A6IK/EWstr X0OICAtZV49RWj6ZwyriiY5ElOUbRMcrfG8vt6SsjfN2m25Sui34utdrwYdXNhv1G7g1I3+jUnup 5EbKdy9V5rcmK7wKMQBwatKkUVP1hyc3u9vUlLFNqx6KLBmtONDuYqWI09XzZx/mREfXuTkmZDPY IdHohaXwEBtLMPAy7DUYB54tiKpUWl4eqYmJ+XHRGUExh/WUn8THFoPXhsNFfvDG0hXHUrRVMfzN uSol8mjJJsDRT77wR3t7tYOSS57SgEGRsfemTKvNSuKtVqHLf5BMOPJwiW422MfoYOJ3hGXJFZn7 1p1+8T/rN4KVVkwpuwEtKACIuHmVdgeLUBLaFDOdMMfmZSmXv4p9EKRADwLJvQrUg9+ZGOLasdGk jri+r8LVCO+VW1Q9icRtTQ76klK3w04CLjCMXW/xw8zGomUGysGTiLPGWu+l9uwzsQM9CFlONp9q IqYrf4gL7n1iScZPrWtqstSi2GfIuef7Hp/MryUBYCeRVgC9yNwWYep7uoRmgyFXFApdLRN/SWA5 YEBSMTwywbGh80G4fO+oCvxMC/Zf14gwZkI8GcYtfoU9PBfVNik/KdcwU53fTZKSOU+4j40zT02m Ko3cvFv9ew5YatnJSEP/ehlRVOLZxp0aZkyWqEs8TQi3m5X5aH29YoBxZkVzvHDfSsyMTGcQOBgP er/aIDDTc0q2kWGQVs13fQSytharQfgPXIGcYXRJS/6bHCUN4jpEBIbSV8PTryUbo3Gv45KMGt85 obm2ErDayJ0RIir/wQxyDFansTr1TfnwCk9LZ4OXdNpbsmyF2suwSNjU7L31irlcSYR/XGhNk18Q aohw/FkOOJhy/Rl3zCzt/ulG0870yXMukW4bdAb7naAjxny6Rh1NQy/QETSd/3zhw+DwfrLmBrCn wjcOCsPYnFyWG1NSymYikRtW6pvIpwoAzCHiCDdshnMSCd/5vI6PxfMVC3RjPJmCXzat+NVTFvpy 0e/BlNhglCeEh7YKR/lu7w3lwfIFbOzrnatFT/kBAEPk7VJVwJQL21vncnvvBcbUgK64nC+12sey svU1xYPQizWjH9SBqnGvo2Mx6JUgNZqMs1AnHDCDLtYuI4bAQtsRTtKh/snzwq6XRDwtjctnLAzv BqU6ZDd1idaT8GS2sUP7YP2jeK7IK0s7o7kH2lKlJxqxBg7Y1W8zap7nVShw/g4v5NJq+27Qq2a0 QYzp0slKIgLl6hMiUhIB5Pjaj7nW+mI1tcTXYb/CRYaQcwYf9KcGtX06yo9j/IB1NJMVU4nUYMq6 tW51hOzK50ZWgXJ88Gv0vutxxHCVK7gbArTrEj3GngwSpuqEa8RCwBLTQmkqPtKy+LK8oujTgUqC mkA6Iw5e+8O9AP37Be8y6KVgh93KoXGYbqXc7TXV7fm27Mgs+YtLDEg2wlp++vWCd0Fv+OIaDNeo 12i3tPuE4x3tKVdZrYeOTVIw4phgOeTQGp4HhxUb4ukU7eHP6lFEBjOnpF9waMDc00DwHX1RSvt2 5gzJ4a6RAW5acMBNBahuwvjvIaQK6Pl3/Iu0NVhxTVZpy9aVBkrmDHBMStPQbTCV9kO3LhciBxgK 5PQwC9EqeruQhEQpyh+6RdNzA+xJuSOfC/W6pU8YT3GKQ8YMJ6wTJyQYMeY4xbe9Q4KW2D2Qcjwp 6ddiwDV3/ahTAGhA5tBz5Twb6fx0Z/izLaF00HMg7QBBhHeqo6vUHnkAeFqU/kEgVLH9tzv/VdWu Lq5p/SocXN2GpFpjt0CBCyWOXwoqmXS7Ka8jYxpK8jKWOfFP+qqxidFKxyW7lQThW/3XdoLk9lwK A5BttSb9ZFL6aJTvF490cXpVipNXlT7aICVI10N79A4Wv8O257gLBOA4B636wgrcPQuvC1/FzSun vrr9uwsrg4EBroA/hFMG60KgAZo4TQiJ1ynomX2bqUXc+49Fy63PBd2sCNCDHapZts9LQO8mRYFX neViytYnGt6L/1XmBkOV2NxHRKJ9YqCJktuZaiQYVEkXqhTLu27hk0Lsr1iXsHiKZgSzf8g3iyi3 o3ySlaLyaUfs5FYamhrPl8oBe1LjOQKF/0itvzod4ZtD+zjuYg6cvCEoy9kXyPyCNjEgYhNSqG6P HEFx3798qXBjnRBzeb++l+CCFtc/mF50Fxju6Z02bXaGXycrLIP8sCpAgnqammG6NW7azfqGwho+ 5mY0SM4a0QPfuiJXMr5lHFYc1YzGOrl3Oybj4Q8hGtRj+Hgvnsc3e+sp+IopWtmdxF9tPqU4clDY XtGlCn1UFPvwBHyBY9n5EvdteiX5qz91xS2FDJ3Gn22l3ruJN44LwFT6ggRUQ0nq/udpmI6J14tn Bw16AdXJiF9J1LgIkrRB+yMzNCqIuLniuxHG/tKnzTLhn6F+/X8MkxdDpDdzxh8mN5qSlxVhRQvI sksTtPOMso0WBcoY4UD9wm6mih7yEMruY3YQDRedR95OF7Brc83cyRufpLdAQS63rLFP1YsJGkRo IBAjc3atQ5pPb7KfofIAZuFJ1+u9+g2GA5PYjAiVTMVD5Ai+86Hv/KY6OzsRoJ2JnaATy8XgJLow ZN6LLXhWvIoCbx/06UWPS1D2CsgrihYRIgsNrn3pnZxwccYTHR3D/de87DCDncBeWy8iwAEmC/Jh wrKZh6DwEi/r+SRonhO85wMQ8vYbnw13Lg9nH6U9+vRiWC1j6sBoEiMtkLz6U9cYcYkRfNF+HWqi 4cyu9BIYIt07o3q2vku/f6Q7zbpEOXw7RBPCP58I5G6Y1kbD0sj7dfTT6QS/ABo1Q5snHVT4c7fV D3CIAB5WSc31z5oe+xDeIT3pKlvxXLUfApBhTpuP/pIoOAHO+aH+4Ld7X3aD0H2IUlArrO831Z5G 4Z/mRS+H9Ho1NLM1xVU6fCa9BLoHdal91BRjlRgZ1lFpJaCfsMfhUF0eiPO9hOrYWwqYru3niaQh NdBjc8/GH4bX6RwwBIQU/1w/7I2gU9xaW/tkTcHn+tAffDVMtBlXtNzP1EBFqUl7HScCSbS7smcB AVJ/NnMPaes56pn72QqOYTCVHvdb2tiAMweMqeQ7sk//eWIdWTsaXNCeNVaegafWfEl9ZiOzL4IZ f2KLzKM12SaBIi5C0AWpECeMfV3xzUfuTuIzGhXNyP9pag8t/bJTP7sANzeRi/ltehgAuZ3KuNWq Yhnwl+HK6EAAlyITKqglr19jenDmylhqO6cxtwo3R8ZanjG48ZJtJDejkg0iWY09Pb5qruzVVQAp 6zEzFBYzS2cF/bedGRMPwsosnfhn+7LG5XGV6Lqz9X4H0HPEcWSHvBdthuaOkF8m32WzJZ0MhxOy yXgLNFPunWSzqckOFNP8z7tM1iuJY1+bwnDuYRgjI0fnbw3+1bPjpVbBNVWe5Zvumjobtqgkkqm3 ZL++n/fHPSFfsu2UvaGbjoDOinHuTqmKELMKWvoIWIfp2V+uMvltP83TNcKgf7KNU1iUf3U6dT6j BQeMBOXyn3xRMRAQ8aVR5Sv/tNwAFDsxqa5RjN6d12iL8g/qjuvN5nsgt1WBGHyamjq4YgT421GB uS8XjCeWuSDTPiZPcWKAT4EPVwu8hKvxdNj/iORMCNR8DG/f+st2Ij9+oxUCn2AjmN8mmL2NL5VY WSs/rpsyOiCX66V3mT5ayukkvK18CYMkiY/7CIMv59fV4Mx5Jbxjcbt85ldMPxeIJZfIFehvqG2n DSDGXmphn1GM9tAxoUa3JIbBQUedAMm5odPXOig7AVL84t8/6pHc4qmersT4u5vMeuJswdFhp4Ut Xl8w1AnWC78PTxb8nxnM/Of3ZUwr2BoOLM+vIIvm4DOAgx9ua/XJnlGmKauJKyPrHT5EwQFpXEbP GYQwXRUsGpM6r5l0riJK0eEKl9edBCPhqBsSfcmXVqimCSbKPWaQ+sGE5U5BYJVec8iyUruqdeds xLqYcy0c0qaaOm5PHEhGozs9M5cvtlCQmVs+ZFz+neFavolpRygJAUQ3QEkINM79Oqwk+xOYsR6s HttfH+QVvVB8c+nWR9w0StlC7SIA3FLTdY5qDAce5HDevY1A48SJtUeAmN7NazKWMFd1FQgo15Nu jXnEYhUuIY3MMgcthBvU8729gB2c+aet/T6nCyPpTPWgauqpqSgl/bFv0jnyZfUFEM01vmDV8MQZ dAmFPGD1Ycbk2baJQNnxSFQTLNozZkZqnSuThuMdH14riENp0OfLDmhoHTkSP7sNREFsxcmUBIN1 ZvTrgEFhav3GOx5nTV8+VQRLFsZ6aIMEAM9MQlgsXuQwyDAZTj5U4yLfJoxn2Pa057NWfWwOfyhO LAH5ZwhuqL22JReZiEgceohhHnWFnAs7Lzc4qMsQbaOwhYSC3EbTPJO8lKgSLQgNg834sCwR+NPX 0ky3XvJFQwSfqJXL0LpsHUA2d05g+IwRuB56X+ORvSlfqMiePf1dRKLbEPybxP+YyKeuLYIGuEvM rHp6oytje52ci4tlettB8Sm/H1oH8lAX0yxWQdnnWbvWhN0uNDJLI8d1T3JqqhDGWfQbtfwHSc6U dauzCJvBdGBTlGGfwD7EqT+LMs96KwlQKZntc5if65yXn/ZbYPS2uhvxEEKYNNnu76CE2II8RIsK t4LsAZNMmNONoQMKGVGszLvISrds4l970FaWvWLQ8QI+FjsAoeK9ZeE4R4sBdJykvw+Z8jGeFl1i rgDB+gGjGj57VyVLC45baR3xaCKKu3B02Bj+TwpKffyIDGwCpSrku2nyJS0mpNTI8AxvXU3nSqlb dWnzlDaBbCZrHaQXvXjweeMhCppbCCDQAcOF9MAfnMy9v4Eg7cvgF9sUmvacYFY+b3JZIaQkZjKf nNpgqA8uW/Naa0Ig75K3boLCqVWIKH/Pgj1n4c7CCVSM37LzYoylhaVn16FrhxeFxPicBOT7+FPl x6RbvFwfIYS/0FE+4VE5IuMOFauwqLiIRf0wrfiLF0akFlc/y4jC2DamxGD2PnbPIFZph7VXIFc1 YOxgJGEhBxfD/1IPn1hAHlbUL/DPpbJ7HG3dXqlBZXNRNYbBredUe0KBmiOcMP9D49eMjAalM1NE M2yBsOdpx1D25rat7WztXlqvLWUQztzkf1wZ9hN/E+/qrwN6D+PtRLVudqXCTnBNueANnT/j0kO/ yNe5qJS7m15wN32yyW+yCNyXaaMRGG/bDHtjQVisXv1/i91qvOmodjcu8mbD7j6dLHBerkAhwDLJ Esc5y1XWoeILP1orGiZ2Bg5bkjtWYvory/q/f85JliMohdj+4f8qPK/sR+FlkP7nlo23g3Kdikw8 f5zscg/HB0oN3V/lQCaxEMsnzJ1XVhlHHsi9Annm/iWUK3JjgDmmFZWQhuaX7iMfyT4NeRUgr9Pa P3MSTNivYyHTKzd/WtzbdSaVIp9yEK75nlLgQnI+H0OwNAvGj1WOCUNfve6wS1K7V/GgAuZVia+y dZVl1MjNJ2EZK52SVnTaZsgkjxzBjzoedpJVB+koCho4Id2Z1RboOnHgP1KberB1BnsUjIgbE40Z rA2cBC3aNV3o2x0Yl4aHZ3XtaznvDniN7k5EZf8lZuWxMhd4EhhNbu8oDPEfuP3byFiThkcp15gC wPTp6ljNGInayUFLNKl3qymLgJmwcgEXd6pCgxfAktLLT38hQbA5Rci/t16eZ/29Z/j99O7Jzxa4 rs8pKqyQaWgqxl6rZsWBEi/NGL/YxL9xlqEBYzG951zdrqB6f2jFZwIs0oMBKYeMsuwazFVNyr+Z 8FMyIwj9NeoKw91MhGTfFJrMYe2j7r4mzYUDt3k+Mc0xijpWGd7jamo9BisqHHt+1QbXbEIXzggK EFyenhDpXTDK+aXkDQdWePobDEp45ZBtixR7/WMtGLc/qTKs9OMU2M4QQoeJWJhyBGyKtiuGlzA9 k4ZvGF9SjOwTGmCp6iVnGI1HPY82T21ow6gJQWjf+mBmXlq09k79bCAewA9jZAOliNVCyH0dnMSF qT9vGtu0GATQubkyE1uP8wqgBVWXW5Xd5PSnpRZJTt8lj8GtAb0gQi5khFU/B7LdCdh4hyahHCh1 AL5JbzVCyJ0MIhf1AsVbF2rcOck10w0hs0o7wfXxvGQ+pPSOpDxEkhe3Q3Gj/5jYEP2zSVbTgkoh rFqkydODUIP4dYk2HR1cPk4sZ7JmuCWhbelsnGBQ07K/Zs9ELlE6GPE+GGT5IOH0bAs8P7+DdO9t QeiycnQzPc5xe9aqJwu9C0XcN1PB42JAbvHwh+EFl9wHoDkLIE1dltNSumktNo5nCUtkjsxKps2f vevOyPXPqP8h29j9AWzCFE9gX9jPSEqcTRxDaoFTL8b2CAsQY+CaK91zpJOOvxmeUJhyMyKnmOz0 gMs+xRXbjAJU6Zpm1JbcHLVND40GPqy4pB7bR46W8MrAIDfBj/+cmizPNv3mNeNcMc0yo/4OIlWS pcD08TCCN4ma4eD422QkkuyaPZjwDrFD1HR+17o11rJwYsT+sIosNT4tEND+sTSrfADiCDlusnA3 peJbxVSfezSvVoQEmUfWdwjhUErcej86D8/wkoC7RF6OccP4FmJjpYEPDPLdRFeFtZRTtoj5kUpv UivVJn8JlR9MtgfDLCAlT4DqUf1xD4JulHD1h+Wbmwn74IjblG8DGxElFy2sFYIEIIxFJhZJjqME zKcfArcOdMhp48UcdRIR2VnpcOq+0YD/0zxMk8AMATYCIrsQKyC4F4XjgjlgqKGwth82LdtIN93h hkrYYaZm+Kn1DEJInepaLwP9E60Ud+Y4073dAazMolMKUEHPNt1gxGLH5OyVz2fn9zWgu5kn+iS4 P+rMeXvA8KDIB0tVCUpmrhcO0NviZxeW5wlEyvjcOQt3M8KyGQHAr3g0BHjM3ZouC7GdFxK200EN GUMzAGFiWXIPZTzaKgsMhscN0J2YwRBPeGsjsPZggRU0Rtp02t4AKOYjNF8XBw1bez1rsbAiScJ9 WhWaMCyyK+hGm8oeTWuT79r3y5aN0rJ2ZUxkcoynJiI8J/ED1ztcGYIIeJQ1jrFqAvDL1Xjl63iM AyM7eFb5KHVE/IDwnog8KDuSqCCyPU2+T7L3V/kaBsl3AEupxzq6EYFkqR2553g2cUTpgkrjx8ih 9IQdNp48XFX1Hk2PzbilfztfXHXlwDIImwUXnf5spfkm2W5nL93x7/r4b/+9cKN3CpLi/7+SG/aP E11Y6OFgWQwbvXgrAzi906XCr11I24olqWQsdWXxm/SxBy30UaweB96fE8HF6MRBULoQC/XKR30Q lZv2Toq93JaWt3MaCPtruBLGu/KaxpRQ4cOPalrls5kHi1XgYmRQlDFfAwQJm9xGd2RtFxyZLNJd 4intpx7K5GaFmy1mWxbscyTRJJp6gyhE6k3l9LRk5uEcpbh8o/I8nUpZzu0w2ZI8L5gkVRtSZ7Ot L+98NOPEgdi1CVEaYpGaQh4Tdc9313mqw2aN6+xpprcOb/y+6lTwksGfC4D72o6SQGfoiAI0P1NU H+34emnVpfvOzyS6N2ZnGszaq2ew7KpuKVBrwDGPT6UJfBtwXaGC3vixAN1U4bta7pYU3NG9s9Mr kxyiMcOesbHMJAy5KTYCX4TOmxVecXV/AQI7W/ghkPJ0gl8ro2RELOszH7lIy3mHNsgqAacUW+C0 9UFqCLD3YA7ecMvge3MklnqAu3slpGQWQ0sYE9LigrNsMYEI8hFGjaDuBxir1DeBAdSNqCHpmI2t Sx8tcuwnfNae9uLDDXBHsq+SOT3+imJ0qksVmebC1bXDKmnJyefeavhCfdX2PmZkDWwu045GBMwj xBJUS1gc61//P95gjM0IaYH1yFQT3gubbBfIw9OG0bqewSHu9rZQme4xfPdy7rClshsmy89tf/rD Pymz3u47Ast7URm5ukfdA2U8sLp+rH9UmIzmlV60JYfO0jUEX0vQ59n9aKbdHfPdFwA9wTFZ3zTy r1BNQzkHBQI3ys4LDVy/VGKwo7z+wZJd5ppZRQXmAsLmzKBCIPfAHncWeC2rpMdToEIQJer+1sL/ JMV+9CbytximAnHGNyp438RMDc2fLV0HhA0kqfP0MFb0Oyy9JY2EIiOr3LYOOIp25wXoMNdAhsXf iuWKC9w9lM9NTr3YczE18bhS44h/bUWdsGdYcuzsLZGyW84JUHCFJ8zZBDrsu32cBmUFUECnEOJR sxsHt+/1/qvcnzUkXPZJ6lPVxZvpJaSAxiSOiJTY8JjtHn9kR0n0pqvHAN71x5bUa7DL4SNZVaw+ v1boTmCt8BHL+pehxUerT6KWxMMdQZm5KCR5udonyEGCua7OUKC3OD04/iyt5tNfSo6hzliKz+x8 iXdFRjL4GYxX773V/MZPqNe7LwiGLoZfhmDBcil0WW5ppq629s1dedLrm2A4Hc+ihaEJ/VDXl/gV VAHo89dLMA86Rq5zGax0k/v+kCYbDqY884Y02AfBOoQetetN1NJ/Ros3y+nOLoV+y3td4DuBwFLC aLefBwu8kEj9TDzxqZlBSgcN72NFxT8KR/HUOAO9tekFReYP4XlkDTxbnndwt7HtPKNO9AJEB4Sp iZPGiuSqcoFWVIGHphLHII0CcnPTJmhPJnu2pcU9SJ+s/QqO3uxucSUDf0DAliRvSwnJAdynhC6Q CGXjWdXRSO5f56Ba2idQ85rg4vMeLpEnSTDymWdbd1OsAl+me8HqWihvImABrFTyVrV8+PQ5tWqX 6MhvpWtB22I9fJ8xlOgwa+afVQRkHZdqbaFy/8kQ0FyOlyFC1WYsaRNx73vufbFTlZu9vPKpa8yv UGMYIV/Ttz9eMvIR6HuCcAAw/X62luXiS4vCOtJKYckOsQ8QC5kNDgSV7dHu4ZfNjNnRif9zRPr5 aDW2h6JMbyr1Dq7ftVXNdEJirH79/Nc2ZN+SZa/I1GqixH4XcQ3krGDc5ZkSsQo2njIRHbuZPog6 WpexDZQWUWOIhvO2nouePRKAudO9RrMWk62pjRkRMydka6Q98x4clwQLKp/AfnxVLotaUiNtw6ug nNYR0PizQHu2Z+Z+BDC3Ud8apcwU6ialvBbCjwrX+4bx14sxNb1HwlTmnIyEodOi7dhB2tznGUw6 kN+SnG6Cd2Lf/DeWRppn5zGqcHdlanRfpn77dLg2ZELdmPyxAQW5ciGoxwnwxeNWK1N28buaWxvr Q/be85jEJMdtmCMM9bp+4wVDavRfXPtUL8G3l9TLciTyZklSbeqDNFiy7l/zYt+E6U9YUSb223XQ Fz7wCxuQMB23wDUWg3RQ5gFU2SP/dwY/CvUacMmnFm1VB4Kau6hHWlCAV+G6fFeTqwmsHvfX9uyj k9BljPTxQKUiwWfyVT1AkP3Gw7r8GupXdFTps7AtwH8EmZc6guXoVyuLGvH5VTg4qMyVH2rovrB9 +1V22K7kq/9Rr5sk8nkE3x6xHuDK5Kd/xIyhQYJfIkJPMYwySEhXKM9aaU3j24IJZ9h5Fef6JMJT ZzT7O9Ft+TnSeU2pCL/pNOvp/zDE/nb5JFR4CsDmdAimkLAOeb088L5mpmscNDSmqE3wcIXRiEJk VGZIa/T38u7r68q+yubSZb5U0q0xWEB+20HMPOCp88OUJKtU5QQIpgdGYHVJmFGS05uQWfujfjIe ZXcHs8r4yYomAh6hlldy9XRZBPp5Auj7ddgB114HSzfW1spSRibdB3L0UaRO3QsKdZml5Uw1H+aX +GXua3CB2TVGz2GaTC14V5jIV1eF/pGkzCnRFAtGycUEYD+gfrXSBxsXWHWnUooU0Q3g7yIjzrgt iyk/JD/NT4uZnPHe22F0C9TNccISXmE201PyhO2EHDGL43TZm09lBXTwv1DF8U+o/0Lw1NR0gS1x vWmYTJyio+gyLDJTTLM1FVU6oMjrj8BSlsUmjItMuSmWBSZRMhZlEPFq7o8l/zlGoydZVSAh94Kj vnE6T68lWJ/+uM8Zr7xL7pTeL/q6nTABViM5s8w2u0qciHlVetWnvNw6zodaHJaVDQJtQbym4GGL 9iSZxgSneTx/5FfoBSVmLcV38fTBW1vOclHong+WlZXIKaMiPp1DcTBx0FHm3xZ8eZ/9i6Ysynbt N4uLXJcHmH6GgtNP8Y00MomB/Mo+6pP/SX8/0D1z757uFxEN8SOk5Qh1jq49GSYY+90jn6wOXijA HoH0DdVgirc2rcUsY9b63Ivl1+Wv946R3s9PSOyk8RK0GvY3lsZQg0H2sVoGYoB9lRB3TBdHgaZh OMWSr47J1i8tve4Epe5y+yzpUStYrMCH10bRXowLTQMw2HY56dEhZwEYV2JNkdMGy7jBRQdLQZFQ lrN7yjvEmwtxSagzADQxSmquCSovj6nU1Qc24vvzq5C2O1wxP+PBmGYlHcOsPjgFD+dd8bPP5Yrw HW325prGsO1AoZOqpOa0jfDJWH/RoFXl5AfoMjhfpQOVnQJU/n3eEKWdaOjrvIHL0kMBx45hNCUo +UDqsobdPqvbdJa9Z+Yow5zh7qkNNL4FCFTzLNWZ7DloQIFltCFLVzpW8AzJVyrcb73YlK1aJEIO GJNuAwycxjy/BDUZsb6EPEJaXfKnHomwFV5DEtwLzvK2Ca/MnCNEig/1TAK35PKYms/lmnQnv/9h RU5Z6Fc7m1LC9mFq+eXZr6MHFM9CurmHVxns8PKIub8J2n5BO/uJAI0AxHbdIpM8bp6W+Go4+4yA 6+Y6cNnvKBSYVY6TKDSMMr2qpv9fiQtVTQgMY0NqollxLPn2n9NguwWhtb4BXSNcE0oErUW/24Vj lJ2PxTQTbwG/fibJ5JHhajawFJBdT3ErsYhxUl2OdQei/MMcxwLWzElzeVU5F3kTDZgKX+cMdU6B 6eH3FCDoxxTMnDc2reUCEWnTd764Y/VdA890qGqPXnmz2DeXwdTI2ePrOVT8IlnXcbVm42Ow+OXF XXyZz9GwcAVbgPZjgITe9+o2XuzaMBXm4BCleSgKxjc7cRIkbPhLmQCalRf9SdQZqaaLtBCR+IxI hTsi6Q9poed/VoLcFR9vHm7UY1g7LRpiBM2q5IkvoPuL5JUfht+rIUoWE355MNxnB8jpEDxGcNEB 8r9OIT9+gGAuh23nQ/Do8x0Pnh4y2ZJ/iUgvZ8hK49P3VVBdciimArNKkIj6RuAANtmNEakd2eoA JlWXIJzs/pbZ2gtdng5uw9vk5qWODUKfZnvHp412WFhwU7RsYH32P596yo+j9XDCMWsRwYV0KrNk zLT6jtolWPMxBuFYuivfVXQPiJ/uPSkLLv0xVoNQQYeIy1irlIFDTIfNR8mfBe7Ls2vXBIBz9gv/ nIl8HQXYmcj32KPScXjYt8cVTL1jT+X2IiQ2WT77Dgy6vX/6nn4s7OKMqOb3r/26k50JGy/IGldu xHThIM5a6WzkebuwBHudOFRTGt7MqIwhtH8ToMZGStbnjXx53EXh7gt4IfC/i/jVfqf0TEumFeHV 7+zQf5i7K5okIOJGRr8lkKVKXhPSxL5vd8tn4WlOZ952kHu48YA5ID7j59Rl/hKCXpNtxwpxTxqQ EEHj4JumTJbn0Cmm9mPpCwCMT8sDcpP4+QAtbP0gi+neQEUEvJhZwJeJNeZZpSzovFnKqmNdqSyV NjLA6TBpkm1mhlyJLYwZpMpVvxHLKok5oClqP79XdFGUtXpsrVyZRbnC6t8/xJIFx4wW0+QH3gQO 2y0rP41uktfGAW1BXP2Qk1RItkef0fj6W1R3DNUSa6J72YnAmxWxBw93VXVZCoDpHO9NKahr1aof SHtH2FaIEe7QErcUP2qLgDyiszaa3CZw8OiMeVQxFA460bxOg7k42xSyMO/aTc9hrcXtYf9w/TyO BAFWQi/gjn5YUMWu1Euf2nhfmuqb4bRuF6rIkx7jEQc2UGUISA09R0iEKFIIAwg6bqd1Ox2l8Qwy WSXcsmgDBXqAbOAZep+i1VPta34athHNeZpFE2+76f7LWiHlD8mYOz6pdJTw3qsi1wKyTH6X7Soe M6O74b7DKtZO1CwfzC05GnoV4ot6kc7YpA40BBpl6VxDe9dENH3K2XpnPulq9je8wOQ5ga+4QnxT ECPgDDLAhX8bSwukv6AxKFb8qrHTTTrYOQL68TXkEnOM1Xn4w/FS6nB2O5gCkHlcY6Al8FeoGcBz VHXdIuAT3II1TLOCVRGYaxW3Io74zaEdEXcposrpmSf9Sfcm3PtcWNuBq46eLKr/LOKCWmgnKOaY XkOALNwIo7Jy54YxCmByAudJa38K1ESnsLGEceCYavPpc0LuR7iYdJapz7qIeFy0Lw8V48IgdrYK s/o3/z/m4SsDI4Ar10DhQS+ULvOWONhNKkKCYC2O1YxrcM8Lv8u7gtoQWn2kyhWbSflwcTZwHMQq ZeWzFWxhx2lSm3xzpxxsebKMVmGVzHLrfqtuttoxINsRBsDdjypQHCFKiB3EJ0ws2jM1BIqNsRMS ykbZVimFhLg3ozUzSSJgGgBO5/T3Qcgz6RhEq+gLnNSREhyWmCAxhjQgUvhfUkyR/HESyO0zJ5A7 aG2GoiL4z8/0FXP0vcS0WmQOej0V+15khWwn1WDZ86DzHeYfG1s6IHI/mb7saS6hjdI7YIIvShDI MME4ZyKEvvUvEEc4CYu/0kb9uzrIIPHJHHTeMv3KGnN/xXxmhehGkGVLuKnfaOz+GQvuX2TfSy5O 5ytknDoWc3p+F+L/LaqcdxJjsDWVeOc9klb5jVJIxYv/ErzKUnukuPSWBDOy/oPeL4GTKqzYXlK4 Tmkweat1vieM3Cvlk65wkMh/DbogvDRnIoUXCah+shB54Lg/FLkZJ25xsf6rtUC69w8GxUXRGcCM 6RC2qJ5X7V+I+xZ0o53IjnegXxGfteDTD2Ll/aE8PEdomeYYb87PWJe+ewJ1xHQZPxVQuy+1H0IT U8rn/jnlXTEOGGC7Ov0hdBF8kYW2gezkEUWMzEFqiSXHD3jOSvSXcvdxyKrfuWkka3LhFcQhIE7W SC+o4kvO6X0oPQU5n27AzWfyx2Eg5U/Ifc2mPa48MhXrbWIzgUV0MRO2q3p46pMgTGsLRQ4ExBBx 7ioU5C3ibGXmuTqlszSkd5I7lLd/IjSCPSuulDfe/aFUzRuPEkxg7w1GSqBBr1y3AyiZIoiKbwxI X54TzST0KmNiBK9jkx3S97KyUfxOoH6VGLYThBC8d7i2WcnvYqRvQlruLVOGp2M2DD89I8SwhhLH lJYcJWGNgeMWiB5ROV6AJ6mQ6E/Pt42BwiRsXBLhitxvwCKuDf/LjMBnTMuwW1BaHnpY9mY0HKwL Ksm4GRoIiwaYLarzSGlJ7xG5ZmuKYPAJ+ofvrB9C/ORvVgW64cDUM5nImh1HebHSE5qX4KcFDINj 0H8OjeGFRMObkllrd4fhZCRvuzuumeHj4ddVDC1/o2ESJuLzoh7IcOmxa8uFwQ5gihhasQ5rigdT yBHrjLRPNI+domQYC6CtXeVdzFQVBfD7IKNj/y3EMKTdt38FY7iXk5jdSmTLgu7IBRAhxcywcs4k q0fGCYcNqvxk7i8VkKd3ZtzdeGpDidszhA/S+xATOsgknV+7kU7eLnjVa8RGmDJN+oQ7oZuPvW7Y Wg+Q0wJVxRqkglebvENqGZabpjRvjGlThJZgFXr6UaEWU5jLzpVbAgS30RBNOJTInyuUuXVV/yYh 05uJy1EEX1nUTqqE6edffUDeIEV6flFLQaJbK/rpBU5xsAv+pyQ9CTiaWW4xZA5APE3YQ88TJhyY REj//UKWvtqKzrqHCp2F6ylNAHgfsQzdJZBcUrvzIeAlqn5SY0CpjhY3aN/8phkS8olgeEnrfZzP xVvdL+t+9XdPB7qKCXeHEY1T5gCcXDPN6UjenoiwJGEcZZGfYB9kCi12wrl9ixLrB8YEMWdT+xC9 uKxx9qAKYfiTQc0p0Vs6Oqh8nJWaAH71qsYMxibvRZtRwVamOz9POBDTbArPBCnTHxARhtMUmIJm AeLH/BAx+13S56DhropXBeAMTqrxNyXdfid8rHXfu2RSPkvsqc8+b2gEY9thpEYmbaPpDFftgjeF 9EVQsJtIaBlhPN7bmnpu1JP2Dv3xVNqATOxxuuArUw500wbv7OCYH7r5I3poVisJWxdFI6DQdaFx C0H5Rj7o4AlRmWbthBl/pjnvkuCeEP/QDAX8vglA0rjQFvi/Uf4tiUiOIMs0/gM5mMxGAABPns4n 07WbFIEWidPhd8Oqp5c2YmrTxm9bGKW9Fh2CxsTcTd6GoQFgdeES/m5gLeIYY8/fIYe0GEFhxzsq JPiu+Me2Auwm3/eHb9+zQOMidvbVeMJNz8fvdil1JUbRZ20WSFPk+ZodLLha8VyLgdlpb4NdHGoP pbrkORMoALlDaG5OCLLOccrLUcNai4mmL8Eulz7VIwu+/csM66uV1B6iL7WJfvM29Q/u3R88M4Ts uN58xxVuRX/9zSnxAA7utxVgJNfEHxzXHwJRRNElUepePyRVNV7pJBZRIc4CApRyOFD8ZmoMRIsy ixoxfDB46PtNIkMUFqSIFmc9pT9/Q1N54WD4feQOqhjnGw/d72tLljamo0J0w5Oo2Gt1VeFLNSg+ J4d/xpE+u+5nBj1PkYghNBlzhNMmV+god5tBsCqfcAZU4jw4vS8sJKs3fkadbVi3q6o+QmV9BHWp qeGyUuz9/2LGIExNepIIEeKZhg0Mpk7ke6LzrHs8F5UKbH8Q1JSDbtiLldLw49h/tqdmrm/Y1HOg pWx/Dib3kWVJmKFRoPQWQp8ZAbI5CIn1irmgO87ulWO/npGilK2M/vEH9HoBEyDPdrTn7325xGgb VKW0XANacap2cBSUIUiwhMMMA7UO8lH1tKNBDxw+6Ghh5MuBgRM5ETYVhCWGPloYsiDWyscBrz2y yA2epIfWetukHFNw5gf0UQHEtq37V88jfFpyR36GW0VcrxYPvGtKiPU09p9r8BHIAxBXus7j270A 3QMr02rmcVRoqGFZarzeh3sSM0a4A82hlTbZcYJg4IkPw+fkfYCCOjoFmHTGNBTqfvc6aupOwAPC 0Jf7U4/gE+IovcjHHJdYfgGDehy7v9v7xMjmqmpfE3ShY4jABkfcgQVC5iiZU3wZaHEJfN1zx6qJ MmC/pbgLT4FKw3Mwgaj2b+vjxZpxxwjVMvvECEQ/L3B0qvCLLBg5GQnOtDy/e6f06uy74nu4PlNA xFnKzk6yXOZJyqlfr4mFiXMXl9ZIRO5KP98Kkk892hrYPNp3pZjaOlu95N30oqiKyQ4yRNZYuh3B VYpuntsXea4n+uwarxGWK8NbZgo2upl0GyVOTFYUwAhGg8QhFZFNaKpmSWjcvm7UgS8dxYkOfxpQ hTH8LnKmps6BV2SVoIeNinV4Ha1NQcUoyD1LJoiUjKYMj/UB3dCGu1IQ8+xCe39qpTYzl6breYZu dK3G5fTQTRYssTSd75iBeRCIT2KnqcTXwntEsEE5slftb0aVz5Ys3gWuGNtZW9NI3EpfB38Xm1v5 upTZFtgN91RCCAISc4+zrrVJNkaA5XU5LCLEZ/D93+Wp2o+1sh0jPXR7gqVtN8Pbi05b5E6Q/N3l nUfh5ZmqZCIoexH6k+3XohVymDz1CqJteaeEsQbFtMSssC8D+m0wD6Qa8nyjF9zMkxqfyOvkpG78 unYqedl4jf1mI62Yjd3jVNFk7uAtoTTqs4FeZfVRT2opTvQeQFB6e1MJEGabCi+SjY28SWXqMEfn j3gPXabyWo3iHdXtYr5hRJvrbIlPA0aK0j4D1NhYTkwIGyn9FtUffZXuO6cHS29s4IbGVQlMDbug omi0njQhBD1XvNqjK2Q//gxyN9xrnLNznMKuoPGcOWmur8Pw2T9RcVk3w491qDQ2IpM1im6Mc5dR KBgTx7PrkDtfbpSpQLWmt6/BXF91FxaWTcAKtzefB1jhIo7iJy6fkIxjEUIOkMYyFNbpER27pdJ0 lcY5/I4D9o3PLj6vQt97spNjOJe/ng2nObI/8Br7Iqk37jJUMvqCHT8nJBEh/X5GYla4RoVPwyuo Ak3VIxQNk9kD9RbSLQS4qudwSKmkmr/2zdtSHm4IJXFe98mmmQWAowMO5Ux+KH0tAB+pUD2YaWwl stlQCS+6MCRlIr5bMYHsTv2jcJC9t5bMRYROR4YqNu29BmELix+RSazZIBSLOaxUEC1rAy4UXGpw CGybzRsOST58wTzQyPPcAQUoW3kquc0dJB15w1rSzsdFxCkGv4wUnd4H7n7rLYQMFoOuhdo6+FUg QN7tEmz1ABeL2vJ+wDyRyvuPs1HpbpOMkbIBmkMPacVRruJrQMweVZAzdNGAov143jKeogu9no3O cnfFdr1hj2pjvvb9C8ltEBACSAwfG2Uq+0OQbpWBgn84FD63HwNDczIjGjAtht8xlkFLoqCkXKQY 9knls4oIV3lL4K+iaxEOCvClNrZVgpk47JQjbdDG/juLOEf3Ubc5NgGWkqDyqco9h46mFMN/tmkp LKRfCnD/STwE0kA2mFLMIG3dJVXMXe2/Tvi2Roz84JrrL9n0I09k/51cJfdAcVYtT2/QOuZOLjh/ rnQQ4FpbyamZqRzygFUDKckI/SoDfHdQJZ7DNAfMNK7Se1YaJa2DcKDbbW1Td+/HmU+F7GBppLVZ vGZBGzk/tNrFHYVw1eam9GyUmrnwAY2G92uXMRMSnRTZY7apSy1IdLvMVeOyhKIfrkHp65Xc9xhn 2ztOSuHnbpEO+SSyIo8fQo+8IKZvD0qBCrKv9bqafjTCw9LibpdsV5FI0uPfhv6EmzRm8gDJQogw i5wsnyFsizbkgWW2xhpwOXqOjZUI28zUmxlwwmleASvlq4L9k/I2ukwvXSpOGnbehjbkl9o61Ci2 X4WRF3f4lvqgnP1RkGPR/9JT0vF5Z7Qc4dI0tpJJqUeg08/jBral0lCqH64WlUi0M4HxunvM51gH m/vzP8WiDokglrSLcnetxXX15LXjHnOSzMoQyuncGHO0RUFkyqoz6ieucwNCdgUBv2kCWhC7u9p/ yhqwlt4JaycZtdNqwT6ymLscGqmDZGtFeXBV0ELL9qp9yhqQIR6u2A7PQWk+qEXxVUClxbIfiX/6 uzNykqZtbvMaNUKbtJ6vVHFQfJEHq1jvkdvF3xeiK9cVRmCT83rJ8AbfyakY48P0wFmwQ9teUczj CywrrIKVIp3Tk3FOwe/sQQZ9NpDgwOk/nRftsMysHsjzDWLz8QoEqVBmYtwwPy6GQb8elUbXn7y5 xiC4N8hrpaSReAxBtfkJR7wLXKhj2Kpetu6RlteFxRplvPCZpaUTUoY8nRj7HSFcw9f49HErPvIk Vclmj0TXdTC+yf70xrtx3/JhChZJRQHB5rRxWM114ees70DMjfjm+VaJ1El3RUG2FJbv9TwEgKqT 8oh7+zzexjuIWodmm6wQVjG+prr5dJ/v1TV+9bkNB3P5c8d7ySAKV/WIBpcnF2ABBFPiGlRPvtMI oPsZFJx3RnVLft0jjwl9U/PwKLQzrbnoIBQbOQzrkC7ZGaBapmuscUlxg+yG5pi6KsjNJHP41l0d f403qdXoV6DpTie20nUFLSsoSbIlzTSCoQNaRwyDjfTk8i8v0ET2/UvHof4j/tFva2B0xkSe9zoe mrDxShyC+PJYEa7BXM82KFdGj0uVCD462ZbNfxnwaAXuGkeeSuvCad6c7OrnCaQj9ONSzU1P4tD1 JrAoDFdYPxcF9zw2kyhACr1GT/1aiiBUnNky991gR4x/ajAqkkVdGgZK9/V05+m/uYx+lvgDiiOC 1aay+LOVZcl+RZ0ZEgjJOyPtuQ7Y+haNJDlrXRYGvLsy28krnNNLBSG+EmQzlFqMk012SBnno+Jz jrJ7W805e8RUFQFG5F0gVflfSIfoAg48jcAdmd0b+zuLZzJszR5UVvJ5MqbR9e9iMCT482/0/aqb x6+BVZXexviAHTyHFgrPS3kVyvfrgKquCk7uyjjjzlrQ0b1zssP4UZI6YVsPXw1It6vc9KPO9oUi YLeQsaNw+UvOxkCMbb8iaOOMlrqxliislwawuROARb9S31ZfUiIJI8Dk+i3Jfum3vFHHNduQtRr6 kt2kko6JshgpHCjUY+wD/GFgxO+8fEbkbNfszw5oSQ/Ojy+VPndWBC5UZ2uxPg7nwRGoSaLcXj95 5rAptyV7AVZe3jDnnLQekggmGUr2az5X8gGJJDqChaibhdwdwc+De0KDmsmX7VVtd1MOPzBUgnd0 /MgIDmAOXuxbOuccRnLsRRNGW0sx4P2/gEgk2fPWE0Ni8xvgFf+oCORwxEQA2XX1UkCmi2jMgUyK POpdbsngHtU4YQCicZzbzEEGD+Z2COrFiUCkm2i8a31tAzjizicq5jfe9uX+lI6lWzI4Af9/GVyX q/25OzXdRxrPt0J0yvOmdxCeZkda/jKNDz4BgGzlGuDzQw9XgJUn4aqLlZxWRxXK/DcKmJaoBl8u pn6XG0IL9nLvtvYYg1bogxeMovKBxITH3iAt4zdTSRnwz7B1rmKne+rJqYKBtGaASP4OMCSUuIhR D9805zmFThqPks2jp2LWmX43k4Us4G2cMRC1t6aGWyyj7MjfC25mSWtZB+7cnJjuSDmeSWQQba5G ZJsxWGbdjF/rDbs9wKiFqV9LxfTkxRTBLkLgu+TN1s21jHh3wFi6wGeu66kt5I2HlpGs2TejsfQw 8IBO5LXClss7KfSFhYsEcg1sLx5XdSGHVNiPVWhi0qI69kDcbllqNJHgW0KTbXB3N+B66KfbTp2l HmLN38PkjeRtkNrynfPZFa/4uH+F2rP0W4Wl0Pjz7HdTc4y75w7Ji7GhPonxYCZHNvXBWxWt3vFo YqF1WCcGI9qGpDYMI7XF19JF7+t1Gm0BrPN90yIEDWKduXxhHAKmo90cZiLByUN+0quDK+I2KQ0P OKFCCo1EOerdy8Hx233lIttjKNWdJvcDkhFa1iOWNocP5/QupVTjPxtF4Vdc9s9kA1w+htCY4DLB eohy9Ui3BNv8tDvDkKIyhGOUW4c51fpJaEKXggMoCcW2o4rkjf5AVGY/mIPUvNCLox3dDoTTwBda vBzflsGJ+kvaFbM9vdzxktX1N3e6F70T1eGLrfkZnUItXYHPrhufQ/jZKt7ea1RGRnzSKCVuaH1+ GTY0gVaSnz/GuHRNvXWbUYUO/UZ/xkmaQlZUO99rmjxgnuGhAUhaZbkgL855Yd6Wsqnl5DVwEZX3 hEJExXamAXymHFo84k3mD3eDr8rPyWoZbQUi1rMZQ1sFGBZtmjxEyWDS06MAIA6asWit4Z1lK9mR C0rqN1Oy4dCBzOF9JF61dNdGSRwaZUE7FomDMKp3W+OQQxoZoReemUZuMM7mrDcLIx62qM/JobGF /LKOUEBEaXObZ0SQMxL1h+8jIlM/DM3G9e8cH/UheRUAwRQTszmvBVSO9fw5UDbKjAcLCJOIpUYk Phf4nnugEMHsDjtVxhfnXpZZ1YN56QmuC8whytkFsXw3lNOf0CuUvBHSzkUgY1AqmdYUGf15vHxn +kxcs6ZWCZhEs7h/1sgMmP53At9Xqk2vJWBB8dqnu6ny+jtnDZpu+jFgcEF/Llhk8lq0FYrz8nXe UPQrA51BvndxoQ5OaZe11qRK/iPvQYJZ743BuGpIX+CoxfhLegZUovHw5GKid9Lq7PzQ92XKnYb7 aKWDUlcJyEGSARuYPVrZyhffvwYIupslwtAzT2Wzu8ESqvCS/1p69sl3xbLrwmAjPSGP8nKUDnBk OnDEw/SnHYNyya9JXU+5AGkgoPTVNMHyBM3lt0AblYeFngW3aLRJwkZnX1isz75kJZwhPk6ELNWy eVcu5xDcX8gYokD6bB92SB2yxzAxy2YwtlC0siaTxpEdXkzj7bLKf7SZHelLaEmN532F+2iXmYww FUGRr5CKnetNIgF5OCSxfQ9shazFGfgzoLV6sIP7fymRSRzJL7A2r2I8iUWSMrYAA6sMcAogG2IX idaJenH1dYYvJpHArZlO3b8ai/E57hL3lNcB1c+ofH88uj9R6dxTveiYyZtwCW9E5GTe16ySabC9 OyOYjrdlzwGDzx4ZEtM6PnlreOXQYh9IqleHnMsV9QMZEtjb3kaTejXjFtS9QolMQeYB2r+6O1Nm bzNwrOPXhBW9GHLu31F+FYmQlaN2MY0NqtNjgIDHDJHYT6TRZPjAGCFwThqGCm/AybRxf6e4M6al Hw9c/Ey0X0mPxBdEAHN/wVhjU9Safgg2Q9mfYaMmyjOG34qzr5WuKGM2y2OU//iz4AtTzdMhRncA ETKeOqIiXcfhOx2OC8rP4nlrN6rK9V/p6TrCbuaKkaYIhVzhBHUf5POVkhhuOsAaQF9x3hRPxlRf cwVxCrmSVM/lSTV4OqByGhkyAEHx+sAbrQzY0v+o3wiJy/h4dX1LIlm9ZVsNOngrGy3AOleK+o2j 6bNPicCqSEWg41e+pk5NStzKP2nJB2+pT/Z+DQOf+HJlhoo9wEl1DP5O73RGBmgcIyP3FGmVP2Xl aemhFnl73fkboMlWroenFsFxk4Ij3n3jMmzIUf1/pDn1yYVPtA39PW82TRosi3HSiPoo/SMESpI3 LzUGc+EuQxrvhsZEB0GWuf1jgcRsgaObVasu+Eqc8xcCIEcjBE4aEOblUbs9TCdQxAj8/rVTYSc0 nogVHJrIUfdyvd8gNvXplNoHNs/fiAbOs9bDMqKTBpdQb9eWPPlT+Ri2/FZ6uUdAq6hM442Zq5m8 QzQt8f+50+YUGvnVeJhwj3+2sGrUN65+g+FqLF04R+TuwjtDwdSt6xvSnTkFw7zhSbDuNJTdJs/4 8+Ggfem3QOE+8+GNpiBsJ/iWx11Ulw1x28rVicQPmgvSZNNdOJiiDd0RLIq7PO8TRMkvPxLwT/xc Rbw96JkHzl72QsEI8i14MXN5A0pFkznu11RfKt7gIu2KNKeUInWretE4IdLj8gpRkcuQLXbnsqgB i5EGOS0dtC12AApvcPB8rckcSyQ4QT4c6ymMVuV7K8mDAfFDXur6kmoE2HeMMvtlJFEtidLW/CyX l9tzTK5gscGkrRIOIDI9tSVo/e6yDOKcuiYygyoweeb/EtfvckQ3IDFPg+ftMs019fvn96yGRvrN PuYeY8g3tUJcSLZEJW+yTCLpitz3zg14JTORkVTmTUfWdKevMwnZF2htRkWA3mGdHrOQBhjNqBNL yXYYxop67urCofBbrRtdi/MC50R0W+lV4zUZyzx94/UC82MH8WQk8cV0RK5KFCWNRE8yDnrS3III j2gzo4jBzOzPqM4XARkikJE+zkTrhZYCWJ89N7ZrbL0l7hV5pn5fsl0vp26rP5wDdIEQctnmNj1q YekWmucOTm/jq6ysUkUxBr7S7W6Wiai6JtUkoCjF2//nxfuKd7vHmlJf24l8W82muPHXKlTZUPT8 ley+xVurXK5vmWMxSI3/KTbJya+h4rlKoyfKe4ZTxh1AqnxTeJfoT9pgLmcqZp56j9B6ZmOslRGi jgBffdTpsToiejTmuMZ36oIaoZQgMPlU8VRXmj1kvTuDFvFlozXNb5ykh+qXKBLgEqDhlOBp6Pt9 B4EUiRoWeFWtLEeBVfeQveoTb8aXG5XMDgaMJhU7quuZxpzKGJi46T4iNJPBs1VP4nyrjgfhbEuf /WfpaKstgTRjJXXQS5+/Rm26V44YwZ/v4gl0otB99fPB3CtHDrP+NOIclk1XEmWb6V6Vl7h/A1go PYZbtvJd6hfYvsM+UKESAcmPKIfwx0qzLOJDUdoqDfvKWnwS8WXCfX2JF4LLGgrYjILN2pno4nCt 3u8Clypu5OIbaJFQ/QROxbVpur5VhWVwiNMJanbTKGWZsTUC1Wt+DqoIj9OB+wfGSlXl2QHhRNdq kc3M8Au0ZAXvfQW84RUcebyai6KPHkdfnIVwkOxroCNkVM8lBJsnZNPCZZH9NCZW2HJY+qhHUjj6 gosyZHJqeC5oQFRN1fl4urKYPD4Y5HRjVmyZp1enX3g1s1A3Ip2d1oaevLdb/DElGvZYu00fabr5 36GACYCXEVKLENioaXur1Q1vmPPBJVDESgcud+cHRCoVqN6Bj4o8/PXYJX9fqnp4+HczEz5C7kcG PiuS9Mz2eY9CsgT327hLF0BE2f6kgQuUtIwYLNh1uTETNSgxj6HvjbSXPDbPF3PgUK6uqT3wCHdY LjxpOyMU7euLZ41pr86mdnl4nKtVGgt+CDOVlCUZ39djP9Toadg9ot4mdpf07fqmtQV0iwkQZ/UY mrkMQYgjq1WHyiqk918SnGd1k05rlDI283Nze2LMmfSqqNTZWqitlBEJt1i/z2Z4Q2bB+ptPCa0c Lg9NIkZnQUPsHEMWBEYtWUNZBynMZD15Cs91L+bQYhfrUNmY2wjTJ7wUKf9OxlOa27Y9iV49faW8 KrUWVjJ7nHGO4oPz3pphuDCcKDTzfwQDHP3Wn0qLCge122mDm1w1Tay+KjD20RHs68F4v7c/nbEK /m6yk//FCgoBg9HrRFj/PaRsR+T2L+aQFbKqjPYl9gM2Y3G9FjzM7wPLl9EheZot2kxkXeBuGO8/ p+w699yydZAfUGslO3kILPNB7iHhkWeJqZ3Qw91TSZAnjCr8NQclxSjZWfO08lKuBJe1PwyNDJLu LPp7kt7KcoUrPOEbSJ2f/WDDWP/X31W/0evnKvFkyoaxtQ1zy0NI0axQ/6bNvQ2k9T9ouUjze0ap VKud2hM29chZy1BxWITWN1icKROQ/sGkb086d6bvwdxoCYdJSRruKV2MSSTauDm8HDCPtdxE9vE9 iD7FnY3qFr2hId1y/zplrbWMz7S9sygQ0KaNEolK9DMPeaRObJyUHZwzcI1uAEoCXYB3IUVcLuEy cKoRyOhiOrv+C/rEmCRUh6ETVcTwfC9nxasDxlwXJWfuIksmgj9k4sCVxjcJUkKkSvmoRZ6ewuoE odeBSiZPjkYpEriHiTEHJp/r07VSZ5+v9+PfmK/OlsnuAytGH2L+L2K34IwZG6d/SEwG9E33Bw9m SxTtLsHGmJNXnW7yeJLY211Q87BxM6+R1+1cXxSA5v3nh0hO0ixLSEdh8GoqUQ+BB5SOqt8Z4dg6 Zh1wGqa5IOSgdilE5G5AAEx0VGFIDICsJmkkBXspI+6n8mGLjV6iKuYH7/eiE/1QwSWKcdJU4MG8 oDzV/zEcm9PKWqQjqBmJUO4aqp2I68SJmqaLHdKQ10NpA7ZR4RadUgMyLBEzIytjfWzbP2mvkfMS C5ZAKRUphn5ep5tfNLEYCRhi9UQTYAGZzBmjR3EeoX5mJ/ufzG6wyJsOlrH0wMb5XbJYRiXvULdW DopDSw3+eDU9WxkJ6F0nq5U4Euo4tevSqYYxg4TD6CbXAgG1/D1AUaLr19e9a2tSMfAZb1rumLDA iYaNnZKI0xrvel/vnWojsft7j4W8T+bVvyB8clpxd6mpDO/V8WZmpv51zre+S9KedC/5n9CSsv84 /G2Vb5joJLEMDbdUQ2kUhrpPNI5VGaJcZ7W3faXber1+ZPHD1hsrq3LdwRIvroKcbzYUHpEbjHVk 9nSh3UTYky4UtB68gU12DuV00gGEDKjsTGbVEzXbPEK1dYjc56Fgp9VlDy0YRMh1TR+2pekWSA14 dz3QsqXs6de4POth20lWTlJOqpepqecdUan76OYmDhs2pYzalfwOprK27DlHVfMBxGbNuzf8HiZt NYTsbz1/vnTSpa5gaF57C1QOx7RcF6LIRyI7hQ2zeIOkrXk9gBhmcstqJK+wKiZ294IVN0xHKJPA wrT+6K7gIp0LHEvF3s5xuRV4fW39WEb0K6CaHeSvYsJaG/rC/anDcOXMkxYlvEwFzopfDtgI/CwY Bm3C1NyBb3yDASWtgAmOa64npAbKh/Lk0wPkC+r8WNrCJTP6JhYngyDv4jlmvdEg0ht2snV+gAX+ do+2L5i99U/47QH0c6wqXNbtgw925fenwh8oFREwugJovr+bBEOjm+0x5/J/rVQ8mirmfs5DEC3R j86V1EGNBig26evY4xeDNkKrchAnFDt+fM3SB4xg9QL/GSupWBXEMa1OI2Ye1okmFTshvK4shyUZ 3Lr7WZNa+/xjO6iusyl0ETRboXAtKzyHg9jeJJnIFye9U/ftlWIRi+MIgGsP2ztP/oykSkZjDpxR q07+PYMJSj1FU3SOOYD9CyckLQWKepcyEXbEBW0WuleR4dMS5YhBbWnLR7kl/fOF2c+uqheMIl1v 1CuNswg7k/2nH5AILxWAarel3fh5TskpKten0OxF5dPi2rgY1D5uI3bAzBC1Zu938TtjzfNbco3G 1gicQRDDn89aKdtGaa5LDqk7vFYlTDLLmYU9e6k5Ps2AMcfzjVmoQj0e1ERb98LUAiDRcD0gvxSH sS2Rd6h+1RP2LtSKrz8g0iacbJhUcCojubBRv3Vm+NrJYESednO3adCc6qFR/l3tk2O9bu1PJDeP uFbZBLILpj/EGCcCYnnM40evL65bpgpmToT/jSutShFrPZlS1y/xuI4PWoPm87765CoZurUGIHfM kJt5oYAUKva8Ky9V1m62LXkQd8dZA+OO/gbA8Rw2lpsbXQw6pORESR6duPsUR7+YEwWV5nGE2anN iRYIFb1M6btvjs8AxxXi8fd/DuN1fNTeix71BZf1hT3VjoCiIXHEW8RaEREV7k2PFaqPLdYesypi Z86q8uTSR54go1/YtJGaDWG+3k8blrudrIsEnvilSLPIlVKDOuEP6X7lI6MbIe2HgIPmP+mwVLhH 9877qKg9N7rwfTY3yhzvYV41ctqkI4iGrEy2oc2kmDk8KVU1Hl76FX5OVivzNEoyZ7+OsHv9gDYH cS2OVWJpTIF/NlKP38JvYUZeQLmIK9T6GuN2XDQrChTEzpH67bS5ZKpAhBfJycZf/G5MMbLHKFfI MMAhShWJvazDDpJufqLObVEUpAM0zvT4m6LecaDSYVVmWheJ4xs/PpTx4GZPY6C7xvGZYRRnxDCP n62NLIKHlIQmTSaNgzc2hIMfpj5DE7QGXL70c2+nwuqH4YmYxVRS5lL8JeujaIVr2tR3sMl3M8xz ia154pNiQnCHdz/UPbRbWFBBJ9aAARJJl8EfWzPny3mP3jVu3T8LBlIa83Mw6Q3GG3reP2FwamhS NFAEBvlhue8eB5UGtbAY9cdi08AJ7RbPLUuBwPFo9y6TA92bbVgokgeoA2AMgofqMyHAdzbgYQlD h/mfbqTIY+o1nmYKmytCFQylEzWQjhMljcnpZ2qPjMsr5BIklSmoE4You7GEaLzeFoKRLF28t7+H 7BfaVvrzRFNpteZAMSidnCLPVpaTLqUhwfZjXIguuk2f0A0FcYU+3PgTLwNySfAfdRD15GbQyngv 4lBDBT6k3t/vjqE7o5pEmsDmUrvWiTEC425GQvWQd+F+y8fbOSYFB4xt+l1OCmCc2OBkK2uctPRJ ndS1ZK5maeR5g8Z0CbhNmq6PcTkIOXHlZnKqxO1qFOvXL59W2g47oP4MGGrQ0ky7hR+4wHStLMX6 u0lu9ik25lI08YaOpqJsdD7H6hMs7tIJkX38ZBs+d06v9mWHAvSvQOLPZ+4XCGCOUpaSRz1ZY/ah GZ+5V7JVqQT00FjsxQhitQCAfkLUMDxfjgfY0vLiJH0c3ep08L3sqEWDbp1idCUmFAYYiQMD0MxB j4x4i0t1HE/X9sUxPw/cjcMbXKQqOabfpzLv9Utk6bsTjpfDIBRaGxT9Wb7fvy1ZWHzY81d+/DIi 5Sdfaa/JfYK/62/vcM34FB3p8/H/iSI/aGdyW6aJI7/2amawAs2CqhGlTHDboZL+/WuokgvXsKfl 2BmaPulBCTimR/x6yJd7gbdSBumbRTxnfTFRp9P9/d4UBnltGfebNjHTfCLVoDQENFLVcZVPBFjh NRFkLMgGfHaOEuuPxAbtx/bztE19B6Ym3jXEr+hgczNUh6tNTDXvrfsabpu0WkwZ1ao3LQDkl052 1JOh+WSXzbejuQeBhQ8wWoKs+kX1jJjpNRmH06325BOksB4wyp/gxlZc6voGUcdpedbPVJfQwyk8 Kz+MTGBIzfOECSoVBYw8rtSD3MR11n3ePjK1zpfyvRWNIElhXv49SGnPfqxllbj/TOiz1JTK4dx6 biCx42OswPoP14ROojoSZc2/gWWiMDywbmUubUj+39rRLBKhuJFTbQxeWGseThw3V4J7dSmo2niA lqPj0DOsPTyaHnPI9XxJdpGbJ0HrgxO8cJTuT5vPRVal8b4pp6haz6gk0q4pEy6yxBFgBqRzF5dy sWbdvxpFiNJFv2Q6YGxBQeN1hP8Xl2Q9N0xTBUFmmOKIqPXAGp8nadSKUYvk0KvkR4wN7657duIO YVtsIDXS22wwnsZzpd+swI/GCZfB9cP4oVVrtN4u5nIkoFln2+IADD6Ql3mG+icVsC7UhNgJtkjZ XB5eespJfW8QrMzfvbQX46VQgMQ9xme08xjKnEQiCmhWXW9aJn8uF9ZWqLZyNFp43jTEYiO0/Dnf XMpKSJHchpWySkareS1eUcfaHdlZfRf3tYo/rzN1+yBYuXsvPNpvSBAg6E74qfAoWeFF2oh66qAL jdD7loATbA0J3ae6g/BFvLVFa/Ui+poMEkXddtcLsqW2WMOKoaafowSnC8LtvcETaM9xmQyVUHa7 /NdrFwMKGsGr4jTBQmmFBLUfYzQgesP3kS0LYfctnc0RCEjEKAkdVXiriqsfVifHe/g5fbS9Udiv 5I+eI4O30qKptwphK+YeM+H7zGD4Hm/+SUO+7Wce3k6wI7WyO8VW5HIwb9GQ+AKmi9RX3yK1Inu7 Y5QsTNqirq+bI7ylsF0G7G5QNT2P+xIQ8rwTIEdhayUwiJbxPV/FPiCqZv91con9XOiMBI9TnJJ1 XCcBe4rvnGCNfnMTP6E3/sBl8v44UY1cMHSHn+wSpMvs39/ndUi+R6Ypbn2Srvihi1UWVFoG2q/Y qp84dWwWvTYl+eoExwXzHDG4pL5+ra18A+kHRAbssQk7RwbDkeAZwKhQczCrH44omQd6Viz8Mkin I8/i1UCR6Oq69sPTzAaeitSaTdB62xfDEhcmutSu4wPvnDhnxZVWKvprZVaX0UhJqm1gfNvKHfem FxW3p6fZdahG8svIiLcg4QrWQgelEOS/e0RXdZaoph+MkJ5JPN4XOMwsd4zf0SJw9txd3aH8yZqN OCrkkMwyMSEHF6V/g9HEQ88C8eKbiADxrW/i/XcO10A1R0GXAYV8HulCzQbX3+kTsFBiwIE8Zqxw FzaUObZzXac+3zYPnhtqBQvbnKCgNl7yhulv3/TT2lWqBN5k45zw+HqVCIPI9k6w9DhLFA02jae+ N+WzC88Aa68EntawZYzMYK6wmXaIlTl+di+rSvwhe4hsqXn8ABVvQWqdRo/6kx0UDHlyvmB+wAT1 JOSlUEFY/4NlaaoBrXTM8YdCV5nBINRY8Na/pWusAP0ZMaOBZXdWgBnjutdYuBE5j1BczDipGtg2 /XnOsIz8b2HsTDDo7Fng+miiRZ+ufANxPyBGRMApaxhdykqgPfh/t/STgsdlYGSuW7QB5c3kYFew PJVuA2jQm5Rk9kIglAzw0pNhg8o0l2o0EPCUIGu/cIb5lq2215aBuOK+4P+RyRIvDM9IM7n0NnQu N85zOq+dRkjCwc9l7efv8tQ/X+Hkbc4X6hih4NTKctEmci2Qsk7eTGE4piGUoWn6gpeGU2JilxmU HDJXBGS/v0oJ0Pe3/Q6MvK0gGSgLzOSOGFQMw50aNHqk9GfgcATBrQPUZabgkUrasxpZJxLaP6Ek SCTQr562zeEUo7DNy+0iCzUSSJJmZSIbkXIJNAUKGH4F+5Z6l+XF1eLO7XDdS5Ucd8FpCgorB/UX 90GCHHiCp4kg/DEmxwUkfzMxeHRiJG4v3uLMe1OtEwlPST+Bzoo+ivbqqtFugcI70QpQ339iGopz WCpUAHQ13l0WO2++h1QqZW19OmDMAsFmOO1c9CoDusmmgGeRxofLFesWchyCLnrfbHN5NPoF8Vf/ 90p7uqukD7XZ0P5oPqwHIUCLx2AbjP9T8U8dg4av4KNjTXlM4PfYHDd9XoyZTA0pKrdkLaacJTwp VpVQeT5TTZ9Kxtlwm2IfMF7Rnl1zqKQ61OuFIW4DulVHrXiVJQ78AZQfd7duei7eH2aQFvRMLJr5 Tm0lqIyHSzUptZrl7APf4o+kuvZpyFlGkigN//2o3MK3iloRtxh5fWF36Yql+7MmVX+E2zXu+3P4 yWXL4qfDHzbWF8abbOEaZ8bteDLjifl1OwdSqgblCqbpKe6nPNePYNICoTZZ/QV56FHe1B1cST0Z CVxqOZI/VTN/9DMFmox5DLVstHE8gIXLgP9LqpUCPykmrLDj623gg888LqpHar3qQtEDbuQczwcw oQuyZoUvth3YYXgC8sPfuDOxpLi7mFUWsOJ8PdMXZdxe+VgdCmF0KhXEDI5UuENsX8V+HZq8nbNY 1B6NDfc7pV/NcHDLmUzJmTZ11pko/3rxckdnt0LR3NFOXRxZeBQMBKpmfYC4P7a2CcmaVPO2oi0I DN3hJZekvGEXOuMYsdLQRSEj4CaRtvqlpF+mKro9cE1pZ4htQRFt1cREmx+WUDweOIYfFgBEE1PS DTv9jFomTZw1uPSCrRSfnWuBtvWvE1/XAVC628yoBRxCSU0MWmdlZBGAQs5BnJN0u/YMvH8dPrah 7yqX+GldFYlA4/6cOOS1mRdJWBb5yxLsTV0uATyIg6rlrSr3FYEfqZ3VPeLArWWVR4I9Fnkz9OkT kMP59ZHJ+RG7r69a+Kr0pvCXwtydjfCEgUdkhwzMCQ4yGNpHLb08ND/Sw2yfrtB9znLNjKiM/JNg jyJTZAr7zaUOBAt4KgfWHL5CAl5K+pJt2TsenO1dAQBeAXKSh3hAfe/MhZEyNNOerV98Bo9ii/EC /ps1KOkPO0wfir7mm7NjMlfGkDhMcXUCZhkxm3R+FoKsSgnnrLjGvUVQDzWCWsn9qaFqqPaAV3H1 cUnMayaKfcNq2CcaCPhHuZMycrPnGDAyIyqnRN4krLAO543PlVnY8xDa33TS9LHm6KWGPZJiVIRl agQgAUF611GB3NvKsk39qy8llBB0axqq0MjRW+dRVx4aT9xNG/gusoZFVlWla7XftQDvETAzUvUs 8Z6DcR1msFEOZj/yKW3P9QiIk6Az0e+wCUjUJ3KpVpIS3g7Kn3eLbVJ3dVOjGIUZoMQgnk4yDKmX GAq4stodMEt++021X2lbb7LWW3/EIAvLPw0hg5aykbeUQySzAkXJ61gdDV5CZrKhG3+Krjei8eU0 S80bD8r7sLLTL5QaQt7h3BP0+NICk50MMCsKLnI7zWqXH3Pr22ibmVgXLJ6dYe4pi2XY842SoiP0 sdyN4L5LbVz6Y0P6hkvcxdBaz2GZ046oFTS6RbNTGEGkMQZehqBXfZ7p0TImVkq8A+MvcM3+vdf3 xd9ZVKn56HQJBISoS1exHbsPAnx4P+3J7Qe3ca7BpGgJ/c++ItJHfXQi22poluyzL/j+Y+u6D0PO YbfkSQx6bv4/Qrbr1nHJa6VR+KGNBKAc/bH36zyBziqYUjSzDe/bFS3mCpgnspXrJeFmsfN2q+gc osBoDoPZ906uyOnHPiXSPoVgLrDYDEMOhJA6VqCeF0Ye2k+94giSiESZiStxqbNdmW8KhbUPuGO1 2UmYF2dVHAO5drinkgLgWw286zsqy4+aHwz7pvHbhVVJEZ4Riy52cTE97Rmv7DdaQUB+6GTZTHIf LQyQiJwveX0tH3eBobzjFJxjRiHxvPPPPWIjWdlGDGUrHLqHZ+CEtzhYUDdaqQduvsyteBOmOot2 2KUs26dw2bRkolVI35Ju9vJ5RsYy8KbhliPOolXWMMc6ZL5vne97/vQieFeBWZ66kZt4a/ZCD4wW FRMz8jBHxoULa0/vMgmWP6HF0QfleKFxEpRg/YZh5Ewlkr3kC4CQJpM9Z6YGJimXawKr4hymwp92 s5SGfAcG2UANmWLTI2B4fBzP18KdY/SVfd0lbUYdqUvcTr0yYjiFBuuJaORfoCbhM4rJE/HcIpf0 IonqKQx7zyq67jzLBQSPxfEcO87ZY5au6SWjpa6MHUE1039Bf9VGy32JP2ZKipPLQEQ2CuPsPGBf l0gC5OIx20mptBWHdinlWq1678HClhW4lJLJPAtee6xVRcqzIhya8Q8dyugqnzb2MS0vzuJUlWnr mJJ0qSQ4B84OMn4HvCx+lnizFoSVxaMTrd+MJ/aqZa55/8gGEC2nqNpPTRcr7sHbCHCxTCjSFya2 FNuL11sqUBG/6Ny1JsSu2MxTrYbKXOo+0FIf0LDQwwfAyUVwdyX+apTbrbBFNdSz+iYX9dWpnbMc bGYjP0AVIIfV/St/9d2xusqi3THI+nwq1Fk0SntLwE66FvBg9aq5ti1MK4bK14NSqidvveFwLRGd J9kMB8te0DBEXNdAQNNtUFlxAyGTFnWUge3sRuFlzZUDAUS31R1FTfmxiHxQm+aMy+k7KGcd9Ma4 eLK5aksj0Pf0G2L475OnGlNBdsXptZY3jP4zp7v3gch5jAZBgtJMb7I+tcXspafBjqP0VU5qgAPP qCuqm8LF8VlXN4sX1phMoalHQvdVVTXFnYOXf5rtGhf6N+dPZXObvPLBnf6xDwRy0GNlILn267mb n653i5CkLMkxdg9rG6OItVmDfYr5JAu1ohR97Unk3dxHLNf7jwNJea9olZ6wg3gwbrdxn+gbaH5g bULneedaTXQcrz03HyF3vVAzTyGNnZrEgvfcXoiyllDR0YrefCQ28sPKi81SIL5mUBIOgauamTcr LINEMPC4d7IaDsciSw7bT8h+FkqKIAH/Bqyyg4d7Mta1+bzY5JJXDKaoQX6gocKOQCGWKjQVQSrc yDK8j7nqKlmG7in5UVUev4W/TQGnWwzT+Pl9wP8WLWrRvsgYVh4/db4eOvsDorNRC7v4GVhF2N/w k/k7c+kVhTtm2LyfIDBh6OEScz2yTX/ZfWE7ITYWDY3fqf/8VlRMDq3xm9ll49B2gheXsu+404Ze PEv0vykeCWy18UzQh56a1YnVJjwLUg1VhVVzPG0slvGmicfIuTY7GJiD23kQK1i7eng8/JjDBxTW yTNIYHaCGOoPYjuhhFzBddrMM+UEwtE7Y3DYfWJhlPdAUGdcuh4V51cJ2naI98DyiGCNViSFOSYg DdYKrBKrWurxjPgsgQkn8mC1ut4vxgCvfmDASIY+Qke/h3FydGkG5/Xd1WOVOmU5LIACWVb16Tzq 6VZMnClgudsO3wprfbNDAfRJIm+KoydclEe1gw4Mg/qzi88nP0VfThybEV3LXTUSdpJRIeeAH1FU LPSZNPzcHTVk4dsrfdJW7ADnjZylK2ZyxzuVZ2OBGd1vw+sE5bJ2OFLJXJEkGWVA1sLI0Oume/Mw wULtl03BHfBDLqQgZAT3vmZfn5PW+dWUQys2M7Xna+LtsWIJH0Tc/+IbOmZI55RKjy3dqDQ0BUsY TZGIMLnnxdQHxKiZPqNe7ulo2+Hvj5Y8lgiEP0sOXxOEiA2SKCFeclqCr7DIuyGmzU8CSl2n3800 Nj/053b/3C0sz7QubWnloFN1blYzh/v82Izx5M6DrIdGtP4GcFCmddpKhkn1dqlkqwJiBCfDJGp3 bbZXMfHIzsdIyxXlLeAUbLMffCZRmGmiSPGWcx3hzl6HfvnmJFAL75ACcKLF9X+4bh+Wh3uVBpM0 0xt1Cs6Zj/I5+6Jx4Zp5SQjmEN0V+lGnHyXk5iknByhfZxC50Lc6rCUwsCzgnA6JH7ldkAIrWRgd FVGB//MUyXF4PichbZo80K2lEGx+Z9bD4C3LyLB597oT/4K7qazcPp+zz5gFZCCjpWY8v+r4Y4ir Qp0agczob2TfeZJslasCHIkoYsUw7tUnO5CJTST4mWHLYXs92C5dW5KIOgzUQsXg/CZu1wW0iI1A z+TzvI0K1bnm3tJ4PLCSjUkSZfgVp4EGR3CCCvtaOG3hBtQEUKaHn+TPSO2sGRnVhlP7DOwwTRX9 kNDewI4IHGy+d8iQrWji9LjIfUA6Pttzd7IDuwnJ+dAjr3JRarj4etopqnd+RoqIvP2yJxqMdPKx qUFnlMbNeDVHs37HyyIq2rQa4IlHVQgBAD3ZM/ARvVQxbHg6yryA4+tbUfOBUgX4WJPSEEEvGJns KyQJ++5rwlJ1ZsF97B1pmAhcZzep3Cl/duiGONBQpWECq9OZakFcb6hNrAciQONhACcn4lI/fdEx 7HUq3QSlBf1SEak3p4gllbedsOR/762MNpmT4edtpAXd5UyDgarz1MBzgwRcTbr4NOnMytQPuZBL Yvfq2fus/ddzoq8X02TLlNn4+yaCAkxNmacehf9l1rzfsTp7XG1mBzpT/E/S5gLqEYnJ9lu6dLxE +brzzK6u0bu1tqPt1Zfk7697f4pk5E6hUmZAG6YldyqPwm7uN6Qy+i96sksex8a2pZcDvRU4uSh4 2nUjq6DJILet5Xykt91ks1vKctQJO1Dr7IWmyDtgm6nf78h5EpXGarYG8nhen8X81NV34iuHaNwz 3SvuuM1A++ItOL9UwqcyVGDCbz8Zg5W3aeHhEvN+WDCNgyeclGFhBJP9TBTCeVd00yHbVaVfCisl +za6a4lwIBv4YrH1atxkdtptUsYiA3mfvaFOzmy6trOHDPgfqvECpMegKfp8z6a9dxP2gcscY8pk x4rXuf1duj/xAGv0Y0W1tvgL8SD7uPDFonnhZMPXNg8/LmdYCAoveTgJ+LJhPI4rWZOed7aLb5HF 1cxTLt6z8dsMWexF3WzJW3qElNTnpFTnaENiTc23qCx2b7lxblrTMbYOQYuK2FXNNyjZqNi5zQ6H bwPNUsWA8aPCojccRmdhx3vfyMSFV2LuxFCnnlN9SSjx8d3Bmajt+9nAnMQSVpsoxeEO+FbDr5wO xxw/gwaUPVLGh0Jj6cwnst8VOaEKobvTnaDRJ/qPHL5csWNO2TDd7c8UR0TJKISPvAjMV8mhm9l1 BmWDxGtiZqAqkern7bxAHQIbLvD5weEDbkfvMqcgpVAT5EFtzoNqGGJgzJlM1wmRS7q/1ixUt2Z0 4E+4tihO4fsitF1UbgyBQ/3mGNk1OUymddj48ve3HSud3XpTyu5U2oAvXzsoUziFbM/LtCRv9ObI +ePkPcwNrLpGZahUWYsW05tnB0yNFDruDhJ2VEsHLtIzao9UTKAi8KQldH7jKusdhGp/lNN9L/AO 9x56kFaK4BgLe2gxO4zKi/Pg6gcXQ2VdDxYYcEmZSx3tgqCl7AZkPW3vTWVGvzTPc/BNLZI3aqUS jHz0GjI9jj15t0eyj6xloVJKglXrzO+EpWl5q8gXOmjxXTS8ZvoaaoCJM/YpVjPfXG3PRkmhuPcI BcVmd14in2OjUx2OJLrDLMJlc/uHRSePcPZmY9E01a2TjkaG9750lGoTOKpkRdTZ/aNYtRgvpSJ7 7WsTVcFAEUpzrqLyiOtve22UtvxwXemj0apmfKOAR/vJAMs6OFnZPp+FmRTmxIkmXHAdr2sgyHe6 9KXv0SmOydlhr95HxxEG5lYZSaupa3/Zv5TE+dVOxnS67nYi0OuLrB0GG5WaeybDFpFyV7oRfIxL S9ORDVrLzdE/FfYx2PC3dmo26pq2npw5R9+sF7AK1Ctr0rTly8Z1PBN5a+qeBo4Mf5Y7CX4Wyfu1 5X/mpTKllzEnMXDptGwgdRsjMK0TcTyytKtct6IjmHESG9TDrl2GA+MsObBmacwIHC+mRoDeD6WK ygnZMsl2Y+9kcOhF2IMHui4deWtzmZSodWdGyP9+tuYIn3p2Z9uVKXi1sE42xk/eo3NeVRgkGbvn d2Avlcka48AWK5mIfWv7FZc/VsyNaqv6OT24PHH/kq0cNr83AbdOdK4MMWKT0m55pFOUPHuiaNQX ikb4uKUSg9wez74F0p7LDn/rf9mPWaUUxpZWICEhr+Nk2W54SPtNirDYAejyUvQOxKgDTux5Tq47 66zhUgqBf4xCqEmoVoiEjmLBLjKPYH6aOp96arG8zd9u20/UFwKAoyFVdb5LCndpZcrKGdxK2m8G Qb6JCLgmeLprTP96vAEY+N2QNURmw39ZmrRvKLlfCpyWdpCliRgVAqsOYICk4xNytzO6BpDZ7N2i coT0OsmOUqiLMV/aMPlhssnV3EwvC52CgwdNAZjEA7ZGQfKA/1SUC5acS2N1BYSYYMZiipEbp/DC tmC/ZeE8p1MYss+iS2X99AxLRHAw29dxWfIo82Z+TdGF01YKP/HjAc5ZCFPXBd8H6cOg4e6C0LZ7 VSu/BhIPo6NPn02uJYcupe3cR9q4w/XtiQsP2/sthk379sKCNlNEIyExoB1W+Anf+bu4H4ldbPte xJ9UUi5NnSc2WZtUhiW4LbNBvpvEu23QqWqJOiusNWmOSEMLB8sNJTRxvkYx3edR4oXaxyqWXN8s J8/Pn9rlyiVn0Yud38rrcnqeD+Z9bgRHNYOZZY82c6UYTK8xnD8h6bfpySpan7cXyWMPFtxVnMJm ky37Rh08KhLPYbCg84u12ynZ0r2JmoMIQWty64lkENeYVHbuXwnAN2+XqM9TVsolxifW4tY8ANZN Jl8aFebzdfgxng2ZEF7kzDXkcQ66hdnG+ugpbxNUje19HVaMubiUCQqkyRVZVRhYXyBCl9bp9Rxn uDPDF338VyLtKsYVjx/VaCzb3Tas/KxGxEm7ErwxbM3CQEIiL408VEk80UvztRLwsWCLMrtY+8PO aIYRP5cHSznqcZ63kv7gHeqIpyMcdd9fuw2L5MZZ4+V7Q8cnWHCZkVcxER+j9NI9Vlr9RWAlEAuV O73Ee1kGb1Atx53eBi2PE9isjNTpWQNSyMA+fh/kQh4shpRWTeLSK4TcPbSsBF7IGdqwK6W1hGrR EToYWaY5xI80ZzqHphthNU74t85bVAzykLPIxzOhJTgsyzhNE4B9FR8saIuqKpMiE+DuNx7JxK9b eYDH9A9igqLGOaTCaLdqd2QIJSr8Ynxq3n0gvDeRcvcxzB7U7DkClSGhkxAsg2a+1aEH2eQB8qgp AcFOyk4LuJeNTPm7m0L+9hVtkXRPFrVXXaHU7qHsljvtqGVzUXiMx/HPBfUdCbPe3OCk5Mp8LfHw Egt0t3YBJ+PE4ILbtXlphBzdddBa9S+9kPK2vouvCka1gD2wsZJr8TLvhC+oxv5KM0Iae0ch8tpO l9/N3Xy7i1VhCy4j6iX1cqupKIVedfkJVmrS0Ak0I8YDa1Ta4Hqhu/q7r1ukgeLwWIPVKHuRSa3/ yo1bn3fyVZXnUtHxM9iaQKp34psO+5YMM7AmTekgPloPa54LjZt7rXfopju/YUXASiGTEBpUXYAO VKb02A0UzG5F4eVdSCElODsFw3/6k3qjiGk3XFpfW4pG2EyR3GHrMpGPJfiZxYz+Lh+DqaocF5DJ 6oti6McIeWcl9OVCtitqeA/STCOaPXsb8B1LznP+i46LnF59x/G6SOfKWg9LqzSeseEIcBikpKLd OnJCtNJbUslScPcHh4D6G++tXYqsHu+cHgVdVWKK/dL+AUv+oBTvd7i8cc0an6oaXMZrgJmH4z2i pso0SM9PmbNlE7za1yh4E22CvXThVxFMoxNBy/RjlQSeIYcG8YHf6Ket8W5/DnimzN/lGoqyS6C5 T+LI/zgUxKfAjFvAHWhqGPP+mE6jQo1gBnBRAgCJ4h8pXp4DSRl0YzRW4mCozXqEWhK5ItnYCXm4 GPRkymSpskHM6/A8YzhWKQzm4uRJThHJIrom+3Nl/ECPUIs6qs2Cx2/F/LfgE4pqSzVF76hPUrme dKShd8qyoZZTCE/OcAvPo3FXe3N7K95gI9caUdJBobSCfeVkpHJRkol8RVYrKNT5mV7uEFjY1eT6 h6FAfTtzZXJ16UCHpedkTbrIp7szSaWaPdq4kw/kAHC49IIzZihuZ8PFtB0YTKMVgAYhkD3tCuoa /B+KkUuG1GnozIlJCH9s+rEG+vR4beE1bzlVhd4R3HXyGp61ZN7gk6IQNW+20sUFuiIBh6CdQEsY y0AloLailsxotQbJ8EOZWy3545mX8x3tne7+PwEoHQkbcIwJtICJje5ws+D1EgjDO0fiepBJ8M1H wxRWHoiV/vUedZRtENgPvVD97009PQNuM4SdlbYphYGoC7zEaQKJ48VcxVbALwpjeqJyrmRwOMBD cgVxZQgtHkuG1s4KJyrhxkKg0iUUN0w5IH37gL2skfD63pNbBIvtOcD/sU2QlR/JR+bxqRA5T6az EOrGz12kNKWbzbKwpTtjGTUsY/dUoFhM4q6DcQk7s2/74qrx3Crv/l9HXsSFc5Tpeo+VXzXHguP3 12Fu4W5FOppGAy9SV4kVCZubIER8FpkWgj7j3Rb+ZQ61QRFbMYW50dcU0P4v06ZsGPY018FaYSGf D0Aw7epoCQ+vo8Exb/k1JyxnPhT5sUesFtYKZrU1FN/tvSPf8xJXaviqZqq1z73Gy6PKE2I3FNZr xHdaaNRiGV9svXuX47Evqd7JtCBV8N/FLJ+hcuyqLmabhANim/PitFIcWPqT4NS/VskaLRQ+xRI2 VsUGsAduFXjhWuOjtZ50Jg3AGaiN7T7oi77redB2xMu39Y1rmALZ9E2iSOIcsuOB5odUMBgdMbxm 6IPi0VHl3pd4rjkPWYlS8lgxVVa064V55jfZLFvpgpQyK4FKqkpc5CMuREG+ag+XrzQxcuUb7qBJ ZgoGqOF8XHP9uEJxUJI2K/lsLquzm6uZ20rQE+m3QJDRBgb/KgB3Mqfue7T2Od55CYEn/ALoQYWy EN1hdPWy+mmGC71u1e6umYCGtka68rBi5gH0SuYYkJ0A3OXFEmUhqb9d0QUrAEt+uoceiZ10YdpL M0AIJRZ2RJ+R+Dg633JJpZi0XYA04oO5NRwjXTKOLqB1ViGKFxETqSCjmECWfsl9n9pADToJBdzg HghldyX93BEVFQLYgDmC9ZS9r3JZp6eM9pSc49Hvx5g2g+qePFmKoS8oETGbE880pmLl76seOnMA CR71/KztCP4TrKOD66UG4kmtp1WhmmAaeK9RDgVlgKcXQoj8kN8H+FGnbeHq6O5DOUYgFMJ6Xjnn aj1ZGDtxSUJFnz0kL14mQrWC1TuLsnP+jwYmDgTzQ3HdFIBLHuRqapQLTGAGTxSBVMtRfyzbrM+f Alh+VDtCIwRflnh+q7lPNxrNs2RilHOyMX9Rz/IMvk5V5oueRExNMxF2Q9nkR78y0LVkUi4ziH9D Rze/qwoRH7a+QUBNPgbEtQeFJfyGAKudZcHerAFJa1Y1+2ZILfP1XgpPCXGpP3TbJfaiZvBNQFfr 071V+GuNn3bxObhjXHlTn4evGVCfsfBdny+aaOxYy2/JzpwaHr1v2d3tc1LcGOi5AJmndy2DMGDs kBHieQWeReu+0fVjdpNa7Tug57c4m2P6e7joUewFOASl69LyCxREY7cL0KEJFrEzzKuMKi9JrKA/ yU1hAqx/v1ma9dsHUqvnp+yTIvlKUpkMUxfnBtRV8IpkgZioNuhqfqD5fFhJkm4075ROQp1YwQy4 AdkM1kjQp1dxbLuvR3eOyNX1p/zK5m+LKhy0iYfPa5e0EC689vpib/93q9PYhnhIxlKiQr3roaGA 38oIf/Qv7Fhk3PK3nJtqfpxm9U3PAwcJ6S0lXhDqDIRP1Ur/WU8R1/ezM10cpXl2QUJWWnv17ml8 ZBmhL6+c65NT+yY8WiKRICV89hIHpYesIe1g+rbnGa3m0Ew8w9rbRmINNh7yFqwpzKRa4vaWrTFC 00zfeJum2U2eSfzAzIzlRCsPff5ue0jmV/EVTAKIq8H9sNcUrXg2b/iz30R2XWoJf0eB3RvK8mzh qAmntl1qazT1BSx+8SSeMfQs1a1nGu33FHMliNZPs78EtUL4Fdq42y4COgamqLlID6uJ2WjPBOXZ aQgneEKC2l1YJFd8MvVOww77EUd14NkNgrek1mIANs0Czng0kD8D7CasZ4Qwmd4XcMBL9oDpGN/j RNYDXzhK1WTYtijGW3D2h/1oGwFOb86qvmX6C3yNflEMl6innRWV5ML8Fu398IOv2mo7H50zJqPw Y4hbkgmyoQrMcHWzoYCNULbVJkByevTGvUx9WLoIUoxj4mMw17OjfuB71W6s/odi0UCiugNNT8ym wl4P3ASmosVoJrLzFAYOtyjvT+WBV+mjNJzg9IoXvxNiqsBVc7Nr9XUeYHiVT+lr5vjFB1YlvFOw sM3KpGyn4LFASZk5LD9q2eGyz3/S3p6c8p8U2wJw4XvJxt1sbVqV3qxeUfo5Ym0WoWr6CtYdK6sr Y6Z+zMzbFk01cWkI5lNNi1B/IKXKAlQmH2CxCTjXinAnAzRnHHBbjdoZ3QNZpdAFmQmm2y6Orb6h qjYEpR1RmKRDsVi9+Ywc+5hBR0Xgw45frOi/d8ftw3wrXJuFINtQ7TrXc2JcwYbMz59RyNgjmPRd PWTov4yV3VOuvhog02zyZ5vHMQTZT8OQxeGojNGxIlc53Qa2VrforZBtxQZPnCOOinRq4GGsM1Dr 5l+ipVPLYyTNBeXyj9vTXHaLYuQyCkemu63XRXCEsPO5+k3PSkmeEQUJ+KBe3Rry/ddg6S0c3JhK BSNg2PVUrWYrYCMaYG4PZklZ8nRR4nTB+vrt/GcaVsUVz1zwXbMnmQMnNr8xevQ9Lk5WAQYQloHD Frrilb4me7Nny0QEmoS0at/Qn3eRLZ/BFokwd8K3kWgTjSl7DswJJjWgzTTsmm0YswL/1k9AJ7cm 6lPD+jP3RDbsjZAwPHmTSQQ60dEVZk6S7NN3QZnMithgg0RZSudAwGhQMy+2hvmSHZJY15BDb+hE huRS0UAtxxP7M/r61ePFl768uGaewb/LOBHJHYKGewGMi+5y7TR/1IM4hTCLax6l4DGtdSV+pgHv uAhiMDDm336tMT1X3mnliLfY5XhsX5MOCCP0YVXuVsoV/sjm6h5jE51FOTNEdWVrGklPAKCA66Rj 8XOOSRUFX6xKcXdpDY8a0GNQHdWJloLecTnebUQ0OxMGbapuF9q6A1bs8dYEVdghoCJHQ6Isk546 OVWAY/krmJW3G6r9opINIPE5RZj832T+xrFzzhgYvwwd5tLdaB5AQ44qxoNZTXJ/l+hEEjqvCTJQ /Cgs1C29OG2jYhQCIGQJZbIsqy+1cxMT4UdSv3mHsAasQssBIM9whnH6lPf84LB23W/6pzaVtBDJ J7oGDwWQczV3IE1IuqYb1UC4rsZjUAOjAoRyO60HQNpgrp6j48qTmQ6hGXgZd5j62iFN2Ui9nes4 OMb2AEi5f+uuas07Ojdg+eu7w4gahE2U4W/xbqCYoIKXBYld2DGY7jAuSDb37EB/ljIMB9q6yHII QQ8FtH6xnWOEzniykwP8kI0OIDJwD6y+OzjA3F0AL2X2tEj9TwCbVr+RD3NCvk5SszdsOpQHApOB 504hFnr9gpjsSvGipX7fMVi1QhbmHjKLN3YxZeu3Yw/4IlQTAcizaIUa7uYA7tr1cvgu/OdGjfgF deEf9fX4oREvC4ELkyMxuWCIj2TPq7YxT2Z0Ehe5UAbZR7Ij20jHPhBWn+A/Ql2mohi0SxP7w/8I r3C/q+XQCe3MvNUSfJqKKkPgF65kfZa6NL6xIaDdIMql0jscrj7pO52043kg3ee/fiRzEl9D5zyF 8tRZAv+X9QScTaPGSUpfc2wfXV8ZkV9sKzLa1dgeGxYDGKt3c6T7xMb0TBcLB34gVYO30C9de2Ss 8xfTmvMY7nVzPlDgt1kyAvFkPZPa9+pqr7+U9jIvjT2w7/mKNJHHG+ZCosFtDX/C8MfIVogOfnNV KQVlyMIPArlbt0FDDTbzPB1zWQfSEgH4XXS5/JpfD5jb80d6mhDjsNrD1+KRksK2EMuK9ugoMciL FgmM3UZl4nLO01uilqpAmiFgWHKcOiWtGlil2r5pzS6ZqBRjL3c+/UPPUVJ5/jsJ2dOOovvx5+u2 AyftlLj32x8OunUwE8NANqqXERfIrkvsHgNmCw6WKjSxoWq9rJ/FYQfFb5jiSc3egj+7S40FDZKl EbhILK9VL2BholNbNsZGR5AWBUJWuoK7Hb4akTAgViN5owcLhvb6Br3BwT6cFBhz4Gx3QNmyPwso K17ClIIahD6kBSgWunBKapOoS0whmyy+nDFJmjDMfw4b4OP34+f9EMsg2KL3NIKMUJrDbs+T3YeE p4JRppx//gVEn0rELh8Sc2mubwtNPZEIqAxVAyAxtCf7Qz3N/A+cOa9/r9ksLtYWWaCL37o6lUKN VTTKNnCzvIpNodkJXPaNH0wdve1gH2+6isQrbzUBWbi6ZwyWwODvxS1vE3mWJ0gBlyk3HfQmGu1S GXo4MIjDZvI8uTTtZKKMuLzvUmm3Qzy0PcQJkbsTwNN4uN692Uup10gY1L6HKdGL/RHpqDoA3xD6 LfSpaiyu/qbsQGYgHhdu0EWYjfjeuavvX93mUvLX2fkG5NOugFf5FyfgiNOgcyLmyI5TAVWQOkL2 rWQOK+hYzfKqOZWHuxwCYmQbMZTD1B1y4sV+ss8Gk+kegjNMNZSWNm92M5/PokgJaHqjv8RiEdkZ jK4hKU9oZ+IPXpZZHxIPfZeXtu5fO6KoSIn5B2Oj3+pmkeKnHKu3U8DiD18WNWTkSf2298E9pdYP W8rcDq7XJAP2hadjF/GZq/HP2bRM8GrdYtooyIaXOM+e6+wt3bXMI7N5UopPK99x+R2DKQfohV5r ZxfOAeECQ98cqsUm67qpKqAHLSTfX0GCxMzSDVp4gesOW/4jWc3YPqfAYyDN0rs6IcIgbX4a2l7B 5Wwy5ETmNyoqha+oIRypG1gDKA+bemPGc206KHYasnzZDeY+AlFS/xpPhvFOdfjXrlE4oAhA3lhu 8M1Yy4OF+6hWdx96+p42p/3u/dkWoAZftw89PPGkO8gFNI7Qb3BkISR49xuKI+7GhC25LGbp+I0B NWG5flUooIfB4Q4sLQLKO1Qe4OTIfl93hTMaYRfHBP6QkJObFUskQ182VxSj0wEx+AUqFCS7hHMr galJj0ZZFP98bziJUwTMVjjAttA5LReLXavpOdKQk3fDwClof4togToNqhGGlN7ts+AaNSiUgOD1 UphRBJ7bHo2UYEMAgu/IvYTmZzLGeJ9f45pmYouX1n05kJcsz3a4kV1q4BgNOu2aDuz5mpUg52uR Ldp0uWt++cB5ljrcmBJnrRPHj9yRS+u5FHW75t1XEDuLS93FtoQOZfIhYfoloqghwxbLYvVRBVu+ HIprMab7d+CLpmViaKmFbf/DJ09bPYfrFvcxZfU1YJF6czxfVHmo4Bbar/B+/N9JVmZPXiKFGjuV yMx3AIeDwe4X+zYo8OhkqX8Kq24IqV9LDavGxCiLaqesJX4UBnc2x09LrfYTguNyzhm6fMYH5aSF fRAJ9l+aZ3BOXlHZ5uuqjEzuRhf1jWl2Rwc8fYbEkRshhHpwOg1WAV2SL4CPSbXzlTt19/k2l4ZJ 5MjuM+FDWpMu/Kk9deefI+o1fSY29XO6nRfzwurFsRBzQ6tyjMDryWNFU73YseDXTKptmS5CoX7z IMDUDKY5aRsShDTzeuxiqwYBtZP/FrvMV638YvvGT28ePCSQY2XoxJRG6MZ+jcwefv7cvgZchqON etnTbmHm7k5SgkuHVE2DwACuk8frO2yj/MWoOdymcn/irEYPZqhRCK/GAEKWrOUElEo+tLRisY5+ kM9NAnxUCOjptlYqy8LlgvNTNBd3CzrDIlOiwQGjLfCa2Fim8ZXIt+jRSmSoNgAOfuns4yO0naHh +8zZr2XzYjvO9gZuTbz/FiBXYokWkdhCNAOFE4ozcLsFTyOAannZNI+McE5aRYNKBTW3kKsXDS8U mTaVixx/HBGHIIRb4kVkd3vibfBTdjLKab9scEkjcfnsCmT2FcCQrEYr3+OppvbydjzXw2w9Q+H3 VhQMNENT/vV2DF5bzwte0m9AqKmjnCDN0bJipldDT2yH0VkAAFhqQi4vafja6BvdClnMhSKFQuUI wVieTsRX3M19MWdLJf1u1VZjeegbFi6P0PZI73r8xSllluVjSWy5THAQvBk3I6pNfoGtoAp7cJe4 4WNV9BqDtuUhTKHF8U9nRko8MIgJRu+9J83KlSUxd2IQk7GAMWAvMACmz1iO/kusP3MpPFHMbJ+O 9rtgNK0gDLKo04Y8/hk3M9X4PiH5S/Ap5tMHZRJRoYW0YeqnWW/Xx4az3whTNAjFf3G3KByYTWbn Do05j2xf3qje+RPmVjYfZTRX55lzSoIwMfYBWfxOh/PtI1toAM91JXNONnOIhXuDjMXqtKpobAfR RlpwSr7QIvk/54CVFjx5NmimLzFXhUX2Eg994rNSCaMs1TqQCDjLy312cPZHHS5f18JQquajQCAK 0NR8McfgJexldGOwtvxr9KTOWIpPkIQ0Y1Ef64PQ7alTWmPep6IjWsV7BcqAYehA5iG9v1vkCGRh N4rgGrE+ik+uDa0tGGEIYGr5A3/OkymOOqi3mPEs04W8LLXJcw464bdi0SqMgDjWaV/UjYSJ5tfn eCm35jawPlt6v4Yy5mmrbpkP6ilzO8r6Ol9nfyRi3Zy8P5z/XjHY+h9Cly6QcpxufUPGGl1DFOdp 9XtS1M1VmHRI/IKK/Ar2Hsf/7yXCXR5SkMyFTX3JX4ikzvVYF2K8bAvifiWD/1n3ijr2hWTF34CY VUNROWR4Z7VMn7ysenBE2MPWgtPnwKm0ZbKg9+PAvFWnWvh6ytoSs6FNKJrwe0WMijt8/ohIatgP hBUeqgGPFJuQKl/FcWyuIMbXAIojwrs6NDlbH640pwZSM1fxshNEOGZdXzFhFAgYLKvMeF26hG1w lGXQWpRG8H4YYZlmIr7wJk6mftt4n6mF6KAZbUZIPoZ3E3YMhs8vzx1tyBPLeJ6TCspzx7mDQMhk vG1TuUlMP/kEuXYXRJgaemL/TtT2D8tYEzqovWymX/AXxNkwcIy3SrnHEMcMs1TtOF51i99KTKwE EVcKxsqDfyxvgVC6o2dp4hCxfibFnBFIWZ76nLnRCe4irn/adnhyhDW+revQiPMyRFI0uanB6/A/ WxQ9qGKHaBVpU+OQjDeWZJ6NxXoMjBXgygUAMc95BAnU/yAtntCmMaR+ZMALjDFQ/vNn51MKPXX3 WPPZjJb+2QCBJqSF9hqZkWJ6VUCHNZgNkbmCh9kvvN1h8x3kxPskNzEjCcFarV2fFUA4qabYoGb8 LB6kgNvtYkeT3iOZUpDI5HMra0e69clXxcXQOw1l3U4DSjGBz+YIbQwGp4iwTuLJx28pF11EpBeu jiBfkyWHKhk/NT5MusI/+0NgRPZCVAWavvFZFt/CPWGDwjOAUano0TjuU8soEao818Uo8zJRzFI3 VTeIcdeMxVSfEYask2h5d4l3D/NDL9/LqMhlRGn0AbHqljE2vj5jVMM0bk5p9z7OwsxegjJelRwQ NmsRvG1qdPTFQRUewO7a2uxlQR2i6CJ/Fm1CqWnqOkxnm02Ts+GOl9UG+beMbrKMbivSAGmysS+o HLSzGDuXxzX0dVbfA9Fv17/cics6iJn+W1Kry6ophdneKQiNF91FfZ0Wt6xnm6JpKf56mKiNxYpH KUnpwFoXV4/xyAHgCXzOyEyBNeSwJ/dNu6o7dPu01+R+BJxHPofcTjzcIFVkkP1bPV31pdaUhSiJ wRX/BoNkagnq1EmeyYBO+2fSaqSemuifKIWS5MhrAgXlRDcdVSAyW8M3uQCqcBbqnkkzUzx+P64g +QLwZpU7X9wYsTfoAgw93SVBaxvO8rU2MXqDbeBGn8/G0nuDatJHCA+/UlmhDQjC7Gkh07nYs6vs jH/1i9nGU3PclQWU4DXPaww71dUEz0u6WFVAJb20FhKPOCNfdD1jzfS5jqg5pBCc9dqWx84s5r2L uvtCbbiy/p73QRneLD3oK01yfvrkUrYwBnf5GAnZOXAa2IU5sJ3Zb2kFN8zswiQz1J0lRrWardyX 4WCiZ1RQlT28Pu35LbuSj8BWIgUZJqhWrUG8ftXgWDpO/5mHL0XgS01SOiJKcm8rMU/16nlwJZ28 rjKER2fvdeVvuiNPj2nhKUWnET+umjcKxgU6gBWZVzbhjeWoZDHhMvPOhOWOugiw1mbrdV1/MaZK 2JF54mmdRutwIxOcMIEqgzinvKXYlq3bPvBaXs+RUFJSxS1Q9fQ9vijaSsSkrmRg99hSdbWkiq5E AFxPlo3W1oMwKdaTn9DIDXV3RMytyfcFWwx4f81yiYK0SDUQq4r3P3HLtq62ryygZERcPNmJKTZz V4bLKMDAgnaGzCHiokSUCMe4dUeVf9mtb29dP9Suk3vBCshXEeF4NrAzpoNe3PUsSPFH4RhncMA/ rVpJ5W0wR17zgJBo1fZYNPUHVm1XMkv39PICinK+6Jy9/BhFyUVDMktSsbZtpytgT0neN6FBiI0n 86dmgp1/sFwvFzzM1JEArvdY2PuNBFrQ3gEsJ/UNyd59mCnukVLFKDtHCBD59tinaYazGK+CHjUP CtgrEvf8Lz7fxDzONol/iq9/1xrUMf50NC51FPoxwbVx54gvRQaYQkXwar1oHyhKCXFmvVkYGGLP 5wz1lZC8HrUp17V8x4IY0j7aJ0yeDCOlIGJ4owoj59CbeEk7MIFwkFLk/n1TABki+hQ8ymDhRgEc FaopsJa1Cr7zwGvibpWNvMNW6wUMzg57w0JunNR43VJqG36sFVrsPmJDTDOZFNZ1H4WwwCu5s9pk O0AjwPJFwBWrFHfOGYQuwfOFf4p1fagnc5GHByjK4tJcPCZ80LFl7taGS+SGULZWryBfM1fPQf6M t7Ft7OIlDEQMOKms8vg9Man1t0jWlXwSprufzL4p99NnAVNRelxAP5Cep/7tFglR49Nx9cdP4zm1 ngiaZN7hxH0l6cufdko/dTECzhk3CGq7Pqm5s6l85FkmU7eIC99ZqU4x12SXYWu+btvxafPDZCi2 CDcXHZwrzJnQH4LeTx9CFqcXdB91k1YklLEvZ45bUeKwTE/yFq+hUQtWl14t2GxSEojWQXELmndm r03TVzS2l5kHfpAZv5FvlxzR7XbG0cOnfuGqJbApmpPdy6wqZf4YXk3k4lwLfypshOrF2MePHo4F xJcBdzsoMcFA4jT7Z4bicaltCs4HE+HvivmzEAK1MrJ6xsOKObUIyYL476I4HhrR0ZumSOCS6ai7 ELAhPU/Eibh0w27dfeIafN6XXuXnfH7yg/K8L6lilm9B8WhKPm1zM32mllZj3Hlroln1K6g5+3hA 5HtpuE4lVqf7R52SEmuWcQfwQuefmRPbfp3qBlyo6fe9ktXSFhU6D0tzvPj3r9z0Wl7tCcKp9uKf TtfH/gB2rd8L8G9pEeHX2ZQttxt7SuELHHr0FrGAUUDhIi70xTF4dXbOAwPsnZIlm6qArVaerTdN XRcid7hS+P5MeIZ77sN9k1bh4FabWTjvsoab1JUasxf+/3EsTufb5OZZlJV21rmZ3Cz/Jv0i9vS1 q6RTlUI+bvg1ziG4mwmRtHnrZujEibp2pR2jpzcMC87doPgsJg4zP+uMD+nIwNODokCVMjUmN9Ll vd+QdZzqSnbYyxFNxs/wgrtR94+chydZxBnqvK7EWa8s3wHlcHIF1/VYmMPrA0+oYnme70nhtdl8 En/o2Q9oaAx1WKjEky1WV9GHJX8kkzQbF+CngS3gZmu7pdsgeOOoQxOOK6pEByRIUvsB6caXtzyZ 63xNoaO1MuWFZbxIhcwAQG0Jb88z3tyZStOGbXcBsJYXkSpgsrNna0Ry3jogppWJ84NyO5Xy1IH5 9wilNWW9+gApemA9tM3H/oxWqMgOMN8Mm8BLI3/KQPG0RiZ5+RrqBQAhHNvuf3+buaMhw4/1g24J lBMRNBZsrYUixIWvcsuZMmBoNBNsBcTVTyVkVaEg7t6R0Yel/0UXNQztVkaWaPecmNFBOVzrPiWu R2W20COegjJG2pnMaMDhelTFLnclzVmfFijLAhTUhYT1zGUVUNN3ih3KGqyx84Ux1ht4z8hEE8gm 94KU+e1FZfgfX1yqDGTLgp6ljjTMHNBV/HV5V3S3BN7JraTbEpn68sZHCu4PvXznzBpXUOQPMCIT VnXT6BnFHNHKx0hUPhCXPfOqY2a+JsV4M+GXN6F4yT+rWOiwrTEeI0tiRPiZaA5F1E+39XN3xeF+ 7Vl0mupz0jW/az+UhoBZMVL2mLnEyTlzpDET2FzMNAwDT9ieDCpM8kYGdDLGmgg78w3dIL+zqx8W dsk9SknPe5kXEqiD2FkM4LhaH2BpUqDTQtWE5UGAzAgABC+t0KOMLVbC6ukWY1GtNY68F4E9p7Fk 2/pmmIg+Lq8IqWAFhskoMn/tIqs2gj+mFTCEtK89SCf7+O/ulLN8IbIaki4fYWS2jeOUYfk7dVFR xwXQA0DJBxoXiFQ0wVWwA25IJ4ldeAhI3dk+kEY6Ie5npqEL10/wUKjO9pwP+0FWLVofTMSG7ksF hD55w9HdJndKj8+dYiyrOHAB9JOU98WZ5y+SdlW2JGw+3gDlPuGozfJ9hBtANPmv8E+dZOiUCckx +HNQ0Ye7J9vRTK7Ud2QTpC9vAz0RUKj7v+8CAOvUb8m1AgQcXTVqvhyKnOy14W9JV6nbgeOaYYPX xhXJrUMJA/JUF1DNMS11Og+STymSuSk3KTn+ahIHfqpJ0PyvbRUhzPbnx6FE4PB6XTuMtcJTULVa y4vyNdh8x2WP2Y+rUqnjsmfTatEipJYbnUZSc8OopBA3HfwNraUFtPs00hpf+SwNNuta/sVKAy3x s6ucgJqOXpEK2fk0727XX6QI4DwCnQ2v1VTiXeOJe/nQ54gwjru/Y/B5SA42D4DCTsu5WOnPmhp5 3j1IGrvb8T0wEGVdzwq2fmUlCpWlQkzyGvOvjdNcGoT83Q2BAE6Bz/Pja62uL/+oNbpvQj/LCdTl HTAsWT7p7IS8ZR53NoCvZbf03KzTHX0nuQhR94+Kzgx7GM2Rqrehg/YsQYtfy1WYueWjVTqy/jP3 6mybiEXuzPM/MEX9IQ9jsqjEwzptjF/I/+A3iG3LkEPUqmE0OWaRPh1JPHPIJaU0yR2MnESCdEiZ jxFQO4OxJooaSOs/TYsYFzZlyb7558hv4nndD8m2aNt/FPhWhZML51JIk5cG58lQSem5CIGdCrsW lXZcCTak4z7OIBFqUttp3z068XfHbDziRnt6hbJBZAST8ZlmBzL2mzPsifpDnmQI2WF+1f4KlzBU 6yot3Hb9jYBp5bl9okkoMUCJbHD94oG7p4I0RS2BrWcNeYBI7hOrUleVSgYqL7Izt1Y50wc6aD2T NHNy9+bTfxtgCoiacPMtQSnuU8v4Cm7Za+yuXaI/Nugv2Ytou76nINTOAGpyKljpj0DxX5qgSGJC 3s5p/hey8QBUBX2gHIWzSTokTOE4xXBrh49gRmpXCCTkhBHFlresyja2Hjz0kFCf///v6Ch2suWR FSIkkAsPujY7XMwODSQCQofvVHnX3ZtRSLQ8EHjT/RK30Hll9Matm7Sre5gZvtcuHGUfZy2Pj23Z hL+3LBgbmVkvOAuMfZT/abDYhc0dsfaCDeWEml/3Xmlathh8nQKZ/o2hB6QOA/Ye6wF9QttsOltE ojKzn6m25x/2kCA8Esr8IiOg2U2tFMIwtDgEmEvsbFJ7u5DEG3B+HlcEBAyccpbXYV1z2kKssuJP Qu5j/D5zXBe7avQSXDrGKQNvnbi8rO9Wn28mhvForvJ1W1cRnSuq5hnL4TKgKZcc1TW/TJnaPb90 iV81IZUTqlODZtBhg3RdnPuJa/Xf3krZcDJgoYFOd+JATAYKm31UoFwYXPRdTUQuEcSarfm0YWc3 CzJhQTgTVAixlI1+5dhObm1WzLQSBMQgXkYwyl4aVwYumcgMjfo9jgg0ZXZVSgBGhPuxUI+nBbY5 /jgE9C8fexJaTtHmHdq8GHhwc3V80Ub0+lfIHXnjKUMXp11Wiz+eFHs8+30WUvvnkUqvEpglWX5n WJg+vWlutHKVmYPnPE5xYJ+6taDUQY2smrgYdcgJo7nlp2hKesFb1a6Aos4A6gBNYAvILWIQ+J/u LPWubW/5cHYOa5ErUL7q7Q6zH22JyfIiTR8q7URNc3nxPL983NSyEGC3yXH1dNnxaFuTvO8AvkvV aDb2Sfd7NKu9dondHc/epsE2ShfFNa/7pL3UF9uw2Iauuria7+A9r2ic4PNDeVFKQvXoKNkgOpPM +sY1IRyGpPqc0YfO1QpjBnOPYrE3URwqO/6oYFO+GDqKwwFvuBf972kmE687KnrnpixaDgj6DWrV ZvkzXyuNmXHn8E5WJO2CvCjRarSrWn+fFSlv43zsvvPB8wFoG2ImZh58Z/I9QJtvRsSixLfMuWvm JlyK5LNnxyh+kcdLojUo2kL8cb+D30F1oCwmdssYZvtjNO2oP40oS/JwDr/qAlwl9aUbkwYuIGxq JxQyE1DqzdS8jte1zt+GR5DF7eXIFs7xaJ2yzBthz0Rge1teAiCnzZHspSQK0BNOQM/I3Vz/cdOf 32oLOLQv+U+MakUMq0whFz8hLpNn5c2Jv+riHEJfPEIpqUIp5Kt3t7wQl9b7nwILyNZNziR1DcQC 4Wst1MyhhePFSOstGw/gnO2qLd4iK7gBFj93M/GeyGa+ai2k6w+ZKHILMRokZTj8dKXLH1zIfs+J dfjJe+VsQP1pE4y5hl7GBrdheNqknr0KqKSZxrG84R+IiQHyHPhOPCXgyP2m32QeHeweJiCzSwUl x9uhwwckDbgJk9fBwTZte2T/Lxcp3WQlplZJjKR5VIq4H3Drq/ExRR4B5X/MpSVf/UG8nMYfeE+0 +xy3FWW4Pijzaa5ZcuY+4sFACqtSpzIlo7nMSc0zvE+cUgdbdGB7JB/cwa1hcBJX1Dn2eMjzqyuE vHOr5so9ylClUkiMbvE524ZSDM7GBQoxkbMQyKzVvzTxVijhbRjxG1ypHpNZtC/YZO/SdURYO/Go Uadd4a93KrDgwMfvA/Z+BfM+zQ/eZWoaum6YgBMq+gxpULYNv8LDSFAeJDfukTVos1OXZf5ADlB1 ky1spli2MLiuVaCK2H2LACblsYLPY3wwYxDS5GzkGaPVg8AVsCgTRVrJkHuqAAkbnXGJifZ23R+H zq9yMmrw5liIjFYrS3GmarkIbBueT192PD9Vaa/7NhzcfA2vIofCiqEiqdGeIBIZo21wrZYXcY3b C0A2ukAk5gLbYe4laRbTgNI5b9najfCkivWh4I7ecjV6xsxzjx/aQRe4GnJbl6JheyDu7ljqxp0g uwGtqg/sBb4g/JbGq/uCMTjz1UCycRfy0wIiw/+3fTcJjc/bHX0THqjyJIXnqTLCm35vFCQPomeE HfFDgNkC9CnOAsNvapNaKSrV56+22d63zZjaS2HZWTLU5aEjYr4CVo2sLHzAAwuTsQusyPJzrJ3/ 7L/6HUQw3U/P74Sq9/HlULgqpRQUBukRuxhYX02ei0JC9T+VdNBMGtuoYefUUVzMrn7njncM9X8j GzmfRXfTSXYaSB0XskpLpok/P53jMDnZHYaMQjkW8+DswWBWZJqZ/zP15Pjy/L9PByfuTJROHwTM CXu+4rKg2Ncr0neGYJZUcxfZR10k3kqXTzqaSR4de0fObb74eAjcSW/x4DKeeRtoSVHUz4VTi+Xb Ss+vwIqxU4hUn8lpIF7s46ZUf44zFcL0GKzsKW83dwB1kbzd8qUL7uEMMbzRQZ22eSdSO5eEicXx H171AIn3Sbk3J1S5mX+cwi3Ri5lbORa0ewj29jLeoT5nwgzLTaw2wzsoYMLIncx4jO1DQBYFxY7m LEOdywHM2+q7VCFjus75LI3S9r2+G6DXBJQHNphWQqMIW2q8m7iLhyHLhJ0yqa3xKNmbUNDPsjb9 hYLcN3xMBvMquk/UsSMw4jgmPLC1XGcRBUmwB+9PCJ/aYKdnxEpwAI3VoKhqNd38QzIQGHyXe9+E aDyu1qnLXH6Dqy6Zz660HvwOb/upNOeMyUIjWjWJg9jT/MRWEJTQSejw/84BtqVFrvhPcDkm2jZa ajBMm9XmDkM18yNvnkzBs845zmSOIYOdn+dj2lRPbHe6+Ey1TVLiAVghLxBfSRw00EuoQr32Rn2X xyDDkxU5BwBBoKGi7SlVOffzu60BiSHkFtjtX9cWkxmg/8yHHKqag3C36T2dVccd914r1XA1Rr+0 u/H+M646GS4QrX27uWo3niqSmujn1nOQwndOeGOD93VZlmX5Rzjqpu902nZ8SzaaNr2OoANWc0oB EMzxSQpDUC8zEsm5QVnwHH77CrD9Z1yBRJ3HWPCu0g6wnlkL0XZ6a94doZP8YSYWIarH5jjzYbFi fx+3Kb40h6qfEto8/bRvQt+zsMpwuBIrsCBqunTw6Wwe98cwYIj9VJWrA5UlTX/VaGvBqqykT7MB OrmGSZr32Ssw7Z/CYW6uechCsk68Ls6tLCbLhp3a1j4MA1596lc1UJfH6jfQvIIARYHdTUrJFjZp zXijEBkjphVzCbCiCjVflNA4Q4SdITF4PZHfp37Y3i+/9uk6sdOQHAY6W++7to1QCUoOVoy0dwl0 ex9ifAwBT5QxE0C1pjGs8llkVp5OekAuVq9qhR0eRFLt1mBKwoV0IXpwXH8zZNHbkrt93clcnkFQ 6gYdnfLZcP7nLfWC6FkwQnPAed3154TKwllGmty+yNfnKb4SriWmgwlrKyMDS5ogouoAi9wC29QV ucdVg5EK8f2ACk+qZvPX91rFzYrtusQC/1sIc5uqlS0BMgKXlnm1mJZbOhFHpEFeEiL/WKjGXBXq i8yASL7jUicvDPfx3BRPlCxAtbUAMUVEN19K7GbQS7SklBArHvX6yqAW0aZH2G9o9I1vdpoKK8/7 kJOr6pC4gYfwTZJGYfuSPJH4eySo7c//G3JIQcULpnZqZSsadtGPfHZ60uSnjYtbi1voDWcw/iA1 a76CZQyrdYlNvNKetCStrCVJDJ8vf3333GuZl1cVqRCF4RWKOQh1MTL5ulT8QU4ythnOvBmThYUJ ICemnWww427nn8/Vdx226T4soFOiA7PfOCLB8ErHjb5Zth4UICHjoWNxqpWeP6t7qzbo4j9wEQRT iZmbyT7Ha+GpX2CU7aBGZLyAFXIXTF++Q1L37HtFEy1t4P8aNbWUqErK6Ho594IksawLoxHYWxij gt0MD9GrZul+K5vcnw0ZxKbo+qLKd2nXGqEFQY/rraTF4mS04ebCqmbeBt2MwOsUiRgd03ReRb0c 5ize88aVU5DQmNSXQIKcEdpzbpPaFhxVIilnNZxWB89XEgpBkUHY7JhfYkYOpOkIr6NuY1dUjObd c8r9mCsfsB7glYNN9DL6XVuldqb4eGnXwjWwUo5PFGnmx0NpScWtfd0LK01vFjfgYB0dZbCOPy1G F7UiZr1M6bg5sYrz/NxReQJxPRCmr0hkWvLvCuqek1BZKK32uz2qYnljVFbwowRYuwYEZaoMvVXX Iibl9GcAaUFdRHxpHIdJDlHRJ+UMb2dSs00cWSLzbuSu9UZOMFcwxU0h6VdicDtdNdcxsd4+Fliz oaE4GU8ZIv7s3R2bfFTSX7AxUGnQflrn0AaREqZcuCctSQWkhmFJBeOzuXEvTX8G6nVhsqnTEYf6 9huRRYTvh8P9d2YNkzDmCoZrUQU05Q7U0MSlCWErr54wh/ChPicxV2Cji6b8kEdXf+aJnQi9200o ayb5UZYP914+Ph428Wy9nHhishhnjIStp4lq7HrKXLOt5NCPwY28FNgjcF1Oe22FkBoA2v2+skvt wQje5t8EgG1rpuhjRDZQfVS06Rwss9tQVPNO08ZbplM0x0bTqb2Ze197VHNyMLHXcujaeFep6eZM 0FnQHeZ9whD9IzZQJPmSaDAu+Wvkxv3J2OG8fz04Fl/B3BwaBncIwLxaj3SM7bEKpmFWuldZqOrW QzBypWTrsf3tIwDCywqWcj69t8BGny+QLUmfjYhzaSgh421OaG/64G82yvraZ11U+NkrFTZ0d9o/ gVnTueYLy3QmosPZ58uJWREPkvWDsc/y/cYpJbfztB01gdCEkHVvvrsEyGOKo+/LwwPvFENh7zIV lp6QxabyYZD5m5vKE7wXTdraJz4bZNJxGykJyb/gfVwOvmnxaNmu5B4Hg3WjOsb6khTRe1F5V+p9 sN06uqVnvb2+gbLCbpv8u4zwig1m2x4rUi5fEcXo23hodO3uKkG5ZhzakBzQRQJjET0FQuZtSc/3 ilZjfJvH97iMlji66FtkVxIlJa44v1XmICSXxFOwmr/3OkjjPvOYmmWWfuSg4fjG4CGd4knCoZAi ZCmzLYV5x53dZktRG06WTQ+m98JWqmZrjPi5cuo3i9zDmr39Ys+hLKD/KazWfi9ca5yiQbFwiidp ntUvpywQy5vowwh4DykkcQIko6oKRUJR8A2v+8SyIPmy34YLkV4RKyB5sJZmetLXTdAcvFeG3EwS UUJQXpdpyCYVD11zf5sNWp8Pz+euK1MYAwfREEZMZWTUGjXZss+oSusBl7z6pqrMSJZVac3A2fcR g9M9fxwyrYPnlVYGMnv3ZGhyUPFavrNHP7o6EJHyZ6XaDXRIc18wtRO3DRGmj3ydpHLzf7skxRpI N86iqMm4A25Duz4R9M2vY1RgHPQ8/tn0USlG4JXZw10XRKZHbRrCbPljc64xb45iv1oBX6N56zK4 YAIYOP3jXSfa6/Bm+SguQ/1RKs9qpz2xqTUf4xaMM7LCtsa3rR7xa5rlAqwsIsErf8oGUZ5gHCyz f5BwG6kUyd8ny8e3v4jVcYdv2vM1O4qyPxEck1bkLTI4ro1/tTSbtJM8jDlg/m/z3bzSpaKgW7cU AQYyiLnZFhL+iFlTGN5hgf1Dk5Q11B+02Wgc5Qfjtt1KWIkiN1XBaTs8M+PwVFdCXlga8/Zkkb3b qqylMSnPqG2mWM2QTTpmHgkDRoiYA9R2H0dxC1kNkwtzwxZC7u+Mtv3cK17G+2vz2m1QJbEAkQDd uCdf9ZnJXpp3LTy1H5S+Qbcdr6SqRaJGbSdTWvF0PHswPVBugaUURTDcyAbjcgoGL04sI+ZoL0wV Kq1MUo2X73MMpi1JPdb/P7uywJVt7HybwHsSDvN3LxjFuOxYYTP9v/XXkHe/5LDW190Rwr38xBby xpUOoQp5BdapGCX3sg94hRDv4S8NmLeI0n8r3yiiVySTqfB7jOZGnK14mG2gu+guIE+Tll2I3sC2 R8O4h/Ip+nHekKmCfPTmk3DaKQzaSj88nWuai6Vg2cmjt9jS0rLvz+0KPeLMpf3OwogUCb04lBXn dnqUqwfYrpcxcD6OfvhCwGxTG6aMHhU36GnSdH6Gm8q2ItM62wlctivQJlt/EofPRocNeMDV6/fG O5XIBEoGJkkIqZ6uF3zmNjlTeFTTPq7Fx7O2MciYvvE86q44puO/Lc66DqVvGfWSLddF7Z5Q4bZl N3T6GZBwqJVWJCRXd5V6m5c7wrjwR7As7qGhBFcPoX4blUUaGzC7jEJ7ZKHSk0Wi5I706ihPYO8h VIJeToDZS2FOQ1It1Ip/OKnwqyu6rjgU3hvSCXRgBBrH1By0/MkncN6GYFwUsAAGpLmT2c7Y5gzH p11vFy7ex7JzKfM5kg7ug7jWoSYiHGGE8XBObwXhFDidbMDg8eqonVISC/rEEzhOo+p6hBPtln4S 2wN5Ak5+KFwjTWX381i1OamfwGwy3KT/fithDn+91vkvTEP8vlaBBYNkAyUN7OxSWNwusoWJJVFG fz+MIhaz6VYCWwltwDHFqEQQ6DUDc6ukbmzmKmoP4PLQvT3XZpuesc7Hhhn2hZu+aM9Btyxeoa9L Ve2XIur3iwDdyD0mTdPisPJYhcmsZPQ3kOw3ETmyAn1fuhkhnqYvBHgPXR4GQ/oJ60i3JZEG5zDR qnTkb0ArLYUY8ODPVAYGa4ML4KsCMshgDmDOvEvECytY3xq3s8//yq4ELCdeLBYVv+r4NZY2HL+g DmHEbeBjuPj5ylfA5CDUAdnso+r5Pozcz5oYxy3/1S2/uS9xSTnOQNboUEh0sGnSlakgdEgE86ra 1iPVuDP/2fkiy1ZZqbI2x1CIsIUFr/zDbBfxRWLqMYATB9JH7LAAHvjicpv3KvKZK+BFkjXWOF44 ADDd8T5nGE7nd8fEjzBbqv0zTW/O/mn6MdnwjwcQGw2TtRehVfbwH/nOaZdW4OQoGbzO06apvzDQ sqKd1EiYrN0p4ij0bGEXNj2hG4B+hzgSMkrOjW+rFFRJ9XqG5SVbHQ7wGQRpUzcwEdvSlVJxRF2f 4B09eVIAE46SIONnSwL+POxQbjZQ1EW0nvyrA7mcR1UCOv6dGuNnce7Xvck0ENLaUnVzcFpzXl6W UKI1rz6aVd9l0q7tQCkUPNHBby+BjZOkofNLsAmA8oTnsk0lQIIFY8VjkzcGS/Sgf+l9WWP2AxEG DsrTPfXxKUEmW79Pv6fLBKW8dL5CZZjPb2GENOeHBmzmq8w3NMW52wJzOqbPXraGrUZ6HbXUkUkJ tps6mwyS0Kw7HSSdszGi44c2hO6OilitkkpEuvydHVc+WoQlbBLKD4vl5xuWQzD2013jN7DAyuPH kkUoT/kdjTcIAHO4/T7cxieCFbJU8xQ/JQv/P71/eYRo/bY4yuF9RLQObnxkinb4sbPELkLuEPPD FZCGbkc1tFaSsAhTht8jINU7Aw/lXa3tq6rGseDdu8ivZyA2R9o7zJdkVO3uXTyr/Q9XqnN3EhDz KwfWzO5eAsGqYOlJkuM3Q2siDO++SAijOMYAcc2+c5jf0IcjJndxrLgK8XEJxK5RZEWDaYr0F5kC BNAKuLI/ttwg4tvhOP6l+QyLd0MVY/wT8lcpKro22CpNfuUxHiMSOECpoYmIOFMmFCMKJmQ2kILD 3fakkKAKfRdv4dFj3fiN8fqTP/YOQbEPiskOIBnfPXzRo7CJEh41GLc3IKKNSbjSPTmh4rGEi6n7 CFRIbXWb0woo+G7CUvzZXAyeXBXR8gq4OmLSLQHbTSJhgTxb/HoWrywXbYNeG7wdfuCvuQGokppk arF87c4WhjZSxPliPsvMnassOg/DS2d7X209DzO0SkHnUKj8UeTYrBjbplQPKBVVT7/M2H9lU+ew aLXV9Tznx8XTXm3Dc595Lcm5nHrVwJUCoSkrf9xnv49b5VHLM5RfaGPMEX9Wpq4hCEys2iH6+4NR A+ZeJK15nIslb36jXS/04XziGuj6a9s2cUcBLwGeS8yMjZkJLIMNOgRo6asPnCzvcdt9o+mHU6Je O+ePm3gzza2yawlrZYTB3/cDDOzaI+jyD5YS+m6pL2+ID58y/qmY2BjWp0GvDakwP1M70xHdDvmn 4zCyCQ8l `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/bram_sync_reg.vhd
5
7904
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B/Lc6fP5S0dhf5AflLymK9Z5uUNdzgoWNSj7j0QZJSdwUJ66uHyX0CvmQB28Bk/wxl/yV49htveM AhXEh1hsTg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UhYQuft42STOBGzVFu4+IE+VycjI4EkEDO1050jHbDa3xnOcVEsAaaZ2lXYfhGCM6btuVqQNR9jT 6sriNyq3mNZN3TGOSuB3Dqr0nB/VEK485Vdxnc+oizVSx0YWwpONw4Ls1W6paOZGjG7VzSy7ep05 xS/qqGGxidEoziUTcxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VcHUlW7/EZ6CsY42ewqMQ9996BSW4zyr+O7iNaaxe0exWNqt7MXp219e7GHWE+qWTdPTLG9/f7mB m0gf/WqoblBmOnOPREvPJ7WB/cnuAkrI7vY7yEd3RViPzZCIoKG3p+FDQ9dAnLmpGy+02szEH+If qH9nslE2e7tCdPfqwiZhyoAYOXg4PPOsljb7IZfqlURIcVy+BUwqHCGZZFjy7bH51A3yrLdBahHK B4Libm/QzYRiXsqjiQsrCnraXp1BBRkZbLgQ2aH4LAbshGeASNn8gue6OXsBiK70LYK4LMH16yFk aM0V1SjmHi6SetaQjPutRmWYjA8Zt8e+XMrzsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zEZ7lFfOyvU8lLVBVibq2pjoIFo5FwTfYRUqErnZqlUtRrqXUEvqRAkRBVxK61Pae00fJC9Jjb75 d12DX3lsAH9bhK4BXTHdJNLE6Qb17kxDxDh3VJR3Xrm7mnRZ7SrbotinEC8JAy/cb5+4Ja+kPGdn nV6IndJ5s/+548Ner4g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Mnsnw5BfmhO6ikiDgpnJhnHBwBz521caVfGfE7vKZvpBERbJ58+Sd3rOfY75O5d9hNaBDFxjiLgm VLLmbb0Q9+8ZeROguap7rFzQXK5Cpa0y3D4cw6QnFS+cNE9gGfFbQAl4AQzFiRIrxQYhpNeXEKkZ NCBdIYktabOLkEiyJCE4dyagsXdV8q7M+LT5+Yg30Cqmp+Lf9XPH6xCZZ3JHUgxm7ZImn24nYGBK XDjeGRYLH6IVFYDq6IiwG9BPE7szd5mQaqtJ92OWi3cWU1lDe5sHwy63RLMzw2pYmrvAGlBh9Hxv QKDn2Je7tMVw3YQIT5x7SKReCz1qmWbRkP8l3g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112) `protect data_block 9pbnjh3iqELv/oQfOy3Y2Bhey1mAzTCnKERzc1u8es5a6AbahLEsZcFLBsZKffuvlmRAGN5uolIr R8+ZhWC8LvkSgkVa6mztcDwwHx0PnZs8Rh8c84ZU0G+O1ras4A5r+8eobZpJORPbjzqzdcZUBuAL bq+a/xUitgXChXDJcSZSDXIBKnKYPQxMlJBr6u6HfFC6jMR/AzV7tJXBEyguIU18TkWTjItf+V2G cipIFYY5GURKqgfKyXb8mwFnfc2TAjR6hHKmTuC1+y0d6er6aRvvt8pA+LzzS4JQuSzUHhWZxgP3 9gIcABxoIqFG6AdwZP/uSKIrc0KbwIlz7WClkLIMUsuGmANacWMZkALTGAQoEa0QY09LogLFtbPS 7bSjmoOkeacOuR57rqUHOjGkjgT80pvme592FV3Z0po7Kxi86aRvoKyJOukAaWUAJSk1ZsFlyIGh xbbMayHeDows/wV9+B6wxQbaMk7iWp0MpsSdhjiKAf3evP/3kaD8mQmGrlLm7n9eX6Oqdg02ToKJ MVoRfY5RyGu2Vzq68bZNPfhdfqD4R56pmIZi49Gk4YXrDePgX29+KuWt9Tk4oaXtAvf+kVK8hdgr NPkynnoWdhflU2HnqsX8qac539kLgTSXSXj1yzzQ9ylwTTCeTBj/aoWUfrl1ellxWQ64bWmcEmvv 10xMyQR9PYl66uWasjxRrpOL32TsQoSaGO6//6CE3LMKLIfTsob8dnGXhAIeLnZK6YqiMkBZofLr 4WRnSMMU1b1wVXvtNoTZ3cPZNr9k0/aOYKkcGP2Jtc16FmAvD3d3uECU8JjDgHK4lJ9+poJ99Ptx jUUerUo9CBfxUqTxdHSIrB/kgHlC3SyZLPaWtFSfMDeNEeQM+xjFVt9LSC8aO1rvgAqNrJA65ob9 ipjoyBoPQJygRUdnPK/GIBt5kOYDFK2tGMtK7TzsoiLc/oIgv3VB397oB+uZ3BCZEpN8wMUvIM6p LWNmI3Kucu1vKjAu5RhoxI5BM34QFIjyB/aBqGlzZy1e1ssTWn2UJpWQvcqWjU2M1ixb1sqD/dGe EycBzu2zIVxY27Sji9T43X1/SQyr1tjj8W5apVHPDhRumgNSjy/xuzqmK2XhnBl9hEPdCehdwmKK lkv1+PZrJ20cwCPpZa3F7a3ho3gA3GWBbiuWnAkxZkWBlESAE0+TPNpSHwdPumDNahM479lU0n9P tqooPHhzhtERXjFauKhIxC+JWb30/S2TaPiFMhMGMHfD2LecA5H4jHDq3V4cZV08vqiOkyCJBh46 dOT3XfwUXaxdZrY9wAy6qui0fnm3bLl+UiMImWe1Zn0kp61bkcaiZ6QWEOnJ1PjNMw44xO0uGeHv HP8BLikX6k3HATWDSgJ3cxZ0dpxY6jHSVuBZ4eO0+BtBbH84iH+qXIA9Wu+OTgAOYjShkp1PcyyH XM94Ix6w+EAcdMgYcTMLsexjLkbWsbnaZ5pKJsPgVWCzqL8UYSMLwijMg15EnCyfOPDVCT5WEztE 6Gs6u3+bOLtDlBTN89CptcYpmhgT7xNRBhAOSsSIBNlp6igyOq6VKofsNPdAWDCW+bmny4mlNkNm q/HNT1kCHTZ79kR6w4KBjIsbIr0tt+yPya+1nufpXzF9Q4lg4OiLD+NGxo9/ultZsF2W7KoScZLW y70xrJYBGimMk5v3XxFSsaSzN2+M+hIbK3Vh7DB8FY5ZY0Iiy6CiuxXYnvQgeoDgeGDKYcTiT6eb yVaXVhvlj46My9hdaTsf+GrbXyr1u7uuZSM/shjdXeS+WDIVm1B/LtlT+5lfc3zyAyfa8122PATh aECEWxJxIKXL5NbOWQizWAx3wg001O3F2wvUc4qAmqRdLu0qeiXvYPQlZ9LLFUBbmxZpWxKkVjB9 vsyPTdpQkuWWBoSbQ0a0SaEDo6NVc6yEf2qAGI30rn1eY8mU1DjfEdlHYlflCLy9LJJaVPL32piv WdLfwCWRusN/2YrRPfzdqWFlp8tWPDg0On4Z/tZysk1txtUFmoCuLE3WW8+lFWGWUDcpMwfZN/fG MxwvVwax12xFWSqctbyoJ4sntXYkBrq4FT724PWsmEY3yv+PbMo82ymWaAvCBqohvmpM9VCGJ3O7 d8iRCthQVGu5+QVoTxevfdhhUKB5tsqhdA+GEzxY4IhN7pRoosGVB84JG+N2REkx3aww8vH459B4 6Gvjau9aqgq6QXsKuYs36MaId7qzhXWzUPjld5hMtenn0xX6KlmGYpHjZxY85qzHUWyz/L1j1CPq 9g8nPgrloIwMjkXdYV0I4SMua3YKsqb2WvbGZbyB07zy7ZoGYNeRaG2UfGFiMJdS/HYSVbgPFzTV J6H9yLSesbzizqOivcTo69/cuvOnZUJ7XpaaXodR4DwUa4zTwIV08ON8yExo+p4GOySaInqQ93zN 99vOpToV8SFtlp1xElByBWB4jDk3rPLJUD0H0wDz0UOJacJ5t/B3Dwots9AebInSAq2Atr1wfKdT 4TihJ2H6kz8APmJsJHErYr0FfeHs+/A9mDkXHpcoYZSowfSe/6Lg32Bdkl2/1nWkqx7P+1lreZuw P6fLt4J62DjzqJGT223Ukyn5osAVSDJ9K8ds0tMpwEbhH96F4xz/gmSsHyB7hsMrW3jSdka/AY77 uePipqILw9mhwtZZnuR3waHZBqtSeSLtL/b/8h2EsQV0b7IOcGAbSaMw6U/U+paiSThgmJPN7gtS 9ycb3sfULPnJQZYeFYwCC5QOkeHgRF8Us9/z65lSsAP5U7A7hh2D7ef5Mxl6c3qtlhO5MdfEBVLo aW5yB4DtK1g5j/L3e2ATXFbrl+75XdK0dtGewXeapsA7RsVCA+fOrLX9HQUzLGM9Y7fAHi8zB2sZ bkYoccFzhwNKgSTkZZYpJ2afgkLG6tEDtS/7HRHcyYdvcFnS9AePMuSdpMy5gJUxyFcyY/NvGuxS F4aQeYFEBd3VmsOtlQJc/9T7XtvrIEnTUj4w+vg/VQFtpQxQHfn+BtAj6udyOMvOTBeYFJqyDh1s 77RubNu1FUUEkEr0QK7+vMY77yggOTC9tD0sODZzalvgWr1OnbEKUD/dSec/4xiU8irHKuv604mk mUZaP4xQmwcauE8jtJ5HoICRmUA2EHAtUe73wZJTjd9TL3F0GiFpv9DEIlqQcaW7wAwXg19+aDBb JJOoF3cBs/Y2I2PxWK9kBW8VgSi65LG7JaQJXAYdJ2GIoR5z1grKIKCOAu8fR94LAk2ecASV7JKN MDUIk7kvbyXX7Mpx4gmLXAfc0pwa8tcursUEuA7Jw1iTPobHQSS9OPB7xftYiROylsaDz/ntsVGa rX7S6i62m2c7toCO7ox/qgzo4CykIVqg8U8gMWx+GIIdFRP3d8uhp2ZVZGA707ZwtlQpARmhjh0p 4rxVksxh8wwt4i5evNYk8fGjl4LJxG0ym2NupN6F4U/r2YRpXQ7sfpNpY2S3a4WmaLcnjj6FYYf5 fn1Zk5MUNsjRM5KvFT8QYGlV721+j1hFOKDhxE7jN2mv3vp1l49nvIqHzE2ROEi7kN+boR2RDDZF MGiAVRtFJ+swrdZdRjB62NZRBjCYs1a4SDlD/3CnKbQSncaROnoLybvM5tvZE6XlcTSDauvcBkGK OO90ODl8y+uuwgiUeGasYg+QU1MrOBe0gpC4NcDaQ1+j0AdGl1AUc+s+XdESH8HW07geE35qgGI+ fqCmMbx2rg/Ba2AP2ezZ5IBAXvV0dBso1n4eO3PHk860Y2tV6WhAO+tMSU87+0Z2LNWcdyu9lqgb Dj8jMuBbEk4SJKHaf9vhs1a+7nbGifetkhMYu+blFBZulbzJkVO31+XOgGM5JuZ0c6HLm7wMsewJ VzJGKalxo/zKlUIRB0Yod8BSvS/LnMfFXKORHf2deIiR4XmyGxrhSv5+5yST4R2i08mSPB+Du8nI XOA89kebWXeTvbFE26ldED4n6iWSvN6ZB7K4efEz5Y+OMFs9tESirNSRWfIRTGH+Yv+bCRsrW95Z 6h3kfzsw3TxbkFIPHRmeILiZ47njk1pIXQlN3uCp5EnZ8+yBX2Skgi8eLx2GIsEgclXfupCz+xJO V/0Cag5zQ/VROIztSN7uX4exp8kT/MXyOXZbYnrp4GQR4KwQSZJr19ur4Bb2EN2PPFQA4su5N8Wm anaUXGZmyBa3RxjQybA3taItfX+L+eX1S0/cwwPaiC74fq/1TFJhwkBtD6hE2F+tQ7ZCp5hSMUiS u6qfHqesnY1v7Vgr3fmwKISWzd7XDZnFxdQsIjSYjZIZk6QRmzJdtpxGAZzQNENp8XN2n0ZwPVwu 0VYsUhcLxKRD0Nbmwzm545LC5bV2yJTdouUKp/II/8eWG9w7Zy843eLad0HYxctQb71p3zv/cBpG NPswWYcXG9jilnHb8EnBDDLrn3giMpN9hZBdWqqa4e9XX6zfPibk98GQaXIWw53fMJUszhX0PSqQ wrMF+lVAgrWjcu76aUCciyRch+eXo4urwR4Wt8B8M9C0jJFCula5Hpk21n2I0NcjE2yiSUoVvlJo 57k+r9HkAEAmi6bfkhb2Mn/2k4ISzyymIZYXgEYZ1UUKO87//Ekl0Wkg5Nx+SfJEegCDdGZZIWmj 5aF2ts60kh8Bw3TWuBd+AyMPb9ys8s5Myq2uf94KWoMnxLtbYHPmrndH0I+1iOS7Ao3CaQyQ4efw rdJL0LTTSdcg2uWJipbKk8c1yCcCIyRTeSsaJQlQ11v8I3pilqE5ZWoB2RG5+bXV0Hi6qo6vIXXj +hVakOFY0IJRUw5a/hQWM/CuiRixgzmOGmojduJCH9ESBbX+pmwxjSnPGvj/6yDCxsFLwKigHOyY GS7VPqBvSTUi/J4uKFZWRYRtsdWTLP9LGX8QXEN+kFKy32Ntw/j4JgYQnipJ5AMse39+5nv/UfVV aFcUk6VfJs3uwSwo75AZ4bBAR8WmUx3h+4LtRdU1pLRl0bfnzuYX7N/AegIxfBwwwrA4y3j53YO3 FqCLhGCesjZ06lJL72hLCIfyKBGPqJjI+8r0eug0nOLq6Ge8lceq3cALmnVaOUjC7GSzFCGAn2NB ZYpIpzvh+YvZoopg0tje5Gh3EStD7vaLlU6LsECcwGNNCaAHYksLPQDArHfK/9USAHTCg1/YwVX6 2nsCLhfwx05bF1IRNv9shqBkkGdXzOsr8+xMP3MLR1qlyEe+6ebpQPY5cy7x+ztWiM4ADBO4qzSg wqfl68sjqXniTotnk8AnmjP3Q7pFKbhSnQQWT2jD2OmHZELqCDMKY/ieM8bMLPB4W6A6vpHJE7O2 GZGejVuMwm7JMxk9NszOXw1gzMlzzr3UgNoxFpjIfpvSB0UTcYakRltDMEnY8D8qqbl7gBpCwC2R 3W83n+r9kQXp4vKrnPLgqbv5C4tS9sPEph4JzpxNDZs5HpTkkiyREoDR7ntW5eiavYF3YPNo74FH 12TsuricZKI= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/f2a44852/hdl/blk_mem_gen_top.vhd
11
73440
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fpLVXmHUjNZYaHG83u/TeuNWOSz6lkSIauGdrAhwbr2dJ4fecXpc3GWO6skA5m0g/ifDpYiKnHkb M7uwMlgcLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R0HmhDgUaVKY/vnP0VYPBqVPAM4D7HubyCyc4cq3IQ+82/x6FCXxTxqgUIWi+cADNskY6Zd/LJPo OGERgXEaWxaECtcR5nNM6juCSUKoatv2fXui86uocluAEwiE8keRK3MDn8hF9JYgDVaZ08gAp/5r TUVejTgQZlASVg0V0s8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qh+P4zwUHmB92dq9eyV3fQsewyiB1qCB4MaD7V1y4PukTjMaNMLi6fRZrxWIkjqhqCLoe5ixShrD eIJzP7w34ulNXkSJ9wCaG9ggcLwpVxWmy3JOLpCPjDskIUrd2ouCHC6vIB/FOZ/GigeYgSoSZJ+E 8acTDiRJwjtcNfGBE6bgomgU0qwWvo9TsCj8r0Kg0oZlVSbGUdubrBRi9qATpdwBptMQRHunT+Sn IvTqy+nqSfgEkIjplJn9eSjmcFVljeTQNCkCaB/m54FO/iM/+QeVnLKG4WSJvgWE8oX8E94jA191 vyE0MvHC1JFxVZEVoh3oyCIv1QfE3AvkBuvOYA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tOkpeUSanlCu73mPmCZkdlzsKrAItQbA1AemEJgZrVD3/awbVG6J26coX1nn7AirzzA3ILmnCgCh +CXSCMsthBO0sNdDfx4gVT6Cs11W+eO9OqDBT0L4EqaPK/QzRXXcinFsg92GoZeOsidLiSZmMkqv cb0G8Knjjy6Yd/agz5g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CBq8V9tNozEnv8P1RwyL4lVr6+OFNwoVinywVPoMQfcGqDFlBStuWb9KLW/u4FpUVQ41F+qTggqR cug9ubtRJecS6G2I7+XLQemrvIzPNesE65y4XHMzRWJ6MXFjaqkdTouPWbwnna8ejO7jy2DHgItA GkHtb2MtbnSgwiDe31AAPaKy1Q2s8Yv1+7HQK0jTCeT31cjBRXGflhaZ7EAr5K/WoQgYaVmoZ5vQ +hcC/AvuuLkyC+iJj6QECrT3YfIa1hQwNeSbJhpHuNy9qNTYJNBET6Pz9cTf4eJnk2VdEif9vtD1 XACp1SfcHr2k3CvR7IE/R6ZBtgIewr7ITq5Zig== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52624) `protect data_block oOtHYrKEhLvCrRvtxdI4rjJWPavgBfRmbNcCW0XIiCpQaAQHxDjbz9UB5Shi+bPo3Rp9uQ87cbET 11WDYPSBCAXFNEMUn4Qei+m51v4GkZ8OMiCtU7vLZDuCM5e7TOm29Gz7zOWpchL3brwDp3EMxfM/ xrQpktHxohc9PjgdN5lw3WQKg1SD0GbRtTpkAJd7fy5urTpfq8zhCr6QNDUOx7lcLH/aWSapQGaF SnaT2whE3dswr4ruqP9a6aaBXG0OJjLoy7zgZ1xeemPzHhfmvCwBw8I7k3vg1oDm12oywhwRRg7E 2b46PIloTnpycV335F9zRFOXi8Ze12Mn/DfW8dhJs6aMWMbO0CnFmkjHHgOTr4V4ZdSZECSr2bmB JDljCSqDYbIHAgd0CobpJN0f03nPdmT1Hf8EI22VL+6Q5eacegRCoPHessfD5hPTiJoPZFgn0ecL PJrhzNyqXYHNCjA6bPiFfTORBIhUwiyTCAzxT8I1ltiVImAJBg84i4LdQSbQhvbPbhDll5khwKId kLem1PKLWp1SXOwaIG4n1PVunekWsmSbAmZVGH9ChdyDhSPwl7XIeskFs34yLvTb07shgYchC10/ IInyJn03CgsXWWVkhdw85X4o0UGBLZHyJDp3w689GSjB4rlpPostI7pehGbIPfasfHxNYDgNhhTR U0WnEpsj6hHAd59bFmp4UIu7sFTaf5aE0+rAbpOuwAvfUcq6DSFPE+daTwgMI/HwRghA+NVSx/DF 58JTiqCqHqZwS9649xxzUsV4/ikgNMfiJ3QQU/8vpJwfwYQ7JMgYMMR11dhSGaczCmrtC6UPDTT+ gmvsVfdwr4igx5C1e7T5fqk30gzOtcmov6UnjFC0swlk4WBB9fF+/vjY6UwAnoLO40bJm9a8Jtx7 Uw5RpSr0TMFQ2bRD7RFc2GtukQDyxNEKUGdeVLQUdD9iD0TXJNcJBN481Ta7ee6GrXFTpYxaG82o kC3AX39MtVxgrP4+viZnWLWw72gmSTPEg6LYKt/7nDC2Ltrp8nOXTO9jeOyoog+A7DE2E6sIvARc glhBApVMbTH3L76mO8UHs70ZwQ9hWSLlSvUjncmjUYthBz25e5I3eB5lTFY+zS8fEUwYx/iwba3X CJbiEeCUzB0I2HiP6yjOuG0uZUNK/PuLaHy0rOpNbGuJLHTHIyDH/J/ZZpFVG98IifGycF0TpF4B ZSazOVPT0wKQag2dMQzf7XBwUltrVr2v+uY7riJ5HMPm96rXP/JFbgQ5vyLLOO9lpwkytxV1IRCN BncxjNeq/aPHzoz4QFH4LOUiWijQ0F1jFD91i3OJWKNRyZ/uFxFCHhZfbN4x7DDoI25SEUIG7Upc YjZ9m+4Sd0wM8AcM2SZIGc0KczNZRtZUd1neXH1dmILOo0oUk/Cz6/pTzqZWgoL68OzKGVaoyhoz dpCaO59YBBNE4O0gOj2eOFLePXSz+OC/vqzGXuDQlrBMv7h5wlDCnVcnv4mmsu25rSc5bgfAKPL1 v/wiSUpEnPxC+S4qJRYbQWPHqkHeODiZf60j2Oy0nflGmbEp/USfkJP8Jc9fNpFdUmz3a9pKqVJZ e4DIlpR7bGn9xYmNPckA3YPY7nfQZrTNi0V6LHsqlpI9AGYegMkj1jwIjgJsc9r7pyx4ey9U77ZU SM17zQjiV2wTxTc9KbaP9QX95/sIWGssfMn7pLK1FFBBz2f5EhyuYcb8OgNwtz9ZNUHVwSONd/hi 7P235wBhsaDcrevHZYNXDaOkGEDGfjtkpcAQbQJOSikQQmN3nW0+VgOnwD28UKVT0TV5sPVNzGOD axmLWVc+XOV9BgBzcAhAjHLRpijCXp94d0mIRKPeAnD5De/6qPvDmLoTFIVNFNyhSvj8+gsCsvJO 6dhrGZUwCrLzc9pf/x+4fuK1L7XhRvxLebzUdTxcspD3lbl9I3hxw31t7M04ErghMieoV3BOHCTp qD8fEb5SwSoBm9MciwGFRhLQnIYKwqWd/GtHNlV4oVTjfR2CnPKZZGmOCVOFOx0xY6LdZXIkSPjr v3vvNwEXo3oQsGa+szg7QyUmCjsEzugnSV6y7mFNNdMEeP4RKwJO+dmH/0J8yYXFO2name8YVupF 7/NMUBJaw5m6V7mUOrLSOchODJ2vHdpbz0AFxHjglNWdVoOzerAIjLH5tyuhZR2es3vzGOI1y7q2 CZndROVMURcFQ8ZYuMqWliTZyeYRQwHsLp3s0wbOsPkDr3psRlJt9aizMk0RqUZ3CY2ii2Nw4Ze1 G0nX3BfW09+oAh1PW+Mw6RfcZARXch/dy9AarI9Cvkj+AVefcnlX7Ns0aZ3vk2/AAqzZXWy/o+zy VKBvkOYo61yz451hUlv37KNybDhbLtgRVE8c25GNsw5xIlx6oBYem2mswisy55lkMuLKnRSk7vvU bc6xK+5s4SHeweEHcwu/O4ngGqfvj6/pLcm7mSqsgjJExlHLE7c3EW1NLjzW1yZPUjmw4oz5haLF BZhYIphIeI+Ox2FH1WX9tjBztTWxwBnp5f7my6RvWiB7ZfBGRRP+5DmyeS4e2vG1jYHfon0GEAxn mnwQ1ObxuzJNMzpuEe5Zsok5Dnv/XlsYlH0bA8Irwr3hu2XIymqVNB2WyG8XWiaAvrmlVBb/ncDX DOqQVOmzIm8vq0RslndCpvr4SBkIX9osUWvFaMSTRC0wvy5F6+hRex1hweH4ijzh6z6PGdVvyCcG vLTb3RBHrXPMRV2KBm41/n6zOy3JbzIzXXPTKMZGTIuyOZMj1sVnY/lzwFStDWRr3+HGfWdynvGN Te6twq5RoOGRvYi3+NupEW0McIYr6zU2GqMmZoAfqYaZ2BapxcTPoied3YSpTrcCEpVs6NTLTVYC fRoO7jb/uzxugwNua2lIcxP5IBnXU8saUSHBs9hx729LjqmIOdJL7bqzttv8ua9zmWlLrRj4kDRO Ro/sYtrsAlbGqZm7ugq1RTA2u8wKR4XRNKS3WSUUuNcVCILHgrGtezHGc2hEm0MNJ9Nkvg0oFynr DUNjrv7S8vxmDKnzGy+9Gu7VZhpK5kpLHhRru0gYc+c64NzO7TFlJkKFh4oQsF7wWCV936VPGcTN ntEjZH1xQ8Jg0FbAq1/flz8347OSZ+PVeHy4i+axzCBrH54K3lR/sMqfyh1z65DZ76dhzo3AC5a4 sR1QPmDs7Lp8Q+qNF72ZazGZt1C9JbVi+7pVEvf4cDu0tRu/soXB8UmI7cM8oFCaCGH1G7Wl6LKf B7X2t8hho8gSgqCH6gKeRMyyc46xxV29G6TxA6nRhHkARTEVODGlWP0qcb5BQj2+XU5WseeL/Uq3 j0/SC/3EPVydfio9a8E5AX52yudzZe2QKQ6OvfPzkRiTpmNx/S0/+z5eEaKtpekQOioseK5mbPOy ZXoK/TnPHkd9Kx4sLYSLRlATn/ccxOgDGr4C068cM8r0Xo6cQcVvYAWMWAskr6XLvSiMftzeiYmM HCJ6zNrXyvJgRdc/DmIw8KVSD79JfnDjZZvIpBqzTD/n2WYgZrSnQcB/0y+tm6klw/6kUCOwMR4+ /TAj/Smkc2KMFuTZppLwoym7kyNw2cEWm6B5PU69G8UPtFQVEe8OSM/YDRoW7Vzz37nJm0Seioin f5HgCn86w+N3ryU+br6Suk2cKGwXKtn07iE3KAU6YUSWjan97GRmmwJo2rVVK0/oKeT2z6xGPotc OMnuUGJ2N6vOEgQLAhhUefv5XXw+yNHubTz5ytyBVdz9IOFh5NDEB/NBBt1pqjXqtotsJfcvrjs5 vSir+H9dB3MdGHSTQx8DoHDEZz6r9rXQUZoDq58qCuLQtun+cw1N5FjQVlxn3ucI/GuXRr8jPet7 PqUricGUpLHglpSwAkf4X9SGvGqYe7CzLGwk3NYnMCU9/oQ/LOavhz8u2fMN4qaR2OidDqK5Nb4J 0NtLLBpPSNlhxk41WcfO/Vxr9sh5DyVpNS7cbamS9Mwo57SKMUe0T5/hdujb96yp9q8S26jartn8 dshA1D6a7jW9gaNfXKYt9lmqVK9SCfm6CUt3rS9chVPuGMqQedAHN4rf1hhvhIdx1bggdgcytEAC tnDhqfHHTsX4fOMc+35KFOK7NDCPq9EIOpWvVZMA2vH+1iLDh3DzBrXeCB6QAdJhvNbeUzWn1/Hb 4uVfjLaiwFmP/YBdSfMZjU47jhF0cnMGFcYW3R4GkFAnoWJaCUcFV2Wrn/pOIlJZ1Zo+9PuqcVY5 3+ge2SXK9aSx1K0MIMq4l381R/0ou+udLYgXCWz7DpVOuT5TXp66VJBxW0+w0aoSn1R9w+qX3GOv utiFHmw0y6Ax7s+q2+STdM2aeJKEmk3bGSvQZF0nhTRzabOtvimWh8lbPPzzGWHV3UAno4ASbeVQ N6w/U4x2VtrZ/w2nyB7LRcTO69I8GfZn4x8KzihStzBuuUcawB6nwgNWb7isNw2XmkrUFWZK14c4 r/R/QKVjGbHj1y69U0DkhKipKswR7Dk/iv9Rb4gMEIT5UkigrltiOnHfWo6KfjmA1PwjmZijSQum n2oBdfFdHCIQMeBcuwg/SBQ5PaH2FQGRPZOr4VZsAm8Lee4k9zRzsaeh7izk5fkzDFiCVhpSkI/w NGcI94PXrC1YvYrw9U6zKwb4jUWkyr5q8vmMPEs+OkH4YUCUDsNnQlpAiLIyF1hfQH6RwZRpZRW/ b7mrkGG2ouVv7WPhCouxNK2t3yBKbbp7rrGnJMR+NJ3VhHOw8JOUooWfFukefq5WqAZmOzWnS71c ryxpIhxR+1S3IczJkCsAQXdM8i0xWM9E30X+tKYO0oBQ4/udv3dfaqftu+kbusadfam14jo5XWeV au4v3QxSV4CaNbb/c4qgJI5nF+zzehGBoNEnF+lHKekP3iqRK3ER8G2TE2YvPiO8MFWOE6PT7SZA q8c2b/emxBVhNpjBFC7nrA4/fSOUY8ZxvyWJvouvOr+wEdN3klEj13UvHmUaT8q/zLIjezJayN6D hC/NDo+cdj+46xLaCviJ/ycoLObGBzvZ8RZGwWGg5SDv7KYXZPlYC7kF7K61Sn0PaG17n9C5LP/I RByO85c4DJqqJbnRG6rVnZroSa9A0Dhionk12gvFrVvLDSS8YVd+Zf28uzoXTfPe7FLj1f2JxCI0 6eCUfnOEt2pitpU1aaKsarCbpKislohCLkJBF8/OYiqtntlkf3W4cJCEyU+DziDUob041FN8OGjl hCIRiDeQMWLXVLzKxLAKUcwn8Bf4Ayi/kPttoa5wzlWfqXlH23smwHRZD3+m4GnYtW901uGSGTEf G8vXZl+3AHGSPzNTc2THGWHgoX+ZIR5YHh+t5ha51zowSxrACqlv47N63H7d5qwYNt+s+m1QxlBl q7aP2kWX7eHOzeDcJHbNzYLc+yusGqRU6SB8O4nnh0miBlqQQPv19YL5+6ySnWEUUQdiRFHJXfXi 8ak53MR4v0RdvQmn5JcpkzMWB8K+NkPaY7Ogi/emHneqrpHYCRMCOs1RUDNGO6Hm4A27dmt04sWz z6bQYVUaOldr6qWQoJx2WPCqObUlSeqbEFvPTrbJcFeyn/LcQbPWxiqLrjRb2locFryWYSWQ+Z4F 5iG8m+WxFSoswql7zfPDSitRNsVHwJvtcNyQ8bzY2HvdSQqCdaOoT6+RQsmFpXHu6d1OF6An7Cen OBOA3ISz+aHx3DFkavFC6T+ZQAVf1CyWqeMvxEm04PoH+GJzgDRntfRPZKvApG8+/9X3FeLGSijg ojDWyxtLhYLp/BkuxF+2JtbMNTOfquvRSY+VyLlCkBbkNX4GXe1zNwwu4J24y5z2secUoYVzgwlm te+xQ+0JF1imGU/GbZkfuSk4YxhIlLgiwmAmfcJTLASoHn/Qc0+mqAPa1KTpSUR5q0ZzWwL4LmIm mBaZ1nUDUneChEquumPxJUIus8Vuh5uQHliWvH5BXOjixaV07AswDctgj0gf3l69du6pVNr/k/x8 N0XMRGCeCDZFBWZLRf1hUIwHgnBqkG/ciomkhYa4+/LweA+ZSVrJLFaJOVF4XLPmm8+YTD8+GCRW 3cbvCwoqSUW6uKBl+c5dBScA3BcJFLwO5gaycJg8WeTyGfMZiUcsYWzT5k6sWikjtbAb3gdauEDs Jqukz3Ikaxbyco/SWESBNf3alWfbSP8Uvs6JXiLGMbJ2ajcyCn2k9EdjxHcV9M36da8MaXIM9dlY 8TqsoGu3NYPGdZ9g2AK69wSuiC/h8e/SpZem26NGhjO3UkDVbzsJNJj/dO1U8h0XyXG/KN+E/sW/ vbaxcbgQNi7tFWYYsqEvwOy7sOMH1tkOhrijxgwKG0yf2ElIAM04q33IYK/NDOF5uHN6FHRLNemt b4NGIFwA11oBOWuuyzLeIah4lLDdXgEW40k/GowtiQFGrBY4FbYyQWF7qtNXWHdHK19aw448a58X 5O228mWFfn0xXPERaQvy9eUTIDDRxFPKr3JsV6aHWms60WH973bUJbv4oYRE3nT0JbbMmbyoqToi cCqt5B6MMx+b0IrfpSNRGzQHKQfuXs6FWtSVbXr63hRiBVHabAYHEXiAlSgzYuVIlsRjfqwXhFxH 7OhiDRe52HK2XdtQAhwFMFWAgiIvuOl2iVmsvLKIRa5QNhNPazr5zHhqAai9tGkQuCxNHCZU/3pD nqhsIiMxUlKB7MWnSSrtnMFAxB1V44IgP9a2YDATFqkngz8+DY+WSWsNI+TVg1VQEAqo7zEjpscU mK4etUzsS69zM5ij/5YLuA0TCQRh4qUViBGYEUgA15i7BYtN2Q+3VBG5s9ozPDWfbr3CSUOUGH7C 59ihDX/U0TuP7aktcwvM5FGvbwxB9lxBX0hispE03F+Jl4Wkjluv3XBy0RrEIi+S9Onqb2wS7LTz uy9yOJrqYZQVhA1m/zPacD66R/D+wea8ZKGJv5H0cbBd/ZbhfSNlbZdpQx24mm13YmJhvUbDFz2H m3JL1pNS0N7+f7OHTFC6TJUn5Mi7aED/3Sp14Sglxg6QlGBex5v5Zj9pCJf180sCE9vGAhA0ZhFZ q5R0T/N4O2e7XaFrXDv3RI2EgbEqfg+hgM+6RxOzy7FKkDj2chbld09otYfNdgsG/0d8M13foP4d n9nij0+zW8LzSyVtE/fjN+hjFmbBaTbtE8YGR3H8TugBP1WIUweEnNBfXaDuSvVCEfhwFIhNnKuG g4ETt7o1xqEAB1BX4dVLOizjtL3x6bdMBR0Ge/mgQ68jOqPYMR1jX+sqQ8+8ZKCIzys6dM0c0K47 x7vA3AVRdFyYiY2MBywUQ+883KRpjb1JpUUFzNcUs2KCohCynYF4GzOILlzDWpTttEDSdeCQ3Xmu qtJCrDz9nZgQR5bnn1WDflbCiKEcvDPrD9+RJEmdverHQMzX5SLkXkFX/yMReGSs317+1iwWTZxG ysCYgJQAGp1vHGQMvpt6q0p3PExToRE56UT9072TbRk9xxZninc26WZJDLEKCnDYkkraUeC63Cpf jZOWcwTzzgdiAmhcsup4KTnYdDAte+mfQYEL/dwdKcQgmfop6btJ2JscXl4kmTYjAYBFzuVRxGTK BmnWfrDVysoKuH7BE1U9+mIKPgR/O+uC40gvjKrYaLVPXqDOTS/Y1B7DTgxWATKN/hkn4FSHBHiU voddO7VIa+jiCtMmT6bMvA5l/JyDE6MZ5odw6R+4ZTS7RWpvRyXch/4Pa8JHuW0ndTPSos7iSm3q 4L/l+t9q3RKm1JEmnPuGSfx/y+fH7QbjGvthI2obohHmRf0c4mcJPI4VKvP+skRLdj8PCBBiMQvp X7jzrcp7nNb5thc8OHs/AG1V4oBClCWLORO8HL7mzV5+QLWZ7zoJNsJZ9LnneICPcfrXnmLMHuQQ KcGpfJSZv69j2N6dM0pWlkK4JcnhIPnOmkvbE1hLig4PA0IlyF6SqXFRvaWFoofvbpdC/gPdn4iZ OpOC6v7iabkuAVrxNvvQoodXpSieCkZcqoVF87GuRsy7I837yOlNFMyB/NbGzLpedRPr7aG7RFdw TnuuFyEZ0nsXAlSFc/wFQnSNEtxOqjXYhTERV2aOmV0Q5SMWGiniXou3NMIcMLYjLFeeNQ8YHzYc RO/LmtN06dCCVeTPAZqGwhFmQ6a6DvaAtc/4br/Ds0fH7Qw7nYHS30QYXxelc7U+Sm/wd7Q7WiKX WZpsb88AwxLXVJ9uOeDD5x4tcFgLipwgMUxR+BR329tEjUZqjovuhyEK9ArNz334wS756idfahah ypgEeLY4AmkVBiXzZHb/n4G5TghpVkqcO3A4jkQ03WJkw/CpJD68Pm6SoF/+QwnJW5r3tcEyC8Cx APs7ZPpXFiQQYf89wb+sSoOTA6AV9ZZa7XHM9Y/Jt+4LlEH7XM61mI2+5HKQbAI422B91r8mvU4H 3YmNdNjBUr7lxmLTWFh/3+6SwV468GrcJO6r8AlE82jxvEqsB5GwRxJ07+GLNZZCr0NRdHrPjJ2V D7UNuB9za2w2mL4pz3f10qkE3NDsSs6tafwICrnBsHPgNvX5HsvaDf7v1vGpP30qxuvDs7/Vi4YJ DM7v59wkr16/fUSo1r0pCQ0Hlyz0M9Ew3ut9g62DWhUeVcdEuiHKhxK31bjQQ7ZdtpS3PTYGtsna AcQo/+W5piX7WzBd1uDgN4TY13M713hJR9Z4IzfdNgMGQYBKbnzUh/DkcNYyJwMuQpLoIpbb2RzT hQY6my378+pMXb2GhbF5uSDN+IpW008gEWdj5k4AfpJQgY7sC7Cg+m0sTKoajXBAOUW9jFyw5eAC vgJEfTh+NTIC9Pc/aEVvEQx+0ZB3C0n+0AtqnVgzNu96bKcBGGGzy/+tgTGHKB8qMRgXfBOo42il xdyWlSW/bx0NdeiRvaf8TY4fR1K6G2G0EDatY2DWVFaLUk15XLzpbrtNMORDrbmmRE+ZWxszW1D1 I7fg4dC1Ann/WNbZRFTz/oTD8qUOQDIS4/saJy3w2H8AW2FsRDzUpGf6rm3W7XbL22dva+QXo0iH VYQtYOr2J0kKSgDkknU2yfmbgIc7DLhZExzZUVG7EkwpfFSJEaYrEyH6YJ8L017hi5gg6SDaYJb4 JrCoj0RULIcXSnmzC0FqXB7KuxfYcU0nhJgpYisb+9XIbo/M6vHuBGi2omd3/e75GhBnFBojYuzh LPXvDllnZvo4ArdFfrgF0CS7tKvkWVHOVCjGyE1rgYxUKUinCk09mSQ9vf4SPIGoG5DXlhDG0RDd U15B1wlHsCH/+MA4qIA0ONfrykREcLfvw0KKmHwf5ovCeOAshkBznJ3kQVzg6PQSE8M403A4UHsF wOs7DbMUDXN5FixnSthAQn288aoa1LCy8yBcXANYxkC4Lf3e0sEQOWv3LvUx6bJMn4cSg04YRtvF TFValDTzdtnbNJ4hg3A0KfdVfKYOTjIH8EDwfjNaJ8uXTzetzCf0EK0ScREf5M3q4cre2TpP75LX QQn022DM+Ee9/oiAh9HupGQqkOrTR448j4VBc5ZfqzrTdaAeuSbfm/buFXcx0P+hX16j1TqB1eag oDI0xb/Lmt8Z3ij9+dA/y4P56KOZKEi+3gJ0d1iJKvWRUPOzUVUUC8v0PRMru5VhpNB6qOrNcsUs zNxFK3UfqbmMgaaZJpOBaF0P8WX5iDBp7C2L8u1NV9ks595WrQiJGYg01rJLEaQU81Elx6suAQOL QZNbkqee/LFajtEqZUeKTQQJuEXaDgjR6sNHcStm8vUaFWywggkWtk9fwGRTCy0slOtjn25YOwY/ J/Nyvsm08/Rq99T9mMrMX+Ndywz4RHLUTkJm/+ooT2nCUJdY8pMF5rbfUbWvQnSwSZ4uQGm2MBDS +0+ofP5XPfuyyXy+4f0t0/VOTEX4wOslHf50Smkq4GuZp6GXByxtxdAUfHQTWMQcK89rq6EX0IoL truFcKCMiiIPv7Bz0YSbk2eUww2mxqUDYNHP5T1TufV6ECN2Y8hbk0cmr9bumHrJHuulUM8BxV9l +pkcmx3eICBXjUNUthnofPQG87fRYHTS8HQFVoWE6gNZM4BGtBxszQ4mBRP0J05B6s5xxcXlROI7 990Kkz8vkwyJb9sRqLjhbIcOk7E3oW2O9qc/p7MuiTLTryLCkhdGLNThbsE5ThPuR7HYKI393Ub+ t5ielgUjVAO4tAZqONc5iA4X3FRJUgQXE30NSe1Lv+CLT6knkeQAxA46XHm4d8MSOkGtQWdOIXzM OIZhOC0ygaOAdczyrtAKIaNTGeM14biMlDI3Mjmidvh+Xc8Dr6ak0TO3ciX1w02BeAqsGNn9L/zw o3FvbPVPQST09+4C5J+yEWMd1Uqil/aib3gLru3WyE+hCXOqbuWRyFNsbjHZJJvGr7abOFigzO3k 8eJsRz/IyZvDzWIjoJON49ocatuCxk/v3kG/UiiWV7mJICCjQFX4Uid6LcgXELab2D3OPql81O7M MLAsrgLLfCk1oXziBSl5xwXy2ik27jdED0MOpzP456yno6tWdTh/wG0eMD9VaqPvtZEIMGK3li2N wdbowPVX5EmHCJN5YPxEfF87/bGsoVkmWeouYtErtV0WGeX71YsTEuWWFbSORbDuHyLdp5EJvl4E mPVZjV7ARVCms3dGmZgwL+zahQsibR8xoM+kGQ5jmzWdcWaxXDfVLVw0yi2N5XR9SgU81OExfJ1h 2TMDXNVYnN5LE/cueW/6e5GW6v0XT6eLNJGkvUXZ/OZLcUlCHnzdM20zS7irTQlAHr/kdCNQDx2R Rsx9X9Ii0mTc01af0tb2dgJ6cpWyyxPwrKvtWxN7hov8A30idyP6scfIGVPUy1UzYE2o4iIcleuP BGdABUNHPRyDrARL5MdEj/LOxktf6WjnIcJ+0ZGuFzSTIKQALXHRbbki8Q6tWiH+CUQ5Ft3CfDJu LIB7pjBaGwl4uQtAAdjCocjU4xfAS0KzQiMZW9g088H1cpnTP/mgzYWD2kQ2GXkSyWPvfgu2+i1n DMYz3ro9XzlvDCYKi3mv/OaWmDmjMGBX2ajj3n5YYQPduWdwenkt3ua6UEL6yq4p3pmZTQuEiPVA V2p5HYqJkaUOq737vYbi2Nfj+Nq1a88WfZnYGyOqq5N4HnNReZgFX4h5obobSiHfvemp4xD/OfuE uGKqQeAJzIMS+R+CyQDJ3ctgEdxpLhPF7O0e6NZ2d9XLdvRQRy3he0K1twJxcLXJbnQuENFcdCZw 9NlVsH4kl474sp8i/6kDlj/FznjQ+c8WXP2832MCyfzHaa/zZx4tAOCrfWnkql6oMRpaAJlZ2m10 E6ttZYvS2qmlrFNPhe25cEb9bvW9/X28nl4h4PeM6EZR2EoXPbSQ5cUsN+hmyzau3eciUUTtUSH9 4nDU3lDLG/lPtO0ybxGDgOU6yKg4gVTS/P1V79YlDvjp3WgtHDjMo+I/HTS7U0FOwZoDLT+y1mjh goyEyk7uINI59ehJyryooVZ9xZfNFWB0zoErhJdJtXIGjwdxvnuGK81fwBWGKPgdr6j39/CPZiOM QqMdXF8sCWTeKGcrH00WwW8/0TroWw8WjKb6sbQEx/nPqxFpizOm6eRqBuEyLHyj93tjoo3c5rjU Gc+QG8+n6S8E+qDFOmXTgwL1KgZldNonSnLp+LW+fisbFTPrAEnG1I3J6qRYxkykqn8nImU1jR5s m8Ei/y2Qa7I6MDTnV1wiJkWW3trg8MIOLZVA7BV7X99Sba1S7rKZEDexiqQJn2mAMiy8p1bDul8p KhvZXdLaPOPgrHQ/8Lnnx2xoeYaUAzu8uOwYGKaasgOpF2mVCOjYWnhHCy8xtc+9VVLtC31KqE0r xhkzGRNfnh0Ik9Hz0QxCd38JuGtt0iVqIgb3HE5Sf7dw1v+TMJfNEPHJaKSwo0Lo2DIOitWoIsnl VGYfe6/KhZo9KnciqWgBoPKiBixu3WP8931bDGiNAz23g67Qjcvn5llrxqbY6ojvrAhSUue/eY3F q2fk93e1i0AYQalX4ZAuyWb5yFYEBgR/7hDukIycr5qCH6mBdcZT+oRtY9uSG454vuCzaywkAUPl AflEgZj3TAnBoW9MbXrsB/OkJ9s3sJ8wGtDI1zJNNlpbKjeswkJPMx2RQbXGu2Z/MmbChXZtTjKo FuNffBR+BUwDvXbG1fRQS1KzEBfl3Q+5dQRXQQtov9x/t/WoEStlm2JXoJyjTPPhQYswFiuMsD4S Yqnpvj5l6vh0GSVMQ8C6bo1jEKSHDVJewLclvYdbtwqhL/MzF8UTxZOWR04puhkDcRhzQIBGhlIh 1ScbW8Z86bvidXp7OjjoU8Dgl3ZwCU/ElmDbcUa23Zj44NDZ8K6r+HuHakWzg77PiYYzooSznCsp YrG12QqeCKeQWTBeuk1iuJDNJzeehv+Zrxtro7IrrFJ2qbsNFje5fol6sK7hTc2Fj04sexCN8TG2 f4UUDT6Sh1dmxyvmUfEa6DAwjWdzgTbK3uRXpCvunjtW6yzNUmgVXpHJior0ZBEhlP+T2F/I5mLx ONlDKu63MaxsO7dPDD5PXvcnFyIcmiDN1QdY6M7C4ApAGJYNdH5jBlhnBiBXQja5XnAZn5l5YBeM ITksldIsI2lSbtP/lqjAUtRExyMY2j3HvZaolRepfU1+mEP90IY3qNhVG8KnUVHNPpqQBkbQ2tnW FoAVPw2/QBRNMfvEOZr9rd5sh6AaaFWnAnLkrNqRRAzIrv78cOz5o+SUETN6rkhP6Uhpc/GvXmvR sV4W4lq95X4DjuQ8guDWOVphXQt52lFi+vjrEg1M4OPB17nYWjwVdAhzk+ucF22RWO0+Awr8gcUv Z80V22T1BEVxJuXyBU3/gCfO9ce4QYea0/fCPEeLR/fhkiW20Lq7UokdeIHk2ksIVNBOk9sdrBv+ Uvvq8sDNXmt1uGauEq1drQkvWdjn7yGcQJYIjX7I0W58UDCd9yQEYZqs8z3RzafIfcEq6lP2e/mf dd8djFp20aFnAAg+W2nCJoKhFnchuKT8mnWt36Pn6IeYs+fPFKp3cnNcaH6I1+bRkrrvZC0VyOv8 kfsw9o1XdBzHHk6daXRB0gNp1lOU5J0rHWNO74QGMIIwevKUq3kuRYxpwaw1LNRmMcjPzZZDQnbp ria2Ol6cPP/xCfx+pgB40AaEbOKuASjrgbtoubY5YbsjHs9DKc/ZIpEsCFrx0ZATz/cse1an3826 hqfGtLvzcYdnqO3p/3F8rFitdzk0xhydGms9HaOz4jIiwQOvmfM+0N/Vy/c0bT/hFl8BiGdu1RNl 1OmYcp+1un3eD45Tqtl00qdGhs+k4ungMy9vXHTGgqOYZiKGfL4OKWPQJ5hceVh6LNQPgkKGXgtp TmyN7nL4FacZXzphhYmrlsre5hkZ0p4gJVQ9n82eLm5Md5ku781F5VIARTRGH5qknrNYFP4bfxfr +93XE3SMHK6+9JP5Rc5m7+l10PmDwNEBLf2nftl7H4tcjElZn6J0MAYYvMC7g9oGRgH6fY6aqn06 ZNz2IA4/ZxWwNw5CtW6N071bVfKB4PgrZ8Grn7McaUnK5XLqlX5ejmcgULnw3jWRWg1V1lo4VVZQ c3j8qNnb/KVoW2UyXMDSibptfSdywo/8vFmXJfK63XiF2398l9Pd2ZGHMs328g4msmWbKf47j401 qjdjHzq/d8MmwvQ38Ml1hkzaqNUMMSTv3MYsS8cKQ/BT/iQC8ttCuWxJumkw5KltpAALnaKkLn+2 u9x70+H7iq8NInvyZiWFy2/UWNGpI6c5iOnCq5vkLCA+skiCQzoMIwmcGvjFwvbJFoVW/V8bzt6B Y0jWO4QCk+bQj7rT8s3mXCttoPjSi7a86vaWQPlH4ylPSMyCoYk8PYHrCQdOzjGQDKjPaAfgoddV XP3oHIphDKei/1ugYabOfiyYZANAuNNNYMwNFvAuJw9Z51tXPCvQKTaUBtjOJx+qUpWDUb3QlHqE 7UrdAMGzdzqu34RW+bnl/BAc/NAKJcI1gYe823P0225pme+9gBfTF3iGbkQiOYyeObMg/QAqpFnJ t9z0qpZJ5sp9hDKtq0BUHPjYV3/efs9JglhAcw5rGYeLerYW42VDgM1THxIu9++6mR1f89hbfH9U XCFbm9qsMSIv0p6Zu019DkSRGzy9heQP2iOWDIc2GclvQzly9B5M2kqVpvUAIw4Q1h9JkpZnM8YS 5/jOeS1VAFTsUEgnrIhn/jIwa4dsUQrtxuCwq2PQEHIa3KYSWo5ragdGdQP2iOks5P6wlwRsN4ou 4x6RJ6bQbj4wuUBqNwO7Jpm0N9t5S/th1kMMLuiTwTfljXCt4q1U+T6332XenzszQr0Ru5jUUGsV d5CdCu4y6kgM5mNuxOJMlKa3YrhbIuR9bl3R9bKEWt++fhhNAd+empnDseDTaHM+Ip/u8iMmMlGA KLDdBomy+4ZZwfypjrt0uH6D9MEByMv7PZTUeKUy+6LtsJHkPxlbUDBd0HkoTyLhHSptxphhJt0z TJ1jI+VzeIqqSB9/dBQi7iJrpGsA1e0LgxMpx+L1zMdrBqndtU9i7GUfOT6fZmL8QzvgtDm5Sdjj 3vdKO9JyvQEQZrCpEeooFuJ+zyhuZLm3Oocc/xAN4YKQKkSzHDdWtoDPfJdXB/wYKl5ReyBs3AyU p3t1YlrhSvkvYAQPr1c0ZUmHk3EmlQFPAqL6N5qYCPDlOO698NKEc99qw35fvEdIiVJJBBW/RNmd M99nQQE6tpVZs+gTdodl32X7FSEvnWxVfUnRFnknTz0HZjaXohz+Gm7bFt76VlbP8WDd6i3LXajF CxMT4kFecy9fKW6EmGao/LK97aYIlHzu/DMx2A7PkrWJWHLNBd486sBvZlXL+NdPiimUZidSOYod oF/iSjofjV/bxZ0Rosd4Y1oBg5AD4RzifWkNjOXasQvfDQV5e1T7mlCXOxffb9tfhitFqjR5RJtC 7ENydM2MVTFZ/ogbLdvI2Slm6xXW2En+U2VsA3oDJOsSMRxBpE1iIEGkBIwbsPSjVUm1mNknkg86 ggBp3pP1f63IjWYIYFVb+CY/M0TABOdjGbSyx0uqJkqpTtXCCzytMWu//0RIn2+af61yCRkHxCmA fetuu2+t4v5WWemJ4vJAZ7dEPEDsis+u6uSDMF9T4z6/YB1WCMCp6s1Mo/KpbB9lPSpHvxaDxL5d QE/A5aABzkfkprvhdDDv6tGM+E4RkS9kZrYB+sAswu3KmoX8Og3EP950LkFVRchBFdBJ2ENe3nml X9LxE2H3nCNfuT+aEDW44jvswQb4AyG5Z7xg5TNwbTi7lfEZmQpKq/JehdoCbuulpqHRcTnrs2p5 RgU8J5WFZJw1eYSOYvBs+USsmxdfHQMoPE8OY5E942/gkQY20xAKQc9PmydnU88iRx+Z27INtKy9 50YFjjdWyYzDJGJ4AIIfFo0uKAdf/B5CzVETHSSDnBN5zbW57rtiGC9YW9IgB4aF2pxuJIPgMX05 h4o86+1dp7gKXfSYqyjVLkyi6dyZJZcYtXWokhL9RfxWp32BDiwVcnUgsQAoxDmegUI9FebA/m5V HePd0KaA3nQ12SYnVFMdglfQdCaFBHqvAGfCisiJWd/j+S/1gYrE5p3j82rD3TTzcverrTkCZXa7 X2TWso17ElUQWf1Ai3yKzcHQorAiKaUh1RstGHz1R2io6BJ2PETr0/x/wmSKq0tykvTJ8DPt2JxW F9pQOEXg5WEQAr+pOecrXnE+nMnbJpdyfQ83EiGPSsncMInB6u6cOv6nXJM5ytLGaoZElwSVYuVy TW1Srq7DLlx9uI1es6Kf2iTS+0KtSufvTxJ8xiquILmMFw0EcuIYiAYEO6n11DD0uKkhDC/C1DQs aZoLMJmlsbvzrt5EdlCY9nHDblpy1hiymXoYxwSf42piJazTXJlIY6Vsj7xUQ/PHuRggBb2G8eDe PcrlKLL7JlbP2hSf+TMpOR1appI6U4Ve8NQTHkfaS2AkuTA5NrGnYgjZiTCrgOV/QY+Xz0kPL50N blJDJQeM/CXFOa2HIO7PMZmzcH3X14kVtINcnlI3bANOu9bJsLMGsNa/4dwK70VLKOCh4ajtig+z onuko8brICjzMoUuP796eVE9j4e1+gJjRoEx9coIl5a44T+uK1FV4GiFyIhp1Wcimv8zLmBcA5lR +bi5mIbmua1q9WuMeSoiLQ2+ur56rk9xO3+3ft5HiALs9k7tRIPhaoetsqSdh5hk7/5JPNh8OHrM EDqMjanyJ6KJExTBl0JVuqXXIL17gYJk3brEfC4sPJks4Mir4ThqfDav7LDUc5W9bskKxd9i2Ng8 dk/KKlvxb9i3fFmcBwN3WJZUF/0CU71gCbzAaLCt+etCVWhVVCXacPzEB1GJcTX9Fkh3TNnBJVvM /VkBTzx4aX07eDRD8NsMa7TkjUlzF82nTZX5D2QWMgUlRUd7yr8kfJyKgPQizlK67E3I4umcXpyQ ZQs194duMerFQciz5p9Gr0U8dHjCOPwi1QelQHZKqtrhDd4trXYB798LKBTPx37K9VZdzJjzB2DM deLPzveo91RWpCDcGGtkBbT6Ugh7J4JQI40dnkUXvA/BuebU4M+LYs87tneE5axvf2bP7EowXS/e yxYy8x+ugW2eV19rZ667M1cCx34BQD2ofdXC+7OYGMGfs5P6lFpdq3IQM6PgOcTKGsLriKYnPhlx RtlYxwIIMtbrlUH4ifYI4WCkyS7UIAi5AIF8qLwzy8pmJ9lc+o53q9uVZNCGKIHF4o2VAGDp/N+p p1Ej8TOmCwSPHqi6Uir6Pc1MDSER/ONvFUBu/SaKe7VQkkonjqQ1Ng8ebNkcRjsk3WOvXrMluzuy 2CBxfzjJZG/6AMjJf/F+jxXWrSTBBm7F+YQGoqRH3LaQe2c3U/rVxOF4R7EYJyiXSTrJGHKXvl9Q 3RhqSTsOfDXZNUsg/zSYodJdqym2yLZO8mRUy4I3cmcYI15Ju6vL1Frc0qjO1bu0VcBQpQe7d8zw GC1lpxRFNDJ83TAi2uB+B/viiA1xJaK/2eE9IymimYRrioDE71uE9nuoRvdW6Je5qtoJ2N6GASZd xuT3c4jMcd/6sDCb9BJHqt+32tnUVa7t9p1w40+VI0bs9gTEsossuH2iaYL942H6U9zOjunEjNIP wkGq7d8rqNfRn0SKZ249nYjfHVblGwcglTEr4rYCz3rAyawVRT+Bh3cOKZu8o98Fe61nrQMN6BRG 2UFknYDuKxlXmxqd/kh+6nQuEctFRwUBQo8MUfHARODUrueITgmC7rZJ0gFNEbct+9vd+rzegH9J beo0+9Vjbiv4z/hflYMiKNhGZ1DpJAaE0Z3QBmpcaqgZYimb9BLkPBCL9q3QRSi/96Y8nEcHxTFZ VE0TLjsuyUGXpErknmX+GGaz4JXfmaGY2CtilINrc1xv7AnpSRHQuM2wN3qlIHHIJvzc3ewwJ3EM f832ZCXtAFMkc6aTORxQtKpo/8hccYZ+wZhoMGMTAq366VLbxoKhNqeE8VWIhMi3H8o+Ar7CWP+6 wg8swbaZIM2PtmYLLLSe+m+uoUDOSdeC0js/ps1XYZDhGPKrN14c6IjBYU+ZSMuii8RZCsT9GXEl qHAalfZPiz4ijdUy0e+On49D/0O72QnN0q3b7N71Gr828ieMU65hrt5daCU0mzXOAZDvN4TH2YjT bz0yGYQHT4SLqA4B2lj536pXJY7iqerMEDkHGdcEHBV2L09MWooe70FLviGdNXzc30NfuZDFxdxK ni6jr1whfYA+ensN3UkGynItYiIN71+NGuPcGQd3bWwqbdEwZsyjhdHtpqyeCR8Hv5Jai0+bFZMW mbPF+5A0dDB7Bla0jMcf/TX9CwtJepE9oC1Gdwmx6x5aUBj7+bqkcXWEpPUszYom22wBRez/KId5 q7Zxr8cF0sfUSgW+OkmhIUhUI/kNFhY9nvulMfcmyjPUBK7CpoDrIaxM1sEyHxhuE4PuOBRk92FH 6ensi3SaAgtyO3EmnX1M5xk1DPj0IoK/lAO866GvOXYfCa1lu3eNRb7GjubPVa8Yapf6luwdAkXh uzyFVU+UFV5fVEzOiB25K/VBoicYqrSGIZfNGlMnvZJBGctnLsEApONiOwMIvKdVVPL6kif/Dkt8 EHMj/wDpB4KPK0WeEodmymzErQTW+nMRwtJCZh3a4SKUFCGYEXDczDT4QLS8cLu/KEgu/U5G3Abq MHA7VXaGcgce1u2YRm/MES1pib0pACDkl44+AgCUlL3MEnFpg5wzdAI33tJR8XZ8cgWeL1vyPJjy T1liohqhmL1StZ/eFXJvYq+vH8gxRBPQsDKEpYZyUruYTmXhGSDdsI48wai8lPVqEFFSP2RJgwFu 8lHKibiRI5JucmSSzP4AP6BJhjP+Dg8wHkpgWwM0qcm9IRtnzTk+M9Gv/GTJd1Y7PJxs4tbIUBvt AsJcCRKH8wAp+sKJS5xTy5+ADRgYMQk+K/T6qHaYqIvOd50tVp2UXi9haANeywCRBNahm60JbfKr uGW0Rfm3QZjhCvQu1XARhzJGtWYhsihEG1iJ2/ZxeE4G/Qoa96SoNvw6MK1K9RC2mkmIbS87ZI/Z ZNnykclxbFST+xvrlSwL1t8pDCiFpnwyBdaeqFjAQJXAIdff3KE9dDG8UnID5Hrt4w2V8sWe1PkL jJTFpkIO8gXcps4fbbtiJZxHwcERtFdbhmkFZAsPi4XUQoA2FfnUH/YXA51m2Utwlncp0EyHx8QW r/36yTOkKmrTMjL8YzL5D9Ig3YT7roB2BtZU8LIOS0LE1/VqG23JneEywxXXhs82dKCHemq3+u08 sHUVDAmBq6cdDj6yhE5T/36IqaYxXmsmqIhdmDQougQSF8I+CsEWqh///JCswfKu0GdjKE3+wanB psb6ARWz04FwscrqFrBfVcszqb55GBIoI4MmHs5S8Ma2L2Ky8u2VJkaqxCCV2lnVEhL6beQoMAd4 D2dysEJf8Fe12YsOpDoN26lTG7PxfRWsndmHfJ5lwLjIVLT3G3w+G3F1ZG+DftIvJuPfqYI6tpLA oQEagyAoZR/ARMssX3AI93Tw9P6JySJpC0hRgkx4JtWNX0biJocxMHCm7lyZnIUqzxLGxhwdJ7Kj Dv3TiEPFNZlFcdC6TWxL4DSth49kH7tEnwMsjPtkNcTvyg1ZhEGt5bdHN3LCB/vdpg68PRKfzKud rjreuwSarvTyXsGCpVGSWfGC9sx/TF6+7mOtox1hteTjBe0KZeNZtNAEFqaUPn4eIJHaPIlLTvel Nc3f4yGQTRiUUPYMEtHqAYakCClDaLjgqXV1E3fNTnC39AxZyN2OdQsFJmODWnpfYCCTptCdJi5h XaULX1XAvmDl2oqYR+mDcDtB9UUGz/tBTlwPX9uD7MdbV7q/22K/j/0P+dJPONq0qpNUvJoUN7rX aNPE1EaPINULYLzi/JYK5YZrOlyE9NfDWn78TxytfLoVd1LUZ+myNCKuvHURDBVbGRbylSqOygQU m4Z6ls02xYp+YwyGx3C13thh+gGSIuaw7SCLxy0BE0PCAfWon6hBOUr4Ub+mM6UGMmxlQSX6bYDf s7wabe8pV9wCDZkMrs1ePc+4hH5G2xKZJh8Vxjq3syFZcbHwQUN2UuWN33S7Io/YXeRyhr18ZhS6 qvi2obSZqCftikWqLGQLxgZ1YWO67d29pnE7fzgnkrgQPYBpPVFXMzEQeGTDo29TyzpjwN+1UBbP d6YLGn4Z55VZfwzvylkL5KbG9v/MqzuyEjxzuDkTtgCWrvcOS8/CdCxZj1Bix/eUyEDNZgrgbsIt 7fOiLJBt+6O7t011+kuWG5KDWF8DCYKsVAqcVYGXWGpOZII2SnPJxw+sm+gxFUMXwRY7hWlypBq/ NlhbrohYZWCOrovrR2y1S2CWILnvcsMYRnFIAk/AqnxBDDmTx7p+p2t+Dq+CGjddcMK9obrs522o TbkCuOhKvz/8+Z1udOl3ScWCvMWV/aEJ/Jz46vDS1f5+WIeHJXAzOmabI2B2v5c0Zh2sni5wjNFE 02DFJcCHQTNZOYWb9jiwT3KFBsX3jUEs5jSrjkZ9imWa/ZR4CynqkomIZaZmUIh5ffYvZcZnJzcs 2RbfcCSZrsdrSTKfzCGo8/NTxCzc+BDKfntll6jrUlK1EA9BfGvgoAwzyQ4vlpKEqObSZdmqNQ31 OwPqZzqxT3vfRKM3kufdONswClrKLTbZYR1HPbfROKZzoNk+bQgeSwHPv0p4gWTYY135G1xOmnF9 nUbP5X2dHhyhaWw1W01xxrwBNauKp3nsWLASiJJpw41U/5PKgf77z094cnzDYO0Hstp3DP0b0TDl 1TzOAQv3J2guOwbuj2Vfomr5RvZFUh+DaFKUEnZ6CmV6jQ2D47tUpr13ssK/EeR1hsj37r+NJ5Ye aBVUqovFNYQIPuVWXiVyjs6Wlh/npPa/uwVhqvU+DBGOmgC969uyqqFJ/inXZgWYBlnHfKycj5ax n3YMtq+rd0UNl7ccM9eYA2XQpIdNoZVdMiUpGl135TBCwWOz07RLcZz6BEMfnAkLUwlCrzAB1eQK Ix/q344eC7pbGtChWuJmKQuYf9uwj0+BZ0uvOUWhDl4Wtucc7/FHrRrpDuUJ8jfhHfA2dO65bFS+ KdGA+Jmqvgk3ArxAi/Pm0tMlcx39L2xGr6+xeTRZUOBqjWs/T8ngfTp3Z8FyOM8vWiArDiRyw1fK nL3O2VIzBpvlir8jHQmszd/HTDQovlV4vM45zheYZxHa8MenzCJewfllfMxlcpEz8AbPH66cv84Z hPrTbcgu3QEhorYig1ReREljf1pEpPBXMa1tEJvwu66HdznTbc42dgXxw261s2RtHknN2uQ6/Sb5 NMoRG4Zyh+7+Mbpp2uuuY6rWrDar3cuK4bM3/YeTwDAubvYgm7xrlqbSTtivk8fiTV+WAeiUfP0O EmAahdXpJQ88S3U2UMsfHqeovBPAV805P/sLcalqUwiP5aZvXUeuzMOqt5kb/0Y3OP4U5tzOrtlv nMl0oQ9EoGfnOTECAKjvdkhsMe1E+dL8ceRNrv7wyXcZHOSyHNFx6Dy3a5tAzApBSlukBPMx11wx 2uBdoIDyPrbtpp3+wSRGq//ENOSnRWhfJBQDHm/7ou86xU38U/BQ6j31Cx0+gx7UaqSJXGIvGsCu ZA9pKtlLGTTfKXbfPRqmxezMzkLwNqiN/oxXuSGQ41UbdZmZu7XVGtqvglUFCFPEWHmtH1eaBkJC SellCP5ueC6PTM4GdBgN9wquhjkQUiG3b6D7jF2Yd2Q4LPT1DG8aYzdb9RPqlZUFmNu6nFpsLgwI cbbZmFz3zsgCFirAlDwb5v67y3gUetqV2i1nhDkdXVrigAFM82Zg4SpKOd6TlfMwbpDx1JQjaap9 NonnkSgs8/zPLeDX+X0nJez3mltk2DCQ1QRZ578avqXMDQpky4TitDrEhjZLO1eZN0ceqH995M4O 5jokV26Wfa+vzHqQoJ74+ZmC+OdXjYA0NnMMYcShR93xnlbPal0RX5MX8/e064ViI2NY3dQokRFV 7aC3PsSjqbxAvVH8NbcWBm2dhQwBxfnceK/7/XXbePr9h0eSMo1iHcAvHWnYc00iJgkS4203TCTN 9vLsrAZalwCT+XECeLDqjduPDvuLfCXhVjGd9s0RuhZCq33mA9FQpVe++2UVdZEIqXOfyLshQWYp eYcjXobl4ZjbqiT4QLYdKQqIG/bRTw4mIf+od2FzBYnqQgbvQh9x0ujWYqqq2bctFwRjFvfpgzkp 5kcqC2nCHjWBfOvfcpNjkXDpi0V/gM+gZJNf0LQ+BpIOJLjax1r6KLFZNWUy4W/ZcfT7+C7ppJtL wm0LtXys/WDQAZrCsDXKdsah3C/nsQy/DuuklfM3hg4VK43BqZQ/bqsHNOIBpODMReLOoJ5gfbof 7beurPfrY2omgiSTV09C6FExHpGfwVYhpSCZ188OLbNtyHaR2EJwkpJL96HVvoomTiCBQDga1gZz 7mcy97AXBQDwgvvo2SElhpsgsRxxqefEg9qSYc9z6Ih6HZjN3uOV7OcrU/QTH5fum5Wr8EU+yJQR XE3WKjvD17q/brcT0tl+UBRuXg5s/MPAJDLWX6IGXChQoN3vEXo6emPv2MWYM/V4UwJ53LP1TMIu JXVF+5uq3zs9Mfi18ybGee9HzkzsZoLvihiknY1FWurwi8bHTIKTVWM2eBGODI2skTcVEM4ID4tb xjB8PWbKJCtvQKR7/yUwHoOZDLsubxjj6pSGDamLOrv3EfFZg4p5COzLRBa8CZxs09UhDCdcWj71 7zIxnH03qgiQoPONyy5SU34+NhS1XWFA0y8jM7xeMCc+PnCE1caYy2NS9ai/ER028GdvRX56Ir0M 9/WQgGMeEdtRGCfg0VLYsPY2kN45mW/dg2ocPksQwsuGGuiQIaMDWRf+FASrTjEOPqlvbLRJKkiV k0dj/i6bQ9srWJvn/cMnVgZmFEHIMek7quxxaa0oa4CkoY16ZYwtDMtQgHZ6PXlSqr1CcRHawbAk x93LrZpFygbAg3KvGVK/yLU72VSw1/EKYVVwcJt3oOCmh1ew8f9N7Dwx3UlyO0dgsVNHWZ9ZPr5M XHSfvX6dDauNRcdHcCJbaEettzAwVxWqp2Jx2UrNi23r1UJnMZnUU7LZeYEwKpBqhox7FVHldCG/ pAqIxNfjjL5MTFu+a+kpHqcAYHyaSdOGUYFcKcAa4RexiTUbnDcy5lPNHtSGJHf9I1ihdwTWVzmf vomo4JSsHI+gRlqfOBO0YL89IfIHntPyy3eIRoFCBolEa7N7vPTdYA5RucUX3Ysep5bupqo11gC/ HwlTz7ulLEb5HH14LcBsjmjk8Oj5KSpYF7RBrAPeN7iTJ5hn1Pc7wJMRLN42ySD+JYP/8J5IjRQz nlrjKKbNkZJVNGbuqZiAtIbfKpLbiGAAC2urinQ9d8czJVZM0uAeruMao0xp3K6rW6jWGuPLw1Ny HHI7TQTSd9Pu7VV58TY30AJqOY2I13XyWw/lyeAJQbJ+S7Xsw/cub/0KqOxfjhunrgikBNpph/6e iuH2TCRAYfJIP5CwY0mlzn46/EzczR0v7HC8oha+hUh0hUJ1SKOzr+jMxiL2bFWmzgxkgMhgjBfU 7uhnbKvxI5ZguQ01eR2nTEJv3phCjdkptHlbnpbQ9dDxho3jlqnWI8+/mAgOvxyE0KA58HBOB6tI IW0sxCnxZWO89KpBUp204Fyv74wIygKRPtjix9g/DX0Ho+Nrkh60Zfej6vM/0r2rBFraZEXaZGvF 8n3x9jHYz58QYbZVOkXePrY3lT1g/eliIDWHxfTLcLGE5x0//6gj5Y0aemHacfSnX1dMeF/vF0+7 mBaxjhZw91E2OMPddF3n22f0WvDQm3TkqZ6I7fvu/eaJsSJFQYFrVVxW6y774H9g2OsSjefPQZri LAqUnNaKhcwNj8cFnDumsYu45FUsDVnP0KzmkPJnE/GIhkZeVi6ixRMGlzmatnea40FANnGh+VoU 4flcKKuA0QADD64gDD8E6hKm2lCQNRb/v24hLKFxBjqAmmkf5nrRcpZcluxEY+b4MdNKIZZgYHyK sAcsCXwJAqdXmdjM38F+UVgHosSYP8RSYAf+gTxa6RXEuE7ZMz+sM8LfroAjhs0e9aOhHAWT7k/F 4ud/ojxkv8gaFSIO+gcin15GPCBFitLgbGmGPAWTrYZW/QPD+r559hTjqMIDgckB8/JiPbwH3vnk LwO1cDI7edrzk8Bvzlk2ivH5CHsTtXKAL9kriWadMCoIZoE2ndU0V8jyNekltOW4qICOBdrOfVF1 pEzoo1Fv2VBMK/M9yAEnnZI3wqPKWl8rSxsSqsQ98+VK4RVRmGdWhwBT8XrZppRbEdywzmqKPxTE /F3S3x0qsKYQ75EYTl2TrErpiknTS4LtCMZv1vhqGBxSrt1r7sriiexjfU7Bo3FxH58wV+pwcqI+ Ks9+LYe2Ngb1fvQDtWpH10QMCbnZPKxVhU4fBqW8nP83tVy4rRDpPaLTBBDIQNwgFiU+YMpDGL0R 8ataBfTrdJjN/mNIls2yKS23dFl+b81W68Z84TqIbI7qSnyay6waQ4c8TYnmnKSO2X7aIZ3arN0b 3vpsl0dXbh4FyozIgKASFAzgyGHve4GIUr1LmUhPWzjPu/NcEZc/YQtOAgwmLMMNy0m+s6jXVm72 wmhosK1MeTuQfIlT5XGIpAi6tpDr5jfE+Uz+OGjvV2vdVeO2qQzmqQ1nHbpTcqEgSHF6ibcmwVZn sSD5CTBCy/sjYGE8RAZxFq2DlmEWNDrgPJ1fGaNk0N8eTHxCmPGylOtU+4xjmoyGvV0J6jEUmK2t wdFuH1lGGkWiN9gmv+WNAAcYzpwFDHsm5UpNDThb7wj/5s9MwL0pTyNmCIurxmIyI6PI9ozipXcz 4ZoFvh2qmVogEeicZhARaSCFtA3FkUXDEpjBXS0JuNqTz7r57aDARTfhEqD1+APiI5uxdaByzK59 GQpw7XjY+7GSrliqlFkcZgZ2Z1xF3EkPjO0R5t+VTKHs8/h6MgMQqQAWxIQfE0XYTrvVf/hMSKjN fVKR8YQ6HUU14hICYqruwUTP+4DlC+F1FQex9sSWOJf08xTIxtzt/1UEtrBOpzB1bb6ynQF71nhE wl/Bxsx5Rh+F5wGu0RNyNtr0dffnre4/EGag5y8mNNZJya6CNrxl1XdSRjJsqLGwGaZm4MofZ0s9 r3lS4qsktitqKcoqIugGRkd1dIJj6kYRUEHB1QXKltfVwfIXlI0HTnbMwUiO4L5cihQzWRLA0IFJ VQKZLyy5BgyC46+8aSQifaaNlm0pWiG/t9nfDSAZ8/Pe04wRUcNSSvsArqnC4E6uXYBKHWtFgoRH zfWazOI00rVTo3+RHKL+aT21OC9xXYVMTqrqKaCDruK3Gf13XVMwv626jbKO3W7ZfopPA79GjVBc mobYt1OCFJMJ7AktgrBszsihLe63Gg/CA12gUGgxBvUS3uAIOIwFcZcfetSv6DWiw88xhqsXFyBo K+ADw8Q4/ME+5BSOp6A6j8ztU/cFb4NnwWTsxNl3RrKei4BvRI6pt4zO1F++6Wrg1zKPGqC1910X LoUUhwBHH/ZsJRiOtSJP1OXZSyxRan4PsTLRNWEztM4BCbQFECWeLNlpOYXaU/6di3yIQRkaDWyp FvJmSPjSZ57Ef+wWGYrJpnRKFIyoyumvKmj6Ft6oantSE0z5BQVrLt9Mk8teYgNd+Gqw0Z2JxsbQ nq4wcHtf+DjlZD+UhyKMVDkHMckO0peQEnurf4urPtnYJZag1MX92+XLtd/hHwuql2g8weHeUfcg xcEV51efQds9Nr6tCw0ot/lN89R0cdT6AeM/uzuHVROPzCdl/fQS0xAkwifPM8TGk2HSrWSAZH/e EI5WanEEGAQtMC7PL3eem5zAYbCJCfmdxSUGv4oBpceZP0E2wd6fTOhmGJ083DcCC9N/EjlTj39o HVmBvEAO3xfHbnPQC/Beigsc2fWhdC13PLCOgbxJF+1RHL9qSNqbrtSwqPGJTHZmTl+ySgz+QhAr ETHyfDQU2e0cOhazunqfO2Tz3QiXX8EfxZ3KtpySzfGahihU2AxTPy9Z2G6a70sFryXnfh5nrhDh bNXQ+G/JqF6c/7vlQVS8l1sdSZSyLtOcRxJnE9x9Ctvc67DH9l8TMDECSq2Ozli4OQnTZTsl1oSg Y8SIMpwmq0f44PjrnsaXz4o7asjpO728kGEIvXFxCNtFs96Nyb4NIlN+xH4dibA5g1XVysa6fZhW fcTvROVbSIAlR6YYc1q0pSTiSGuj8WXBIVLmKoNv4LtPb5t6tonBMLaT6WjPD8jREByLZgStHU3f e+gGoghsZ6oytiSLWgg67GBo3VBL/nvI8MYyBuQGNzGbdTHKiNnIvN+O9N5DIy7TaaEf9v1oQtkS 354zK5rYtDxdSySBC8AoQRDoHMKq5R2lGAgUuSxuG/rEdFpYXyA+56u9+vXi1xt3Ra6FJVI6yB/+ kXIo7ale0Twl4vCgWdbwedPgCRY309cML3WaSHPgPk8m3C0Lc6qTOIWfKQLzG4gWcqN9eLZYYhWn 2nNxasKHDce/StFeGlfe+RznKaMabWo6h0bUMYJkM0ogi8kVYtkHmPLSyj23YvvA/1kgKqmG/M4A YcxixRbWSCfkR6+h+ni2QKPTQF+4lHjijwUxsf4gHvYfGtIsuMN+xu/yhi+nLXxJu+aZs5+s5+VD SMLw9EiOhWAtHU7p5e0cn/IHBdyYz7CIJR+CftzXtjAEEy9KEv5JZKbBf1OGsS/xlOnURwCyd2Qq fOheV9qWhBNQ+S/4UyAc/z1qDy3lS3KBkPJrE0amO8sLRcYqCcV/+JHexTS982LKn3ghyYHroWzX XmIg1NZyLpiaD+18vHY+mPyZCe1yFm7EdhERb2tlSHMrBY96UU4RXZpD1K4FboGgsXjfYs5KkiHD eTZ54xUOJspcI5ZGUYPnsgJf6SdUFIR/xEvAfpwtx5XCHeBnq7dKVuFuMM3yuheFrmsMrKvmI34Q gc9LWAAqk05/Apdm46jo3Xy4PLd92MevdUrPmfFNPdrO+RhrpD20jW3fjvUMt4KLvBqGJIMZ6nBW g/ci2a404yb9wNu7aYTKap4AqO4puVu7mOtQCkD7u7rTgwYktRCtA0lI4XiZzS/3LZAQkEIvU8GD ymdnozr+oABx80jvEfWd0/awa8BclRRCTAwgqqnb6xyKD0z44HOZCfhTw07XuCmaIAyy+zxZTyqS BiSWukL9ihUgHLvbzY98E3F2Q0TWQrwDhoJK2MeRHzabX7tItiZd9sXzNvCgyIB/aenKhhSVuvpW btYyT07naUVxwzg6IBDkrUkbL/MM8kR1x1iS8f70kr9rMxhHbNc9NaD2RNOu4lEx6nALGRXEd7at dOPCQF833o5LtWvnYb+kaapZwrnih3/Ods3YROMZqHmw47FwCOzclc+RpcDIFpTXXnAOakcKUCbM CsGodPdqvo2y3WllmOjKT1d2rTklKjJ+Eaub/MwivbaXl3iwb/WL6+wWFwLxFLviozKRzBnyrooq ow1U7oUUM8OKzRldUBXVUfK6GeMulU6wwA2U2UMU6875X4ezISjX+Up8GI+U2Y92xHZni0cl+++3 D7t6QzwAXyPi67cTFQO9WdExmr8AKggnJhjzOer7EdMC6lMDYFgQvkHAppqpH12QIUeSvKY2LDy6 RvumnaucjnmyKDhcSuZ8jpXEeU6bv8s1qdVs68q4PU8/RDRg6CmqmEY8V+LIWmbgbZ10ch5J/Sk+ b92oZi9wfCBw1z1Oh0nwvCGBUFQFXrHdy5AUn4yk3acWzguirJ5Lfb62OGza+i8nR0giXA0q9PPs vr8smW/cJAk+mry3hpWtoSkAgWgrPSKy1CDavzJRA0b9F4KxyRAA8kTlZmCpag7Odlf+LbwtCCo/ 8XGpXSuuo/vyTWv8BK6EjcgkK1FRZDBU6KaMgqOC2nioTuoANg0B5IvU6kFszdoxx9ML46FapEX3 fob3MuHo7RSAlE/bXRUP5ietVjRCayUUjHQLTrzZ29CWUhA3VADdm969lC3b1cQvNl35COV2yceZ I7vLDB4fWrpYl0icli2yz3UmhgF4Z/sxPRhxwpNUWSCGqlyB1HTO1xpoobOVOjPTviYqRHaTfMiy m9jbvfkdDUDZEbHdnhx6C2TOOWwE8BNacIvyS3OtuikMYqsAqOxRru7aYPsR5MwHlLK0Yts5xrVI 7N78rh0KWbsmfG6iFv2jDL6k14bhSIa1lh9Ozi6Lhhcf2kE2RLrjhFV3Kp8ZcpeKV5OgUUlmYEoo QiRfOLxEqgS1IYOqQ353bonrzrLkJPSNPLKs4QFeynVm/hsnUOvw+KTcSjxC21mb+8GEF8XGlYvd X7BZDVMR4oTAkt50kvKXgmnZexk/UjTfjFgn4cmNUKZb8rrmdFtRGcG+psVGPEAxfR94TO6OBBKa PzTfIJeqAvrXEormO/yQxe/DQx/qMdj+c0tbIHa2/00ZTaRimNcfHHSvVe9G0BfQo6m94UNG+RV0 aLzqSqjNQ0q7v/j3tew5XF+ZJ77pnqkZ3fAHq1/KXBX+QuC+zRt4K0XbVLL2FmRuwEGtG4YU3OfQ 8MqXtjGT40lJ5HMm7L+GAK/Chc/HcDzGjKsADfdzbjE3nn/F9AV3L1vIO+Yadr3cmnESXrPbuK2c iAfLFlMlciEvUzcfhBdJKQ10fXfDC/TCLaR23qF/YtGreSkfuw0ym9POG8VFuyuNB4HJIdAC950J 9VlypRgu/4f3JvE59RcDLXU6jFWRB7sFf993ahgXZP+SuhdSrka6lMGm/e3+A4sF824kCPi5l/os m5sefpIOHqOy5m8ToaORJM1vYJrYw+RU5D4GgguoYlULRaPM0i6uMLN1oDrVAKxbsxleZbaD8dp0 aBn9hKvTi9pY1drOQRAMc7BkEzTO/fY3hB6PAqwCTMEKyQ+PecyweDG9jI78ueSiffXIYvNVlDL1 sOWxNTzF60hX6Dc4Omj5mXR5TIBm+8SJXmxaDiG6q8/2LFZwjmIGMBxviWaoi/Fc7xpP0YHKRu3R ukd0oiC002eP+vrgz1sbh7HLqYH/SWvEbYlfdhXxJnpTPPTPSNJEviaZoBImFUsnSmQ7SGbr48Dk VZDIrrRVBYQpGb0dgVE74VXiJ5j3hex/PFYciJ09TdK3wuJ9QWprgloO5HJiU+sN4hF4TN+QzgQG 3AWr/ShjoVBpj0OZzVqUy3FH1ia1KlmWPMoK9a8Nt+L2obcVPN7I7xS5JH2dTYeABn4f+zAKhzsz KUstrv2L74Wdk8yxfhS9HyLb3Ybvs0+bUFf5mDt2/UuMhReMR4QQfr0utuigsKnSK50YQpUQM5E4 5LgqobeDzH3CMxJaqLlM7LXXuPqMZBYDSR5EoB5pFUkvM6KBBV1QtAQauZrYQbjI3r6xTH0QE21Q DhylvOfecxWTC0bz3E7is7/KdVVFDfYGapZwl9eqH0lgMFvnDN/Ove/65Z1qKQ9VbvtCC4LBTVPa 7f+VNakqVxDH9g8fTNXdbebUnp9GtegDV3QDe+JPLvq/rl/uaAdqmt0JLS2UVjtXRjuCGqCEhFX4 WH94/eAFlp9xIeCFkyHiHKtMeRRqHu/472157qKM/RqFLHsdpCnmIOLmQj0yMGqXXratTku+XzIP mc/XXgSH+iLFmr21oEoE039wXLKqt1DXCLreoEHyJEejtkyvn+iojRSLad4qvNA3rPl7BPJzyJG/ XuwSOAzTymcToBHVDaRGN/94NrNUAGfv1GO6rJX1+PwB7U/gSdNp1rWYLOt85+vHNmoZGyWUQc5k axDz2GURYj5RHFDyklPqk9kHR9OhNktTea13sIProzWb0RiOrFEACttBANE7g0Anh9Q+izRecMK8 oCz4gTVzumwpYgqNDFl8zRdfwm5k29fLoKp2d8RlweuLzWSQjBKLxWS5CJGOU8HPGTi7FoXgWR8x HRgG5i+gtCMHvF6xQ/PyC1Ux1wBwMeZVaxzuvOnXQ0MIAIeE0+SBuBKQ0s6g9aWqmDp+houp65O0 A+K1wSobDZiveOITdvFewNBkr4/QqS0x4WkxJ+UZiSx0vasmI78tClsZhZeNmwTgML/RLZbOoMYr lg1roAn3y5k8mL6dhzjA15LrAgHNG3UwUAo+Ih0tw0RBBfne5giwiRE59xZ5xXhHxF0yXU84DrcI SAlK5+TTaZ7JDUP2wnkTUqwmuxkyB1ejHERlCyoXPBxb1BHVOTdJ9eD6u7Z8Ef9LJSKT/RuPReJj x0NWrVLRrw+wesKVLODf6a/+Wo58AtGmSe08By6kYHmX5XdPZqkkVeWBDs/ziOMjCkp5HMYZ1Ls/ iBbVAk+Qhn4lfsqw2w90ex32sKZNO6oMjY4y/Rktiv8NRz68TZL8tI5XHYdM+54B9SXe9lpvzYyB FBNsyxJ+ZVMAIO+hrApbpmK6BXwXMbf0NEc+9dM2FG6sBbqQS0C7kDBzfD0P667PNQKl/5GLbNIV nN9gxDdYmzGKWR6u9Md2Lc3WrEQMWCTfiKljqQCwWmvE5xATRO/XBZjWGjNSnrEufcpsD/Pk+TCO /veOifkrIt/5bS7VtmW4FHG5uxIi0g0QpYm7qevWKMTB/zxL4eCPFLe0ju+iNhTYaIR1XR/ww6mu tj+ogdCskmcpiWUbYBpfG1aIgsIPqGhhJQ4SxfoNKRuKejyAzMKrGvvzOKUMbUjbBsW0pDjEebLJ qwAjsOWBGzWuxVzOhDmDsSxA/rO/RU9vK5G+Sej3DZ08ebchioTE2wzJD3GsmPvGfm8CK1ouczHH QEMSd0r7TB4caE0lPsOaUW3mi1y3yI3CPLZIiFVCoUI9qMoHRbQMrgyIK88/u1AkBWqpT6rKQ0km XZqraK+wnGUv38ox/COLJ+ZPcedEGhxXcxt8e3aoT1UAFNYLdXzoYbBszAX3qUuZf5TGl+uvinPR Ar0p8/uZDEr2sKo6Rkg9pg18L+8DAD3dLqpThUJihwxtmgsA3gKSMtVDlG8OiesB3v5C2Lr0Xmkn LofPyuSR0kuQq5RjsfAqAUAvwMz8BIkHvKlWpZxEV7HlTA+IUG9bwEZXT1xYJSNcIhu5kX7Ic92I 0DE/BgB6cv3g3TulsjCT2Qz7ov526NUGrCyWtdJvVqc4FmmmfnCbjs9vQilFki5lrGh8T1C2ulJn RC+1GqreJdAEhjw/JmE9EY62Fcg7MAW1q1OPqcvlomAvIZh2+g1Uz5rbwmmz9QfXthB0AAQNihdW nEgrb45o5pAswORDufeBYf6PlHpuZDkjfrYSm83zSQQNwq1oCzcKc6hVUjaenu6XpWdyU0XZjTaq WICIgjM7W/msNA7Qz/9weauMy23W+SFNER059dbrLWYBz6YnVKLnqcx8Flsnkdu4zU2cp47p+dfK 7ZQWyVPrKnmr6M3zrRH5vjoru+Ldb/CGH+YO0C9vbqTy0ZmvVqnS6X0uN/b4dXZgJGk9c6zpOyrg bCNjTX7kSzf9T3kvZeGyEO/GkI9cOStktmsKCOx3eH93759knmYiMsIvygYIt+b6H59iKTYKBiQI 4Qh6nZsohCt90onbvp/2se0TfVp3m9RBmIY2lizj9lndQpzHc8UTbpgrm1cGp0LYGPiKunWhzuwK KpKDPyE3PuD4OCSuIrkyJgHcioleNO8u3E2ih+36gjIAXKoSZA8EPkq74Hkzw5JickkkhqsBYPtm b5UVSSgKsjhHvVQvDS9suSRBR3g6rUhMcdG0LY1FzgSi3Arbcs7uU1P0ro1/3i+PLJe4yZef7q8b s51xNmynnTd71Bd0X3M+QlkqyBbGrV2Soy1Nn4dBmZgBwoAjFf3SfZva885keaZaRGXEgTmmyqaq /iJkCwA1eYxcA36GFRBWa3PbQnAnSsVavImHtWgGm4hVLYY3TjE+9pbfLpY0lP+jMfzFtkli7A2a amXwdjJpsAW6wN9dmQTJP+i/RPfF1Iu7ObVGpYL75B4N4cf2i+/9uBJT3ZqYyboFDBVEt/zElehY j37ITph/bBL6AV8NRxMvCse6vOm8+kXH9zmploX5xteez9DD66m+O8RYz9tVfrlk2MgW2TeVLJwE 5VDIsxOd/h8tBU/JyLty/0C+rDRDtoCrBAeVS4Otp9LjgwLZeedYWDEDljQd9sD6Cj5aRIg6NxFN gVDAW9SvAIhBrdsG6rM4Qf+3GUhrukZdpGpt8fXfrYijHitun4PA+6sVEOM6J10dui/CoPpF6JAm Hgc9ScXA1nH0EQdCnro7Ja1NL+gpO6nxqptNLKZnTKd14QQ3EbJO7wTBB2Rz8ZWh+tSMBdaxB408 TE+WMZt8fGrSxsGzTfPKlRkHWgLO0nTy51rTB5+giJiWi59EIgcopX2vYC/KilIMcnYOwcawzKon gk2sJ+dvAVQZxXbGJZ9V3fcerqW4pX76ABXE/2J9DNKiGXXH32nB+jk6HiFjwJG3dzD3usOcig41 Madhsl2aCUXhRSH5H24RcpWg4ATc5ATv89csZ3HxVDWJM4fHre22WDG3pet62xBqEy0a/J9bIrUY cOiBoGsNgvpLlXXpA5KvLBTvcS/esF07rIWlsTwoG5ED4ql9muw+0g2JHbIKW2PLSDb2Z/xSf1Oz /08uU1ThdA8MIQWF9ua+6mbrlhsKJUAf+j7KC8cW2rZ5ixGKBCFvNuknjO8YTr8ZZfkR9++tgrHF Xnn9XygD3ALiDOCHou7g0dTtLd5s7NC5i1K1xZ3B6xUvsdT0R8al0EIWHmk6qnLt7mtp26L6ruNV 2ey3L+/MB7P0oP2x5ZH7QXkjLNuJ3HsKCaFHl5/OEIULfmY4nV2qqph1KJOv1LHXTc5w3AdReKtS 8rv/nV321cUgMm0KLBlT1YTPYvgPFBRBuxVEBQPP45WM5/Jjvota4l0Y4rFbrViRH0mRDMOrg2QQ dpAEB945MDgzNfflVYQuLuMmM8qlSdxHJJgzBAcrMIxR0i4lL5uDV4TfGBaCOXe+yDdKoU1QuXlr zwWNOwmjd5ANMHA/o1eMMYP6xurpU6jDdL/rO/+CvvuikLMJAQILSxt0pp6I2E3SdhU9oNggsQT2 Q3btpmxHCk/IBzaAwfwv9RHHLT9w02BE6ouUOM4NDWxc9F3t/tsQoRMhTgcNNjn6QOU9Ck6fMTzb fFY5j3JXqfdBGvjNKhq6GxRyiApF4fB6tqt99ER0kVaVScGJPkbgV9/Gzm2IDnlwkWnx55DwIXFS urtBNPHIB1w0Nn/bWA5cCNLpN0L/lw1u22lDRkuzobsEGjepkG8w2yj2n2XemTRmgQIMULTPOjZc k4f6BWe2EViTBZBSpoEw+81zrU2H2eevhieMTodcMWjNnNvXsZRciSSwKFXiz9qu7IixNVAjO2mp zLeKuNgojv/ikt9nmnJnabUsM2HOOn+Q4jw4AIfCngF6OsnbYkpCEt+6x2eOYubRpD9dd13BiWA3 HKlXSGC0uTSQGpO+CJ24e3G1W+jdwp0SDP0xLmU45JDRJVryH4NKyLITHMeSG5nrZnn1dV5+P/hw Y1BpZAG2GA6vTUJHCR7FYXnRmBhDJTOHWrlBqOXLoH44nMqfMbaaTGYhBALV+u90xwEyzn8M+4Gw 8sMTqn7GjI2fj8BN6eXPZ8XmwEbup6o//9rSMPlJgVLBHYFWRPNmCWYeV4b8ptWVMbYoOsJ7dCqE 5kqgYoa9Jm5/oEeNKSqskD4TIZZs05rseiKhjzKgOs/mqVr2Q6K+/kU/+M+ZfwL8T5QQKAMMJozq wAzqP/0ftPXUnQwGzy7y4yD0Jca8Q0NVKJnQudHIaTojCwyFJoviIO2LlUnYHm56unHot6hT/Piy n1rsWN/DkDLKwWYdrxthd4ajhIQp7khgosBSXWHK3myQogLNOxzagitRhruK9M3wzxZNjrhqTHQy Bf/kXXZLNYOWAwHUn4IDmqSCPewn0NQGjryNBIsChWBdOlDRMnSO9KcAAkSTvJoI5FSUbuiUiJ2W V7p77Lw0vBN3GAJThtCFKTWQNVdx+xCFsOhkEjKaB2hfxeXPV50Hb8GysQiS1D2Aw8NP5Wf6PVeg IE955mQLXtbc3S89z4Kly0U/VBUyjVHvSIEH7zagmlma/zL4kmOcMdkeS62HXdrYE0zPLd43WeLy xRbUZliPcwYkJQS8rFwD5nYRbtCJI4myXJd+opw/AN5EE9BeF5YgETKF+7lSVfHUh0IBULs2kvtQ z4bctEX8K+yH4toTjFqElZPvXX/2n5vMGUukTKhhT46FI7aa8DnrTame364zGuIEZFWfHsWObqgJ jWyJxq9B44E5OVp+3LU+jHBrEJ13LVYnFpQpIBCrVKO9MO3klYTQ2sm2BLcMmzczD/5V3cdxzZ41 +cnaI2X41rm7YZvaDHLRGxiFHPjfDZTlLN+Ob6AQO5hQ6BVycOhF4fzfrdP3b41LBoh6KAFxg6ky wRr9l2utI39t5t1Z8ktciohCokwwvUmCRpUK3QP4aJ/FPOIQKux6DIrId0da/4IiPKPqbxG0aFy4 RFMrfDjDmfWSyL45g0JK1hZe6HvD09b8GC0DJbBS4T4bNmNrib63wv4h0mgpGm82c+pDKSdXGEo3 l56WOmDHInh9To43pTuqwPAIFxtdHCrqKRk3+rSrVNyjWQIwn4Ju7mSCV/Y6zlbR9yMohVmx4xhb GZE6LmKesIOS+sWwoXwJWf0KKgDrBBq/6gV1nRHD5PX9p9nIQ6ANJq24cvORGOdS2V3Zsg7nLT+P p74gGBic8fP9074Rd0PEi3m2VC9TCgNcuCxrBHe4HcY8Txza3wp7TYtWEUHSLiSA85o/4UBv+mId /TvTVZtBpgf/JmjXyr6yW/PjEe2ZI9UjlJYL1E4lonb4BZKluOtht1jRLdZrd20k3MXY3ukiL6/U Vqn9ONfMZN0ATAGyMmZQ2JC9dl8XSxW0R9Zi3P4eZRORKHPLR8iDLvAMvmly6prUKH8zC+jW8DpA l8bfZqQ6HlXtJTK5fP0KEAt4Cp8qws0AGpdJ9Vbc0/aB1NH1g13VqFxC5LezDiJ1lZWmlECazLn3 s+eObyHfSfQqG8MvlPEeRQgHym5+teYJ1YvyG6+dr48K8ysFxL+us6M+fudpBCjH61y2PtRDQnid 73PXK37ZIrw5URhrnwq0Nzkq+mWkG6gmkQ7nVMkgD2bwJ5Ra5ZTUgixlWQts8yR1WYHeup7Clz33 jkk1SRislhzK9sZtLFmaint8+qhLh9Vc+jxj138H8IK74iG0UzcNE8UzgsGoktrfoZVidsfF2IYu c5E4Oy71rkLr2qK4hOujIUwVS2bVNISbdpdvlFz6ehyDdWj+OPLZmtQ27VteS2r639oogddcSiAz wGTJqxrQDp+N9Spq4s15Mwax/t5SzSrPofeOgWA/eykVjZRfq+fjdOb+fT8OWcRJXkHh/e8DWtXt NzqxOOAHpSmrhhCZWmnI/I49chCL0NOG7n8WOaxNWWZlkF33L8uQlrfCISEAZv7p/x4MLQ1L6Vf0 WPyNJQOtCzRF0D+P7ASi7RoiM8KgKAihbAviRMPTE2Yo0RSQ8zRCya6bd7Gszn3rzF5MkdxfnMkA MdY4ooqjbmAD5ad9c8utJOS8UWu+pMNpl9DcG6F8BfFvvlb8drOT0mHYDWwYBEjWQEgqGVfLdPMB llLylDtpQ4hWVTqmql1k2+IgwVUbe0Ga++20U5uTJqLs0L6I5tDiqlShurfRXhrXoyjxQtRsLTlA XzCGjZgD/uRZcRUI/HK4fNhy9HRhcO+HukE95o/bz2OAfIfuHISCF//wE8PpuV9QN1KEPB4J4Lij V8JWvYvCN2lWoTwPSzXUO0p4i4qWpqVJYuSzXe+dzSNFyk3no9ffVCnrg2UCtXWJTXy815ptfrY8 DxpcUtky7b6678PN0OVmV3np/7Xgu0fgu7zz0R7bj1wHp7lv2Mw/WwmQpI4ejtrGpUNIZDDE+esV ZHhsLI9u2ypcCl4JbuvMtmgTHgg2IzNsCczWEGzIYXwO09NLeuwJEYrSoYIgELey28rUajt1MAfZ p1vKBgU8Jxn8nhH3L3zyTXw1NDBVgW96CzrYG9SsaqLtoWchcgK+iN0FdMMLcwBPQ3Dwy7G8WUb/ 6+EDpKJI8q8xLRDzlnKfrf1ciIciS7FDvAMOvwAfbsrC7WlC+wM3rG0KcasvMPMYUrmkpuCFKe1o TDvXhVu+JR1JAQiwCJ4CoaeVvDOQNFCEPutyiENpTiswNktUhN59oNjMKJAQ4b6rx5n50zXpO5Sr dmmOTbODDosWkHhyJ8nVbIL7DM4YrVeyQruc7RRLqrKGVvK9isUC3sMICdV/UVo8gYepFA1B1OuW S5sT5h1umqLsqm0D2s9Nh1qBafslt9RebkHc7suIxnbvAKigfSD2KAyt9vZlKYPZ2pTUypXcPKP4 Ygqg4RjqLZgeFXgtAEYQMsReVOdfq033vw1lJwu/nC5jz9aVwQJmiQhipZeLZFxMdDgKmSyypgB0 +u32jyJJ9kbFDO3VM5rYaBW5e5OP56e/WFSekOYK/u6EGHvFhvGWhZ2kKtUM3qiuUWMi/koVKWal TM8sw4c2L4u/DuyH2uKcqiqvD/U91WvXl4xims225kGHoxxlhnE180c9+1OWO5M1947sEUWKaDoQ UsGVpo4pp6+1HtCWcxiTZNZASWoiKMoVdHreUijBs8HzfUgVWsmtiDMCwojQBye5TI9pyr5pbUPX KU3OvKb38We/xWq9/om7VEkgynjNPhLOnaGjoJrAh7Irvt/5RXwDfcAWFM2mr+JS/eQ7nMPgUlUT m11t3J8NJ9M/bWBFfiejoDFqAfx+5DPdka+b6te4oe3qzBDiWVODmHEXwLNVYm3p4yLQOBdtIA2y uIIaeID2elhqhgwQO1mVyDUxqYkPpk76nCo7lAWEEeXTSjm0hOAOtRhCMA/tUYEfqRdgI+eUYKZD 9zkG+F7K88SbjNTakoj6zsgvJNQqMYmJ9VDkgL6dTA2sdtFsA+WWee1S2sphWfOFq+/Tns6SoFoU suq+w22ywaYsTvGBYiHocT6P3ro4KSUO/+sueHt19xAiXYA2yE/O1f/NFALtRPX7ILfKRwfw9VOh JS2FUwI+eMCFmDdEw5zgriXBdB1lN4Jk9laAT8IeQVhtNxRoCROy91S2gYiLq4IWPbPpf4Fui5KR MTpJVZmT24YaaOEjSN9eJ/TwTPxOq9+JiKohx82EZuOH3ZiTm8J6A9eOGuJs4lhBwiRhTVcy7+AT zOc8msu+N4f6m0nQ+tXqK1hyqSgRIWco6Zobz5BOvGjM0R9nz7VAJBDCWuebS62DaI6DyKe2e8v5 cSzRYyGYjlXwWpJiayHoSPhIQsuKtzQsUPBw0yZz+Q3PF/tzF/jDE/nWS8VlfdjTp6BTKQqWMVx3 914EKdY6LvQhWrZ0ft/MJfJOeiNau5b6xkD07ZQOTNaQEHzD7WXkU/MeJ14novmI++dEIHsAMxMW T8AoQF5DmNOHUnTs1S4mOuW7u8FeWcM+85im5bbPoEqz0hPUB/pJh9t1C4nRSRj/iMtTWwb0FLfE mvz8Np0een1ecAQiuAmebFlR7QOwHBp+JqGH0lylJBGLjFVfwBsmPxu07x6S/83At2i6J6i/sZ/b pCtwf4gkTKmubPJjZqQnhqYhSqqpR/0TD/rW5Gnwv+ZXyfrlG1tsUpsHaFPmv0k1BUpF6siIBpsM YnJseXQu8uH1IQrMh/KRiADNHEAi7j39+iCRUB3UKN3x3jr5X5tUxJXe7P62zpVBU6i0657zwYZP 5BiULG1ehuh8rSE++XQvPmLe9mZUyGA/GuTrEFPbGFWlLqE4Buf6c9PuusjZpj7/zHLmJFHP/FOH UvZ8YN9cG0sG/wTNHGlc8JWCBUNdw8T1mNZor0hnYlJnzkYvpuEf+Z0ieaKzF+JQNPPsquMKKXce VIIhGqC5h3wMOIA93H6wUP5q5iX64B0uQrrbFCWx4T4AtVsjl1lUU4OK49lFRxwEH3SeZfuKoevt lnxRTE4xiTKEYTJKEUU0Syu63x5oT/iQp3GHDfzyQDp09Eu/q/vRfQVU3ThVQZPvLO0zMm5K6ebb 9JP+NYcMRZuMndisOoNQEIDLmMCPu8lSevl37fiuu3jD/q8xCDwGpdnQGUZU8hq1QSFt+H9jKubD e9mggxUcj7x1ua43ZRLb0jlbdUJnax8nqbqq0Tj1vcgNRG/stWcvlRWbIJSrrnKHiIWk2qpmzX8t Rl/5kok5C5zQmTeQHK06WqQ0A1gs7CDkAYkcWBXIBJbs7rY/I5hWIZ2Djiwt1bo2MxefipvIhDmz G5iLwcCpw+HjDDlEi6v9qBGXABdb+SJ1npJTh1hjg9FqQdMw184zDvN6T986iJXeZvHmCl46X2XP R5PrjgT/8AcrWtl+Exk1p0b0XfwrhhJjU44ujHpXr3KHNeB1bzJioVdhks6bnhDwp+IqTaKYAHlF 7aE89kvim9MPbfqA8yiNoYnqCV0xGvQsvZ/Kt7MdI6oHD4Ke913QbGINAUjND6rgRU1weH1ADCKj 0mlnV7A6j7zrQ2QFniX8pTChZrZf7kj1dnMEUw7TpavaHJtp8ofaEOGUSMc3OQP2ipSsQOoG8Wd7 RX8cNdyDn2XsPxAaf2hEpTa32b/A5UV5kjhPaKcDkwDbuBfqpPs4HJ57oCpiFdWvyU22c6Zxm+t9 Quj+3eENsUSiJd8bIJlPk0RZpSMIQxnf8VjgTDF7vaROwgDQxLvFTEpSOB5VUU0hiEM8FM9eyfw1 xuZgJblLthBdtEya+5HNMorfuxjmI5vdZstCgPmS9Y7cwFhAOjploCUuU/Tinsj1TsYnTdjLHuqp Rc2YRiKZPtmxjpQGuraW6CL6qWB/HtceIB5Lt60KRD7gpTafwvavCGLNt7vXDFaqB2R4cQOHArue hWHssTWVz5TttlALuDDsQKD5ImSgWzrtLA9eXCyN0DwFs5ghW5ycocz/IHLFu6kE6566xVgJeoEO PraAyf6/1hd5XJxfTA+0LvGaGCQHoI32siZxvYS0dXx6UBjcU9mDWBd9sgBygU5E4p8myobDEBPh Q2ZqmqKyn9KdAmNb7mC26z3M9tqFoKihMOQpOonTTwtgC2efwtl8uBlEAFgxrIeHMQk7Il9pluC3 n7pGCkzAfh4+NHBw3qg4cs+FrT3osRksX/27yeNI64g6YzsharwOw09gl6eXkB55FoMtD9ZoUhaI UGBG3nzkh4eEshRPjmF1OxykU0Zrt3XU7bFODHkTuvTiMDbREPmyScA/3MWWsqhSTFkCejpnCG0P CYk9UWe+jCVSeq/M5izHdkRRlfN71Q8AGddqA9GcE/0zfBNFaCzCOWkaudNfGiqzt0v5G4uuyB2a PKYqzKYKic3b1pRZrjDYw+X8CcWCE5OPWleDa2fxmU1tqcdSdHLXp9aMyEC95jRJw9RXgXMSWiYK DOJjOSgiqg/w4jqDN+rTRX2NYsNw8the2qlefjSQjToStao7EROMF0WVMQvsNZpDqQ7NaeJbEh98 EaCIBZtyk/Raka1N689XxW8DT+Nayyr/gioFuz5Y+f1niFmTHN/cnIrlNGMvlnotpcpWzWa5gay5 gKDc5bOa/0Cke9FwB6NhveMlqQ/u5Pgc3U6REfX+Bv5zZH6aZPz3+NdtnpJDgroe1hILsLbJ5CiB toEKDUXdQ8jbiYcOESK/VJGpB2yxO+XDl4x7lMycT6YSMZOacklaxBwKPTelMAxHnzcxKD7/OPAa RRIkRoAGciCiK2mRaDIHErsugt1vUIx3Yo+qDHzHDgQfoeEqbgCFvdj43KjzMH2qX+7TkpmO5Yt/ g3GD+d40MERYJqx6/Z9flMs7/TyeYezSt/BqgIpc5DoEhATvydm6ltnZStklDytWVZPKA6VaKGYF ZgJMEASn8b5JyJfZ1JWos7Yzq5hpJiPx/4Ex1dBpDdd/xGlyJEYjFib3H2gw5QooKmC2vPMnKv0w v+l6yygUUKcotdfYI1uBK7sf/pOkjUeSCNDUYFp2ykN3nG/j2vc00W0pRkbrACzBotjbzB3KbAuO sGV6KWJmp6JshTriXose2gkGXnkAJJt4QXV8xnhMOx05gI9FPVaRauQU+fkYiBqP72/LfN6UDCqg zcCfYuU+/YIDPXk/7nqzMrZDVVLU4DUmsB1F1u6SEiaF99PwNOvwYRyl0sV7k+mJSD8NPy0MPhXX pow1N3yp60Y0qWqEjgBiBtUb272J2dcGSiKdBSrwlcISLiOc/jy8akcU/63YN6b18n29poqcpf+i +n+5cZjS9tEyU0PzYByrrPtftcLNAbAriOiq4xmpgNE94kuF0eJNyh4w1u3YmhDT0fg1RP5xMHa/ c9lqcH4X+CEl3Q5kdR3iLl1VfA87JsObuh6L3IMurS2WuD5R4jW8uaJRL/bM3VSHOMri+/GPeS37 l1byhFrq+MC9giMUN9ayobW2lpbRGD9Lxs1adizLWYqMoP7npEMTREJy0OeGOG7AvXFsNKd0zZRx meDG0DXJsXPURcbzqN/epPBr1HbSIQrgVzvcv9u7i5f2SpFP7Uwxz8PuTU8rC8YGoROgMJcljDgs 8imYZY+eLETqNXeWc3qP3jqzKslJlmSu1/j6GSkk3Lt7T+wVaz7tWEPSA92iyl3co6J3Mc1LAFGS OlUa4M5+d91mLnK013B+2Lz6UPOC3cJo2QQQ4cdURHbGGA5+QxAvfdcRKLKOWwzxcx1TpxUwVyO3 3WNhCtFz7D20Sg8tjJwoDxgarXgl8qkK4i3YQkiAIl+p0raGJhZpfT6fScYsHe9ObeaQI8xI2m/E C98QZGt5JoqcihwSwvbN7XApHzEzmOnl7N3wx6+w1xDjPPSW10ehjHxZwiblTLAenuKkx+SC8coW XuXxwKVhGBQWmxqEkgcJno1riiI2GpG3CWVy5H2svxOvKFrcpTUU9xOKxzlj3ucjr82kdcHK5A7g 1XHNIfWpBkRd8cnsH6SlDJ3fI//9llCHBFhY1kOVeqFNV3D64yfAGaypq6WFprDgBxfQJZyXbinm xpI+OVJ1dafe0O4+WvHbPFcBpaW3dDttJMQ6uIV2ZX4JCBMWmDndbfAfu7QL0joJG0Ps4PqLxWEf yZ+waigNtyooTQQifU2WQc+SGKiEqe9wf/qSQehdFs59lb+JZmW3sSQ3YsCMgt/cQD2hxNa0ydBi 5aUa9SRfunoy6FMxXJHXGduY03qvTSjdHjljS/SjLnyfjYaSiHVgGtJLgqVuXzH1jtx/LvsMvr+w 6foDYzOBBSjQ2mcWJAk9HIi21dQ//mJw65A5NqvuNBE0XEdJ4lZGVdtGHLLXewQ3Rs8LjED7I8n8 gqhlcvXUD7S8EHXJmxqE8abeAehHd50JCMaGYe3De7znlcKmYZFcUOc27AA4FCzkHfnSQuzDX2MW SdE031irZtbhoFbowCsokUh0i0shpn64a1H4IfgZo8UPFNy6y4TG6OqgXu+udBcD3N975pk92mT7 ne4pxs8O5Z7K+N83ril1+L7re/3xFXDCEBjCdqI/r7Rc0u3HPGa3a9LPcRAZ2fRZUTmjOi6WUr73 YlrPPHS7mrM/C0HzH+/MPi4326rX8+VkggYUtIdfYmvsOfzh3YHK2t8NFHM9LiII7yWGKQcD8QFr TIlKK/Gjb5r83S0HXAfFIUAV01J2Wc9SjqHviHR5DXdUSQoX81M0W9MD2wgpiPovng+680fVgAof v+mKUF5y+l/r9o8R/4FjUwCNjDTxct48rQBS8zEKf0Vkt+wtJNFGb//x7p7D5SlGzHJx5sCZUrd6 9qiYEvJe6nVi3WcIZ0nPSYYU47dOGSLdTTTdSYwoj9VGbWHSofiCpG6H8uGK0uitZG5qt7Tt1CS1 k8t3eAKZP6tmtnJNKqZ/Gkru0CLpFo/ftVzJq5CvVuLHThs2JBM3UVS4CsKrzQuki1r9CfJXfZSx FeBya3GJqdgfLFbawoR/q3y5ehnnFRnu62QzoQwc++PkK5Bgc0T/4sIwXdCCSWUdbJuLNmVFW/5e XCyYdVgR2fPrNSmaIleMLdcPrVAqEbAumBkw6FgbZgrbzO8i1+aEA6qBsVBP7/KkOQbvdWf3X2c5 zGkt3SUXx8y3GZ2FBL7wlKaiZFrBUY8fFcizr0kc0sIJKmGJKh3/EpEwSdl4hpg/wHJtSsCoShNv pG5B1YQkqwyVS5eJ3mujBGdKXKUV901mUEIJc96MaM7DPc+SJcqSvF8rvFoEsWA5oRUzHsUG1RSg w9zmSk0tJ8zFyI+Wb5D8rol4RCm7eAleYYtMo5bEoHeQ7Jx1HBbG4bUYi8/XDjpZKUpD55moBr5d 931HU3mYrr6X9hqu4gdqjrzZ3GnPe8wCgK8Sr4yWm9tGNCNIJykfRuYsj0EegD4xoh6UdWUuwN0e ujOEpnQAqoSokI09UkAoO9I200X2SnE2CJkilVQ+AE+c/HcSiiSIBJN4OQnL/4biC57H6mjyjyGM ZLaFVUeGr4ymTcUDuAPyHa7kE9TMtxrixwyi4FxuBp8tndR/P2WfL5HvmXRI9wcqN1WTVZeUNcJQ dG0pYedHufPuSvV/7RiBIuB6sBp1e2yW/t9CK+i3Cq3Re2WhzTwoXycG5BQ2e+ybMi8Bv7gNQ+IY VDlbI9+DMU9ThyiyaOo+PQER1JACEHM5Ww1BtXRMknPMPzluiMMIU/e8YrUgyNXzMmlKvtEG3A/b t98cnzFT/SObu6myy3Afq86R3aqDRykKYfip3iBDYWuXnEu1Rc+RcUxAtMuysYO0LYILvyBmaPcE +9D8GdKIRuLf/uplXyDR3HbdwYoOpAkbTRkJ2wi5qy0qSUmoiGAi6Befp5ucV9mXLqNQIRsYo9ve rU3xt32UBU8QYtkjerNlmxntSwEgDS4eyUqSBoM7aPAFar3fEzvZHj+bX8Z+oQY/3eZEjDe3t5fp EbkaP/fGiz9+cSrXU7fq5XisqpTCgVeT/JMzH5XgGjPnj1rt4LtMt8D2munF3fLNkDm5c4qOTuw2 VMaHe/61ReajhEZI6k35LQ4HPbdYmeKueCzVjBoz+I2TlBBF48+1E0Foa88PN14/TOkoz57/FTVc cmlbeQ/VSCJuMQPZMFaUM6w7sMIhCsf+7SsDuABi6BexVInn3qUV1Mk0m/eyVvDX8QeO8jXz1N6B W8APmvVWAmwBp2LPvovk76ODguuqb/alEmtU/3OuTsRbzpYyInK6giIgrL24rwoCcUACt4ttH30a fbSAAKuRRwEnKeHRbjz+EWyLk8hdhE4qTUmFezb1MsmyX1uNyuHGJ6uWTQ8clecwCWHMtZYCY1sO FPaVLP8K7zrWq/iOkDMH4uiOWipKvxpaJJ3aaJckrVXr46NhUXvcioSbI2GaoKvdCr5hTrWdIDc3 BS8fuzqSevy6P+rMAYxKnD/1/JRaQYoSGAtxGSVPpH68QqKVYboTe236YimJA9XiHDDS2jQRq3zc u/yE3zHtIs5cF5+uXjxQg5y8Vj4UnIq8YZcnCQ6ncUbM/BD5mLhVDDogCcOBO4XRblGbwH+P1EkX sVRubhTEZmhYgzX9/6+GHBwIhknrKyqHrgByUDFe/XaasoYoPN6eIRj6mDzuo9uLvx+unBXnBrrc 1BbELTJfMMI9NZpoO5Lrg36yvSwbPfDknbLaY5PBED4JobniQhGnYPMVCGBYHP0UTFezEI8IhDcD hkoEWY6UnhoU59mtVSvA2YCwK1EpwgBSEvMyv8p/PmCajeM5mpfb+DuzkDZNphVfNoSCh94Qvh2v EomjRh95CXvYi/rhg46eMNBoscWlH3EEDsuB9daC7egdaVB9CxMq9B95/Y1ixjal+rESStzrhPTw 6sh9o6w7pTBqbNr4YwMVHaP/jbCWXGh5q3NxxctW3YX0wCEb8uGyHsOfD4DI7jgE8yeIPgVXZddm OlTkcuU05WkOl1zaDSMGC+VyT2Qgbkwe2jBjyeB5CHtZyM1MFR3QgQ9Th3XVE+m+plHRjWQZD621 bY0gxpTuhvuthspbs79CSbaTeQMYsPtbAPdhRaGbEjYeteoFXdnG5lQBU6DrpL3R/PPAXNdyfnO4 L6Jmv5BgQyZpTIqnu5wKbj42jRn6Yxeh4WgtaflsjeFNq0FkVn2LgfW3dHnHF/ajI08IhnHWa42Z /svp1eXNszO22ZOdWxBQfw5r0PqbY5OQcIWgSzkfwQJhQZhN4uqJ/tGSpFHHX4FEOmrYMZU3ZQiN 5cjc0gIThwaPCoUvPRFjEBhrdROKCFwKYMheH60yGiO8bX2afjKZw8Q3uxQOeZtSBCgzINJWFTW7 /B8jHerWxzLPUn/ByycNT6ZNIsUl2tJNducgFhnO7VAO7nqueZworIAUBtfVhPbj4qEibuPN4Lau VxB0GyK6fXuOsorF5wGOWtE1imiWYlBL7ObURu/6XVZvUf1TMJJTYLoW51c/FVBpcdYLhfHNCrzN wP6986WrnSF1tntCe20WWlgA8ASKSNQtmCGf3uowF8CTZIXxYwxH4TYHv8o9X1VtwpO3wIAA6i95 EKC/dVUMxzuOx2tb+4yd+NsDJcBVrsqstcMl8kjoee0j/OCXHdxGQeuNeKdGpxyNwwoly7tFfQ+x n/iW9M9M96Dw1n+WderKFdVXD1KVVmMGIMm3dOtZhEV2iSqESMtGkRpGoE2WuIuQwJ1cfMtyxfCn zGmoB/RqBS2ySTNM7zoRmqgqWn/uDXckk5T37vr1WAPsWzcUKZ21hvyT8TKabDgmL1Vi5Tq6MYM1 y6BC+ieDWnfuxMXryqAwi6FgqaJCtkjZBVYvCIn04ULyRUHUD60Z0pBG23Qr+W4hhntpZyhSdsrT RYsPU3TkYzr8bOQvdgY/OTgI9LaWVLpRmHyZs5r7jUPY6IRUEbP8BA5Q6/IWH5KDcSeWEt2X9Bpx +URCeRruW3ostIlElgtirSsL31ua/l3ETEjuq1GKwRY8+fc0D9XmOtYKNC/GotpW30jjMRDgTF9h 5dYkHRgsbmBtIjR67BryPZFSeVT5b2V9il2m8yMHBf2H92LtAAu/5+/Vv0Xtfi5bV7353XJCijWW pP2cqLDqbUZz1goXLZAvvZTmmhFN804GTsKnHV6shyphXKMha5kp9MLFrju6P4K7fYqMCssKUNQT zo6A/SCrgkJ3JCDHSkEpw6U9wVTjaiefnmdX64PEJOoOzhOs2y9D4i21pH1js/TVbhqZOgufMvW1 65hNc3SUfieR3CfNuPY7Z2e9Y1oLxMeJhYQlSPLiIZeO2wtnmS05643rV049bPm1SFchzTt6dLx7 nHZzEUs28c/rQ2/LIrBVqSymfegAa4Hq2ANGRhpQPasUw2NsBc3VjYEkGX7/sBTYz2EVR+oUf66V /3LVwKeU5TmXxJrgb1EDvFyI4Bb3mcfGhrE7MOA5x6JVJisGzhdK0cgzU5ubgApzEJbXczCxfiD7 MwsAV/lOE9yogE1i0YFxcYs/C3RmhSBzcSY2qGzpc8I1Yua1ZvajEf6LuAE7iKvD+EYoGoq6HgvI rqwvwXwtVQ+O4jKXtXD7BNeqsZguAyOMHozmZ2b3KSNgVPl0kF+V2U7duV54jmSY0fuf3AGZBgEp 5kLVtGhIOyW+OAJPLyZt3nnhmkOmR40VOsU9eRoyprP8ZRDNHSNn4yV/uQkxi2a8KHteShbbAYwT t4AK8qagzrnDnMJHHC3E+jT8RSiJmDIE38D3SnLLQAZ3gUsyUqMUlLqOvrBtbVuDLBCORcGohTxM YWch8ONXOHkO8jrDp9fOUEGMHmzQOS5g6ZZWLKoXvXxz1Z/hAGe1VV88+IPdEZhTeGXPehblEaxf V5vhm9H9BiigcLtfTn69OelIz8ooLuSU5kWALhcMMBBjpxfgES5TEvI5+1lkOVVeJ7eVZRe6jvcY n/+7mI8E6eZhqjNRJqJsrY5a9UM8XhXBUObt71JrXqmTy8bHznL5oV6+0BMxQfMsvkbAgxzsAGCO nHb+wptMW5n1u4H7GBX7qca2+YqaJ1CFaw7jaul+6WvrAu97womcQwMgqsNat+qCIuXD/+wSHWwH zS7zl93XraJyUPEPgrlwLfjkRubbfh6JBYZnhkcb5ZmfKcE735KVKa5jGjhXZRgzjh+P7eJfF5p6 qLpOAyJHQK3RO+iKaWyq2gtHKUGFhMUY9yL35F4vWv+iZqCHt5rhhMStKWBF1FB5iVm8FffFeebx EUWoIPb6TvERV+Iwd7vGl5bCAJ7k3HQa9DED1+/AOHw87A/IWmUyVxDu3LQdxr1xKM4wsjRC6QED nW+CqbjfB06UbPLeWCg1+l5/smNe4gUymlAWORk5Ymhl8MK344DSBl0bEh4h6+QVgJT7rzEbGKjT 37I0qMAZR0qamqRu3WnmNaxBvWyCzS2/lW41/lGwqbbjLlvLX8VfaLqht1mrAojdnYRFCW9GJrqG ayUTgqDH1JKMte1o697ZiClksbgGA8kMstNQSnZwJL/0Jur9Ooy7MihhNduTpq+EccXSutIqJBNF Md9ddQKprGvuVUqLy0VKkqeMQg4e+3XqBMWIvWm3Ygl7S73muf7rUN4Nk91bH7KcgyigOyGsvxYy XJQpIXAyDBZjD1yTJ6GOt/7NSONV0z3b9DAPHi6JPz1qwl4XBzpqjkzjtuzoz+8eW6CPFnTv9Xsr pFYhgpQxwOsAafj1PH+OugTQbAQuIsuBUTIuuYtq7M5Yx93OtE1dn8+Yn4+/l8xV7Cmr/u5PTJZN fVKuyxvF6/fajvxiqgOI7g6gSBrGbITe9iS4aHkw7jLI6S4EB8+tclfXBxRdkbbcDkXBcOGu6+xr jJuUkJPDsahQwBemdVMopY1DNMRiXG4lXCd8ZKyjjt47Mbs0S9+l4nXgYUb6LkSwpy68gU0JdkD0 Zny7CzTKr5NqvU1H/rb/vkybFtXhu4Uh8QBdo1UXdhOeQDx1EGkUBHmIl95OVk47k/16vrZxX/or YOaxasTcPiAcFZgkrvGMI0/785/NrONA6UkIgwbc/ZdWAyJGjRwAFc6nLOo6Zqh5Bo0OQE6cO+PV nm0VdzrWPTwlmMCWI+LMgCEv+gIDz4O6H8cXtWptclruyihwANI8c0HnRDh4A7GOGwxGgkJKNZaj 39gwd9Dd0uEnDUfcNoNOtzt6v2vQ2zbCyh+sD1npyYhP2v2nD5QVwU1zjRYxJk9TBQi3h+m1huB6 I3CCe1f/LjGJOZAXKUcY/xe/WDzvM/AIqsi9fdDRqaJromo9lvX6iXU84lvexS8Sg2aWryWHjLtI xVLDaQdXal6jD5Fu6qHP3rXZTFrCLaRuN6ezx7Sj7natp91/98+N+2D130RJjiPVyKyaf9TqnrNl yxMGpvz5o6SbSy//GRflX4RLZtfp5uv4dEjQjimhdP4b5iOb0EeyuNnHxMuUYYfj5GGMB0OqKnnT TMOxWvhzVCR18Ld0QhQvcPiL2u6vcl4ne4L13pjtSu22vQ44LTnGGndxDgZ0/EDf1z9BzD31mdTG yCmyH05wS7H71fHudAl0P9DY0kg90SaM7p0PuFhgGy86aA9W1+NhbqFBnDPriQK5n2XRyRWaSd2M eftzwhlVWgPCbMWeIhjXo7JsUnaAXrKpbmd3fGR7n34W51nYwfd49pJ9qeXBA2dI5c5sr4Av57yo jfEy/ocARMMuOCkPdQqEKY4Kt6AjfrQ80JcuBxk3GbstkSC4kX7yoZ337r2ao6CncujYOfy7gNFK i2kyUQMgeO6TcduOr7rcrUGFDbXuYafva5Th5UrcXQbjf/l9XbYSePONFkoXhRyxX0uMENFsdzYp L2tl55AWzExPI0J4oYBHjKdSUHr7Fw5q9a8G75Ucbh3twU1YAzm6JxIu3T3jDAQmFo39Yg6raxW0 ZiP0c1nIdUrzWWIPN5VS4ejj1gzz+qpSX0fC837IDioa4l581+19p+bpZHbVmipG35IFI90oKLsS 68uB92aPnQXb0WKH0PsypAGqyS1tcbq24rbGMRVutmuGMvCAsw73GP1iv9lNLR7ABm4JaKybEsqL ZNQC6s4Y714ABYOBSp63NyhCRepxIWlC+/iJq2fRlHg8a8mSJYtIhJ2ztg22+yD/QrRq/MKy0N/s x8DbRuPqbEuvkPAKjNBfZU3zDAZo+UPXXEmDrDreqpSk+CzCEn7V20llVkPKl5xrJIHGUzJOprR0 rSjWxZ4m62v2vGYo6Z08U+Y3K6SKZbTJAUBFagPlq5M6ALmLSr61y77eWl94aJr7bPlvKzhdRvZ1 3z/8S4yWgtVk99R7NimIsWFah92FVfAkhBZsGC6NlmlsaXG6RMBuxdClz2KWjpmmuFpriVbacmbS u+wm4nWqGl21T5dW8YKQfDdxCqpF9NWj4tWROw0t+354x1AGVh/xkFomRivNvzc6sxk5kwCnah5N nmXdc/W2OxsJ3wBOh4kH42WK90cl0/g7YQRws7K50MwQqCULH21j6GpBwBaq9uYWLrN+hblUI2QX GpbUmrurEEXsuFJbrvf7X4KsEhaE0qBgn+xbswTMonaWCdzhiDXMtg+ndHk+7QzqUEVMoO++8XMC +wrrS2IL6GEJUMciUp6D8mTqjVJd8ftsnsP1PpoDjffa8n0e7VqBzivKFe8V/+P33BeuTLVnAl9L PYxfuHFmAkZTyxM8tR7NJI29wzAzh8Cmd0flurMl+Qvaog5YnmUSEwOge3jlU/1C6M8+4GK9EHgN mY2sosSc+VxUXyR5YZkF7hAsI9GCvQiAgKOJRUjdbD6+EIcbxe819SWpquPesM56qkyt2z4Iu4ve iIEsnMoWhFbK2/5d+LF2oCuBC2LfyaRGkwU4bpoeUtBmPXimpKXa3d+PzIKaxKXP1rd9FFFpXje1 9q1fFq428r4hYT/3LsTxvwTRM01hUwCG+atoilVnRnFCUSgzrd9wBxEs1zuABZloJlp8QYXc+E9I V1evtxW/HxaUsmMRoQGsj9M5RyOE34v9R24/2XLVrJml67CZqJW0EMIoWmV0ey9yQT46L2Qi8oPl Rx85oSHzYzThFMXhGouNpcpa69CH760EJ0gr/vKnYZEYSaLoKeEj/7A78BV+qcsGiGwKyiaM8FAx xpYHGBAt0Qp0F83tnQ1FycUobxReOp+jD2seMAWs5BPqcICGbkjBMUu7ExtKhuXJH0GoEFYtG2KK 1bU7Oo7pauJVdp3Eli3wNwbEXiYnzkyHn+zkhJwespb7En7HEYd1t/CF/6eHG5jc31Q5iXxyPd3n wZMYfvTJMriXHpixtedZLjXXi+e1T41Sw0M54H/ocLzTrjkrzG6EFOdCTfDNBeh+fpEly/Xdue1y gPdH3V2CMXJQRjouZ6lcFm80YCeIrr78PXjN9L6ZUJHbVGI00qMH9uxTeC9xzdq5irqpPGdGzRHb xEfqCbNbpP/wjndb1skpLul8bRsn9eAkN4VBXr48oWaaQaDdWOFtz8OouT5BP3IMr1/T2vISOUES p+y5uR4dsm0zyB8lZpc9/VdL+W9azVuD2Vc+TJekWvJw2fhBnca2VA3GL4rV+pmPUb7sespW9lag nMLrJV9Oh/ljBptJ3gj0rcB7cJ4mu9NW1z7qQvSYxWl4/+QKLOEFElZnC1qkt5x0gm1G5HrxIvoR KsaioV8++1yK6qHwZr/ZGA6qn9KOUj8IW8nwSZrnOD0wmkII2n6a+jWdhLMMwUlJ0lKpD/JPCUGU ePTBhUyWYNrYdbqDCbcOB/sykDUhMrhJZxBT/wssYG0DQeL+dGM9HfWOfcAWe6h1dHjVNu3yoULt Hw7KpGwAhKMyQIpxlEipMkRySd/27IIBXTQLTAzoLI3jUoK/iAmBpuyqmzpH5VOp9eiCvg50KpfU LFQlNG2zeqE0Dh3F2euDyhFuZmiXITZNGghJakAfjjmdnwSyNIcNFYyz7kNGN6rP979LN/75Q8jy 2KWNkELtJA2ggctWLCThLvMAqyEiiSiom2FPU4y/eAwPXxqaMLvbbi0kltmMRWCQWNtSiXmDSWK4 3s2pQ4PP+jyGv2N1/CkrCCK9CcQu2QwGdG8ZuhDwfPuN7jI5pD3hObIF6MzlLaOWv7Ex1ScxbCj9 REYQfe8a6w3z+NW0I55hXMA9mOlwvxkSxmPB3S5aGj5eE4O5sK7LwuD3n5+BQYTUAUX1PJraozKT lmSuBIa/SO8y5oLe9Pt348de5yPv9Vpfv2mJPEz/m2k9azzBIaYQopZlRfV33z/29azFX86doygx xSJEq0U/PS+zNOlCds/fw2A+ANh2KWMHzt10ilI0sq05O38hx274Gc0SZyoT1EnKkEdqGAFq/IX1 yruFi8GU+y17w905JQXpBtY3OXzghTYe9N6B+aihmsPDLgOJOweEuepuZpDqm9kYe43LkhhyuyO1 hGnTJkb7wnWjTLE+PSkmR0xs/L71nK7uHRNwFm5S4DfFD6rLeZ6bCYorNcVn2HBKUyuk7119vMw+ AG92ns3M2peuQp4oKWL22oPwmEPZOVFFPMpfFM+lt6emA5loUkyQ00FoYcGuSnGSKHdQgSzs+QqZ QeIjATb/7RMIRqjH+qk3nr+sEVlr7zBvtBYfrx/MkfttvfDt72hOu8UH5LQa724tlVADulcWcnTh mfkQwOmSOhSUM9ois+9dCgyTIb+8KYxoc40VqjcEzMc7Zf0E4JjjV9npo5P5IhL+7CVCGnewUtYr bp5oxzR1Fq1FlWiC/uI38ykh5HVlt+7GQjx4kxac3TmAOLzXPYUyeSv6XI0Mg/GRKWIcI6CTGSEJ mtYa7rYBv2+9RYZcT9UmQPC0Ied06DbuGhCyTzNAtmO5B5QDhAhuw/3HyB7NFCY33FSNdo6Xdz/k qeOLmIcDv75KVYJDpC239blSzyvRKAVPf6mkH9wqewrkAfl/py+MGGBPrH01Yxi2h9FPrXZujFu7 tKFj1LNfqUqQ6FDmfnwR86hLhltXNks35IlooBTiB/04SNfWtNFEl9dxukIkWSWb7RCZ2DUJ+odH eDBRM9mpt3/w8D4s1qjUrIJSG4xiu1mkHYVxfozmks26uRMZiEx7ExipqCLwqZ/wRD0B4mrufCt6 SmmGlhUWPGeapHh8iBKEvnM9oJJCJTyJodHgrfyT4FdBO0dJ11hbWZXwB4hYiPXPe9EGPY0ziFC8 +0goP+UiZRsf0exr/kTIygyk/9GrBtBWS7UJ9H+NOr7MO3cSl2w1sqm9VNy7KcpUY01LebaK+VgF 9Z7qCNqqXD1Wy0XANUjepPzkoW7OkhLuGsIwqvo24yq5vF26gD+RaJl/OC3ltCu1Tn+LLhxZFwPW 0Kt3ZxzaBYxYzPIhtK+I4CuVJCSWfpp7yMD3mQ9h0vDnywuHJBoDWHby1T/K9wmCb2h4MsgxaWWa H2ropuYb2tcXgwBoT4uW8VFox+a17ivKwTIrEztKZ7/5HuSzJxKYwD7y6z7/LhBxq1HIvGLw53vY cpJ33JEqFEgVoSRnH/eKLN3/yIqfeJgWSolMy1Vr5WNq/TXeS7GPOtYuaJ+0ba5r3BtUecqNtnko Wo2mzbv3pjYxJVbyTDsMEMKXQq3CJ6GKUnBSxGOtLAHw5MnT+K5bNRIqg4+eOxE3rirzM+nhGtwo LezQR3lxe7jVwToPwb6HDqgEwdnbzWW/ae601Gr9WNTxkXge6Vk3f99+9/5lWLzSxeRZ+LF7asLA MtS1E7UoiIbrkqyHtlDv/PQR9WdiP9pk/pzCN6xNJG/ggAI2dBOcixbvYgW+2fxkTZsl3QRs+C/5 qlfdKhZoGL4HDCXVtQa8QGaXh79gQucOaGWpvhBx8Vvha9vOBk5GAKknmDBdCNwmDyAXSujGvFqV iMAXxYOOTs9dCAH8eF8C3f1aySIye4uqBhFvfOOJbA0aQvgUw6ENYkoBQKUtN1RUPEKMEBYVWJhe efRDJF9bGPPc0p+lzXq43lr+Pw84nZe9rx5v4SMRU6llYGD0u1PycSScP0cmII7/9hP7lCDPg56C x70YoyZ5445tX9H2uuU0kEVbFCcoh3iTTo94+c+YJP2Dx45yXq8FdpE0iPXZBoHInJhCFDsWvVHs cnEb/VrJfxruus8M94lUk/6Ij8Zpc/jU2xamarqKaMjfFRZ1aYMMA6/eovqKxNtTYrkyHRUa8Riy XtwSXLuv95M5kHv1D5XIG5hodQr4V+KNL+fokQ5nGCNg0RH7FQuBQKj9YbmmRu7rYI4JX1OsRA7T OixeTIAYRieNr5115rJB/cdQc0BcH/LcUek7oXlZcFWkTFsSq7Ypk+2j2rg+AYu8DpqLXCa0d1As EZCYSHYd2RXFCmG76uIrjD65Ypnbp4qkzdHj+Te2VmGA7OPL2FHFljtqP61H4TDuehd3O6qKmYAR hjJSXali/OOhQlYx678AeN5mjGMWCGk4QqgdVtBNaUiLEnKqVRHlXQrztxUdER9OFdaSG/VT2p57 yowvYX486pIC5uWaDLVsDKbyNbSlbvVXhJ/gdB5BQwlOZelurYpRc8gu5AHWQlto1Uu9ef03MWIo yJskR6LAGK2sPWsQfZXyYZFaObqQPoav7tJtGsvL6YG7prcIomTXXMqxRNGBzjqfwFNVVH5/c4kP PpYnNrqtPg94KHctNMTFT7xFK9XWviZ/WF6Fdqmg5B8P214ksuwt/2fwPHF/5BR6Rme809gCbXmY /RdmSi3xprxA7pmZIjDv+lrdPlBM3ZjnbLJSaKPI/9OpyDWPxDoxiZs84OVUOzHvZMTR9q33xaEI GPYKrsg9Eoe7/IlUgNJbmjITLHjXUWUE6foDNPp1Xd0QbQCvIi7QauP/dhi98tJXFnlx1h+xd+oI sTUw/bvNpRS6gTrKsQzA9kOcIc9cvFbI+NdZg0Ue80tfOWEhb8b8GeGZZ/BqMcHtoHUAFYDoDEs0 sueZxzHfi6ek4/Cs9FadOl2ZwDOk4FWKawHdDnIZ530Bkp11yyFUi9YSLEl/BegnVsOAAwRa9meJ urNvuoMkQXdH9ojUkeHgJrXHgNCEQOvY4Q10XCRGyus2H4+KLyH/L17GPp54j/UU+cLaRDHcWMLH 2mS0+LszegwHvBEGzSs/aItw1MOUJPEb7SZGYfSvBV3Ae7k9ftwW3jbOJexyllcIM2Tp86TnaixR IAxVSuKycrwddHK6trNTI0MNBG4JnflPpr9qFjtQ2FEHMOC7PSJAu2Omfa9ZA51Vj9FCRPX6gA5o IpGOwCODyxCCkfBZ+F2jbINPdUIQRWFTUzDnBD71HaA00r8rfbQQON8AWPIc7bAgP5yjZvChz9eF QLZwpRvnHRwiYcIPwlFhsoHHYM/ND8lrXX+xdlgNCN1RUYJJrNWeg6rxZ0JOUxKYyedsVpLRMf9a CIhQBngS6vAUFByhm6818EU0wtL2DJ1h/lSVi4+hSk7sZ0C5m+0arQvDqRyHqMBzoleYRgpQIr1G iEUm+azcWAjA6bN8yAb+pYC97WIIfLtztD9WA/LJxRo9pNUbILnQzlguDzuxNdOLMvHlFnV80HHE bksV6Hu3rDfmQQTkKel4fROj/13tHvBRl49CfeNOCHYhJn5jjSkuVyYWZL6lLhRkC4oVQ8Znk6Ux 0IlkoDoHFZsBbo5ggQYQegn2ZzcIwNtCyrSi/A2QPSB8QLbdwIDvWkciITUV4SZoW5fa2glT1NPO 7gZeHPlU+Wf5bCN6y6Ho2EvNRsgSuu4jfdM7mQlQwrJuvl/OhvHforeHKS1OyQtQ8Jq3wXFIUDMy abfgp+HEao8b9sylqnygl9sv049a2e1lqTRiVqzr6y3Ix+j8naI54wuSra3ipy3qTwL8omrLHSfd MoK4IyqNMRWILMxIRMK5ruQLJHAGdSiwWZ0uJVGqE22fxbM1BCbm0cuNcqyHMkLug5Rf0ueUOPsB uzLi9MYuhMU8YS2w8kr7mTQuR7p1U0YXRgaolDLxIIQ6h/smNiPvDmMG736OT9GMQ+9QpDD3OmWG QZ/7kt7hBDW63DYtWt/yrROrkRSy/VRpsRTtdBvhWN19FSPcNcLbdLSbRizS+jtMxBBuEq/dfe6U ekZGz1r1HkeMOhJB5TKU7pHUC5C3zoIcalGfXGhOH5XcscNhxPe00ZYRlgsQIFqkps/fcTUU2/XM PnGbybegrg+gyG4WzMYKPl6oooX1n++WwznLicgvHQYB4DI4GX/8amKzsc2qN/0q4csfFHGzwFiX /ILZCad058sX7RveaAlBSDAO248F3gxNN+jOhE07bvhXBeAAaKeunLzFwEKnCblnePE5H/X7qJPt Dpe9G66Rg8xgYZUlxezABIA8nNegizBv4LHjCVF09Lr4cMP1SsMNk04ZL/65Z8Qllp4zftcXVJAE 51Z+whk1lAAIY0PX7trQlftpT8KWhLpXPcbgLvNAsDQFmHYbKcP9My13KkH48+8SpKJSrX7jAWwD CRilP62iC4v0NkSyu2HChD35I+1O6LwGmSFuCL7Adqa2pB2boWDedKZ2dTohxCr4Afk+CnW+g0jH Y4I0vQvKgQQM2Ez1atMwpJPEYpjwB1rE3K8fNQtk3H2aU/OypPdNRuom6++Um5uDb9mmwKwtayyj T9Mb7kyZ0In6AOhBSqbQfxD+WBgMM8Vtr6XERpeV1KdnNprF6H0k+u1YpIgUXI0ypE0Sm2uA6/b+ hgHgEH24mx9AL654sygTlB9RJI/HiczhJkOZ0AoZp6Q78CGCaGVVWVFrQ6H74ESLPThCzS+SyNqD IsmJev9YHAxopF2PUJshwBbnaGEA11HSZ+KhJQGT0CxTRji0yScwi5LzNkrIMK1jfaYcoln8lJ58 1xVoZG6EZsinnqaQpO21aKPrvcir0X4sgFl1GUGI1EoJlY7Cxj5fUT+iHPBgQxgdbdoomNRcNIHt jYhxxtQDZpmQNC+2d2yLSQVV1VP6QxxD3/9p35id8IuIHk0TyW+8iSD5+SOj2KhvnJtrcX3ZIN3I Mc33naPgl4fzAJhnJ9LJDXgf2M6n0E7PXrjvIUNYDpNQ5E7LbkfiPaZlGLV5JF2z0S2Z5MFyOfrC ZDegqQ/IVLWglhTCp2q+V84ua/QYnOP7QkTVSRdYxNUqFJAV5/FSyrdLwXkbN8IULgqYXWTZu4aQ 3u0dp0oRN//y6vZKTchTr77r0k9pa7yUulbGcsUAfk0mZRjB77r/nnVeNBG+zvC4JZblLg8MWeRw i5gsS0mLac/CN/qKxJ2nCHHFxn8K3YHAOsorm2dNrPrlZBwfVyKteCkEs4eh8D8w6FI1x4H8X/Ja z3jc/TgZy8wV93pCgZuZtpkWBuouaCLf5GmFAoyZtIkPCH3XjOCa6jTZEcWv1thdVkVZwKnWwgCk 03VD249UJb/mMwKFtnyg2dVB27KLPv7kgfXlWUte7oL0fw6Hc26+GjC/VUDHOMef70W3UNVVY6uN DJY794c6HPUSaLa5KEYFAVJC7mXaWJS3uEAldR3nUbjKI0NRyuSo3d5utGl6wvll72xuok0Skj0J LK8YfDCqCQo7C4dCaz3BkyC/5I6ICqeobMF2B95QnFzwQ4imZz/IJXADY6n9AAMjjRbAfgHCqEDK kDg+Nr/a7/gf7sKfXMoONl/aVrpNJ1qL8l+qTx+JZttkHllFCunp2t7bzN0kXTP1dP3s/iBIHxar 9sljyxiT5XUDYePBfQpfgbN3vpa7kXNtiYOiDVcZWP08MSp1NucomlWvCxJOT2t/yP/j/5tnzpua UIcYgDu/u9g5uMRXdMmHAqBkIRL1jpMjZHazB6qIEyiQCvugVaQAwB29nBmhd/jzpoTdN4XGgtbl UofgQpyoUpBf7AO+oJU5zqHffFS8XbLjG5gFYUvsl/mQuKw3vo4OSXi90Wn245jUllAK8IMcbvLV TLr0dyMD8+Fva1GE3nImxuVKFJMsqt88bm9HXYCBElpJmCt+3Ut+9Uz0P4Noo/2fwXfcynX4Pi7O 08Pp6LFDDcHME2BLFDtCXmDA+LlpcQvv8RgS0WexVntbzUzRIlYy/zXIorBQQ06K1jU8flEpHGHl hbHvakgRDONzwwZZl+5q5zkZWkRsoTKfBPSLJlAJM1PsWZF8qT371si9QBzl1+QShseErVrzFLSL Bb+RcfPCPUdfl9SPX8SutCafQw59f8zJQNrvhkhuj0QXClJNcuUEuMwiUVeTRZL1/pY0uV0VTJ9Z H3yCbkKX/0y4ZYSRNCURgJUt/1gm63YOvXlmGCduyLS80JLCEBPrRcS1bURqqVqdGFn2NaTZe58n r56TbEhutzLR8rbsjZ/V6GlnSMprrfwQJxGxUVPnNs+IEe4ivtS5qpMHZtq9FsWwsJMh8mIsvtiN ppFPMu/Xvr/27mlnusqgPSmoAu3UBpPG9KLn0MpFXReRp+9iq2txiIHxQJqsBL81o2mV0/etA509 orPccvXxc1pGGR4xDEBR/wrMKndsWB9PAxMLWIdFA//u0lXljSV4w5BgritOqqkiixlIjVC2KTxH qSPD7U7NG8vH5kmH0pOydX1y/gTBRuVh1tuv2p2QWboYSwGYYXvf/Dh+RdvCSCJRw63IG9KYLXtW gv0SxQnGRtcedzzI5VnRPoAj8XXVYotdJeeO08wkW3i8bwKHGPaRC3iFhhXE12hZmg5ITQDahw6X cupmh/xZ8zounXuZRk7HhJ5VCGbRybZZBK1y0h14A/YtLRYpXG6zBhdnbqbtRqXk7usrNJeo+eEQ mOW5JQKox0UsHqEnx/+WHfj3eXzKpTyhLYXUeiNKCF395uU00IiafSznUYxFDtXo3HcaKlGfqJio JANi6kWE2VEcbyPn1d03isoOMT3i25N/QK+jM0etemTFPufQ6kQw5UVqkQtFlQGOcPzziIYbF++v oRuAIHwp4uMRKnsdYcCFW+bb67vnzvNFBhIVQ/Itodcz5gOYPkH049TIK5DVm1+HtESruGqETuS1 66GtyMMd2wkNh5mxltbXE+DX2oq0lbx+hm9l2dR53QpH4jfD831P5yDTQvvnI5o2Og6QuRe+/uxD meqN+MG0mCXr8hEoy+gNDhtwRUvX4sPlXF1TQLdpvuPflnWMb/G8QK+8/babJOez5i3lnX6nXmHM KpQIJOF7lNodF2e0dHGj6llpMqzUGE1zFHZf8Jo3ujHnF4DoQ6nZV9rxyeoUaxfQGC5k+1/2VKpB 2IoPO/wyLFbHehTmdSRXOAQvygGg00iimdl16R4AyoK5uwbnsoissGrPyUKK38p11L69gTj7cNyR synqvsjt1WCBXJ33vJI+pPTUdauhfj2rFTdBn6fM2JcGL38Oc26Cc43nLRs8togU8Y1ZmoJGkf3l xrdOrRmpHiU0EVGlfnEfC/f2CBGOXBLnCv+Puv6ZDn8ZA4XlIBnvFU/5BOZvB/goZkfcS5hYVqvJ WUP70kRuG9c/O8iXocWA4nOe6LaOntAIQZ9nGhgcq1ocSH2RwW4jMVqL9QDA29gq1hB96Ambb+oM +BilOJpKvM+ihylh/g1WZJv8ciLXbXtDypQKX2qTz2akh8hVZJFwE7Y4TElTHt6vZfET+JjxcvDI G8Dt6lRV9PyryQYzBIXNSW91THW2Zsi3nlZtaW8yj8MPGEXNrcDZj+SM6iFkYdOTJOarOFnH6eCP +6WyUJfxixn9AM6iqIPyQufwtV1Uo433QGbI5k+H6G0qL3u0A3o2yu2F2Rf+tCmdc5AvIgZYu30X RY6GHJfND8O1n2goTc7CL8QOf+x8SEWgEr1khITjE7/CzQzYuDGMRcyFCE9uxDgbotg8T3/pYtji l+bhcMnFYsbKnGLmcu4d5UEUuv0hqhttSoc7jNYd/xz7GHJcklVBpvp6CgS1t56CpT0wQWr6MZgl jdEAgka2a/OkcnWSHcc6mxOMXveoFt8G33UFkABEntdJ2gwOQTRbkzHfRXe37hKXVPoRhHUROpeT 6Bl3vWeMNQbP0zLsy4aubxqQ6sEPzoQ6PSeZTR9PDeLgoxZ8VOhbychd1/jBCwyXhtrFBO0xcBox 3PY8ymHoDNL/fgy8xORBCkKL2qXGQB9fWnO9sygRwFh1W/bNlZJtMA79nuHgsGUt4FNCkzfsz/58 uEFQLrNaACsUegtAbJksD6zoZE3Mp67a7nc8m7O5v4ANZD4/vsR+8YyZh/B71D4jSD3V0Nv7MY6b ofPGLAhXhN7PjIXf+r75XyYsytDuBCxDr88eqZlWgCTHgPlV0J1r8TI/lrMkCOlvsmf2ozT4/UMc MluTnajGRzVRB+ctzleIOfC/aSnqOFjwrrfraXFkpZEARset3J06hLwK4t2yzEilNB69L/XGMzOd iynvKFpMyQC2ucJrknmVyPRoZixWU57xgJKHJ6GvmIBAb5PCyGTviWAq3thV8kAISU+xJhNJJj8i IPy6WZ2PdDeUYcRzUCrukiro2aok/C8XpxiEx1J6D2iI96d6wa6dyc2DCKJRXze/q4OmEB0Yy8uv G4o1kRonKreSOBjeTHCTVoPBLtAp6EwzP6tJ89kTpScZRWnZaamVFZp8q4rWtJdbSWK3spmiV7Oz m77LDZ1exeWcwTt8Uyfn0wfsr8NxNATCIonMpgdTXq8UpyAyVTtMJqTnpqR5anNQhyHzvqiq/ac8 Q8hUSUALj5ZbzR5wt7aw2+Ct8ll8vRp4/3kQFmPo/QWpYwktVwwZmGgQXpUiGKii1me6H0zvyZVR mrO8So2Vp1kWvvTssni6b4tIbtk5hvEMsdObI+/gH7K6g4omWPPGwmRvUgNsm9rIL600k4KviSci R74xO6gnMbpxW4PrLcBKdbbZux8f2ryMCXoYzjXJYnUNbozhNuCMk2zndpCYjOKBCzNThhFEO7i9 s5PYevt4SZ034fLsTeRKA6vBKBlamDdqicYvLy3sNwqarv8veh556XV1M1N6jy2xFmBEHA5iNsq0 KneA0AZJ0VBV2RQnynrfEi2QPZ9NSA09S/lQNlBZbbe5uyCMskxK7FFieJ8lCgpRHoZTJCKpcUoP kul0tFdk4aNBiB954dsZGqv433ru/49c3+PnCrf+4u4600bcLHkMw3RZuB6UD+IdPknMOSxnkal/ msK5PEJJ/vnqHMd2bL4mlOnkZP71wbiyVOs+ODYGY/6sYBOnvSHGEXXBU8NxAh4Wg6/QPlrOEUwD 8wrai6GmOLKhhRLfbAnqEI9DnclHCxYlBZVOD7xSAQnAxKDlURAHoJW9KDqrs6OsKh4xCO81U4w/ 5HbNgeeRfwNs1pVU7YOn+hbqbBZY78+Skc2st6H561gTYxJ6rMfknPTUT/4QUsrKsCazrL8UKYBy RGuBrPDnV6zUJJ2dGEE/tvQB7rM0cT8XCWE3c7HLeGBssMcXxX2awwR2onW9tRiVeDts5CvFrqLj zgP8ucNCghsEwWaK4UrgjPB/ciwGYrFuV4WW4N1W1jVe6j6iHzTUavhDcOE9vEwScEmMUmTmuJuG ZwohHI/mpcsfbHBrGVb/4ZoftCc+GF3PGVN5yHfehQjJ0SEyK4YHHKueeQB6vuWFq+jcJtQlZPbn NpJZmFWmNsWqMGI1IeWX8ynUXOor2KJYU4OyJBa8BbtLMUD1VqqvZaOjMsm778wmkVJMu5fQ1y+a 2KJx3TVzy2s1LtnE9eP9j72XHiLEGSVZKu5P4uAc4HkcXh273tTojCILWH9fMDVOwh1qxk2ga2/Q rmg73EyLL2nsmRAlcbM2i4EhXf2hSRnxZcsw28+uYgmTJ+OmmPtSSZzY+tNlbB+yC3+n2VZNUuH4 5B53A9p7AGO4Oqp9KhwRexHesg4BMKAqF3C5uv+sZY2iIGnjQNGM/+MrAumVUHz89rYaUFJvFKsn 6J/TfNRTtctE+bESUhoWO/0c8Hq4pSFWEuzCGdZZ1Bm4PP3DJb/j5Wfti2jdmIDMF60hGyIqHq4m 9Zylsys8WRx7dJnsvR4wxhWgRC0vPAShRsl48qauSyHAoEuStHfLXQx8MQhXWhZB8VZw3SFZcwP1 uXjUMUQJUw12WGBRlN4dlK+TiTKG2DX+nlwiAlXY46fGrkSOfuXxBj72tIBNXOWn1Qs08QBfDt3P HkfIAaAenxx62HwLLckqwq01K69wlXV03wXgNt9S0LxOf6utuFXmTMgJrjS9ho56L6LVnQDMV7/6 6AO9KJBo8BG8CWYLOtcxMloDVt/1TUfUDhunSl029dThVlwp2s35Q4IWlk5lFN+sjAy7bSdOsFpP OS32bfYEdJYUu3GAAZXycFMYFqN+F0y9IzfI6zDWimULZ9sJiLag17JPTPyEs6jKlKIIeFamQV8G d1cKUvNeNzs0WQUWP8QrrlCQVztJVpDoZDclGP5SRZfCC8dAU8uqAJfC4xw2Of/7RRaUjbjQQT/K 1I9OC3NDHHvyd9tqzRArNrXslMkfuugxo8L/B+pzdnDgS3ekwRjaNvT/AqCmvGCMHR811IkKA1ic 4Dhf+jLnMHlN3uhFQnH/WziOWkb9lRfnTlS/uwtsrHOZ0XBCQ/jx0uz2ZcQl03+ZwULdPE6FhC3b E4+1ePGdQYmZKnsaIVN2a3RGY1WyjxQYwolSbgHhDwLbJfhjG+HFSEy9Z1Zc6LsQtS8Y8F/nUm8/ y+bQRfhkEIlE3UY9BWkHvJGZbLQNOtOcxc3j74M2pcrVQZnedBaAddkOmeI00i31o0FouNLJRWSW jfw8/FzDtwSI/MMpNoDFlJPnUxFQ8Ey+WZebMj7mCuWNbk7XQHNBVtQlGPSGTd4r+bNv+v+sX749 jr/dWh2pKTM6zWuEVt2gZa1z9BpcpQsliEJsFpGE7QbyuLMoyRPr1di510GL8ze+yNm/YbEUIvGX RtJa6EkfKg4NTiwSyN7U/sBRhEPbjQZ3CJ6YKMMtvBnrtvm1YEJM/hCceVr5uOJ4Df4AKnrwtyxx /89lIr7SdNsvems2IlU0hwbNOv83Mmvyxu/NcIuj9Nlc1FBZi/qQRiRrvnzkl3Mbjzt5c2ez1ufB QSXV2ZDL3tB6VAp9/NHlQ3bKmC/T37RuI3ihLC80CP9FUeYyLqCkULArcCkywY3pfSeSxmBQ738K D/SPDNo/7+dhaoRhYTXrpF8yjqoX/Gd9WyaKmVp4hW14alEfo7eQXLVvrEikht7mYLfvo4f0j3hy CwtpUqMKmPCvw2+OrXFjOoW0dcXJb/eNk7e3LK5lgkFVOf6VdZh8pMSGkijGtpCzaJLAIQbSS+Qh rNpuUMYd2hlRP1Gnef6/XXcpzixOYeRiXvXV2kBtR58ZwGzsNmHlCwRLJDqgh8Okghp88+HFyjbh s03WkxqKexQ+t0+Sc1TyVZibuVe7+noYf+fIHb7OyjecpZTllupyAxlVpARskzHHEhAcTohQBl9S n1YhnKklfVMHyOguOG0bai8LmpJe43GgwTEPBRdQzMY/xmCLc9YfhGf1Kf0ZlE8pLPCjX2gVrKa0 VPCoDeOaLUTRui87RXPMDvVv9f4lOVMyeGbJ6FsU4tPJtWeNLFvoa1VbuUAzWCSmBNXEY/p1Xhg9 n69LIAsTd8k2Z6OiZDBh2ElrsP/0rhTSb4Uhr6x6bfTihFiOylSHdfGO/Jo8KrZc8oVotk67NiNP UupBL0UBGejO8mPbcXCYgzQWHMPLA6dHhm71C1Q5SOVrK50trNPWqz0+efSVt52BNLNqP970jBSp HupnrLB502/PO/NOBWs3VwlqsifKQso62l2vT5AO2FYJXhdsk3HuggdQDNzfWoVWUTO2aHQHVztD 4RqfQoZMwSq1fpsu+H64JMdVIe/QKYONwkmNHegnKXRDB5vPZkCF4mC+nKeB2scOv4sWoRJzzbbX zYlzhstE/Mjg3DtL4bZZ3EBgMpvVMeIwHM1GTYWmm4yondyvE4aE8DxWV3Q3HLICxYV/5D/XBu3C 7bb3yx707nPSX6L2nlLTLnqMg/35SovfVjjZ+6z5rrIzFf/GFrUyz45tVpXGN2WaW0czY6SJ7JnF NVLIEilpjEFOX2DLPHzCYVtdxHm2+dVXuaOQU0m7GUij/tYkOgjZEN1aRZLn+WBG2IbbqhpCtK1c w9P+JQiJw5fVvjTV3q4EyLn8kw8F6I23Od5Msp8nXVn/LfdSlcTDucmqO9WQhWWQVn2eN5Oizgpe sII+3GxNQ4N8nMg0qcLVFFso9RegxYBr4r6qYyYdHD3z+sJIKBRfekh6k29LYJkr42xiSbZxD07o BUFY+PL1Obdv+RXhXNXkOj317xEWg8BRoWIbuspZRa0zlw5+kVRxtxpRYhUIDjOISrprLFt0uyVw 93Y4mdMn/e8Jg818FeeyPLIb9bjbObRGcMQAytjh20v8Nc9Iz/qtgl1UkmdLWCHS21ur134ln+nh YEjYMsRTB4P5A0BMPsPMkjvtwCGc5J5sVFdvoAWWSyM08F5akKNPW+0uOEOtyzgOgHJ0k2NY/Yl5 2l3ko2KemfnPTKXnd+WHxDkKgNIpHIDbP7n/W7Ezz0d9ddVeCKckt242y/I6va8YZ1+tPeVpCiT7 uN0UHlJJxtXvhv0rIHuRfpYlkf54Ik3V49Tyc2160fP18ZXsKN1NCxO/5HOV6xd9Tii6JJ2wox3t yuW1iICWnqYYWW1qfNFTXXpjaI7IqJ2RS+tBYkXdyJVUAqrh5SCHOhnlRw7KBCWp2XQQBAy+vV0X fADEJzSp5dabWYahkpc7e+fQSKsayv9MQijNv83JX7Epr5mzmSBrBLjzE9W97BGH8cMd1LfvEokd hyFkjQfCo9R7tdVFUOYRxn1N5HzjD74bKSe+O/1FA0arWwKJHWKstItuFLbMtGMjh8RkA663qS7k aFpvbtyzW7KG/bf5d/hknRqD2QN3bx3nAhAhT/IIS4o0kVebvebjDGNBUnCYCk2Sb3Jd0XhprQv/ 1bwGGsyPsvSb6UeF7LoPIAym1zO0zcaGzH8qd/7EhOaLEW66nAEHSL40pFpSKaAIeY9xkrOzOBS2 npL0na27z4YGXzmVetFA+AKtuK/DC7ev8BD8sna4yNsWyJ8MwIRIi2JnKTUXwOJicDVUXv+xYWuZ mjvxj45qh3a9rQ9vOaWqbK6duiDPXtOV7ybEApEXBBVV7O/TNdblHm7vVTVKxTgTwShuc6CiUhfc dr7R9IGpz4uw3OBxst1fsz1aP8+lhEZsMpE4tw23aAd5U7i14ipwDDYwN371XSWEi0W231wYvaN1 4IJFpFAKcvAHbsNTBnkbYrxTBvAh7R6DrwZYynLUg4MySyFDqqlBcQtc6o9xVyxX3bLQnXECnWkZ Q2pvLJTO9DHQfnF6Ju0+edGrHmqrMgjqny0XXFlhEyR7DLSnWsBwiW44MPilI0KxJ4jeglFgu2I2 LbPiVJJWwCWWUy0yxgkZ296Sa8jNYex0BqIdzRr5UlsI2gPxSQcEmQWpmGxMZ8fgijxYxFPDBUCo sxIbEiV08h4kRkBvua8vcPa05N20Ri7jz3m6k8eSYHlh4FcrXiLyFcI9Vl7WJzNY1p6j6vC87ojC ZfRSOXmXUUu2GwFV9fFbo2pxNG6KtOoykygLS/lCvo5RLideemU52TCEA+AtWbRk2meOlI1ybrQn QRgsNj/oWneOESQON7CRZpigr4zUQ79mBM4KMZdyRM5FNtml7upjTH7GBLIt9svmgQLEQFq9pF1Z 2ihz1ut8fe7rhUoWHY8TLRiUiHG62i6nrut8fDVzerJjAAwFZMZ3Snm7KIvQwtQwTdaVMSwacU2Z Xef90hmlP6BjksqpA+VGcTwx9kwmNr13pSNilSyXcsEm7RDSrwbJD7uHJqZ5xLWYHGiOGQuHkBZa KVi6gKykGasRAu9W58GnHP42UmL/lMzjHd76SiAIGID2c7R0aHR6lXtLqMYjGvURo39pql/JvP+r UQzSYTOegpc9dBMFMZOXRx/mpsUC6UMt4Hh+1y/FmviX2O+8c5FTxj2Vg48KwvGL2bSE4E/szgBd xuogInAPbxMcdqCMn1ZQUYL8tEmGeBWbSsglkpruZkvMUNMxlRAN08Stxnf+BdnxFEQSrd2abiQC iAD+brgWD3VhAsAQ67DQE+P9d2yYpoC0xs25N8GY0p8x8M2UsczfCiLT5osX1YBDSdyar1zEdHz1 ahGyYG9/Pq0BVvyhVW8OtZ8SRXT4HQghjH189DKBaku89Sb0LyD4gRqv7Z0tQ/mQVksPu+x0TYPr sbWXnPNZGY0C5TenDYuWbaGOnhdwPKbqOOLnPXRLx5B5WPPKf+ZfwiES9J4WmBGLjBVk5KkhJv8N nkotHjZo1/tQvtjs64/F5FsaI9dWGlD3ZA0Q/NTUAm7iKZsmMujvsVoWsBD1GPSGd4WtDtZtweL1 blPZtVoGcrc0IOg42bXRNyBURs4HfzH99AIy07gOhzsWve20YuqZQsrTCTC8PXdVZLhIWAp5pP35 yyur9VkWWiFr9iVX3WiwKhLkPU2W0cj/W7rf9QrvWaJ9qBk1xZW7CA8/25m6iBkT1l7to/H58Msh iJfZM9S7k1TYFaqofOcqyScaS+gaMCpZNUY3Ygs8NUtqwNl84x6Cd/mg4CfmTA8MaDhpO4g8MjH8 TfwEWgb8OCbn4zLCRajKlxSgPwG19nDVwWqY2vsfpd37BqvZ7EXpYd1vycrXr9nHUZ2ORCkMTHZR FO/veidYEGwGUbspd7TY2ErDiaDVi/2nrMpYTDiAMu69vzgr8PvW64cFQ7ZUM7b5VCE9EeAST51Y BLttFWZSMY/lWMxocnxRAV+A0ObTMjPdy0k8mnraK0lcQoSFkiCNOF6rtlWKORAo/pkYj0hy/aWz aAU/SJ+L+CJW4Diix/zEC7tCYryIIfAPivhbBmfxklgSaQ3BhTVtZgS7EBwhOue0nG9kLtfZY8GN CFv3syEdoRROnfeVhossyKnoIjqmc3H8dLHXr3k3zwBklNLQzNGvXpnA9r3+gUcnfi0TSj+WfUOq agliSiLyul1L/rfc8Snr6XUYh5J4XncsSvvDtX8OnzztjOZyoBEYLwjFvcx2wPq2II76w1fiHJRy 7TQyD2J11ov/fJp6F33MXDz0u9SLCw7pi1qCKTO1DBApnTHaulKkc6diN06ITKGNFr73eKiB4QLc 7BD44Ae/1/zub78ZoekUE+Lzl2xqGBMkMVs6sl/xuQVPTcXOpHWadjQ0P5o3t8eJAAAlyDGBWOyl 63Ett0+bHFpKNWoTXVt/734ThIXYXbJ3QeiFl7G7oFRCnGpi2kpbi99DxdCHrr7uuhOrsYJa7Uyl KTDj3hT90vW80JIoK1PsuaaHb+B95pXqFwwWEMpFB+0SklmVcGpAu6Xtb1p+YOmIcZ1Pp4nEyjlz plxD0VtiYismw+BNDcs+OEIB9mL86DRW70RM7iXhiIJtwtjFE8IV4BHO9HEL/OveuEVe8Hal3WMn qVyXBwCt+CrXT9R9ciQ7zNOooIh6YSY/tjYhj6LFuIEKrVGNP1rDWtnlWaNJ/8BVlS3BUVkdR9BG sU9epCXYh2XmdlvtpEvrOiqjgxTXT67tlqURhUUqj8qgx+k+4AqXoYvMaex8hoQnUYi6JheOxGh3 X8nIpHZE/7eO0+oa7Z7P+XtmH9VVLf8+RcMZqHDhgxoEz8CqwNrD95SSN/x0GSy5A2GPFVWwjvgQ d3OxShOcNKYvJWHbu99MHt3sJ+SycJh1YQeztjf7ykyUcQ7hblK5Z5oDu7QUHpblV3AxMt24+Q0G 1EE/Os7P7SknNw2TuMf59f2y3nLCPdSqdWezD5NMETaG1SylwWc3C99R3IFbTaiutTJF3YACx6yG e2oTIEPwQ/YNL0cDsRIEwMC/h7qp6LQUQTN7d6ZJulH+6pHMbd/G9y4dNLnlZAcn+A+EFdb+aGo0 4QUR6TACb9Aka23a3G099AZRYFNxsejGZcuTCtJXCtcmTAt06mxYGvC8HIywxXKwDtg/0tODoQcm wlghd/6XTZFIUDqsAsj2ETbF/vFSr4/RSPX6ioTse7lRUScz/rjBL/7xbbPialIv2Tb0x5cN4jsN dPuJQ+0XhJtHsfnoUxIkck79A4RQLy9zpI6YIGmmltVW5V2sv9GWO/mslgWlB/i7xFxL00bIDLQq h1x/PXka2cC+6n7Q905R18IUWjc+xKXoPj5tESpShP6ET3JVo9aIEjgDw8u/YZp5JiUxWKR3z/tq 2SkkCo5HVWHDWoQYDsBqNrvie8fdK4dCMiBkg8/IHSQHUQdiINbDd17oT3jWOy3HN+gseHCoH90R NoHepctyisO2ETWH9BfIQyCQV2+b8ira5XDur++Rkt2i42U2lyfI7kEetvjqpgfkCq2CsArJRH39 M1Ns8jLY6MxJypofkLbn5U4SpK/Jx0KVUfdxOv+TJvHAJWeHsOCSbjx7FjsAtPJ0PwAwC++D5r3c Ja3wgWd3JaxOAqDCSgC4jVJmD08Hxinpnq0/4e+7/wQnlUnpBAws/xLUBvAPbEXzGNaB/VpLmQIC huahuOZ0mjS3qC3RfFVxmIURVPyw/w+OCM4n+/K07PUAJxhxJfpOC2uQoqogjUM8casesoGhlumP gWXVpNt6oxtHeZNpFpC8TnYOe+Jt76pKNlNKKMSx8Fuuz9n0RS4P3EiY0NWllzHPFEZcRxiRkyBI jaSb7vrTD+FsVWfpUN3IbbavlAq5oXmVPZxHtHyqkAEmiCIJYrciUP/g9Z0HPSQhThvervyX4i1Q Om6Qog0aNkDb0DoR56QjMnf3QXTMiPI8uwtlt+NanNCxUDYn7RMjEEVxoPr2fRrSRF6sYpc59F0z 7dU7ROd3nSU3nMahxL3cOVkLTJbEi+jC1jmiyCHcQkOQwEoS2WZt3rpu+7molD4ZfLu8yxJDdnCq fUaEQpMKFvmSAZH3F+yoy1JjdVmklcrd7k7QagoKKiiWG7D96MTbDl/jvCx4W9ADkVNcPZDAxsKc rlz+pVxLT/yPdslipMM8+0X/+TDTMjVA5wb4fJAOuk6FLS9VS1OcHTFl6OfG7zOuJW1QK5BQ5SAx fcJXsUtakhfUrOmsPBdPirpgUByzJo3wxaYJiaDoGgFcLwOCeolTiT1TV5ZI+5d8026/CXXEv+Ds IIYhWKr8uFGYMWKXBXKToaS8+hQgDrqs8247YVB6wQ85KLKel3KmucDpjgzDs8hJNboOliQEagtV e1CyyanAYCcNN/kfCcIhxY4cp6euFGcfp+I385Q0hsT4+h/nht5wlBXl7+HTpaG2L2l+JTZ6ms4n S1nvcXcjqrOTs/QS6boXtcQMdjpw5iBBxZP5+ZiMY3OTpMEJ6zDw0fIUh54LFguNuh2vwtCm8ILh bFI7kFZzryUYDGVoUg3HY0ArRqtwuZAmJILOQT2h5skaZGn9BZbX8iaCf0XDQ2NnF4hvYBX00GMW 0WRKUbAHEjoZSpaYLCWyaRzUbxd9HRIsRp2rPoa/q2p6SIBeu4e0bDYEegCjQsUVDBOgkjc7CrX8 zHCNDTzQbCJfBtWlBYaLX+DhP3X1cLJcvel9jY1vXHzkUplQbIUKpkaxiOAEZEiR6bQQPAUHHlWx m9D0piZOOxnR/r9MV2ukryyeWiLCefVYCovmBfhsZ5wUWUWpkVplsrvNC1+W2REejdsN4UiS5PP5 kH+yPivaoZb6KBKLlX15zhgilYPNZY/Dje6IcvTAcsv9bV9SwsPSvhWavWCP71Q69eq2WRH/I15T CXQkSJvthOmu/GjkuYougz2+F653+vhGjSC7qAyn6572oFzraY4x/VHYWiykc3F1y3KoVEp6ZUw3 kbmj9zyTxoryVKd8wpcdifeHZZ8hF6DSMjRYA2DlBcPE8msuXY19BZAoZG+lZH+TzbhY8M3zAkAa DbT3hH6cCVZLGKC1jdhVfmjYHc1sjjZxcbSnJRvSPSDefLfGZjjXsSCXRjYaOmcvAPLZQkty20QP J9FhUXd8Yhmdt8Okza/jlRfT3aHRuTMh+bFvO5iA4MIc95XdqoVOgm36StYhqA0PlU0WP6utxIxT 6eJQpG97BThVlAUT19iZEmPov85pNBG7tBTbvv/i1nKlEgFUznj4ORHGUKAFNGB0NVCkT+ApyPNH Wkk/vB2gzyoH/ZNHFqMXpC+VsbApAOAtWZGyPZeFxXbVL8H5DOY9txxwS2NcJS/2Fa/CBQQYguVR QrWNMkTFbN9BbeSARfrV6QlBdu5k+gFrW/X9fuCllsBwCDREdes4BDDtukmSykIpNpbXd9kLi/wP wb1gAEpuxz5WJniW2sEN32uTya9BF22HhoNVgnHpBbZe5B76gUS+cbv4tbF5gLf9kZSLzQSzhim0 A16oMzDvUL5Dd2KONGSEkumZ+j33EnnSBZ8XQ2SCXic6b/rHSB7A1RvUdwciq8AOFLSeCQZBdJ2i MXb5NgZSdv6q287S4/bIESCahdDv9YUk8v5O3rFBiMLCRbeaFNvaDVQl542Nj4mDkw7vkGq73ogc 0O91SQO8asgIq3F5NDXqq4W8no5LUR66GIrBM2ZlBGL+nHzP8Y//3mRCyiMGekJFZ6G0PiWVEzIz 9SIfrss+fndVBmC8CpxnVEXAPMedIyMgyl6d67yqL4DQ22F0FdOjwodPyWqQ6ZKy5YhveSsFDYld t9t9cOLJpwAa1VTTYZJk+SiBqUIodPWuhfGiJVgx8IBqzxWWtEypEW6b3lm8bmzNeFdoBGG2bilM aN/Yx4zc9gytmeKHY3vby6E/dUpY2O30r6lVyO70hGcPcNfVtKUaMZ6Tdjxu1r0X1xKq3l1mBqA9 qDZ/PATDvzFTLpNTOPtQyNG2osSMY1jkrMY8xSZ2g1k3GZcLa3eZ3ymZ6o82C6R0FOXILYBO13zS vYEcdzvOTsjkrcI2KM6SND40vMrqsocdpiPLghRpuRKc3muEWuzovlPtlL7VuQ9Q7FBXCxICj3MN kFAqHSXa8ah/spEB9QNmaQy0JHjPPL/4nM/f7yW1hN1ZUvh6Q7riPlgdKkYeeGb2Wg+16LZbQtFU 2C0JK/180MA3AoLQUXtGRRYq6+0sZbTO/9HijEhGfLCzvj469WrJIPmUTSK70nPRoDN6zk4rw9MS Qb3vFy854YVfj7ZmhEToLkKKVtlpUBHEUbeQ+y4GR5JLnGJFcSr3dYW1qyTBbtY66JsXvkwQ5NI9 nJ4tUygQmIcrZxWYvcU+Rs4omQok8qsqFOHut1hKmTR05BHeHQY1jYZTe0QlQ/au65h1r6Q46qLA sDz+0MzXHKtDDs0mKqrGTmsKD21lg+Kb25691JVK5/y2z1+vSgibN9KPLwoV4i/WtH3AGLeWlwKV ZCcjhwmHGpNWREfTjTAjf68m8lKb9TCK1wtUV7q+V9mv+3mA5LZcV0h3RG+n/CD9djf5DhqZ4i23 ky5lPhyoVejQzK1xG8eCyIuhJsPV0AXO6BCGndRdS9YCuZh0PANzgTe7impYXyu+Yuw+c/Q4coVh Ju4jM1kWvfxMOZbPMxTsBpsc2oQafOyBXAwm6UDUXml4YOO3Y3eTCivEHKddTYrGgrYacDZqqhll 8P+hmBuXMhviAF0+sBjgIR/7CAxCcrCLXV3is5wOFgtYPuwZ8FBI0mlXPmy8TwCY3nkghPYij84w +HIFP+utTuQfpeZgl6TuOfclZAEGYZYBJMsLc90ijs6d7Eq0jtkAHEE5/0JJBmGZV//xFmuCam5p I1LnI7tyqSeKFsQ8y5A0WD2F6kXifxjTRHQi+ALATLxIzFeS4OMa3e+sS8TNN73/FpcOdgnrJPbr EMprROzdBWHISKPfGW90AzcrLJzYvONaZSdzGk8PWHoZepKRW3/bv8QXE7bEAkwN3Wptl4nMIwdB 99AKFc8/Y5voQUURvkQy9wgaQn7qko1dXHG+IVNnhhdIpPvHWWHTUP4KLr4lpQLRvlQqN7RbYXIP qG4gel7hkWD0WvKR2fELGMWZiJ0YwvGPGBMEVFKmVBVpLopR20IsPolhuPmVMXZCCfmf2XJZiYVm VEm0eEbQJWKhG/cxHGqbkvAdiSjE80N44FW/T0LxvuLj+jxMzPTk9DKfu4DWwF20CPS1XQNbfvUN fYvx4rdO3r0rO+BuR0z/vHZ94B1THpGmJu0CPzIWdGPdAAdU0T7BR7b0kAbiATnQ9Fp+lBX/GQGN IRHer4R/htBEOg/vIPWyfKUvy6iieF4wzYUYZWjKZxZrlXQ7PBAGUHbCegu2qubI9BQQdDE966J/ 0KceNFnKxsl0Lc9wyliIVIslSBs/iyRLlNSoS7jdCD68p1IoqkKbm50O2WKtzzAxEd1v0s63lild QpxZNfYNKDQT2ZdO5ab8U408wl4PS8zpIaWRAsI1/UyY7wrlCq2ThCAqSFTUj582FjmuwZHCeqUB s7/25d0vT1VJIAXNfUFhXnWMV68bqvWT8w2HwPqes7csakQfcd23OF3yC3yfA7PjOOjc4SLRs0ly /X/OkUYgqxs8RsRM8XiOqjjwmsvHQyzjAVDKhxns5Doz3phhBGGb6+Giw2OgXJXSEVYQ8yxBEThX FMP+Q1hjW0UA/nejPUgnOY1C6wG7wRgNBbKRhnw0LkQ3Admpr88AbWbx/Q/mn4Sm6EM4RMlANaHn Ya0GcL0RuRW95H7GcQ== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/28e93d3e/hdl/src/vhdl/double_synchronizer.vhd
5
6181
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename : double_synchronizer.vhd -- Version : v3.0 -- Description: The double_synchronizer is having the double flop synchronization logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: ------------------------------------------------------------------------------- -- Author: NLR -- History: -- NLR 3/21/2011 Initial version -- ^^^^^^^ -- ^^^^^^^ -- SK 10/10/12 -- -- 1. Added cascade mode support in v1.03.a version of the core -- 2. Updated major version of the core -- ~~~~~~ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*N" -- clock signals: "clk", "clk_div#", "clk_#x" -- RESET_2 signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- counter signals: "*cntr*", "*count*" -- ports: - Names in Uppercase -- processes: "*_REG", "*_CMB" -- component instantiations: "<ENTITY_>MODULE<#|_FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library axi_intc_v4_1; use axi_intc_v4_1.all; library unisim; use unisim.vcomponents.FDR; ------------------------------------------------------------------------------- entity double_synchronizer is generic ( C_DWIDTH : integer range 1 to 32 := 1 ); port ( CLK_2 : in std_logic; RESET_2_n : in std_logic; -- active_low DATA_IN : in std_logic_vector(C_DWIDTH-1 downto 0); SYNC_DATA_OUT : out std_logic_vector(C_DWIDTH-1 downto 0) ); end entity; ------------------------------------------------------------------------------- architecture RTL of double_synchronizer is signal RESET_2_p : std_logic; signal data_in_d1 : std_logic_vector(C_DWIDTH-1 downto 0); ----- begin ----- -- active high Reset RESET_2_p <= not RESET_2_n; REG_GEN : for i in 0 to (C_DWIDTH - 1) generate BLOCK_GEN: block attribute ASYNC_REG : string; attribute ASYNC_REG of FIRST_FLOP_i : label is "TRUE"; begin FIRST_FLOP_i: component FDR port map ( Q => data_in_d1(i), C => CLK_2, D => DATA_IN(i), R => RESET_2_p ); SECOND_FLOP_i: component FDR port map ( Q => SYNC_DATA_OUT(i), C => CLK_2, D => data_in_d1(i), R => RESET_2_p ); end block BLOCK_GEN; end generate REG_GEN; ------------------------------------------------------------------------------- end RTL; -------------------------------------------------------------------------------
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_occ_counter_top.vhd
15
12619
------------------------------------------------------------------------------- -- $Id: pf_occ_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_occ_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; By_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1); almost_full : Out std_logic; full : Out std_logic; almost_empty : Out std_logic; empty : Out std_logic ); end entity pf_occ_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); Signal upper_cleared : std_logic; Signal lower_set : std_logic; Signal lower_cleared : std_logic; Signal empty_state : std_logic_vector(0 to 2); Signal full_state : std_logic_vector(0 to 3); Signal sig_full : std_logic; Signal sig_almost_full : std_logic; Signal sig_going_full : std_logic; Signal sig_empty : std_logic; Signal sig_almost_empty : std_logic; begin -- VHDL_RTL full <= sig_full; almost_full <= sig_almost_full; empty <= sig_empty; almost_empty <= sig_almost_empty; -- Misc signal assignments Count_Out <= sig_count_out; sig_cnt_enable <= (Count_Up and not(sig_full)) xor (Count_Down and not(sig_empty)); sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_occ_counter generic map ( C_COUNT_WIDTH ) port map( Clk => Clk, Rst => Rst, Carry_Out => sig_carry_out, Load_In => Load_value, Count_Enable => sig_cnt_enable, Count_Load => Load_Enable, Count_Down => sig_cnt_up_n_dwn, Cnt_by_2 => By_2, Count_Out => sig_count_out ); TEST_UPPER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable loop_count : integer; Begin --loop_count := 0; all_cleared := True; for loop_count in 0 to C_COUNT_WIDTH-2 loop If (sig_count_out(loop_count) = '1') Then all_cleared := False; else null; End if; End loop; -- -- Search through the upper counter bits starting with the MSB -- while (loop_count < C_COUNT_WIDTH-2) loop -- -- If (sig_count_out(loop_count) = '1') Then -- all_cleared := False; -- else -- null; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then upper_cleared <= '1'; else upper_cleared <= '0'; End if; End process TEST_UPPER_BITS; empty_state <= upper_cleared & sig_count_out(C_COUNT_WIDTH-2) & sig_count_out(C_COUNT_WIDTH-1); STATIC_EMPTY_DETECT : process (empty_state) Begin Case empty_state Is When "100" => sig_empty <= '1'; sig_almost_empty <= '0'; When "101" => sig_empty <= '0'; sig_almost_empty <= '1'; When "110" => sig_empty <= '0'; sig_almost_empty <= '0'; When others => sig_empty <= '0'; sig_almost_empty <= '0'; End case; End process STATIC_EMPTY_DETECT; TEST_LOWER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable all_set : boolean; Variable loop_count : integer; Begin --loop_count := 1; all_set := True; all_cleared := True; for loop_count in 1 to C_COUNT_WIDTH-1 loop If (sig_count_out(loop_count) = '0') Then all_set := False; else all_cleared := False; End if; End loop; -- -- Search through the lower counter bits starting with the MSB+1 -- while (loop_count < C_COUNT_WIDTH-1) loop -- -- If (sig_count_out(loop_count) = '0') Then -- all_set := False; -- else -- all_cleared := False; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then lower_cleared <= '1'; lower_set <= '0'; elsif (all_set) Then lower_cleared <= '0'; lower_set <= '1'; else lower_cleared <= '0'; lower_set <= '0'; End if; End process TEST_LOWER_BITS; full_state <= sig_count_out(0) & lower_set & lower_cleared & sig_count_out(C_COUNT_WIDTH-1); STATIC_FULL_DETECT : process (full_state, sig_count_out) Begin sig_full <= sig_count_out(0); -- MSB set implies full Case full_state Is When "0100" => sig_almost_full <= '0'; sig_going_full <= '1'; When "0101" => sig_almost_full <= '1'; sig_going_full <= '0'; When others => sig_almost_full <= '0'; sig_going_full <= '0'; End case; End process STATIC_FULL_DETECT; end architecture implementation;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/f2a44852/hdl/blk_mem_axi_regs_fwd.vhd
11
9545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G ZRLY3P3SMg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I esAqtU7bjUww9e//E1c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+ yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF 7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL 8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw ocUb/yBYwP6SS9z4Oeg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6 EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328) `protect data_block f2EOJO+2G8kQ/UxBY7TFfEQE9sfbdpTGAqtjOReNFOPxGANIBBKOVp/g+0kGq2oYqofJFR2bmOM5 zgpygDH6Stqb2CV7spMnwHSaQVIUHOFh3AVs3pKgpsKBX/FfAz5A/C7e3pFJrHfb5CLOy/wOCC9E TomOZ4Y2TJvssfXLD+DTgUrBJ1UoV9BoiPBrck304S3kFolhUTfrpoui7EX19Qd9g/VLWuNNat1Q xDhFGiRDj8bQymh5+QziSSyyVZdAXu0Q+7ccD4/+Tp8YmXoz/qve7udGiYWrjFf+ZJWn+fbVmR1e mPXIoGb4npaCV+QdTbZf1ia4GXVaJuVO6BDHk5UvR6TV/yDg7gSGWRGKSE5G0K01T9eFosmHS7Ga 7N6fo9KRFyuSewy60P0qfIzaOVT01b5Hy5eCzFg6aqiHafnKqsjN8uAZICqkwYDx5bIGbvtYtUo5 ZdiO+CQ/E/KdlkWElSDbGusesR+/DN7xqdby+qRsPoOGgnvH5aMXr5vNdQFWGa1W8TOAcO5zbonI CaUZBiYIBvR3leddWT5OYZgZknbWnUe1PQ6WPasNYBOjgRgLkRSp7bAYzAC8oTi3cSqSf4uKL/WP msORC9hO52Q7vdKPwtXzKt0RQN0OG94uSBSBK6OGtD5RxlWBPw4lqXVrFsF6vXi3BtmpWVNLoFv7 lm51+1+YNxgmOHbyOhNZodxNLQfvch8PnOFsDB6wTdi7ML22UzLsiEzL8+4X4dA1iURrDlAnBXPV mn1aLz9hXAsWGygPiGJKJW7SkAe5szVqONVQuRywymsEbNzaXP4EBZ52oWqpskYSZroQ9P6s429n 8a1cWFz3RJOFJwPp5penBjpatN6RWRP1rBkjRs7xfmnD6lkHrkflDjZmHCxmPh/l0Mq8WKGXgDLD +c1dNS1jWJTRNH67uc1xHWB96kEYYr3HEdWUAYSfWwgvOg1I0yvaHbOSBRxpFWl+KGyp5PaZ6nMl yiMHaTcz7TXsS2L+aQXSRNWFJRg6KIMxJaEwmK7jtk4Nd13nwHFyCXdj/SOqsrwJVxQan26JZcTa 3W8Lmj4bh4yVDF/qANzuwqEgLK8G1HjjDScwECBLtbg/Z4cyR+1vsVrdsSTZfgfC189hnGMZNc1Y BgsIK0BhnGHSmdY8OP93VL/by/GuqntHem03gL5nUs6GSX0L9nttJTjea78WKSofPjEEqoa8McLh gCesouDAsr7DKIuWGNP7ZG0+f871IKWn8/2SDHh349pUBLT/1TN4gQkNVMavXGbGV/kO4d5fuKnZ ybzUyoJFKAgWyFldEzVVE/PNyQFv08LlOFKOGb8u+jeQ8GrBzBzHSq72LMNLVRy3Zi6FcCs9l9Fd zBa5t3AUUGeoud5KEX/CjMAo1LlHDGVKtpmX+cP6we02ALC9A7fiItBUCVtAQJ59dDE5SaZLWBFJ UpZlq1Rk9ZPby6clGTIb+Ukcnxr66Amn4Hx+8NsiU2EYN9AleBkD3Drksvxm0ILd8yZd/MQd/Zef QkVZr0lq9tUkQlrfv9AL/eT9pVnyxXLq7oYbQ0P7TsrSN9GbsrE6XFLpnEgTmat8/ex3pLDa//0R OE3nlBwTrZmXzMVMMAaElClRUwGq7SrHrlM6ynl9sbOFuBuvUktPiU2pHDq9JEgke8JJJ+qBmexD rc0JJsv39ZARR7GtPxi4uryE+ar+lagrg7Cp9EH+55opeubmZC0aTQ1lRlcmL0uk3mEcqg4i3A7e 6+GT8MoVJ3hkDv/0AM7q1JvE35F/Pm50aw20Xmhap8/Wf71Y4+k3pcv7PTF619lhmF+d/9NOjyiA 4cXp3ZsjNwmKII87Wj26esWzatXsHOES3J5Gb/tasqFR1CBmLRLQRpI0vhnQ7U62eP3taNv8fhNC Ph1wZrEaa4PYMtkmICWfhiKRXh5sDWBB7K2wUl5IVorUuxeFzU/ZzbyG25I9In8XLMqeGYq7RtVB 50cPVX9l2+RWl4A9FfpviKm8uXRzTidqsqD6NL1upjP4lPOWl2V1KdkMZ5KzkIKVBfTl4iUNqPl4 yJQdA3L8zk96OskOfVqT8vVlmlllwoAzq2XTNVrVbbrSHzPI7n1HdrTI/9rWM2112qtvd2sQs9/0 evIso6cYwrY2rag0OSAc46iayr8lZGl8EI+LzvLk008uo+Yq60nEi/jDXmEeQr9W7r1BnAzqP0H2 AMItYT8udCR4uX0o2dBt/dHbC/lgWnsNRB1vY72udrS860UEj1LkX2MeDQJ8YBjSPlBp8M5t2Li4 pMGNAsFGEYiG8lsIKWUFG2+oES3dh4VJSWw6KIuKQZpxmcLfRZcBVfWSDMhO9ihvK/Zc3B4jQ7GO 1yicdZusY+3V8LH8/l9IHsNxOt4SLgYu+G/00Tbc0UIEQwYI73sWTVo0yqDqrvPgl5wyMYu6899P +61rLb+W/srNww2Zk3AW+owwa0iGw/WFvFY5LeR3isnoQSTuxfgmd0Jn95xZzQW+crdQqqooVLCe Fj874sILLHavLcz2W+4UNgiVi6AbQa2WjG8tI8HTo9CsJ2+0RS03X05GThOPHgLDJS2WCtB7/noO LAM+i/KEuEKr+8wnB5i1M7CSHFMwtCi+t1HFfUnnpnZ25SD8SEi031mY55Vhf9fs84GKNTEObRhh M+q/K1NNnhbJiY/Ndl/eW4ddcTumGQgHjG+wBRduOQnOp+j7XYk9u/ARaA/uJ5kuZAJNKh2uTM++ jcJ7wm78RjYqBGPoA8LTBvMD1YP/SAWkUBPU5MZ6H6226+8jpUVxP/+lra3djswXVY+b61jjHkAF /SVVw2WfQhOlA0sXMGVRXO6ruV3bHeyLYdf1s4KaS68lbDi8nhDbaB4MAAP9y52eTe+w+u2qS5jG lDFra+hjQvO25vMQx7rxsRf//eGv9Cpf3BX9QtbACzdc0cY6ixUsPGzgUS9sjc2DLXi1Zye61k9+ LuFECJFUrL1stiXnnt/nSCs3pf1JZzurb8bTH6Z/59aVmSnVChUGjgICAeIiu6UqZ+8yH2Hu5Ydb kPBPXScGiHgk3zhPc2v9pJ7LAWyKZqRcI1IcjF+KF6IKNbE7KSVelbrHCsq8s/p8jWeFbJ8yRVtk Gzw++bFWaoLsRuzz5zr3n0upbfLs0iAgGTGA1YqpO5vmaCpkeV8QRDYpeYfjFaQARZSNactFZRxQ Brrfdr63SU4z+i3L2QqxrqY4zhoK9uOiWomqXB5jW0eSg2JAPQEg2T9PSos/yYWLo6uwFYZTFFSB dMtswUNGPLe2VGViZLv/V4vjfksm+Nt3N8oezq2YR53Hj7bdo1R/Qe9xZYPiNvr/GCskFUFsm46O uayVk5qQjSNPv3X1VZG9lwChD+b+w+ROWyKm7ruE6bor5FyuP/H3IPGvQMbveB1hd3QiZ5UFpF5V W9TncgJ2vbwVuoRWgBmyBkV7GSxhwlk8CUJluGbkYwq7wabnlpXoKLy+blQwQh0xIIUfd/poHWeg KGW7Osc/l3OeEsrv4kcsvtNV4uEqr03/BGh0vEnwpROuDxHqqgftdDZ7nHx1CisvbIKHVYZhEQeW 4NGvTatEt6YfvRktjSOD6/PvS+2yjhfkdTwMEd2Lrin+0rD5zvCktugqzqUtrBRmaHSwI0qn0X+p ynf/hC5W85snlwfYH8QVtwdQYtNbVyhD3uSVLoDx5rBnEWrYxqbERdDpRck7RMhg0uCi3nQnK3SJ z+6oumKRZZnET0Truw26VtkbVnRryQVC1NJqOehO6plRxN+WxIdD4p09c3fFimfF+3QVn8/NBlo6 vYuJABDZ0wSPbWbWMRy6irYs8113zNIKZp5e9SAGIi/4Tg6SMBLG0qprCJPsuKFZsNWR1Tg2hdfx PhwSDwhzd/1w3zGwPi1kc6ikLM5jNIRMBa7ddWPSWKVvJRnw7EABQIhqIHoGg3Vv95XYcFgPHjQs 2AwmxS19fW1y1X7SHfcRnB7Ue7ReXPWQnYvGN1yKZcXgSoI8G44/aQgHeQXWc+5kKXleboVCbVJL sUM7FgA5mf4ciaXfzHByC6WXLU6vGmZKoNUvVIS3JltyuUdyNanrIUhIfDrCGmhL5EU0DLwS+vKG S0VdxMjn7rHyz2YYh4rB5OvYZRPQeeg74knsBZ31Ie5BzbS/BOuPok9aiZRNpvsxItR693WY20kW er2Wdl3twHNp3lgmNkfgF6XOU53AIiVZ59+8nMvOZ/u27BzJf/G7rjrsRx1mZxdsJwV/JUBGpS54 LR70VE+HGNug5DKfnCWCs++jqIagowXk4NVd6gWlOvt6e/CytYLJk7ug8NlZ8VFGh9p//bovvdlN rvQgoCJjH17UVkP8hV3C/U2ur3ygZA5QpUivW6u5mZAcVvwN37zTL4SSRKyqcmtUX9bUysnS0pnc Dz/KMKex1bdd3NAut+W1HJYj71MVjqdubh3oI7kQf3q65TmKhBfkIYr++/P+hKxXwIzbJ+joE3+g OeSLhV3USebcQMxULIJx3WZviJGsEKDkPPfRV+ftysC5qtqoe3QiCp3AUnhNRoVbdptGxg3PWHsO 3ahHAoNbtpJsw1ikeyeAZRu1sNP4Sm6Jp3atJYGuM3ticPOgew2dZvhyFjPGEi9wMMIapWcs4ICN ZzLaSh4DhS2QONeWWI1ECQmDBMXy8qmtO+0ls3wdjTGhwGYdyCBNZgniDuJR72Pky4Rreoa5P5PN zPg3mMGN4s8xiqSCfrXuvXSEWJz6kg7enY7ykMoQwO5FFNl/XnTJcth/yFPikeY8kbv0M/fMqFlc /icQAWna8gBbq5osO3X6AbhYhSjYQh9pWO87ianL50cu8uB6MxseM+0M7y7Abqxo8Ruzvm5IWnxg Zm1+QUetMW7EbJb/svouhQmC9Y7bFhstSEsXcB18GKi19of8vxaYNIZ0g/6CX2ekyfPAKMJWk6P6 mjI1ibiYPailOTFzHj8vq+1q74H3GY1dln+xb1LIXHHYlM52Nnq+P7JVGHKGzPyl66f39IYjqV5j /wYcBzCYiP8iGmzZEqj4hVSPKmSKK9dKecC0V+OKkvBKOd9LYhySOsEGxTZ14h6LHc76PQJW9E4F 4+Q/GsI6yc7YumH5JFWL5lH27zqx2Vu+k7HdtvBB16lBEX42UN6QFAFyLvKHyNfXAqZ2/faYimVh d904jBAjiznnXaXIYoWEHa9I3vHk0Xv85leVB+3tDAI2SURv61SEetSZUfWFooqYU7vzl9g6yKNQ 8h5F/uAwVrHs0IL6khptORK4js09lLPMgVM2tqCRsYDDtRm+7xbMwXfJ6EKTq0dKVTXdrOmIvWYq 8T/E52i2+Cfez5WYA9P6EYC2kCBYLwRq/0ypsMQzPwQPJBjaeVkEGLC0VzCQ0Uwmkdcp1TTO0Odd +TVua8v4og9rxe5N/V0/CP207Ba6JkqlXAGKSoq129dE1zOCf3HezfBDgjOUOlQw2SZV0DA+Irn/ rdMvpYnRQujtwCNunBGBtZ15Iqfbf1Sk6Kf5aO+phTXav4k794u33W7OoRlSxf/FtHtnr2D3TdqN nU/JzqiGaKjpH4WL/P49bNtRDyS7skpGqaJboyEO6NF+ZevMfOqjQhekiTITbU3zmPi99/T/EgRf CszTqn43HMi20z/qmjWCde5AQg5nIVAW/HVnhLYpbOPDX9252jidlzGBYM/SU+bVd3cZMlXqFSkF zlElPzc+OpyJckZCsJdT9U6mOK8HC2XVJiHgqNnhYZAGw8Z2Bsfwd/4wsHtFwKlTpqWHRKtYvN4T OGB2Z7p76uDxKtjzVs/mYAdg7vA3LjgowA5Zx76gA5m1LmSJEzr0a/f0OCwApJMtHw19RP/D0Ehe azLUKqDSofX69ZI4OEJ8GpQjpFUL1YqXekoIWBWZX5ogSKR0GiNwKJmLDfcCznUIGVqrJpQhljHG RpbLdc/Md1b1NGyFqahdbCQpPDoYdxE+pntPGtD0KR2iNn79RRkVg0kvkC8BGXOvsSek7S69HQP+ ZlKOwO4Pj5DfcA3FvtXc3W420TAicx7a2wbUq/mHwk99HWcaePNZ3d2p8JY7koOfelMVi7eYB46k BzNv97Ik1bgoRcEbY7s43JqPafKbruKq4huDUaMvKkFZV+tcmZz1lTTVxj7xyvj6lR2XCcVFJpuI gU8tfuLY1BAGhfl4xJvwTeF/HWI38fpZ2YnRx3g/1ibevXjvkxHvVA3Yk5OiooWFdwmsZIxp0CWM ZX5orpJ9/EbKd9bjkzT3gqyrN+1jF4GDjj2m4T7ye9P07bRD1CoMssQ/asXkuOviXzlQwpKpOzUW cLTOk2V0ad6tyLVdjAgmmD8BR/11Oq43AXx6LZAkKJ7SnMfg2tUxOojrNtWCLAayzvVU/BMMg4Qw hMnI5CvjADM8r4Z7DFx5Y8HUW5O6KJOwlR5zq2+vRNWp2iu1fYcNF1UQAhwhJx1jnr5IYGOyDe74 wE9N2VgDx8rTjov+qVko95OWhryzic/NmBaG13taKbi9fwTznrSI+jWO0iuONPMiSNDPnFyzxRf9 u53Vdvtiv2Fpd40fhDnh2O+hovJKTE2FCeUvCfGsHuyjaWsPSBZhamVruk5koKF2vZvRerh0vQyu gAuDf+9oq+FkvmGhQiX1leYEr30ZHC3KqaaVHnizlNputI4OTrkEQ+8KI4++HIdo/FnNXELHhrpZ xnhPpJ3UJM0xJwd2FneBwM0pqk3Le7U2Fx10jUa+UDRyvJToKURyEqXQBeH4UdMX64aUDadFOb+U Y84AhVjOfa2lbUN+x85bmULac819DjCwKE+sgBX9GHWTHRrCpq2AawPIyALehk/eWjwJmr00PKAE 83zxvuuYthre7DCS1oCqNTBVRfgPwQBeXn4N496bJ6bjFhrwWjfA9+0KXubKCn5L6IPIDfnRM22u IXSR8Dvhz7eRCYkWtWY731ScHyvrWB7yUQOnP7qZY7b9u+rdFrudLgQo/stpe9lhPzUCqRtLxe5H EFH5yrnXaZs9S8maM61iAOWg95T77nkouGph9PfEtOqkfQ4fPAM8zp2BVvviurkUk9v70lmFgk1X Zk3/OxCb7q62kuEWGNjbX8q0mk8I829LRUwU `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/f2a44852/hdl/blk_mem_min_area_pkg.vhd
11
20310
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block m5iOpzub7ElSvNREJKdbTL5RLQ9zyAjuJTXApD0jwU+kgItID8+J20u1MI5mjezdJOk1t9nA9OTm vswLkwO0wA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dJXhC3s/4g99wIR7EIkz97FXP5RDA9SlZVF85tPxZ5BrAo19QP56K2FCjSgmHYm9HybewviTRalI 7DOxbDMLUF8rNwN7uacq3ayRTbCHb3ZucEvHPKAC3bgcHQ9gucN0HwA9h33ZqAn24w4xs0NNAWcH WzsKWnaMu5T+I+QY8sw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RSPgLRh5Re0AkeSsCtx+zIXn0HOfm+S4D5ftIyGBjfD7tpliGOMAT1S/hIk/m9nrPJlKnHCOdcAi zkCLSlLowrhQmHQYuJpWleJNhaFfrVnXIg+5XUlm8aYO4Q0yeB+N+WdjhjTfzz95uxMplJs65lNv Ly7kyC52inQ2MqmglEOlQMbC25VulPWXrWgIn/l0v8X8xRPy9a7sbi8xKEQHUw/iPghpC77mfV7+ vgu9eEqFP6vmuW9QmpVld69fhnh0TpAWFEV5J43mCRKaxPGGBYZbDvxFgKzKaBffucsZ7BpZuMdg a8eeWiF/r6pcljr5m8kC6vILjwLkAutaR1zT2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PBJG088JbTbb61e0vAfG/du7QOpWkcFgeD6A4cbF5MJ6NyMzlrcMczTL8RP2YNvHjg1x/LR59mlY OcP+9xYgXyOxyBmLkEZOAWxJOrcVFozO/PUywT+DPTDDZtxKqUASR0+2tweH6lpYBID8pWYgRdDk XuNbi7MY1ieKbOsf9WM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ozSrwMVOz5NsABAIzoggsEzn9/8bmn2KA4BbFvxP2GWmtueDJtgpu3Rl9ekreMsXSTdd33iH/gaW OUHCntuLro5R6HYwfCmpvb/hfUnNp6+aKep/+IIf8mDSlUv88n323fv7PEAF6QdiGQGzU6CM456O TGj1mINzbXnBSqoYxUIjagH6RA5XWNqn8yk256hScDL57lqiomE5z8AASlnFO4qHOT4xySWnpYot zHaL88wtVpwynGVGB4290WDEULvH/qna87hPXSr02tj6fMFL1bKRAcYmUHUoYaKXO2sEXSQoQq0q yuKS+L5aPanFZKvMu/FuZe6NC3nEqlAFtuRbTw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296) `protect data_block cnomI0i7kR0hzx/MDuGP5eVGGMvvHQiRVyjSLJRtUblfC2z1tKr38FweB+F80xGxY33XNAzL2HP4 raLDX2jKwyAY+PgzbK02HmDqONupCFDPxMwtndSjYUQRCo38bqR1iY1JDq11MtfD+IlzVWMg0CHc k49Vdk1Pe1jeyi0DkYihlm+NJ1+e5MtfMjU83ppRHK06tAqjmjllrkD1c054KfSHqWKjOPLG7Yyo s1dF1rWWUyTFICOk+cM7ssDOkEqvCdx/QnKds7qsyy5lHlzt1aFhUfQ+orIEEEJ5EZoB4PXi9K8F 8PYBvHrBn+FsnFJzZdAcUXXP+D7viod0CJqzWkK518LpE6gDreIBqFVTkYP/TYwqsn8UqrP7Bmdw FbV6nMKjDkEsSmH0FJM2mQiqJqEBRVnYE0RVsj9GUG15UtILNKLd1UowMWHmKAQlNVkyoBUrk7AL 5Ydqe8rBjEsXb95YCc600c43ZLBvFWaQVfbaL6SCBjoTzU3qVc4+xyTwegiuVoQR/1Sv8Mvy+gCH 5ktP6vIBiliaQWyzCP02gZPSvE8v0JKpbfyTH/qTvlJyzM3MPX2hPWPFqTtwxO28KP3pc8HTPPV6 Uz7AhpwOSuAK4Fa3sHYiWowU0TKGUdG/IskkPHrO60tjpAz3GKNYgMkC65fo4AVJZWecLLc3TBGZ PSm8cwH1ZqWhZ86D9IEcLBtAYEWT6AdpIF6TB6U6iIkwftInq4MI6Jhp2mJU5ylsSahcvo8D8T0y 3dCUWE93KbhWXAQKMuNmSoOuaEl5ZXJvcxkUrgJFrX0ctiPXCCansSi4oFiLsLB3uUXQQiRJoApg H0DgSK6fG+4sZYsK+9UwQNxqe7uSZ6LA85IzYiyus/IYoUkAWKyyg/tQClsSsavEtZI31Sf7jcOc gmLJHTmsGk3M5A4Tp4uGxbj3EESRsHj0aylHPMg/b0mYgM+4PwAHUAv8nVfKiVc2z2bEXdDyQWgH X7Cp+bwJMlAFXq30bOBgjTRT380xNI69LTqey8vTU4YwvYZf9/Ow0AuCFHKP5lfhapm1L77H3jg2 zp3cwmz6Zmit2j2NGK3ju4e0TAH/S9OvtkD1p+udvPytdeBYfyl9/S5bdPeIfClWUxVSmuhVydj1 Mm3MWx7G+PfcnqlqvbwmQ7+e8XU4IXVzLOu+4mqMdJvA9lc45yh9ijeS3brZsWNLxEMKKMWAeswd F8Sd7Lzq57ORW/ovwkaxjWYw1txjDIQ5cjXJ63LzPgXBGJXWQgtMMCTeVbGjNISHTvQby7icf7ih B7j4telWG6dJwJlqaxiVRJm+Q9bGCzZ/es3KsLQKE0cUY0uiYImLbtEVFI6etji/8lEOpZ8tmL4I a6g4DmAwP21CDYIIQZZHgQh6ZgBqerYjjj5M2w5gHERetJoCYGPvLWBDA2KEM8opm8DZNLsv+jNd P0oXhPG1AgUjPkFn/638OoezZaiQmGX5Dj+ViVxRNp2QkNF3zGpLm3rYBq+OLjzdgDOI+hYX+5U1 yb+LaLmLw2NQ0k+c5w4XoehfPXfWHwkcImIAFf2vkRSfYyo7AWl3xL/7K+jH11gC4QsoEktEiuV3 F1ZAGYulX7P80+eqGaphbmuV21TH5Pt/aCTW62vRMVSj/2hJUiwKk99nxF9Jb/iTwdybiBweHYtk mTrdNE0zjx4Sehmp8DHAi5/g75PvRVZThzWFEPhD5F2LJj7nh1M2697LGwTGjo4uD9pKFYmH7PEU U7Sdwh1RnLzHPFFE/n6pW81YteufclpsL38cNDrEtjnhQQP8Y6PgywgOjf1NmZQteWk+0yD7GGzp ldbWo4rWYwsmyLannYjt1BOORDcQ8cvhcrd54A/FjvSmpSKZeY+sCJLzvirReqMk6lgNNyUI/pzd IgnISuL1oDx9Kq9StJl0IB4yCsmcrpUFOYjoO7AxQ1JfkJ7DliYk0ZGEVOy3HXZDLtpZMSaeDU8X kTyI5m1lukAUGi+tniylrhSI3xJw+qlAp14Myp0jzY0BNSv32CxvsfQrA8mbWoqzBM2+qYdc0xAs 4xASB0x6jlutGWdsjvXD54Z4UWwl3BIWAKtNzq2oYkEk+WlHYciytvwao5FsnyevhBLOPooU5Hrn 1ovVy4MF/fIXsnMZmre1clyQcPh4A7VimCmket3In2cQm+bd5fc8rTQyTBvL9gqlKsX3XYLOMBc1 s3Bm9fAkGpOSIi0zsT+zHovYi8kcJdxNNUCUyEB98ZsPzLakmx1H1f92TX5wGiwT0aljFbaxNAHj Afs7jGfYJIROIbgenIdoamXUn/H/qdISifna8uGqxxch8bmYNcZdzNzgrwlit11HipmSjuKoOG8k RdHs6cceEmN625QXh7RCDDCIM/E0tg7rC4U1gkyuKQ+qlMhDFC5MnZkRTRZya2+qKv4eYctvTmf9 qoGL1IhCk0aA2Cv0pu7XkAxXDkDysy2LNE00IAwVAkSBtYcFAYNjKbhkK16L6PrOwNWcQE0+HhlO 6GQD9pUSi67zm30jSx7O/kI/rZzXf8vFSqDIYcAiEw9Z5jMen8uCPE0QXDCiGAi69ywDBmw2cxhf xo8MD9rh4MLIoCfbQoDdpLZDeyjL3m9kLK9MDvFQqG4C59tWq8IlYSuBjE/JFn/9As4WCFJ41ixP G9XfoEAdcW0mMYsHHWtHWi3lfxbEtwuV4Mfh94ihZlAUvVy2QNWxSteMzG9+gVm8bcDnmNaFV5RG F9M76WzBXpiXGJOH9y1SiARj30Bhl+NC+oBe/UOvroLgmmQuL6pU4rnvRIeQZi+e62xirQ+S/Rt0 fKWZt3zrHZtqfkatTTCRaL78qs/48uvBvg3ctFWqCUQGIP9ecmhbiE3bv6B6xIlzjR6plWWb/o/5 6HFOqvaXfMJ1gVtrQ9KNAbPVpZgSNEQxsCrxRnfjrefnKLcwo2aEsJEm1vGRrVk3eipnI/w/9Fc0 +F4vI1rQUpU5syWiwgkhlZNMdfhCR4LVYqhVG0ZA9KA524TflMR4pc8IXEk+tWNpxhnF/xMMZFa1 sJjikSwidEPA9/JVWLt9hUlzShCP1kKCkk9LkIwZpoYe6nhuX3EEswCXJzFOj/gxCsw5mAf5uCXm 3xSnEHJ3jNKsfx4YzWZ6PKIBPgCMmhfCMPW5/OuwSNdi9QYdhxbwOb29QO25NXbvMzneRzWNab6C 8HksX3ZjHkLlgdZ6JjSUEwvlXYON/B0dztu0iOP+aj6wIi8/alXic82UXJlkZ7mR6JciepIhgE6w BJDBgSqdKfUVCVMLhn3kNzfO37YIArojPLgxXw1pfQDF5C4KllNuF+QrFzgMAbdS5pxRmG7vEw3A sGr2pOeQc9aJMLIpmswxSEvEk536HJsjeekT/Rt4f9bxlBBuatI3I7SitPGT12Mri2MEFgFD7BUa QObratw7PtUQ6Zy41BhBNLYNrLcUgUaazAQwrFMA73ZneYzO/18zHb+GBtEFo3ngVyuWeYoumTf8 TnwgRifvaFHDcqmfQxlgY6IlSt5Dpv/CuX8mCoXL7cWF3IMgl7qgeuTMNfKRT/20eT3JUTCbI7wG 3ezQ2ejFgFlcIsG6vQdoDFajSnGsAW7GmTYcYBOcFIye/+6wFOvFSZXoUUasViGtmMCk10zzarq/ avob5t6VKVd7euJymYLHqmRI4uaFAtZT7O7XGkJhyyQJOdQxY3xY6YqYbnrIDp1WmzduiI7+dMiK 83zi6nZjoH8dYf/2NhovLitfo0CI64kgCyGhhRhKQB4rZgZceHb2qxIqNJKRuhmimHG29IQSVrjX SG2SnAvb1JPVAFNnKnJIB0t3S49P+ohvNL0nnhl+/WtT1NmtY13aqOox13uXobJpqCt0y8Lwhm8O pUoCYM4Gx6PPKVEs3S4BRolRqQKoJWqbeZSiok8uetr+oQoYx0Vq/0MDtycrn1KScquL0CZ7b+lS YJ9tC+vU7GnaZd86YaduU10nlqhhXabLlpLqjYSUDBpSgUG8LJvHXtABcaV0+LWQOlYzg7KJeEHg UpinLiknPC5xINSsUTFigCxL4uqB0G8qn7UGck6Aie85CtKnDsNqSG4c/4ovY67V3Kc53cN/DNye Yxpi4XhpAgNz8EGWnP4poTz+OtFd/QcLGYcp6pMqZd8AbZ55kRDXGvSGSpU7hQRcLd7EVI4jue9s s97dBgbWn2OMCErOseZcoRmH1I4CCIaPRNR+nV526Y93H5MYXlB9DMxYYcKk8Sbu6rQxIatjGtzF FFk8cE/mrrz4bDauo4GGrOHdxb8T6QwBFgb2JD6CdACHjZOAiBE5AdsHd3ujj4UwakVBWvA523jF w2KxdA7JNSdc6TiTX3ctOagm8zma7vZn0t0WGaK0eAohgpyFkzj1JoxMvaDl1HWGDYqtLQe7CLvm V993+CigGOyO9iqZiEp1BHkKCYkQVcCALk+4qA/k+cK0RmezWXPXJr97sktpXBXlVURHuZkGNZw4 TgUifngX1NhMl5K7Eo0my+for3nfBe95hhXq+4ngYbWxPW8CVQlKckr0M+9uuZ5KUznjjSNb4oiC fw219lYkAfnxTYiwFOu0pe8X+SW3BgKrtTgXYgUG5gIeYZ4MbYW2BZS3q5aIW8xU9Nq3R8Cb/YNt mr3lghOpJ/4Cy8Uzm7g+WpgvCh3l8wvwJKy+3oM/NceXpTQhP5ORY+cUTJBO5kXL8SdGL39q6l1q /WQyT2Ak0ynBOAsK4gtrQ8IlFPWbT0jX7HJ8UEjV61W3kp75kxadC7bnAF90GQcSDkTtDO+QNFGi z+nMOBXxPoKr5Q9G2DKBjZnL8apVnmkKfdHO6LAsE2mv+PdKXYoJNGdx18sEh+0z3XaCpZSwq4m6 vpR6Cdkm7Cg29Xtau+ucBEdrcXiF5EsDPAw+4TWtrjTpUYQdWcLFFA5QN8IBBoedGwGn1XBAhWwe SyixOmuHTM+4F5uw0NCY0TsCbady3GoqGy/S8UXZcYYm/onGe3Rmd/qs0tBACQyFWzfrH+LlU0Iz uzosfP2vVk5ExCLl0j4ZZSCIDd+AIZzA280JtBCaXa0hWEKWwhcZ6ykFeg3Z+XCjDLAT6y9EIdjW IjyT7idyvHYEkgHs/q/egxBbyE+4ANv/KiPJJd2MYvdr1RRiw2fCipFvl6yFj+ts8RV8eVy0f2QU Xai2129AHJxhbJjFp4Qa6P7qO6tVeKSsyDq9gwzRiqO4RjdJP7dz45BW/WdrxDfHrP3cAczJyFvN yEGcfwXkQRbM5YCYkun34w9kyjQP7B0uCNCWRrYVwsMyDn0zK7y5G6d8/A666uVIJQou9ZDug3de MysQU3YvaczMR2gGxyTOnIlD1FIhCcsJ59QYbERfTh2HGX/uCNYKPM8AjBqvFdCoIhUVX8EsybTe v2mJj2dkxuC4iw4psGWtZgIMs9sPZoTT3Kq18rCRzA3ovK/lS/PyZbJFJgbMQu2BhDDRef6+rtAm pFoqdZo41LTxG8ZjsdcSKtLzMwH0gZnPs/27F5dPyv5vahn8Oh6E+tAgzQC1eSv9DoYh6N1yZYiQ lND/LxD+f+oMzcl1JyIIqtJ3ap9rLrIT1J0U9ev8GXn7hP4H57RJHBVZZCrIoUO4XpL1EsSUCTfO zmjqvYl8+ajgb+fZ94Sm9j/dQMz3KJ03sv/VxGfA9y3aIF/7zvOvetveVlnO3pTarEh1dunDKRZg dZ8xOhw1YG1kkoEt8dcj51B2zP4fWewoxhiFjW9b5g4Ll6a0ulsO1ZGwOVNqR2Rfx0lGJBKj2CVb iZc/bq5gAB/FkyrJHhziinSH7nPQLYVz8ma8J6zTUJPeGDGaEitngxf5UBPBL7QmU6VOcYDzxdup 6jARjfqxAHfvdUS5ayt5QV3bavhofjbQafo76utlReYwZXElUem5a/M5ucPTV1C0CZ1rKVTFDOCt VE9KrIZSDmMoQNVpkKjYD52HZW7tPBtEMogyFc/Fodsy5XKwatW6A2/3nqBQiUjfaKXihztUg9rf /8dXIegsj6StxTH7OVrY/Q2hoRW2KBW71WOOvZGNHyhEUJUmtEmRGpED4WEVprkFHNptyjZqq5yy /Zu1mHTsKckTyh0oVIu+G95L5iBjJhkVXmPgliApEgTrmAm+BaK4iKsWF8oUFW84u0aP0jp1XmXk XXcYYyCsgIU/FPxfHhO7s4RDYvtxJuyxTC8cO3P9puCAz8ejpRsB0IWJo89KgkyZoW4Uj9qPYY/Y Dw+AySvh9hD0S7I9Tq1+1E3NBKmRzJbWxywCHrbhr9ILNSU2tU9tpUADwbgthMEN6W6YZeFGhYRt P7yO5CJHFzkSebsF+LxTQvY/uhSczaQymw7fgewX66/XXrv3+ten5gZanucJnEfod8ZXYHGBLJA+ lCi8a3dKoOW3uqWFZJyqYhF6z2XQTLuduRd94uMoajJhRnOrHi4t309pRQP/WJdXOBRNXlUPt1D7 SFgJYu33Pw94IUM7SR6h8g43mi5eccMlOXbccTAdsNBx9VkE2vH5+cKi9Q3iX9qzvqKwE6zzx+WA aiPs2PhqzXHY3Ci47JcajFu1uM1y80MhlQdPvXiOoYbTacfIKmFUR9ojimyrTIIMjEOwE/RbIQ3H R7ast11X1RtKftOU8I+9fvi54qwjD/g8IM7PVXK9LwtV7tzbdXUKM3QWtISZByn5wqX1VCE2ME4J fhQX10TIB0KCga081fIxvplJge2OyZwqBsXygmwSgHOHcXc2yEbrd+3F+e5Duo0CFPVqUnVa5Zdd rJmhc37Sl1AgaShP6fERhpSfzOerNL08Tf9AQVx548BPmw4Sr0nzztncrgUx6eQSDlkzAU5OLMXo NWtgq5dotQCG0a5a8ivvZweL8DWBxygidcr+TQXOMSolR3TVYGkBCMoRdJOfxBMVa0GeHfLauN03 wTwy8C9RL9ozLTGduciQaZbOTi/3Wyds7pYMYG8DZMvJCjWRwjzi9hp0JONxnLgblt1ZE5Cf597h jwU5OMDgwKk/GMJj33Ps1xhpO+7/z/P/CJK9NQu73DhQFRmkDvp+MW4Y5k4YhVX0IwbWDSTsPF0F Cl7QdmhO7onjZxGLyCkYRQXB5kWCj+lfArcSbgAJ7iBiJAF0nBNf/wHsQD4dLSQdtygI8HHXHcNi aOkQA1qGvO4PYZ4pxuzm+a3hUMdB8iXFtMtvJXSO1isfUZVWaSI1+MHMuiFqxptVR9VSQ3IU1HmH 01SZt27Dh3ZMEnJ+y5sCfteHND6j6W7LSpuvzliXreYj1EiVwZnx1hmEfWq8sZAYHWcijHMHKK38 u1RqD0P/PELQlB7PLs6nzD/6VMSGK9/FQSR6uekBKHGul5etoFbOvhLu0zAwFa7LQzOZOJM1wBCp dnnCa5AlQi0IwZZSTJjLwxv2y7CDMlitEzUAXTwHFX16rfpB0lleVz1HhAeIHrYTHi01BXvPmxMB tHPBGt4oNG90CQQFpEGbyjPdOmr7kEO0IoVw7z6AF/b3WtmwgzgOwFAt+HSrqYaBBQ6GjFAN342T e7cPKzwxCKGkW2hF84+LJ0PmiiloTyZa8zRK3CKP0HgaHCqe/vEcuwZtpBMBMu+OkrnE9hKvLqli ugQYY270qEamG7e9KKey4s4v5EjhOpxGvzEH7lTjTWTzNf7NySTqMS3RHPedzcGmSSBBgV6Bt0rz mi2teqlVZWmCbfX0+wUC/tppy2RG1r1pMM+qBlBhOWeeJ2u2/PTOfzyP22cM1y8C4pP4ucq+WLrb 0VAi0f2y6h+ycpUW0gj9pAdcBb0DhoifkSuYDEKup6iba8UqRC0eEKzLD38UfXhRNCcIRPuCwfN6 HsLTNTKQG6pe2BALTEGLEhffyU+D8EuB1r+xSzPi4KUyb9wOqD9fXFNxak/lwDVHocuJZDn/N/6E BZyUgde3PXFRiQ+1TqHIADdDenyT6luAZ/PEeNY13KnkC67Q4IYEA3hap2ftS3qxUDnNA936DAK6 ZfthxWyHkttsNCFa1RSoJNvdMGZNTYhPKdu+pd3N0mLgxGFjI4CttDBJOgBUA5cG6zmogoVpXe94 t/r/S+F3mFOn57TPQuiURlkp9WL2Ieo18eiH47GvXSlYZ3VEEKg/Id3/tVMkiK+vZ3h/kVtPNzQv e/dEzOURKr1uAmlpDgMAQlSmUonrEW/2560Z/9ytTxlNHH0S/m0Xyf4ZHtioQLZN6ge8QrVGi+ul bBajixWlHLye279DWpPbJT5e0PbXOibRjr+jv36nO9p90uuUedPHneqANVbmyW6C2EHq1AOOib0g Mk+sBl1K3AKVPTCuN1AM5bEkf+OP0o3VzVe20nKb4GI0+Cx5cXXuhVax9wGUrTfdyJmxnNBKFjIc 3nww7LZW+umOOJhF1j7UbQbthVQL7dXuqHHFGS0ETpdEXGUomXVsoDDcX+KgX1v4ftQzpqx7isQO LICdZxIb9zrV9Lx5SlMRGAQI3ArTtasKYBoERuPVzVQgMBt+fj+HWwMnBbHw1WNBTBeUNbf8FUh8 IF8J4Zy6HFRq1YVUAbC4Deg1pxLvpKD59msDzB7T5PO30rV5Kcftm6Nmdu/8cKgzutz5w4NwL6qo MKAXWvY7s1/FkCyt+WJcnI3QApmL7FFZ6zLl6tIWT8fmeOzNzLvCHkNmlDjyGSogjrHb7r8HbPE3 R3mASfQPaw3eiVzQC2a7600MZOq0YsUcetBLBjwqanLJidJBPM5mcjGOCi9ITG4k6Fb4TxqZ45/F bHjPPXQE03FXLSc+7GUso+E/5oK/cNoMlX9hUaMIupo/Uhy+DgB1Ss8i1lEfLHVuWb3jsuecN7Oh SD3+PBlHHU4sVjtFIdGkh54Ya5GERxW4VCYCuB1fLw64sNl/kgVBw2IpJBVxZmh8zZ/zreJRGMCH J+zjGYPQaHHp485WTSlXMTLYJ076HU5Ctuqz7wIchwNvnLb+lXAfo9UklqqtUIvfpVJtrcNIYP6+ u6NdnitXOn2dnah6MPyY4IWEFNzASgFyBrxoR4pRoy4N2rveQQjW+IGzqZslorQ8LZ3exP6imP6E efQnMTNdRGNYqeQYCGD+z/hDZoM85peTKyiEkhh8ngp7kOHndV6zNs6DePSTP671/9VDmmEVuHYD x5KmfOraYAFnran2vQN1oLUW1IAps/PVi9y6NK5GB4Cot/coEPH4l7q+CwyIoyh4kBxGGqRcAE/y x5h7EiGFr1dOtYrTj1CckfEEJDKGj3WPRr3cgl2BCtPOCM+uivgdwAhKFnklbibItS8HNTiaosn7 HbqyPFEHUQLaqIfpNZ14aKaQjRdGb2MLP+G/2Rc7wNCEJgMF/i6b+3VbkHow7bLR2dkrtBrZi2Xk LfqkzaQGcuOeaunCjkFRN+K4moIhrlXnQhPDEpsugPTbd7zh1u3b15cxKAqps7s9T6oJ27PAypbJ iMqiIKagEFvTnzLZk/76aKLNuFLZyeOwxMupDYNfC7zNQTJ2v850Xh1VLVyrtrLSwnoyfGhcuoJP CmHEXgNiKkrbNkaLOxVqMBbK1VibpFje/bPDkZ9iFqE1eJR17r/jwcH4d3UlGKtnsdfjWqRM/6sE S/sQ5SxyggO0wUV0hdNZcda0RuIE+klnW56nKkfbSkSLVaJzG1JUJt3Eq/HjqZrN3933/BOD9cKq 8uYd9tQuvdRkVscOjvo5h9VZGmWHIfX8PeC7CDXZxieb2MLkQV30/fNGiPFEoPDBusfrMnwjtaNb HxGVbZudLOyN1ar2wHSXrTtWoBs83091SYxhkDZ8ikff7K7MH2UlppQA8zjm9VH0OucwLNeNiTpJ 9Sr+TCWqbbd5w/7SW2rZAhQu/lQHI2eoy7CRPKSdSrgQ9teykRztDBk/zkWdkPh9VhnCCTsN5fkT 0sQVa8Ym4aM9ab7yuwpW/degaxlllDchR7Z6n7m3+lixHnRz3+Ttnoh71zeI4sdWbIiR8KIVXRuP 7+Wwlnr2MOXhItX9HOE+Zki2aSfHLgB0Y2JjVIKRM5eokVAXbC/8Z8RTk3GMsiDfOLf5WFlroA6E cynXrxgNBUZ49BL+ws6yWVsPrj23uwG5NdHRWcd2Ny+XqYfDmy8HGMxcRB7l1RfG8HiXqFNKyWs7 BHN0TbLP6N+aiXgl1TULBauLrqiPJ38X8+FtVLjGoHvq7E9+nhPxiWglzVANCehLpTwF7OOLJGlM RKBjPQFjNlnjSaN3/6zHO740x2S1A5NtWMXUUfsMC+VrEeynIB9qzPQI9jI8507M2ah7x9E3GZln 3eDl/NaOLIqgSirS6Rz5dfPOUBj91P8MGqfbx12hsn6CR4b16Ja5OgWIUWZF2Znodb0kL7KsvPkr tMT69CsSXh83EmQzhjLGqPrvhjNKQN72/51byzNvUrlb9LI9ux9GgR/CcTgzFJhfUqYfYcG1HDn+ vReU6lM59WJ+9qEV8WS8DGzxwja8jTaYO9YxwtxHz7l1VZKWoo5gpoAHgKgT/+os0I5zGSNpuPZU 8tpdySTazhCww6eJ7pyT/USo/RDKGt8Ju1ZgFbU6FM5XQbZDNw2KNZktHcSPcgqXe5wo0YmdBQ1W yfNIc0oOBn9de4mnE51/lFHVLnnUXe8dApeCWmFn1skqFzBuIXvd14NPU75jNcn2bqiFuMvYE9Ln YMl7JLaI/kZ0U7uQ3p+AI5kYD0RHV6s8KJmgLDjzsFKn/9E3FW7swK1YqT4lXbedw/WG+STmczoo wxlF/GnKz63cPbfbbLnDDyJx2r9XnoIi/iqhCbml9y43bilyuKBSWsCdUYLR7/VBop9EXuMFqgoM VO+Qp+aK1tK4V4v4bT9w9V8frnDwzA4By0doBKmj64CDSNp4Z6mrOIwpTXugDs9k251VN9ITTj1Q WJY6JZCA2K1aPL80kD+Ln25Cge5ur5afqIwMUZIj0yITa7/Pii6m9xPIYu6XLyFarzHBYeAgrch1 ot1MolYxfb4AlCHxycHwhdlT+p5bXzXIZi/+02XkgAKmLNEpvPG2gj7lbmsFdGugegs/+sPq6vf5 5lCxMwocVGnmagPzt13UXwGJMQ5rvX3AsnNGKk91Nclfk68fkwtz9Fm/4h5fo09ngaH07IJwlfV6 MLum03Rm642atz5gy3ljIGHYhhEeY08QolfLOJqHQ5u8huwbGRNFobY7ej5MLxmQ3r7NGxFyJBD/ PH8/xJpxDVSgOf3ThF0Jy5ww7c1NmD9exozeP9sEreAZ3l7EmVpC5OXo+VvGMkSVLTaaRSUtrn9J lC+0v5TVikybUfKHNUJg0fMo1RejqxrzJtwIfaHjMvABQtCDpaE7/8syHmRksHbtYEXRGtmsloR8 B3gRc3PIEiOacepYqpCQ/lWF4MvWnHlbLFLrspBFYbs0u+eh1IDZRzwiUOtlaSErP/LmQfNZtz+D m4JEEYozIGs4pgCH3B11PJcCB3QGraBr4Q0bX6rmtpYt9y1DkUWTpHuFsz5oi4bKGmKT+fjzHZ9L gfS14YMwAB+FLjIbCwwRyk6GXCane8GaIQQemR+bkoW8seFWpO9kN8ByIVFEdBM4Wz7b6JbQMeFF xpNwxY55wwH0aYQ28h2ZyncgaS0/1cgNLrYhBWeIlpP71enmeU8zcH7pEdLFRg59jQbQDJesQUMy 8vUNNSjdSCJV2HhnhvY7psessJLjIQowcVr2CL69/TLQsHFN17/qosZOM0ljoUQO4rOfzDx4AZw4 W6IuRaQUskfU/sOHJE/DiSYZmyKATihq+bsFo+fI8ulMBUwdXyUw6HwWdt9BolsM5b4Y90KwTKTM YK+3hPY7QD2O1uDhgGIwYV+/18wnRnrockOiZ5L/9ETkKeV8fFTT5bu/5JzyQdLdYxStK4ubWEAC JkSuEiX09zZ02w8PSRmN4GNlP01Xu1cEstANwiVxHOdGdtnVOw8pid1q+Y3wa2YG54Qrs0cjYFbu kXhRT+toh0f+K0oXHu2CQJOxJ90dDvtZagROeOqVPNJhdgT4m+4MfQB1i/i8orieOpYohajL0Ghj pq+RrC8DLVzpa5RwDcFyUUE8ZRFOTJ69LJ4sjZyB7qxqU1xf4Dd0ocNxXdQkk3PoaCG5msjWV2Mc SDq1pbEdjyMxxV0dmfIMmRkuXePiHOqUP0wVbTiEvol/OTuiov1WzxsW+xLaATDLTrCFUsumkGu/ zqIKtSjtEvECweOoUqr5xCGRfmaPoXPYg9IFPrJTyjQOkP3JtvEspv3ztLgikivkoWJ5Ea3C2kzs 0qtbfau0+mdmvLP9qoUyBuz6OGOIMW9mn6+bgQ8MhG4goFBDPE/D6HjzOkxAw7cdlzPlDIVzRFNt rsmUXlE/e8KFcUK3D5SGfJLhkVxzBqPfrPATv2jiS7hXqeiDOFEMa3pmnY3s3HO1yQvK7Fipdeff jH6sgXSqqMH0M1kJxMKVN6RC7utm6zLJhk16g53Uw0cSmcYqjKfZ6VL6uZZy3KABxXl5+NfpG+Dd aVvm7HKbbv6ppCB3x9igiPUmxhPNwGrNLQ82rMMqdRw8yzm6OE2GWwrcT3GCfh2Yw9T48des1qkU OoAzJui86mW4nTJzM4plf+tBu/I5rBG+7NBK1aP2/5IhrvGbKynIG9ynXXAysXdo8+HVCGGeENch 1rpPUEdThMwJ7wj48Gyo2e4IW+nr3JVfQuvy5s17Pw8i20JuC5A5uuROIe5XUmeXg+HdQuArLier /5Mz4Upx/EyAf9A5er+/OG9patRg8zAjAK3bdGxAJDi1XwD4vYicKWe8tAOR6cLbNmdSEwpKYy3R hUPbcZ1dleWV7Qv3NUpZEA+ivupEkM84d5qGlZ4BAnMFBZUvd67J+HcDsVtBwIJkGvkOLTDN6Lzb JnTPl2CdsOG6BNuiTSnOIA+tA9ZFCiaHdd2F0989b81oVwPRBbCGrf9fBWR/bTbmVwlzuqnrDarw rnqbAXXd78t4ReN/PssciZ5SSlB5hTomPwlKxrVb06odu0K0MM1hHTVqnJUb37y0FKEMKRoCTCaR gGa2gOOlnnkPctv8JjL83EQ5XG+9JdjSsQBDrio982ye88PILYSeztGlN1V/4R/DWIvZ/sls1ahk ixD26S+SlnveCrKmjaJ6dVBJ3nPR88smaATq3oO7h8EANGERtbQLb+7/HJbeagHinLFffynDLTEm HHnKPDXaOM2ajekxSVG4fAFIENun/SDHxQffpMxnfOoVdrASlpSxcM93Tqg3r2HbAsZM/VukjKtB bsEIDURXwQjxlIekHey34aZAsTl7JtR1gPLgX9UyTIg7H5+VgbzsZWeh0e2Dv47hWPl/5IyO8goV kVYKXlr9zf4nt1qgIoFXg0j6G5P0vlWHpQQqLf03ov1adW9wdjB5w6x2Yu0ieMg1bSE99e7fxXkT qEPRTDssmD0nIYI1LRLxDsX/GAY502V4Rg9nwX/88Hsbg/0wPv9tlThQu0gmeiFcQbcpB0V2GdiF fCvoQ80MPXwfdtDQ9jDY3jYpp4yd2u7Oi+v7Qt4dwaMO7zvGSz25fpFPPFmsaL/bdFiWW7NIIPSY 55Wg7I4OwkRRs+CL1GlqyA33bS/7GdRDwosI7Yk78owbYsyad375j13rSuRk/9ZxlGopCBrJxkHL P/2l4gIavb8OrdlMI0R0YjFCPIPZUpotKcUDH4lNw+lkPt7ZxZhEqStMXN/rREnvh5sAuoeUcA/z ecclJBAKuO9bPEoo6tr1QG/V4hT+ZPeT3YermlZeK/lz45kX3Mw0RfbYwWvBkgUYdRsspu9h7fRg G/iVOCKrD9moPLZYTeK16/exIYfNFrbHnJkLuQ3ynINC21UpreDoVGmaGNUSY0OFCMV70NGO8WE6 RJBejC/y6VIN4pZh0j9p9FiS+QFjCS44eI4TMtuDTBD3jxSjsiVS30Lmygh90ExTPZWSt5oIm6vr G1AqW7NJohHDqTZ+anC2An5+mC4KeRxx65330LkyhE6Pim4Ezn1abMSBlSTiMf/LrWaOYQX8QKHj JReuV+eZXT7Imov/kIWzu61+2xnwlMnHLVSzy8vqbfd5vVBlNLR0XKlDxlNpenJjhmAtZxIYDOQW FaM9gkD7eiCfaRXclHKZUUYedxU1lq+Pvz3j46MpP6YeXnHjyY/c6qVzmBI4+CeOskljzZjen44q xAl8Xz6Yl0sSEdmZwV95foHXpIo6xlIGdn7/6W7tUvOsSfwksVl6SpcvR6bcqR8ry97rLmpo4+EC TDA7754EQGEJyefh3w+RL0hjpuZ5VjZw0SG7THx/bv1Em4vtwxiMWjU197ULxY5p3QDSDHyldYPS OnW/ma7OeOPqRpFPusXrmxOaVxRvTfG+/9oX0C9pR7ZOiEDx6eCkg8G1YN7AgrmKbiE2ypW92ZHq 9jlYIybgjjEQ/41k/uVsqsYmAgMvBMXUg8B69pnR1in1ULP+5GZnREQrbVwY/t5Dq7RYSwJo5GZY pY8nrkGRfJl27Ak46W/GeFk1iAodB0WHkYoIwrSZSwWlHDY3gGkDOSW2GZS13wncx9iBYhfK54Mx /zIB7gZIGGzN/y7mVgVgLMMneLTa72K82KTdTK6cMW2xCtm1ps5DnXHA0Ca+7YST20HBxMMxvKZu kvtViUHKbubBjViDYmL8AJTFmIShvBEpxLPf6gd+qxMaYlLOxCqH0eTAiY/53kQ4TcvRRYx14NJH BazgZ6DR8IgBdbNSR/Om69RlqfeL8bb58rbLQD93zI/VX5DSlYwdL7TjhAj2gvJoHbGOGEWwf+dJ gPCNspT7IagfcOuIIuwwbBbNGZRPQcSWgGTBrOIXa14OFWz6avC0j4ws41CWyWwO75ayjWtt7bIB YqDJL6JdVSnB5VjSoAATSwJfCn1sSWzx6wgcCSQvsVDnRM4NFNU3xFfxUyNAOuj7p1LDnNSa+ac1 JyIyMUt79+29SAyzytlEwrwRaaTWZnbrcVS9h2O7feCSbzrvmaTemPAz3fySGgAbxmZdMvIVP1Ni +EzbksxU74g362kH5fdBrwEvdUEocjtV8su1TJx74m8FZeeGuck8eJxDLoKVB70qf+iVKMX4Aabu AiIs8VcSihAJCSZscpeMFT/6qWImEku7Til4xpCYzZsYoP1kQi0E0qoN4opl5AsQCDI8k0dFb+IO 8FY4Rlr83mZRkuQyTETZOTGgBpS5ja7v9qgBeSaAKr9fglaOdDaZ66TtWB5M22JpAMF59xVwBhyV r7TIZB96bl1C+1ooFOXaUHxGXK6G9SR2PJsQX3JKz4YbeyxEaiA0/4HojwXb3YveQiGYFrRxK/BU hbVLKACO7FODNPMh6H0J+lnX38rafLuPSgObEnmstX2yyRzQzmN4/V0ZPkwiPQ3h7zhsC0WV6mz1 42AL0hdL3a1NL8pAvRMjkyduYzLxnw3unNLBToTycAnXOq29E39/WXzg69bN8pnri1yPYjYHAyfy qsDTkLZVkIJmdvAGqMBJqhUfoZ/7lOhkbUg7hSNINTgFLs/ZWv0SuCI6WqZffRi0fYErIGF5odk9 7v2tEaOgQHxL2uM8IdKgAxSvAhgxqUVdtpPI1ImKq6x3x8eMxzYnAudQCp2aVXLC3di4FvW3bH3t Iw0Gdo/U3X1eH8reANfVQkkd5RWYLs9mX4ELsv9Vh8zY+X+kZmUC5yc3RFMJSJ68XZbWBcADW8u4 nTzg4Wko2mDBFBy3aG09XNAltw+Dy0u7vofUPSd2mweb9Vj5G5+YvGZgjNGOPnp9gGdKmWb5kD8g Fxq+dXRG+goFWmRHWTZRNXtAzC2zI+ztsj1Ruc0vsxtu8S2Y6nq7PA4+O+WDcgQVnQYDhcJvqUDd 51Y5R5/fMAOklzlQq4jG6sjokAG9eh775ft6AVHUdGmt2VvWk8HZ2mGgivWjT5+7OrXcb0PiJzmJ UPGRd9rgJSW/x/IVkviCqUtDwhOWxh6Pva5BEVFMvA8+LTEEsHhrhCIED5WZwkXn/HcWz1RrpoAb r0CAlhFeGd+5e3bcjyApPluk3cPdqxWXyUS8dUpo9YpEYSYb5HPP7sbQj9r6HYK5zxrItFY5osm2 Px2wXFeEW2bpSjbU6cUhGV1rnQnmU1acQXDmvDIAibjc+bUxo7T6VWhHh9Vs7KymRVub4q0Z4wwR +R77SD7sYb9JdZR0BYdr1Mp1yfE2szS2AIMbj98wS5zu4I6Dq1bkBfxeFfX07KpwyPULzuq13icJ jg+h8S7mMe3N/NVts+ZDsowszT1QzffYO1IXkNv6rI+lmBR9PLoyd5VuC00m670pcnJUxIER8k3w OqfBoJCEFHwjLMTF3TFNmDt6skdyzT0nQysYHlwEu6vWr7Bp2Ody9+e9RO6PRVbzaQdGkcO6VAMO dHc6bfOLI59vFmHCVBrliHHbBNshyA7DLo60fClr+ATu+ruRLLhAxfE60jWqrKCVnMNQJhzSkXWB ZOA9LGKgCp1qM45pWFMnu2gtQqYjOdhBREdu3g6PHBUdw43o5b9hTf/qLIC0/WacLUl8cX/chvIu LihN25Xj420khTLV67bvSiAKOrwi69IhDWBdhH9NfZbSBffuK7FPnnXTDhIfQVFzQg7BtDmrGMfj 0enR1IK7Lv2hA4NjvCv242nWeUPz2Aj6Wh3Y7Vjq1qSp1PiHRxIpCEpk36e98Bkam6PaRmdbrkaG z/N1fBjMsMinpw/+yDPt/IjZ7+BtSUB+ejw/FVAZS1PcLXN+joa1D4FUkRegR/SRsZj3MMkMbRma MZJTiw4WWh0Rk+Q0HG3vYL81N5f2e5wyIRVKmpZQaFDK5vbOYEop4qGkM+QVPxhXbnFMJye0Gnhx w8mMr5pVnjBpiNrE3xw68YZqWlE3s2zPF6WBzWpkiqb/EBvOAeel4xA9DEKThSACWjFBehYELSDa OeVLEgjQU0yyYbBMWyMhvbj/4E2UqK5IFOHHtJ58tBXp0bQ/DiKiQfUvmpz73hNbRVmHHqwzfRHh 7qxO1goQhS5S764Uk8WkBp3wQyt6C5Bfr0j4hesviZ8znoZzrT/Vu6XGaLFIwnRxU9xM4I7d4dfK Tc/gqafwHjPVLa3mKogeVVzwrpV1YiI2OQrzq+JMNczg2ld29dkQipglCJorOhmQueHauTSwCxGP sbLcuYrAKaYlHM7dJnT453ySNaI99FedZ4GvmzNKHRz21mc0/Vs8LKRJ2PmiPOKtb1Z8K2x/anwW avbNV6GoJTZBqijUXnWFOJojA30D+C3QKYBpi37KjcxfTtuD7liTqndHUEF50/AG33BKGqWRsHT/ ZftKgXJXERdPyrz4tm3UCgP7HcFKtwpIG8noTLN60NF+FMDet7XtiE+Apf925Z/VgcY5nrNEVjuj CvrfnEixB+FVrPzu+5S2qtZqQKTKeVYV7OB/YBfxGiM1smLbCHQhPvVmORAADW/sQLxIRmQZZmJd Gw+h9RMGsHrDoFUk4xTVyRGQtpPwMzMgurht2S1iYkEX2B8n7xR7bMbXOtIAwIJPtmQG7b8skyKD yYTZZVGKMXMKo2IcK64Su4w2/rQ2ms2dOHoz3YIH8HH810kDMfIsHcHBclgRAVAiAncwaSxh7zFo l1+mSGf1BE+w69JtrN3ZrasaVq06Bjf28/f48nlTbWQoVQwL3C15jvdXALFF9doYZZnholqF56py asv4tckk/prMmuSvpYuQQSa4ClQrUcauzO4/7WQgTtF+6b1PViQn2iwtLrGLRYpx/ZYKktulyLkW st/BXbc7autJU8i6F/W4 `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/async_fifo.vhd
5
33343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ro9Uy6Pv0undFi4fHs6c8yhpOwPRZ6Z2m1F1+8SP0JOt1KXqaubbf1mCOZdKYaaSmtQhF5ycT3kA gEkisnzvww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IfFFT2r559os9FAUMtgrdhputqUfsl3j6+jBJe99FmkIN/7QgiANUNq2Z3cT9CElrO162qLsucWn ZIxxBOL/tZ9URaimBvt93fOjqr5B/lURUSbg6kIJHd2/fHb7KjG7hjYN3//m/JkYkMVUnd8qxV+a RTnd0/DuGlHYHqre47U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QT9M9Zk3tRRumRkGhXlo4JpDgHjRf/7iQiBMFbiFz18pwf7KBYTyFabrCLexxFmdviLRR+KB+sfg S1SB+8/3qkpI+pxC6mvL/Nhui4ydEeO4ETkbmFnr07fT8JqlqiD8azCXFZhwaiLYq1ZfE8RVcqBA 774lVlATL7RcuQcMdpds2/1fC/p2ckCPtZZPPBX9Vk//yzgs9arEK8QJESGrh5l0bGxbjHmbk+Ld nBUmMCky9Q2ON54nTSslEXpDEOvSZvCOISpjOvUVJnAPvFHK8dnrPIiIpWaxFImHY7K857exsj6q V9DYD4cBhHT9qEIEMpVECyomTSY2SfsE6g8SUQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V1hyvAJS6HBsYEZvVzGZjF2UzwgBpTL6oMWziSiRZ7uQuBDfIvdXP77FPPoCF82Jbcgwu/HlKzzk gopOBrL5mylaKNAZiIRegEfdehuX8Wj4nacsEB9jFj3vNmuWB+/tLmcS519djJKFm4VcIE+BVjcq EXxdICjzvVHQIvhi8Tg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m2El048nrbQICF14If5+r9/kPY5L5cnMPZy51iwOZjjE2aeL3EP6yt4piTMyzdSyujWKKskwrzKv m+j1qQMbL08JgO0FiTPOydO1Ae8xU/IfcCfmWLnH5MOKpBfnnDlmD3H1D3MJq7W3FFkFFt4mTQ7a z43HMQOct4gVfg78njNNbiR5Gnyu9MELmpB/jMFuOYxfOL2JS4zC/SyHW/ZxKFJw2EZdZTCkLjyo H0nseCZNMeRJkMs26fUj5ukkQT2S6m65G3v5xwDYG8waHOkS0QpDYbmdM4TDg8EWX9NCJDzSl2Z/ J3orzClpNwF56lfR2etX7uwXACyX1jIYZH9N8A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block 4i8V/PWWkhIICmI+bBHVFPZ0aE2toc+lsDu/untaHTq/VMKJ8ZUOtVi92QuHrmNYwDCslQhx0R+h ECGcD1bO0nPe4i+MpgUiHuwRaQgrSCjjN3+qNLsszKFF54ZO9g1rHbDKMu4o2Gh+f02nOH84Y0Gj lfT3KSqI1jrxWPxzxtY1dTQnv3YJTkuSBawEaxl4nUBb8X07akLeNDfLIeRwXLDxIx/KHgxYjSMw biJWPAESSsdcPQUpieOE3fJ7i4ByqUQ6FGMs/RsYWjpk4f5DRHDArPU0n/bvCN0QQr68ufe45k89 mDayaZx32SytWx9qiG8KH6O5ymVou/iT958u/Uqp58S0LT2XnVVVtrWr3ePXgZ4lU2jVDulSTeML Zbx7/DATUDOneKuwetM8xaGwNMtoCgOLs7kWZelFjOcWulXa88iPsO1yCo+FGUyJ5x/PZYPTC+i2 ABc2o9+0ZFpN3AdJYZA/YJqBey9+kPNQHTmGrrP5UI7N2uKiucp9qUvAUjAGCzM3LYhv2b7Jur9k CX99Q+pRvsL4Df9ZKz+zgbzYt99mXRLw1LitfnmPRo991VY1hYm5tasXhqaRNlmAQgrp3qZGvuba qAf+Ehjr9hxHABdzwTrcVLUTdbs723cYLSF5XZJRTSTA3DIK7jrKi+h9KPJjjODjrCIgDHqo/+8j vRDZYj3KgVBRhesBDbCGKSMFam9pOuZOfYvg7EBOCsJjk7KRAE7FIPESnbqb/My2JdLEt6YxmDPO gMTi8Df+x9av1B8R4ykRLxfbvXjBqyh7C6l7gT7P1ZHqB0HOVf262sFyhp9VljzMjYCDYnpQRjl7 XEKs6MqvszTyeI+juQqlIyBHdA10wmato+UIxi2GNVChCdl10fbFplR469PlvDtp41T1if6YfySD WPcrE7aCvPGPrk8eUO7EHN2F31rIrotciqC/A280Mo/E7hB6YS8XyVmPHMS8I1JvHQVUThwWH5A7 OM6ntHmd04vNFxKrEsFYsTO1Rdu1dlwhKKHkpFjSem3noi0gI9lWSh0lsWNz9rIBQyUbXQw1zlgD poZcCC0AGYnZwhHelPWrgbMiR//K3z1pSGnYDE/BfL3dcvHDBDX2zueCbeX7190NBgJenQ/kepCa lpbP0qeGE6Vp33aQmCcEY5MTmu8eGFOZadwekStIrnGAX46tu7bFgKvXL6Mrz6SiNvIPLR/I4U7r GducBm52zkSOrSvbrGYLqtkLPeTan18IN3BwtPUFIiC+eAadUXZ+fqeCyA/tnoQiMPlkNplwoM/R 3BBUJAEfGV7m5jGyUdp5be3SORWtEu4pds8PIQRhmyys6tLoVIZfiCiwMi4+JwTLzPhtIhdjRTk1 0aGYP5DGW1xgXBrWNwmnnZYvKkdHcdBMi56AMi4WRFZ9gIM04PujoK3oBI6mQ46kJ2n0vHIb73qV MqSENHLjDL0uwlwmgnI73QeRAfq+qneUDBf0L/zccwSpaouhoglqOus7iE+D7v4FImL+/e/1Wf7P VCdak0Hlg3YkY6pKl4msj5drwXXJL9NhutzB4kOEW0wmYkgEzayJi1JPdUw94KRh01yyFnBn9C3r lBS/pNf5e7tE5gTN3z0NWj3bSbwvIIyxVRmn4JUyTM09Z9UyC3pgI892Oo3Yscc6GrzeqHxJHOma jQ9JEELvibMymdBK7vR54MmkWRvHjfuFJOmLg96h4zF2DJW45TDyhTlHULkg8YJy2qsSM3Qgea59 iqfJqHzYZUjWwJIPR51uccCRWzMpE5SkIQx+pJPkJFi3EQPTf06cKWMrdGfJIR1czVxvLow/LxJu oMMd6lOGpbXvDYMmhmQUErR78GRILPZQE2isJHH5f70sWKhA1WEbgs+vJQx7KEMS9IntJbvqgdeb norFo0Q2A+7ZH0Cb3YZk6voV5Dh51YAaYek8LQnbipF3PGjM/0IZFfbu8MTN5kJ4u10ODdYN07/1 EKcXFn2Qvb6vuQGLjMRe740OCPL6mLNPypJGBJjV3Gyz2woIYyAlkAlWrzhzlJSVApqPre+ap8t6 inWxBwpx1gsDkpa6B4XQ7GbyHWhZlfwQ7sbecr6tG0jZRJv/lRbobGBZ6UG7wIpGhVTFoZHyaRpD /mdHbadwEqmjZxKbyILKr/d3rJPqptUWA0N2Bg1GFGXXpMs6UG2inL5SZZ8l8YUlc5MPSLOec+HA gb1GW9xPDp/TwHxzAMINdk4iWLYE6XHORqarz9pochkySJs0hIJtjuvLXSY0Rq8T6herxBz2yPpi KtCCnSd9UjW6LjdPpGY3ES1JTZ2srT4VMKAhIOFE/SBPeN+kv6ys7myJgjaX3Vassk/mMBrM6O7m Obefp7NO52ePKSs2Q7WDxh/jGhrBPNVEN/HkW4bl7tKI2ooTjgdmXcDUlf/mMJY1WNgAUw1O8UfZ UW6ypmoXciZKrGqH46/WsAVJJGflYEYPWibnU58I/Q8fb+WSlZ+e9Ab+DSHUu/bJFP7JCV7CFiOY YsdmgMIGaJjtDZ/vKdVZuRSzVBjhLQJyYdkZWlFUn7kMyfY9z12K6ZszsivLIGYakN8HRz2isn1I oCadesddwUfF4Q9ptLyT1Pube2u6ta89v471h0EVMiQZaSG0LQuXR1ZlAvOLTzw6J3NSEuwNiMQt CBVFmZgLofFSwLQ7bQrAda7GCnPUc/EKTjmDMma4rWPqSmRKBhCl4GFjpfl24kkStK0MqfiC8P4l TZN9kRFi/Ccq9FwVbLXPdAnyjVEeEcFftIpu2QAjLo3geR6yfhZLMj+dfH1XWxxnw+D5UISSN/do YXMDiswLkxCGx32HjfFmAIXyq8TxKgzzmFqGdjt91tDWY/3mlNXSK/KvCV2jh2GJbqv6qjANTckT 5VsNkxlIZFN2Wf1dFGff+Yr6pEXGZ0MVdM1ixPEBg5ZBmFA3aZVDkOvDgwtg2e2pr3mLzQK0gsMD 6HFXi1QRcATlDnaZSCR2Uy78rvvuE+JPd2S/vO2ITP0CIfBCEVKdy/vIk1jtF67w5TMTrEXzjKvV 6h6lSa1LEY11hW6CXq6jRa+p68HjY5eH/C3/omnPNzw7ETcSl22i6kCek5KJDCUNAI0ai3p5zZTj aAhQSof0gVC5frD5beS0fRvURc/2r9eny+otUHCSImVpIjrcln8ZuAvLLmUfMcwNQ/3RlPcPMskp i1erRTTF2+hEtEmY0jPux8Y6yYQAOVDL5A4Z2Z9Qn46vWW1sDdkuoxxT6B7fzUeOiD1Op0FW2NZ3 7Fa3Pqrujh/sMI3a/XCv7NjzPAWJRYiJgO5VoxD8BWAKj0mF/LoRNkg1PJbKn1/7/kDi4ewZ0j4v 6loAmNSgZfy5SsAUccVRU4/0Go7lGzkrDGMP2C6TACDZjyZCxlVrGIaEDsNV0fZ3pYiGg7toRvRN lzgstu9Y36eqNeL3xdPZ3wx4LoDuhGBRM2Q322JCyZQ/rp4/xh4BXcDimkEb+2mRy3ABEDhOcB1G 0v+frqG4P9z1Usky96plBNo3MoA0oJmGTtur4LvxGQpg/OlIp/zMRt1wobDLgSiYOk5TBSP7O5Jo ysdsqeHc7G1TeI07TcJZR/gDzJ3wOfrOgNan9EK/l2exWmAXf9Vakyw0FTmgNJIhL4ZIU+CKWYSO RAum3/4YU13t7Z8jd3fSJFDGuNBWmfwpnLv90KUO4iETugsTa9s44rJtJ8I0kXQBWatmd/KdAjIz 2ONBJtdFpIP5Tj4A1T07W5hW6nqboCVbqxQ9u7OUy3IUGU1WXMdQpPHtZDKQ3TdTK+ylfx7jQl7c btG2c2SlYSQpN1Qzo1drhofqnCYJf7uR567u5Ar6aFSAvSKf3XDuXLyIdRIT7LpMOFX5ivGKdK9+ eF4H7P46c4GTBBCbS7dDNAlInG4fCy8Itk+4vDysmIU3JmlWYZmLa1LB6MnY95CPKxlWxg8S9NHj yPTuirzkvbt+0DdAA24pp/BAMuS/UY6ht+6Z+OHuJBNXoIbSUiRjq0qONCn8+mrBpC7cNHnY2+Pj Fy53DL2yGhGb7z2s/dHNOkJMO3boM4V1Op0hMvJt1LcGkXeM9V1+Ac3w3KzKSMiWIaMxb0XmEp12 qO5cTcuRq9VAbZqdD1Kx7XlHT3d0u7Rr31C6QCl/xlVNZeSOlEGuZ+JGHZtJUaqJK0vJLqXiWhRv XmXMj4dGORrDDJi4fUR+BTxjsJy7nfLuhRLqVSbjspjsHEJBGE2ZuMEnH0vV/Lyp/Fm3lrf/7pv8 DUx/evPLUoMMc40HbxoZdfILt8LddYZvxy3ncEv24oVRu9hJi5xoVjXwTver7WWtGn7rltrkeNJY yWoCEdTO5MFfBNwDxwMwXOtVgyaOUfHnfHpmYa3ympWApuobtUP6sBrcE1BvT5L87ItDOyoT/+ws NHPDa/YbFk9S6nQWqCACKCHg91HKhhRAGV1AipIx87vDMOSs49QSgsCWdFzHkG4kCCAc5eJMvH3c 9eN77ASXtQU/Rtw/Bs1lcDJ9DYfCtgY0sAcp1hy5gg5mdKqfiNzHaQGRgMxHYFusHYF5AP5zPDr3 RTHy4uYgIecmmsN4UfBOAeMwoJ4PwvmW0Nx9UZtL/NQYIoRYi+ZHXQh3ZCgn+hBYLXzJ7X7Ox7Um yvZqD/a1/QgOun7QqZwF90Eb4LXCw3m/ZoPi2xIaElmtKqsM+J7CrPcvgkVAr6OhsyXcbryxg05h qWqQc0USvPLnY0x/1fD+6LCY1IZuVTd42Cy04Nrsbuth9gX3oSzGaG8j4lHLdgP2pzsQjG4n6Exy Evf31LCFtOjIjLcul1RT9t1mkRjhtBubWgOehtuvDRB7uD16xGTNbfeP9F+NFeVVOmX+/47rwRJ6 V6L0wS+BZN8bbJzcSn3xvSOaOgqu4ZmqUxoTn7VvWLJfo9lYbX9meQw/IUSnKOW8nRWvDfz8UmPi tCPCgieE150Xc778TlPpCF+HMjsXaaVdjEH0ED9Xp3ov9Mt7/yft5LdVh+3RIuh/uQLThLKwx85B nFptVlTRTl3sZQC+MP/6Us/lw1RlTuoliCUYxJjrfrP2hGZ4rfnT5RLjdn4bYgVTbqwCFPKhIfQQ AvUtyP2qXVcVGzvc5ns10f0c4fRStf+w/6rM8R5YXqAmLDWWZJg355/DcN1axcUJlXNE8Xa9nDlO Lqjo6FsDzEhrKkXueYaWHPulFHVyk62ElEa/VIFtQ1dhOrkfw0bVt50Ecf0PQPHctQ3+8YL/4sqg 6DdmREGp1Cdwls/d/4Sq0tfnyMs+GdkeXdqLlscaVfNGp1S1ynVeThLah+6ypntaXZoO7WFqvBmO KHWo4+V59JU8PPTXW4gpXis9iadOsqBcjGDuwjGt/rb2Cu7hpoHKg3MS1GzFsrRzSv+HRWzFxXmI heQGLy781hkcw+KjTf/Nbp5fVHRNV9wXGaWpeIedzWxjPE2Tb7iB3LXktrEzJA4tZ8bnkz1GCiAe isCGhCN/6TqVQBiAQ52lHrp/8A26YUq6Raoz1OlaOWe0Zre811X/aTwZAa2QWLmvHuafNdIpbyA0 L4BeC0T7fPjiabmHHSc9a+cMf4wEt54ksr41b3EMzaRIq5D71eCgCUOC3lQK8EM5R+P9rDTFC4sP jewe8F7ujpHChsDLJbtvjyJo+7rkvCiEfcK8iMoubsvu60yY3pzA0aKjeRYGbrgZ3b0idUCo89H+ vz3hmfHK4IPFuo7ROo1dbiAQSyG38su6+RYaNrxF0MAweEVfAKrtzIpkjLatYSFoa+Dq1W4b7Gne C4tLICHwRBxL4OC09IYegA68glipFplaGoFNihQWJ22DXfu08X3Bey1w/KvqToSdkOjwDivwye2N YtaHjMnyTBNRObLwXANWLn5o80vd4jr0LuorNehgtUNp3NreFe1enDmHZ6skgWGnI4ksKXribplB Sauv1cvUE31QRyhNjYrDLmpId0tdvb0Wrylik52ZyJfk0dFaGbdbZBBsrbwnQleapJ46Z8QWCAAf ZHFpUZfpx8YdNe5WnqN7Qmzu3mXmbEvH25+wkp9KiIZ2CAVwDyqRCpHonLOPXLZZ240ZWHrKa/lA EI3bfrdTk+QJhmKwQ+2jIRGHUCOrZEZm7LxVfo4HbDDYxO7EqpyyK86RYviiVDQ0LDiJB9X8zw1Z tRxUOpETx/k73GHxulN5cP33aj6XCld4XeZ66XbvaJSUYfTZ9mggbRBBXPv/3k/labx2uXnEfPdS JVOrda31qrnP/RhwmADxR2EnZHYt0bnbeW3ZPxvjo8caYZ2iZQcLRrPuPtKK+nG20CSPOoW9CFBU 04NZGMC8VZvNRAb202N4avtvoQASyF4ITeIgVetM+ugq2UHa5AWHUOMInPXxONokvIMXUtZ9bBTo Ok9xxeuFvVUXovbPzjrddWL5FLGbNHh8+dCQDHR7iUEJs+9wLZ9Wy6YasNEwbyCMAaL8iVsBZtsA jumKmQHlUyognDSbBQV60ZO/8BK392OC0nyNACj0P3tpsqV5eFVkhvHOA+oaZEdJ9PLgxmC7+Tua GL6UiZ0YV7QG6h89uuW9CahPnfBIvBSR+ijP8G/iEUP5ci9g0G1zqEL/5IvZFDsCMJgB8SgEUXm/ ZRvphHRlFi12fTSXOoMaY1RabqRdVSKMEoNeYekUYSBrAgaBx/4bucBPmyBG/5T0pZM+q0agTCwE E/+CTeepnzq9osTnMx9d5WLMSEAlbOikf/hldhTwi5zkwAKctNTQAi2UCCLzNnlgTTovdjUFFSUx ME/TOK1P2++mENntZHqWNlmUm4Nda8w6aJfSLW+SV/PNPUAHVsTgVfVXmbu0fxL/f8EGFPoqGuL7 dXcnUDFvqtvZRO103FLuMJJk2f29Hv6JeTnuDQ9irGsa9enVCmzyj+ItlclNLYzEmuHpaYU6Z2YB 52tNwA1KmE1yQwQoMvNhqegbttv1X1hn6zQDtft+uYI+kKw6ArbUjMbLJTi7hoMQww6a+A+ebnnB BybHNTxTkq/YHihZ9KdHeNFSJN8BXyDetaJCtJMdxm+gmSzxO+DTYljQY/mtu/bBKd6iHFsg0h0/ YmFA1/l9hs9EhDoIocOZPk9U76TyoiQoSEYEwxax09d1SseALNcUd5Q87xhUVswaq6UGwZheMTwS ke6cOZ7Z235/620rkR5Me3lwcMZz1KPNvFvT7G097501rGfY3L3PHKWnymiWjYFcrft3cz9yf48D 71FVmMg8EFuMr0FW430yboAgx9JG/YVdk9t5qcnBQSl7XgjYxZSs85w8+1ysz66U5ZmaQXY3STRs f5iui3zKVWT+/5abO2hyPEiF7scvCAegie+x/DtJR0M+F4H+4bCDX8NkgEpDuuG/1nIe9HglM80I JOJTfmBeaVUiiRx3FbF6PPyALjiA6/7GuryY2w7JatBaAN3NJUm8MTtEMwTEBYcbUo3KdVnM1Xyy lN+5aICXFRAMKZWy5OP1PBZnx5hnO9/GVdPAOahASJQ3Vim9wGEIlJ+ZJ8VXyFpQASu7riMlcR+q utlbvsSALQ8hwI1+JZ352BBFlbcx7KEjB9SMHmKo0dYYlGYnkyAs3ToKmWwpmsqmV4Omb0ekF0lX cpTWPiQhOfv2ypgmKU2r77j6bPcj9NQgr/RPabrKmFOHeDPKWkDoiMI4g1oNmGeIPQqE16QAHtMb MFO8UA0p1SZPDmo3NoQb4iQJClyRrPE4H4k1y1CKFpn5lfAfJ+Ubpsk5lvL9LdT2dsvLyTRKhRV/ QbAoav9CvN862stjIwxq63lBuZOtzps9my//RU1qpsMVUm/mGStK+NOa1zvsn5HuYFkQ+2KOas1F R5U37VAUg8chkkFtVPtORJnnYD55vJTXhT2x41ALMYL0mAg6Iw5F57zpwPi3H+LOYzZb4lVy2t4P +IkEAUnynRjqLQxnlIDKb4nxl3TGoSUmBB1HtGgeTSIzJ6/nHDyBazS/oLOgW7ShUJLnD0dFjZlZ K9n86+r2mrOhFEyRDcNLmDQk0QbHuyg0DSPhPJeu0jxyIPvt5vtNDx8HwK8TJ+T/cRWNmJLkxcIQ 0oMrF/b6YDQYiBXdj/FgfZMzRam4fU4E//32CAxlNMsdcF2zBi/e8EQccXqrYSX6D58GIeAbddnU VJ0iLE0vB9aD9F+hiidZilAAg4Rd042NODBzbGBxJZ1FgvDj2BHcSzNjgjxVAbAEYZuzmWwornsp jMOvU9WdZWcFwEhk2s98a6E3YXycWMJn+3YviyiLm0S6Ovr2+S0Hw84ahIocmu07CRdcyjRDjztP /nKqXkf8feWcBhgw+2A22YSdM0GGzOEzvIYvhcmxouZguFBQhbQbyVxYtuX8Po0owjHGrn98UwQA gUMHA3xBHDUX2yRo/MUPAkb5Ef6Eo+9sJA3aJb25dzsJtFhfqVm0J0qy+jCu4w+UALyl1VoluedS +mDlFu+o/Qq1By0k1gDuJwU/ReojZr+fJ4C53vXwbmk6ZEDR+3cqdTIy0CWxrFFBOwqs4bg4NI+G rwPytRvz341UXAMQ8Ow34YfTZK4VgYyOaC/LziJH0q6rgvTeVg+HyX/em8lqvS4qptyYg91mpyYJ 9wXO2LRQo0Eq0gr6r06fz48YsEsSqkBGSMThLpCTDujKzKh/8A6q9yOWQstW7Bi7kbANzOUJrK5I 4In7iu70QNzU7gM9FHRtyTLY9Z0VirlBxzDWAgxWOgM+Hk+5qef9gr6H0IraWLk3PluLLAqgXJdN EKZuP7M5Cp0aYewM1Z2ed9Fy68ffaHregMqUAG/PQmfxMzItGv4mVAMNaCOemFQEBZQRM2CyE96Y odk/FB0pg6JTx+LINZ9AdR2cFSPWXJ7Mi8MLBTGOqXfykVQnQLsRyW9L7BHw+cCAasxMADJDmTFe zfNCYQ+d4uG2AcEs4NbAf9eI0sQmzCUb3IC4/R4apfWk5Ofz2AUJ4zi6MbuDQ6VHntpDRLy31qiA z/vFUN4ku1QVaQCmMGO2A7SbdjtH/ueWqcmCS27G6aOF2ZpgZ+UgIM3m8D9AsvjO/QsdwK/x7a9L hHYIrlpEWYnIZoD5ngQJAHDcZk2BCS1gX6YGsJfm3IEqEBQW7Rc8PTnmk5RuwktEhcol/fl+Pai7 YEOsjKcmRpB8kOB1nuArkuXOcMu8ZHU84Sphgf8EJYqRwwBBCG28Gp2Xr/4wTb4ZKWHsi4J0DjuF XycEUSq/KHHlcUx5SEbG/K5JFIfZV8rOy90c4tijNA4dUN3Gcbj0aepYTviCEZivmgVKetaDM1rI 7hvaNzAEqLfhJM6zJXpd76GSSnBwuID6ikOrhurtK3GKeyT1Z4vh75QF/p1XMMcQwN0F1ueZz5As fp2dxli8rE+D2qNqvB8umCkSd6LU5ig8pw/l2YMoIoJhBsot53QsRVdYmc8HvMmRI/X1B882aJs0 v9VRCGN3urw/9qqDtCCBH3A8E44ia2YOr4QzHOUoM7YI7tzgbQGHkXHOtn4DRIsEVWbnDOw3pdPg r37OKiDPi9OmWkDCRoZoGK6zxQ0myJPIBJ0cO0I3P5/vZYofX8b5DW8a3pWnmdK5EI/VzJWHG3Wz F5FbcNUYRrpQFP2UhbBtbNbJJYklj8w7Ku3CxqqdQz6A4obzCPzFzXcnEACTdBJ7/8GiWQI1/2kd AwncWqHMJ/mq12uGJXp0w0Ht9j2JHF64wxzl6u0kZeKh796FCXM/PregqpAx9/ldMalnYOfbXAl4 Nw5PWBEVYe1wi/2u4ULdriPlJBvWq3kUum+dtJlambxSp2wwTU2bHY+vTebgyc5LhP5uf7jPq6KA yEiwfbVFqoE8JnQF1NFsVesYDOGk9X2bf0mB4CI1AXcksWJjxLPH8ZHc1a5glr7ahAGB5d3sYqUz O8ZNPT0a5V0zOzVS7Uxg9bSVMQDOEgRP78UX5xDSPOjmQSwgy4UQ1AaYqbAkSE3aOvjKc2xXNJLB nodBoR5pohxHvQ4iBWtD5bdy/y2tUvx7sZErMDWxZPIOMWxo/gEn+JyLCkOzwZAlgdwQpm3/rpC0 lYDH+o3K9rwgi/2q8fHRg4LVbDGoKQMdrw/kL1eIcBUtlS4EjKXH9U1kWKhA08EApqqWmzCamb8g +NvrFJQ77N+IQGOCygCu7nvmVBSOC6flsCVuOtID7Kdi8zbeCUIHQFVbVfK9NJaIbSDXv/JOV+H/ 3s6VI9Iqi3b65coKl46Mcdziir5Czja+qBnESNCKpN1AjNpmICE4qm6K6ZDdCc6GFOqj7jxRcwV6 zgKAJ1T/HYc2Sc0YQ4A709ZAzJHgrePcY38EGeD0vEoBoe4/yoT2WaTOMH0uRy6gJE42LfS9vD/3 ZcTzRfL+BAy/dgGH4ZigOti32y2TT6x+7oo7GLIs9g4ev8zZbshUApiDNFYEmQ830UDNcGpRvUmz i6Y8zfrRuBTfBgxjtwm29raCdMMHtfX2i3b8hSdvIlzTAZycpTTO7iOD3wuzHO1rHdS4c+YAyl8b 3isTMiyYJ+eqy45PcPGSzXrus18cQzyToF9lurXhaSBJYzvLT9p7CnN1QsWiNY73tKUNJ/rh/+Wa I7FnVWVtGGJqCLmq80EEPDy6uUDEF8YqxaWHBQQHuUPZWLWn5G1iyn3BaUq7rynTpXf8g8rrUmQE p330TwxSVKjnPp9CKUFf/eSDUK0s1dWUaMuHg0diflwE5gWla5v+gX7xum5LmVMqCi6n/+DQIvLG F+r+5s2a8UXo4PRfcQO0fp34FJzmzLQe1kJkgYeidfJRu8XtBiI8yk+MesL23R1jHHi/MThcVlvN tPl0n+ZzuO5YTPfOo8fwfsecnNfQnutL/FIe+mqT8z9IKV+Ph2QMZh8wfM+3SS4seiRyL2odpngv nWzdr/50ha/ctV+hpcnYEc67PzLyjTEVUXWV9hXxNUJLcKR8cBYF9N6tt5XQ8wTWu3qdXbCSaYV1 isdupsYYn0XtFkHo0WTqE4WjWds6FCpdDmFzpXF4CeXEDr3J8GkTQ6SQaxuHSNAmfo6SFoOt/+r0 XVwVLGYFqG6a2TD4j/Zr/a5/wV0OBfdvnjPfVzuB2tdchq0CN03n59V5oXK5knaA+sdJp02Y2okN s7ugRVlK+yEhRZCZTHxCC0tSfGHvQglFmdL+Cd7j6pS26dZen8Y2vANYT7P7pLN8WXs1ezyipKop OXV7xa3pBS+rY+1TnXTfCD+1SRPrTCfiFBBMKSjvuh+fC3ScKGtWlIKrr8eEFuXK7LgSBynX8I1s 0nfTua9izpQuPOSvuNkUCKwsNNDr/PRNsAMGkRV51V60n8C1nOOHMAwJK6kaZwNgEAoFUl7Rk81n h5v0QQmFODVe+DKACZ3HXHlpIG/KLBoC1snkAeskXUd8YbTr8LYbEXnO7uRkOEFk+KMPIEw7nMI5 wOjcK4OEFU51dCtokc5CUVLKTzm2ba7H2wY+4jcSDq1Gm0c+H+C58lpRcPj7TF7qd5xTIPRhEJI8 XmULlAUQLpEg9/5xlcCdAPkrF/sZOpt9yCUUQFdjrpIqgNz4hGO8kcm4/Hv4yodEPHLwS+1t81ma DfXHet1JtAbgc1qS7psG9kslYKMayWIUigsPkUyr2gCoYfwXLX+9eeesKW7gT5761A0OwuW9uJZ+ WlYXsiPAPRQiAko0S7I/91WLtWPXWPlQRNGUmBhYM9F3hVI0L0gdIFxHr7RrNY4oahl4OLl9TNwg vphQ8BOygIVyn6OFoIy7RUdpjhiDRzHhmOWhDM/VhJdJOvkWJqcUOQK70mdfWrXVeBhFdgf34D1Z TmIBQv7FHkRbtEvnW7SflRNhQ31t4zWm0+FKSXP9zgzdHm6i+Rw/1nR8aqgMNC5IK1uUwlpl1TrU P/HQ+Q4Z6A40N9ECTDFO3oNRvoto/rlg8CZfz9tFnVUJR56cGoy2PZn02yHMP2I2cn0UBIndQIWf mw72rzCEiZKfbb6z2ZhnanghnxCLCyPGLuDiZ8Xje+j84P9VNgN3fKeXW5AuynhF/L3CqJK8I3UA mPaW7x2JEIhmAFf63g2HF1ptB2eCaiH7SOXmQsIOVIROJqP3qVbeT45ana5+pU1V7H4omyeLGM93 RkSr1nhEgyt7dZC+iMlYLjRn1D50FiR+/YaSjvMogJmrooh8gx6bhIYljJvhFd45TiqFzepIwSh+ rSCU7VGOH+B9ryAeVnjCiMv/1eXLBfdD9/UZLc7eeOWKWhMt1R35EhtoPL+aiv6fO5R6rj+bEXWJ I6b55J6XU59QwfWFUw/UX8ER5uUtZxoB1ilH8zBvA4URKm7WsFQE3fiV850K5WEiQvqhm9May43g Mk8NtORT+08Rdw/9SbXayJG+j4XCcBCzV0Gav7fk9WqFR2p8CUbeI7SqjBaUt4oW4ax8ywmTo2Z7 Km2JlbTAGOgoffnNg7+Lp3B5UbnGfC9pubkeVBmGUEmLx6umxn9M8H3XtBfxp8gZQUAlCeBUMXM1 2w6i9j/48awL5E/xr0T/PjLN1o5s22NRKxYOpGzvtj4Qj9zwOPLfBehAoO9ujvik2KnvPqaDOaB9 zt5jmHAmito/jANsGctfuMas8fXw9e+zl13qWmQ3yS0/uH9s+hp1tITIgU2FD+OoegRo34DkDmSm gqZXTaUuc+58tu8AxQ70lO9Usbc4odnh+GlgiOjbO9psR0RX/psBOsIdt4FsDKnJKPk9BqDu0yyR r0rEB4LTuCeNAecoe+1UNe3/7EFaTaSttuU1t0WxGsUnyKYK3PYqGPzfIprEzE8rIMWNygIVKtrj V50QEHP798y0NXW3sVS0qt/940YtOptnmRcyYPOvbPxr1VfXTFRl26MkyIXNsBAQWoOskDxk3Fud fWQElVWEqvhBzrHhKmhloC1ldTbw3iIFXnAxkq9SbWUGhX4v4c+YBfOXZHTxscjD24kT6Es5wWdr vKEFd+kg8V7MfpcL704zKGPfpar3PZ6kE8KSKaj82QM3v8WS4grqcOv/VG4CHTkfChdP8i6JjVo5 dcotAXbCZTWAl6uk0WFJ3q69qKCF4fyy80C3YmGqx8XkJUEtkdYF+k2ZBjW5EIidOEuePKZxkQv6 ElRQwc2I+PC4bXBXGKXpCodDiMSYHr+iyLSotYgdhAyYeWhCb2WqzhlJstG5PkTsVk4vsshKdIBq ylWAv/udY3A4M8GGqSuvUcPPZNftpc1ILs/bJ53kqJKnncMS2IlvuZupQEPlQIyAkT1T76tTkHBX wn/iNUgY63vapVv+HiiTqTOQwd+jZYW+QCravQywYVg97WJzg2eV/iw1/q5GU6Kmgg2wLtvGGDmp 9ZxcpK3sZC0zNXYTTcLo309PTcqGYN3qdZG7eaR8CGWxl5PhZMglhuJG3hcVYu6AH1l+ABfoHXSs XABNc/q0unYRasArJqv9yiLDqTZrYuy+sYNLxjOIUKbuJ9WLXGl+kE3l9OGYLXn3omTmDv9g+G8H Pq9/XGtT8c9k/S5kMiN9fQBAg9AFWDS4/uLqjHUpkG8pl+erMOwzwYBZs/kTnUikMhBOgM5LOpgC k7eUfj3f7XGW4URr3ab45A7SiKPuRNdrmF6w1V/wbhOnAv2IHtdHrubc24NQKuW85Sb/8N7MuyPW /ZH5NDSH8gpF8S5HbBdEPBYe8Mit/aXrzNzPAlsVcGP8YlwOG4WKhCVnJg4a5JBMmySaaXEJ0zZ1 kS0aAtLevQtIhorIf6dcAAJ30b234Mv6QE21Ej4oluibSlHJDPBQa3iFDli5VFXol5QUQ2ruwY7S 27U+x0v1mRc37FgkwKCzm0D3YEjjHdjrJ8yHpKooKn1/1WioVamr33iSGk6ERipXymvx6emsf5dX FwzwjVbNLk3q27Xu9B1cPfS6jqKLVcZgkXWxc7hZwXaBMosJzb2DpXldKDt6UMfBg5xJHkafA/+f 0bJf0VUXHXXcBGC/9Bw1Gq3sw9ljI0W1atlI5NXnG3RVgKxK8zHdxSu2kyt2iMgs2P8DIQs/XLXa ekCEHsvt4YI+8wGTRcDMMFtbAHSN9UEAqrZDDxoZYqKvlpSWYJPAP6/TzZtgoq5Wm8Dj1Wl2V5xB og87JMKb6IZs5/YB2K8YWnT5E6fA3/otVGqJ0S+2s3OJBQ00UTaQyajusgkot/RpD3vzlBKU2Gye 9OVs51NznhdVA+mTa12YjHwFCVPe8jmLg0y3f8vokBKEJEmXniDnP7zva9KhBErPt0s/WXQpc7jD 7aUp/uAdzqurNJ63Abb79b9MMS2vpOPYN1zNNfAIBEHCcpjg41mwN7EZsIrR2Minu7jltZyliA00 uApSrbrvyXVvbkJBFYYNkCyrNWQs9NkZJtAQyUpG6DdhPJ6z0XE1xqSYpEcXgmw5KuoQ3WgA0jdv Q/1m1644NczqfxkVhYyLKvleDoJ30y+hIOlvzEC6hwT/VOTgw+KM7CeOJGETTIYgsUDpArZvg0s9 UsV0K80MXABiTPmIOOPH52M3Fnqn7iPV7Yk5b94NDzjwOpO9hZ+t8lbzIJnjIZ1DbIlrnHmFnPZ9 UuyCFVrH95C0bBJxHCRgoRgqN5UdDp248y2lrJPb0rrKQ6/7CO2FUZQdz5A87x1PAchGsANQc37j JgLIIbYgT+Lnr3h+hiVcZ021bpPZROQppK2PU0jTDTkgESHLk0lh0Vq5z+XzHg66yhld+fddwvmF OPwj406vkeye3+tnk8eA8gfLvbQGQvnxwyC0xEzRUqkucJ1kIGAPt0aj5C7vvShwz9AZIJErD/L6 ChgZjCLQck9eBVIua+EkiGfMgBLBbzVCFFMWWECb+csogn1H61w9VOWicqGHoU0N4wELyej4Po8A C82hHQmfS31CeRwWeKng1gVrQ6QyOnc8oLwjBu3VUtJ62mTULLvI/oonufRlQgrwPGrzLZ/u87sN s2in12UF/POylp+v+0bYOIz4SZSupNXO4YN7+fmykwqY+vsdv8NNrbybVwZxMpFqWxqD0wJ1x9MU OKf6JGtiGVNpW4ban1aFxq39PxbMqisq+gD1z+oQz/AC6K1Aq2Db5x2uW2MhPCCc2aSu3WFzVwO+ D3nv7Tk3sEnb9Aso6uR+bWJog1Pm0uvVIk8dvg4LD6M83nN8RXtfPRPyFW4ESpejKLQiGI9lVl0b 2CIRnXSGLTcf/VtbTiIgMvYPz5zJu/fSXbDtixQ101xpGi6VJFKUrOzb3vM3chAlXQoygurSiXCE bDjqa+KBmZKclOjeYozWx6bVl8+nj6W4pDPiVraL1tveelkpIFPW4K7Jf0+qkW+yNlCvRH2Rd77j 93aRv9yd6zgTh+QTEqTo1jS/LP5D3QPgRi1GRz+7ig548/jqC6cCgR5P24YooQDwXoMRra/wser5 sAf998RyxFQ6bDkIaRxu8RjIkHyQnYog4zItTYoC44Dy+vbMYMOBiTb5LqfvAU383mPCaqr41gI+ 90iFfi3pG3k5wsHxKPELRYrs1Jaz78n+4GMEstHotg/2Gwx4UqH8Wi0+HXxmeLd9KejwptA6BAZg VM76vq3r7MssP/pHOTf5yHowWdt/HqtjCoovDM/I+uNPh/TH0wlC6+dJ1Bc5suy1eemhtpKCZjbC 2O8daUpGBYh/PnPVX+pfBcbzVS27s3I8PZXAkLgdDoW4FOKDzVoJn5/MTfEDzIV6dlufSKDoIY1q W4wuAYK2Cifr+aB4RvCVMG0hOW1oKyrbkz7CBpC9RJLKvO78plP9ebo8RyGsRknTAr+CyUfr5J44 bfdKVoRfnNCWxlLlq2MV5MqkkJyaXH0o10QKtm4pNfugX4mus4XIJzk0PpedkiXQ39wS05XhJBQ9 uk3ENUpHe6y5CyXdOGPvNCP1L4isABrKPlha+C1NE5mg+ZBQTyQcEKAvYDpe6B4+HskLW4DeEyxi qjy+hCYMY9cl0yyJrEOsgvbZ6aLHdJhTO8Uu2SMQUB7D8AF9qxxsIe5dnLkL25rRPAPlrOUb1Ud4 Z0+u4rLJIhDvjOP96cWguBG/vLlIJWa8WvkL0YJKvH05HdObflWEkerwTcle5VwG1fUIF4GRFb5a 34bywiryZ0zogphWAmM3aekru1Pyc822XaJ4ey2dkadSUSVX21GEci68ped3OjiBsAe/KYJtavxq mOePUlQeclN0JmdCocvrHAmn+SRVuVfrdGDBGcWqK2rsijzwr0uByulsk56wIcaYfsI/H3hZejJ5 a9Yj/9yFQYxAE09ZXMM4jKkaD5bZWRCrkOTl+D2SlqyyYK9i2QX6yi13iB7KKUkwvzOetxVfMKta MdpWmVW4Pvn++mkl2XiESJAqWPPMTBKE8H3b/e392gg5zcAgiv+00xe1+4YCcNrYL/yqAO+aV16r og0cmzMQS0S/z7nTGnvPJxz3M2wIoWQ9hMDl2EwlCJ/Rsk2muj4AvhS9iNsOM4RoEvfTRbZBVSaJ wkwLPBrEHUmEjm4IwtwGwicZhHzcyWUqOGmp5mbWZUitiCLJfEhnwhe992RFQR7iLT/yiWaGI+N3 eBfVqpxsUGzHqn1wRml7raPv89Y7JXPjRLzf/BHeY/xpxdd475Y/clOOL3em3IccPGPcyJ3o2Ejf BsX3k+93M9TwvwOYfjePCaJbs5xmyf2RUU/bL2qQbcHcj5gktXLBN5aubMdkHQhce6GO5liCeQoF umwolsKCi4l19MB88VsUdmnUGrWIQYT9r5M+mCgISILmbVvio0kN4Ff8vNU1OE9oIdH6swd/XdHH NUsPpxetnW8XfEloTdSx1NWtjcMCrmsdq6+yme2X+yTn3Mx1vmgFqLE2qrO5B8BDx4IJVCipiZOi nn6BwpmEunZnUKFjD83QAWNtVUYfTTEonmwLGDg+hOoj8lV6s6wswpl8x6c1wJUk8ue3fZ3p7m99 yJVxU/O9iow8UkBc92eSep9uA2OSnpi+PMXnKM38E9y9vjyrHDcMIxXudMqVpv4r/nehIJlJcYyX oKylnTk3JzllShS3wxY6PRpiy9akMYyb4SEEHEg2mFZcPJdvgq9iXvGcjRDX+ipU3CcTASVU0LDI HfDNCvbr9VBuuW5U0ePfNDh5HQlyPDKfmnIXgilG70YD64XrYi7nmtaV1kplr+2eMMxuKMEXPXQN FUFiD3sB3od8g1MrlczOlRmyOYFvdPFkcTWKEIRftpaMbCHzdeGGMouwjEbBSYWk/IXuobBRKkAG LlNOXj39tHS/GJ6Tgv5BgnG7vm5s9jsQtOcVcxlg1HpgN//o+XEV1tcTuDTY4Q4iRdB0Nx+IZOEu E+WClUWCkDvMi5j6AIMDqKSlCQHSLcZe0+TKI5jVMPRsH+hLlOTbTiYf+T21bOXXIb1GGagROtCB CHmh5dCTJ+ye0Y+qTOFnHDyPzOVMxIrBwnd+q6yb+ZGCs6mGy+jHwsX1TfNY204EfZD9Gap7C1Sw ZSZgIH1rj1rxvkVCrQVJDwpKq1jedXF7J5rDl6SWVsqVwwilrg9XOyOVe9EOpMBdj1tjc6nLF8dO Lcls/NusmyKfdikht2Iq6PO6iMnj4gWRgNcRj08SksU5exS7I7eBVTc5MH8y8EIqxrA7GjEsDRe7 E6R9cObHYSNCVRVBjO9qvsxjaEtVcbBTxLN3/+1HWIum2actgEUxaEHxq5ZCdfhTvqSN/mrUFk1t Y5auBCgrCH9lSM7TShyHbTJBK9bWNZ6ch0GaLX4QyLgo/LEHcId+6oqCetduAEDebj/lanmwSPvN wgil0a0ifjEKxbFIhg/IdOi/GoEVlqukg3s/fOwTzuyQKhb9L0cQ32yt7QLMB9vGg5+XxC+Ztg2/ DnrDWzx64DwhZEmrBTfLGXkthwmvvaZgoSMlhrKEE5mZLN/t2QE0Uo04i2CEriKEgfohLYE61urD lUjAysNWTtA4/a9muEyeQibGYaE1IZ6YDnTuSsnmPxIdaqKmRygGXPvdsuddQyuBwGALnGwzbJJr jWh2XTM5Es4DQsNpN0UwqfO4Cs+fgRrKopONvNlnlsMqnxqOztD8+1LF19QTxo72pdz6GauSKEpI 3AmkryZPkkzG/bY7tHZFWZY/wx3i/GFyjiWZgrL2oN7q90cfd5DP5TY0pSL2cq9Opm34F92PJ39Z nVBY9jAfLq0ixAakZ/RO28wGrfygxD/1Lgzb+tUT8UPQXNI3P6kDjDyGqruTNOIGQDJ4ZOdr8YM9 ibw54j7xryWD4xUnkdUAme3cZEKyIIPX7TmkhPIUnVixn1skS7UT3oNyppoDa+x0rIBxBGhRjZrm vG/qgjcQy6KqQM0z6Ca3Lhjk9ZDaIHUp90O8afIsSDmKyfzwGWrQhUfHMou1DyMTtVBr382YNhIE Mop9pM2kjhtcQyuTkCCAWCdmkpXIMAn+qz+YneYH7nMyuFCdgO4HOLF7jRYa/+ZSbl+KLsEuVTZ8 gQeOG1zlG3pEZkUKSOzvc2OqMBNxXFA1IQPb2EnOHLifbKHnPZWSng4Giw4/2kTSL5fZoKLlDivL /YoesSXmDljq+0gRSeRXjW3aa2A+GiW+o/SMjqgl4Xs7qL2AdCQZ0zLbzceq7cn8LxoggdFR4tAu Dp8/S+z8Bw4b7rz8tWtKSVNRJ2liIvD0ddk6mUFf1D+0Y4EvhIKSBnUR5U3zRjXqGCBmh20x3VhW MXrv/dbbg2gUsFKKBJoMaiLij6Y1oeynkVCiw3IBOGaoYnTjs25HGi+24ZpHMe6EGR9dz/r/Fi7o MeC8d4GYm5ahqnOQvsSDB/rxwLwi5hVk49ME7SBUU+9FSkUGxXcH8v5RG5ehaUIDg/hsObN7fc1v Fo4Tuk5qhrRQQRcnbQIUXTA7ghzTCsUBTTFtxeZkwDIGTDaiO7us2lPbvYoY6qHV3qh0g7sSVZF8 4IGr/3sxB6B2bdAIn2wb052NLN0UVbNtPrPxv0TLGEnJsLBmvCgKUFOOl5+Ct1JOv6c5bA9bTNwL PeCoTjGzNbz2p99d8b37cn43P83Fnn15+7f1s+BVp8MezAiQzn0M9IiQU8Yqlj5JqkhjilkAhLBR GPIZZH7l1RogshwuEQmPspaAV9IJeVhSbipWA4VMpA+0FTyy5GhkSXlvhcaQBMsbSglCMjVFevfJ HdSRaApGJnwAOV7yYkhlvVCLYW9DsK5bO7ZxZZjXX8U4MD2s60R8H0bReKtL9ltyojOk3BPxNKVb o3P/cph0wQ9OftfkLr1BAkWnIBI51tjTaFVWMoIw+uN9n/WJrnoodvC2LDq3oa3VCCGYw2Nb4k6Z YwmRDNPUD/6qRjAC08rEyzyBSWZJyHTV8whBn1T3r/hO09yTwJdEih81rjHb5IlFYyNOrFXsxR2o +w+ujvlJrJP4RlIRBOFZ5oZPiFCna+5yeGdK8W9g0c23eB0jim8NsekS5mDaABGinB9BeyHkJl3r 5uNttYwyqt2+9cB1idDggnxyiA+iRKrIIYONkFDnzhqOMlJdvNQOtw1/iQjpNPyjdasDqrCTRxFE K/Ox6JaXxwH1amWNtSMZfhUMUUjiIg+yB1WYseQxZrtSWgu0aCjrmM4JTiGvkTr0dEoxKUtySnSm GGQokK/cvxXsj2gcjZ2ECWWczUog8uULLJld/XW22s2Qv3jlDGQq4qhOGNhgr4Wt/GHECcdzfJ1I 3UyE1nxcvo5MtOIT4aPm9xn3S5VOfVlZV1dXWcX99EIh/MhR4DGMahmzcNYC2blE39FCRTe2X19D 2IYIcnm0+vdGepG5wWY/XJFu0SLRJGpLlS1IOMv/PXwpH645hCmNa56N+N+L6P7c6Bqnv/pJap2r Q3XszzgKyEUHpYx1gUoLko8fkY3PWQB4c7JsTY/et+ZZ4lntr11Uwif2yvV0wgCS1PviwHW974NE F7g3EfZPxycXp6cwZHe+Lhe5hwHrBMf+NVJhs/RlfNmU4aCX1jL91xFrMKbJY0k5Tv9rAkJPMjsM izArvbLc2rwZs32Esvnt+r4Ng7ibpneKSC9RKaNYfbgovLK/+R/cELgHKheOZe8+cSWlQvlLRAtZ TqbgQAZKnT0e40I2HA9NPx9T9pX4tkAROtgUsejmEfv6H0oZTfJ1N85Cd/GitvqKRLd+jVGYysWo /zb/Lwdk6wjAhG3n/+84Vi1meQKyVBEvZG0zUeuEC5v7qYK/bEjOhX5INt4zbGBlnLqxqBcEuIUs bqp+1QMKnxoEXE9yrsXltbaqBTpLJEYiOqeuPW/MLNYqpnXn1c+2MishCN25EgJn6hU+CG8guOMH dN6i6jOEnjsRHnNKfSA6NtQLmeJyA0GBHYHRgrF2f4pucPKAEfDvINFfR3SAcqySHdsSQ01yBkXU JQbNROn6WfECDUSZmVPHYIyiodrStfsBaXgewWE6RO23DsHYQ9QN8433l9y0LFW1u8BuCyMGAwho fc9VZij8CyDmvEZNOnRTzFVhB5QvbVwKvO7oTr8zL9GnqY6MYJM3lUHEIfA87VlY9G8g9gsfHM1i xw1zeIunKXoTgimhjD6bQiedITmhRH+STMDIx1gbpo/XMme6kguCwzng3M/Vq68+LLdnmiIgnn1r s8+mglROpx3K08mS8iysv8Q7ZtemYtfcGZhw8ncpQkzCAEshpzvdrGN5OyztGifjqqMgxW72YCHA +rim2LP/hbwlFLXfNOU43MNQtrpJV5LxyieTcF0RrGy9qegnWXviR23w6B2YDxy9AknVIY0PKReB 7LlCH6Tdyt59X7MO5hC1PZu7mYNtfpH0X79NE6wbeivwaLUnzN9v393Own8dPnXrZ0vDQ2Cuz98e Kum4gDS5nGZOeRMiz0H4QEaHzOtuFsq8sEb89dzAty8AfO5jInDwm4/Ondx9VPZu8/OPKqQQTohw 56tpfgZ35PvuNrBOlkC5HrdjX6KPK1byAeBdbRW+jC7YBCrRrNKSQrlcIMUztdJlW44ZJtU5q9ah BH1lcipdOE5audcUqHwbjTrxOM4vLHH+0KH1h23ZGgESZ64ChAfhnKyRk99XOlfSLFsXk/J8fx6H B7i4b+05DNi7CUSsIu1ug9KGhYZ6JeHhY+0lCk9r2NwrnqDhzh3PlcQhwPuSN3N9aaVdubeZ6vwn liPpEC9Hr9OTTJ+RvgUczWSTUgHmDYGdXocwwzhh/wSmvFmsdNDwPBjQ8TtySN5zfZ8ke/HuV/gA H+Vz4N15QkJh9gTuq2uK0Au34B0FU/4fvrZKRWwpHyoav3QL02LS+/8E+ZQ822YzXCjcHMY3ofQg yB1l5s/lJ7MWvX+iUO329P16ipRHFk6bFKQ/YkA6sj5ayT7Oy0uBl5gmU30F4+R99Ob+toc9dWAs GuuuIfEK1Q6R1z9+j/I7Iu0/xzSa0xm64p85lpbQkEpAw6NKUnZ9ss9qPahZMMj8vBj7wSym2Xh5 SE2sFrn/Ngr0cuu/GyRNb5ZOXzStb0Ok06QFFVhnS/3pxATJhqfs+FcGFis5C4asopq8Mf0vnfmq lVXJqPXnKNFM4aMWGBqnsbwb4j4Acwl7dp69HtWbAYxr7ubIPzdDtERZv8lpWyCUyfpo/ghz7Ywg LiLaMDKt3Kw9gL+N41b6okGfjEdQ8LNJdgwyOtlotzBcINh+UmrXio4QdCMfanjUv1TsdZZmFjTX r0+yzlDD82RVcvnaBvoJyHRn4nwpza819X0GZYxphBiMALSvvW06X5bRZ4fO0ki+ND4rZqZdujLk PnUPPxtaM7RjDCQOqalxw7XE76a+5Gt2QQyzpzembxluaIIJKKY5vIQcK9MVKK9rxe/xmJ/PQ6F2 hG9ZAepbHMe9lov1pnQFvCPWokzMhhq8bhiPerJKxEh7pLCEyaWhZNTKNwhhNUC3myOskUYA0Tq1 0X5/4CBUNP48IiOfyHoBnHV+ziAC/AgHYLYrJAWKAu76cCz/dpe2YLP8WeOd1aIIRLxHgyDiu6pE /5Da8PgqG+yeK2KD+/O9YEntKpwzX7czxde+GAT2tCyRCALMd4KhicbXUVXWQw+OHzVsYTQKMoZ/ 2NoGX45wFqzfSnCK/jZBpoJoEvv74SEi6Vdm/mr6KUohsV88F13J4MEUzl7EpPlN8vQrVteAoXcz aK6Gnq7x4HF24+FFaEquIgBWJbIXtYLMdQVZ2FvLnHR8nm2LwmOmoFJZBEzRY83PRUtDzRonR/y9 tTcZ28Xq1JIPiM4aaCbC84TSwwflBxfHbVgVLoPav+ic6WXocYRiaAu8WlkaQ3HWmkjLxu/4p4MF WtHfeO9LsXPIvhgj0ZoJX6SFvprbz7MOFwHmlXvLxPuA7WXBBtX/SPp5mBVRz+WOT9hSFxCEwata rNsnFSTX58lwIVvcb8A1cvSv+n//fZzaq3aiZIsQXb+r7Fk0iQl9NSAYUZnDO9l3bPsdWmvm+TJJ MSlnFUDKXhpae64elZCoAaX55DWvUlIkuDBMAHJNs9nAZy4LWOA/BR+C8DapE81fSFuFn2Jrz5L8 Ibt+SDByVjAKWXhJuCMYXOjQh/C/QdCYe5xpj+1q5aFBkrEr88MGHeVe3y+hYEj5x+sQbKDZuRMt 26jP4pfKbNY/aWy2I7/+bVeeFEA5E9dltqk6E6jHBigjjrkjMxFrWhPhKNdWntxvbbcuMxXPu1A8 9Amzqh9KcF2aDyU21GX+Ozgoe+ei2mGitRxIt883eMsjaMhYF0NB+i1NU7infWGO75DDf8N1/tXY EtppDJXuAR1FRwQ7v6nsmsxrYdIn3HzG/7o+cjktnO3pXyVtvG/NIvaZq0zlb+W+0QcfetBk3VAV m+d51A/IzlIlXMvpox+CI4cFDDB6r9uO27nlhnqmJ+ChOlpLx5bbkx8yk1UTGHOfXDZGf/DcO5VG 2EK2ARFs9tWrgxWyB7BTEuzFsqQyW+M+v5G9QTPKGhminSaexwUK/InBQpvCjM7mrtCyqHKsgGIt E2Le1+9wx2Pe4SbiM1eliXysJNgjvhbRzVr3dxolb5v+dgcRKfIBV+YPJCP0l77Au7KV4FG3vYuh yxpL2hGHCmoOiCKcfnOYmImAA0brecZsqnxyUXmsazcJ2oMsAhsOrDe04SyucrbfNZJxn51TyWoV GsSyrg0e9NJn/nBIXmN8JKvEFDa7ezIV5HCPe666RpP0hZvZYFPpZIOmXJBOHnYRIBMV2Cnm54GQ 5e919K+v5P1r6XcTjdpksGoPN5kNJ8lJnqAkuKqieCfuVzh9AfJKxd3w4J1j/4hhD0AcIFAHVz71 dvTgWQzKB+wUBqJp0I5CznZsHqnir7RZhWCr9Ocd/+Y1tQVQV+x0Jxk32MeFzxavR6NxMN7v4aXu fvgM7BTLyfyGN4BsTe73tBg1OHHFtwm/eHiNT+Z1zGoBj30+K8WZtXBGlMIG1xpnOgK4Q/qJlEOa AIyNGeyEZjW+J4szQoBvX74dxVPp4Wa9YQ4bw/K2iHMbZyHUK3X2xoObXasXL1fgr1m788XH6374 BHbvc7RXZRQsUT93QIBP5TPsOB/8LcWghdD5bwKey+ISIenLUtsC/dr9mVG423hzIWae5USEiq0i 3/UIqvGYkPIntCrBiZQjiKoeOPShwIOD8cBouf2Q6f5nbX+371VS0Kim/kOADYnkCNj7RHM1Wg+d jMBFBg0t5rYH92PY7jmIJmUegzWZRPzmLBZ3k9/PKTY7GRm/3lDCPslbpqNoBltiAjCvelofnrBO TsaepYcbzjEAu6xO6gpKh9i2zb6zY2+6X8aqAtzemV6HwyghIbm7Ch0mBTbazchXBgmYxNSigbxx vlQgWsTWP99cMFdrCKzID/5DsCiXP2CauLILuButJRUT8bgBowHwVbLG+qH1JqRlTPZAcppqP0xN yN7gu+RvA5fbfcg6lWEDn5B+qVqEwkFz+hBy2taC0R4fqYLWYabAFCCjXqmy8Py/gm6ZRbYmPTjL inCuU6UgA40zfYlxSddemK4vCR8mxajRrvyxveyUridRQwtGn7cLRHCmuYIxWvi9p/QA89N21auB OpeKC7UXgDVQRRi3jjy8aYqH1+jB433FVVAPMfDQlp9KwxBVoq2ZSA9chuvl6b4kaYv24qfzPqdR W7CJ0hT7WE0kqtksez2ZhK29Mbgmg/6qWMrBUwTMlXioXJ2Eo/jK00b8eWcrm/fYYX/fRW2bD/O8 rrpr2/IbG3AsDEAlHTnWtsaW9ZfbaS5UFFN3uX2BMLoaTFAdSepmID6xMh8kC91t5u5ylwsf2EkC 9AHV96hE34O8spIfWv4Xz1EBirUGNA9lJo80ibiap5Am4lh/AB2PYVuh6c5xDNmwHPBQe/n5jnSy 5jMQwIsljjL962sd6HxGTaQb2gZLBtIb0YJEPp203QivrUPFmJDnv0S1MyogQeNvF9Aqg7hnbbi8 1eebNE0U+sZ+zOAkXIbTXW3kP9js/wfnq+5utcQrRgfPzJ86YyrcUviQh5PJ9u4Wty2QP+goOmIr nAW3SFfQUPCXIoDBAz7tnntNJQWaGePdkaBDF+pdUDLz1JUyomLnaM1jdIKszzmfnIO/SeN2XbwR fDJlpk2u3FMDugShYJ1NeGfn5B+Ec3CbaGmvtPG2EEj3Z9fxCB/SCSi2fLvjHZqkF14TIrhAwFjN e1/vDJlAMvb69qoXr3NEOBo7pdeE920b4BkkcrsNibW6JNfv5l29w1G1g6pxgEPPb+B2OLUYumBH JqH1OMIlKBcZMNj5Qvgiihlm0PyOC//dkYuHGmyikUle1fWXTkxZ3fs0gvc7E1pb8dO1BkFXMBMT p1WFLwvEwS9UADuh8Z7obK4GRrXulH5eXlXsoCxbAHj+h+SzG1+mrrzVKTqAE3xYIPbFvqEt5Dp3 rf/c2hQ9blSESIv036iSJQq6Kwiuv1Bu6TXNQbdgZGffezGOWDu8wv/4Ew7kTo06cV9D1kqvx4mP DBEe1yR5HX8Rb3p2Fz+U0awA4Ywzt99f1nLzKxeeFilJSb/z/2keiY7Vsd8qXN+XxSPtFEaHbk3Y +NF5YOvEEwcUE2KbbjpekiyFak7sC0Rb+iBTkV3ymMXk8ThptYVJTS1U6/sfvqO9u5ve3v3hz4Vm xXJOOWppe7sTVzfdYHTLJMl34E/yapc//2y1y/1MQIk7rp94NxbDh4ebt0bCnbZ8oNbuFC1fFohb WpV7Pw4OQIMe/+AQcbPaWM+UEsXesxuj4lOCfOuqQwHHD8l44fNtCylvsoxFdfqOuX1ElK5vsziC zWw3tjebBuHL8yXGvuaTE51RiBCUjXpA5hz5egzofgspEUr5kou86XslSABwW4dZoTnlsd2F/eQ7 E6gi9xz+o1hL2srrYLOfWOb8PIcJ9KEMsAHXSueEJPA88zvKbhLFEDwMsv+Y/r650m2LiycS2voy EEGY21hqrnl/zVkO8TsKGlZAmru9Zo7Aw9CGA49zFUffJY4mdYfe7dyTJWqc36qjqLxW3IiR5iTg R0XoIpSdi383XM66OFMOzMH3zFU7YLR3F6L1cSygrKCYf0PE8gAu7LfERXyJQ4JBDntghPe3bnRQ v/6R/mlkJG2VgfaKnMiHrH0pMWSvC8gmRwz3iKyO2FAFipPQygFefC1RQN7QhAdoV9ObdtUIY/ul XA741QbX45AhebXbuR5WxX1ZPnzVVtN8O0lDZ+BZsmcTpblu/dU0kyOpK9NefZvXcsBIUsiC9PKV RVVUsmBdl/oetp0BhDSJGW2JWAsc3DMYiz8COP6pKiHLWdqJUdPNVhordso9xy52rA8Ppva+YC4L R1YCQTC8gzQ7Y3GV2uycHBwCIr+xYDHtk6RAmEBvYYS71vlYp5PQqnRDcJ8V++lRy0slaICZhK5M vLvvGaXry7CKmppN7jULxMnlve9Ix86CEWhBTbSu5Y2v6fJGFnScChbnuUkjUYw3DeSYhv91AxIv 65Q5wYAVOJXHB8OelQUcg0QNTjOelmv7EK9O461kmBrXPc8xFj+8lWCRyPMIVCyX753mzSqdBIRi hZHEgH3ibkxOEH+ybGvDvA1q2btuuYPzwfj1NZlYFl3brWTTCAuehBcw9w4OpVkcm8OyDdvCai8W uyRoAEV+uZDzeKly8w6YlPCpG1SKj0LLH4Pb3ThKZ4fh3RqUaMHvaai4Veun52X1yJ/o/HTGCUip 90iczctSp1BW6ehxB1oQFjdZ9C4TLcHkQiWW1HopFeUSXdyZCB7I08GVcd/pNWXfhvAwDYXQlF4c KqEwlj0GRWd6f0/24C57WV9+JIRFM/40jjKwuQBGNzbPRAO+0ggJ1cLFnQLPuDBn2ilU3hAeDDp6 IGcJWMRRVkBBiVJc+GC/d868kAyh5xCmffufyKKZWhAS2ui00CSxXlrC2dIg0WgxxTv+dfOejJYV xDD48F92glmjudtvTAAne/nk3ATsw52/LBt/9KVgBc8bWRLmXzKNTIUQPT76TjcvjpkzfFCyJG6I OYCXeN8q1Ic7flIDt3HfNxrBmCjyxSDY8ivUmw5qbtT0dac+Lc4l0/T/OoLgr4zwQet34EDKYjHk K2BP/g9Qi8AwnsLWHAtxK5rACl05NjTPgH0MUvKJLcIy+PZ1anpfdK1h40JyMCiBuvwlCR5jXDC7 fwZ+pucDHwUioesfIpLYKHkkb+qm7tkwtGpQX7DI3V0eUeZZBYMqkd7UrvGX/o9mctvMX6XTrd5v afgHshHZR5KNZxLQqE8k7PshBqOWj4yby/AeH/sWCkCfL5jMloI5CO71Nhlwy/HaE1aF/HMZiIcz i2S6TKDcsT3vkB3AFFJxq5c/ZrMTSr2HM4LO8u0VsfCo+sh8HG1Z7HoYKSvUXe2Ym1Eir0gSHfHQ z25Lq5eJb//C0RR/00nQMd+9fMrip4n90iBDar+P8XET8GBtDkVyVFhsXqbPn3jyW+radRe7sLEK /lu2KL9V0Rzi+fIfPwMouTlc/UWoiESUO3pjzwXYyS6IQS1PpIhbHkkDhAd9EimPL5h6DQDgLl3m 32Hki0Qm5TjoJ0hOmrMtU3/kE3hNq8cKvRGeLa5DLG2A1w7WddBPBw386qQqu01SP0LJf6DjSlMF 15DHO/3eDzBQUt2ZfgZ470w4zG6LtctGnY3qaHmzhEuEy//yBgyG3Ap2A//GXoLCmsrb6qhjHc/p nkWT4R6IjfDl9B3UeNzHWDs9/fHjN3AYv59js1clW1ReSbkJ40w+4QvFymNKia9xOpXan7ftW8rt BXmHyuT8fEAWSQssshjQWgwWoRLdI84Np513F6HGvvRjtq/HH37CBpZsS+t9mJEJ0uMIR41BHNOR g3NmcMCCFtoDYWt6b/v5Rd1hZq6LyJd0jsBERxAvev5+KvWPfDqTjzw7yrNM4YfZ1k+z3aTc6xB8 2EBy95kROVh5qF5IoRKsRDe64H9ulS5dhGjaD17DJnzVB7yBE3FwSOet7nK4EQhfXMgCctZuJySt 0zEW6iMNxODMRua2bRjbMTKi3DEG7VkNl5m6VEneyRvPGA3wT5q27Po5pABuXnFIqB+s9/k1J3nb gDYqrGMAJ5PXI0dOWitifWbWITOSd0o/UmxrjCfL14rfq4cgSatGSgVlKBqYctp7wYPDm09n81dP 1Qu9cNMW7To47DsRTDMpzhX50YvErckHtfGcwwqDU8cQ0c4zPWEauHZz1oRFMgM9xK2tQXPnIiX8 KCWUt4TLVNgdaeCi3Z3pWAS9p2sopLfxLtaYxq9YJenGYAjs77g0K74DQRGX+1dEMOWgUXgwumsV sbfVEQkiw8h9gz8oazK3stkF+PQGWgeDFhcIMcdSGKtRywLluamy8jPLSS326ToI5ZxyxI4uWaG9 2+WxaCSr8IFn+oV9y3awwkxjMQXmKOopiUIx4Q7ZyHvC+IAxOjf7Wfd9ClCCuZCJ98ZK5h1i+wNj RhgV0mgk3ubvu4lcHtwDYaaF0lB/FMf7A6mqR17fIPdwWnUMa8ezB3xZQxReDh7opkDJIIlvzALw q8IgmAJEP0VDzyXDQjUnBu5R/I4AAnao4bvRszfsQ3SjwXkSMbFsCEit360HtZk3nNqvbWd4QAWl mV1c5J1hitM3Qf2YDjzd7CZrunCOzSZ4pJ6CI1Hquv1yg6VJiqccs2ubSMl/QFQcx6lULEqjqV/E /tt3wt6ryTdJrR3MBuuRcpBvS0JxVdYWWLfElrCghGSmi3KaIOrUNZbcEwhqEbq1lrFBHfl1tJKt kDyAO+WydToNudXu2maHuzYYWKRHJ3ak4HSYSqZ2DeU0uW6dnpRZU/CGhujrMJuFulnSseytlcar Q/GexHOCAR20ILtfkypJZEs3TxiWi6D+/54Hc5JP4lHjtFMKRcXDh9CO/XrdwGw3xcaz0GbTG1C3 e1n+xf5oYhA3dLiuDQRDtSshXv6hZo/7J+eUlGjnK00rcLlD0gt9TsUT9vWQg6xPbU8sYH/GSEvq 6gKn4RwvIqCHWoDB4YtVf0UG7MZQH/gzhGUdkRZFJP3+6OOg8xHiVJYiGtZprEI7Aq7P1MclcWmU E34vHoEc7GgC17MxMddIufHdKrBbpzn09V65IzdqMdC7+04/nbtD2Rz2G9y1UUfPeIcNG/byMY+5 u4SaqUSSvYahDe6XSR/5oDuBjGbCCkxlPuwxeYbUHT7tZbLHOg3AsnAGV7qu7uXFOFPPsLLdAqeD 79MJeTi9u1It8vODex4aLloY3HE777UWcjzmsXVSo6f/7dcREkGRan8CD6lkJqKz3Y7Od+aZfBHJ LkwMtvUMoBkG1fRqwMy1EOs+CxmBfXYuS9gQrgCdXSqGHK7fHHRXAn0eD4Hgk0JCeucV3uFRChJ3 im6GrAL1Z4l7vk6NCzou9Q78UlvRDEinXRcxBt1vi93uCmOa2n3f9MJbBJpMrGkIjohD0PrfioMK 8j2YJfBqSDgnPXOO3ua4ETvHWB7pVFNRkxM+JJ1gXCiMNiHW7bOxAy4a7KV6YIsYznA5of41q0ug +oo6zTr6rgh8OaBeYTcQlGdbyce+VnRctmyEByOepdsTc+ShwJ9k+5jLmRcL0Ze6uFi/UhO6yJ6R xdLF6QztrVyFIxWglaGZDfJ/fGBib/W7bEBlzwObmokixk99hY8jFgoHS9paNb75BQIIO5XbfEEt Xf/UG+2CZzYoa5g3/01J7vRpTqPOS8w95Rle6kKthv9oVtCVH6sBMCqw50a69KMtHUBMUSYIJXrM /XbhJqn/CEoml800jfEHM9Im9sb65+LVG213L+n+2kLH2U2xSGZROJNbTucYnmwFyeTPGfaO7hIj FraQijFiJlFse8U1shYnv+fI6KghVFO578jWMvUK3bFlgkKZwJwiJowZTyRNEeBEMIFJ7edYbPiO AE3UwIa/5rZii5qQ+zZl+OjJFAOl6Bu6WMekf4VhOEYmRq1OU6uoa4b41AlL++Pj9wCgJh0KASVO jrp9vDZFEC1rk9Ef1fv0FRzEIRXJ3n1FL0xcuXThwtnB5KRSp0IAJ/duT5/Vy7M9Mtezloql+o6w Wr6HKfA1kHfkQPUjCJn/AZ+AlV3z4NFvuVHqeBZhMrMO8mCMcaXyilohAjqxEMqq69nJXdq2oGpO 5KgNWZOKOz3aAz4Izfq7eby3FubUukTrGORc/oCCu/gZscW9byuAGh7Bctxm3IDLYNPn8qLMq+Kw Zr8SDGnJi4qUnXXp/lsu1BxOuewCB0dJFdSQGOEkTadghtzE7ZJma6oLN3FYbFnsi6puoCE6QrgX VOZCgLErSgjVXq/i7/IXLqP0jPL4X84DfSZShF2gmF0kLcjw62/SXCT6m2GlnOBYIs7+atbFZ3OS EXs1tNbBF66db11LPBFYuxkS0Lg9lLR65i7AmfhlgdrwJF+SgwMaHT81mqbQrM+HYa+3Lpzc0Ytm tC2XP9pmykwnqS3tr6MAnFXIY9AqZ41fEBGmk1piykNXOJNiqrDjMQ4Ud0wZVzHllgDXApGdPdPH Ls//kzw1/yrwkiFmnNL55B8jPE7BfOkdS3lQlPbG4/lmWjpBwgn45F0uFPlojebD305RNR5vlWxY lY5OdmqHIc5seEVLAd7TmnsvT5C/Blw5qu5BADpqpKizbh871cWvMrpUIi2VWavQdCUR844HLdRe htdGJIbt5JPaD+fs51opR7GbwYZICGaXYwii8Eb1SZvi3PUNwM3lWU5iMu8S6j8XqbtMoafbZiQ8 mqYs03jhFCB1vNVIa9cBERR/+DvDRdFN+EQx6ZsoAhzTOFcbV+AAvG4eI0jPJoBuXeVk+bZq+3Wn BfIV4nj1w8Lu2iXvQ9hL9E8VQ8+U3VJxpwRIpAiPukFW1bB1+wiwigkXA6kCJaSeuPgUy18N/YBi Y3/KfAaU7zeaFkSSNYgWKLBADoPgt//8/HzL1H47oiJmYenlKg1jGGxPs024qv/FzFRpzNQ4QBvO N+3jF5C26YgdC+5Od7m+WDWQpuQyO6c6IVaIavyKnJW+RkhSCxXVDvhQpUDVEAreiFqGizfECg6U EYrO5eGhwTeD/8b4b4rXbXof6HGdeS7GFN0gsLhM6EjApqCKH1j+kc4umMLZlxkUeaPTdQqTnwsd OZTRhdcJMMLXW3EcBdpM60Lo9AILgYhwIwoLgIa6WJeVdohMrZaZ8vrMeLkIYFVSKVbt+l41AXZf xEtfj81zxUgZWYx03z6yGNe/92c88Sa84S2lcgkY `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/xor18.vhd
1
6196
------------------------------------------------------------------------------- -- $Id: xor18.vhd,v 1.1.2.3 2010/09/06 09:01:24 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------ -- Filename: xor18.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- xor18.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.3 $ -- Date: $Date: 2010/09/06 09:01:24 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity XOR18 is generic ( C_USE_LUT6 : boolean); port ( InA : in std_logic_vector(0 to 17); res : out std_logic); end entity XOR18; architecture IMP of XOR18 is begin -- architecture IMP Using_LUT6: if (C_USE_LUT6) generate signal xor6_1 : std_logic; signal xor6_2 : std_logic; signal xor6_3 : std_logic; signal xor18_c1 : std_logic; signal xor18_c2 : std_logic; begin -- generate Using_LUT6 XOR6_1_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_1, I0 => InA(17), I1 => InA(16), I2 => InA(15), I3 => InA(14), I4 => InA(13), I5 => InA(12)); XOR_1st_MUXCY : MUXCY_L port map ( DI => '1', CI => '0', S => xor6_1, LO => xor18_c1); XOR6_2_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_2, I0 => InA(11), I1 => InA(10), I2 => InA(9), I3 => InA(8), I4 => InA(7), I5 => InA(6)); XOR_2nd_MUXCY : MUXCY_L port map ( DI => xor6_1, CI => xor18_c1, S => xor6_2, LO => xor18_c2); XOR6_3_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_3, I0 => InA(5), I1 => InA(4), I2 => InA(3), I3 => InA(2), I4 => InA(1), I5 => InA(0)); XOR18_XORCY : XORCY port map ( LI => xor6_3, CI => xor18_c2, O => res); end generate Using_LUT6; Not_Using_LUT6: if (not C_USE_LUT6) generate begin -- generate Not_Using_LUT6 res <= InA(17) xor InA(16) xor InA(15) xor InA(14) xor InA(13) xor InA(12) xor InA(11) xor InA(10) xor InA(9) xor InA(8) xor InA(7) xor InA(6) xor InA(5) xor InA(4) xor InA(3) xor InA(2) xor InA(1) xor InA(0); end generate Not_Using_LUT6; end architecture IMP;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/address_data_hit.vhd
1
18020
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block R+qzvjLG65ZOey7bwZZQWk1sXDul+uY/d6VffLMYtSz6rKc0G5nJQDLJYKhAN7Oeh4bN8RLfcJ4Z F0u2Oc7gVg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VovBOZ0JeqndD7DXA71TYji7eTe7A/3eT2VdKsGIe5rMzAtJZm3zJa9HmsRUf+xKYWybpevAIe/Z 6ce31TOAPoAW+aN83ZjGxb49+kj33kp4m7rTKfI5xPCDXtoBmAejwe3/UzshFjHdMVGAFQIGem8I dBw8w5e3SPeh5wK8QC4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CcvVeTYjaLipmefDM9BKMVsUolGpOXHMN2bgXNMbGMdgnc38AcX2j3/u7mOth9fWtPyMSpHF7ezC E6mYpuTiz+kBgZ3RzuSTXyk+xlO1e2aFaWKwKFdEefhbs9UGhcvocQc7HcExPr2CfcwbhLN51Jxj bbXS0vss2AWZlLcMR2nmOrDPvKlifc8cSNWgFENM016kPIW5x2Gq4dVmRNze+3ebzsCoDg83zoNE /1jQN1PpYad4Bp5G9PcAjJgM7UyRClmrLXQfADr3+No4hsiRP0aAumUx/nGPKsDfOnrBIrAn836K qvJrAroEwpBN7BYw1kJ+6H1VQ/F/+2DBQ7hAww== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block g81yjY4PzepHVkEwxmjMrxQ/2cY5WeO3x/RBKsZsoZL3tJWCYkPT+OXipYxiuNwRjxFTKi+FULKJ fo1eVl6L0fst4YR+5EsdQgaqiwoox2zm1skJd5CXZORovjF1Z8Ufhd873K7TI7iihX5RpEb7rSUL UHF6LHon99Rvd9fHejM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XhQ6jMjKF4ynwZdnRcDLf8OrXLZKEQdQ2R2ZElANE9/0djrvS7CrLGohjyMtUmvTdqya6adu/kD6 ddhgaTSeEejHXhlW3JVo/MCSB4rhLL7kuYeSj11SO5BMIRuIafRHJVkb74e1eHw45QKre/dRdsGf DW8t8z5i0oOTCxAkL1MxQh0y7zKevSB0o8oESG4T4xeo6sVCu0KW9B9ZbftikM5BoJdWLu3C1z8U 87cZxZ+iNfN3JvKlFmeUTUU4Fp21g2nBBidQHGkG6PLlt9AOuiIjOexieoAkZtVIXorIHwxdhNn3 BLRj8nSBKYBZtXPB0bqqAR6Dz9Pe/+kzlS5SDw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11600) `protect data_block Me6y5K0BR7FEYF1JJkavBH77C0PP5iWoQhoiwRR1zVJ9qbtYJLaNrqGnHbZQpY0ApMnGAzP9yMOa Cjez/daTG2qfiLerMkKD93d5imnUcceOVTFr/5KvR0zTtGL+lkJgUC7wWQnyFveBvBRTSF/alyyw QflNN7vZ5bMQdkdCN4gb+CFNYq4329wMP7vlvwgVTGyHJR5g8l+kdvqxuihEXtt5EEKmyXJT6fLU aSn3GXoo4QoCh38DbQPgWffSl0nWFcakGLVPihVj+1yrMri45ovMXT3xxdOu8Kd98ZiFUvD/T1vQ Ss0H+O4LTOM4X/vO56z5GSaS6xQ5eoNHewcMa/dt/LOSpyNQ+jf8lfuhsMwlUayU/fWxXM6Z90de pffsVdQoQ3zcoNBBWjaMTd4Sf7QhXjy17trVdjSt2a8ud8mTXwmmPWSeaSzRib/i6MgBzLolseYq bqkXmh9Pym5EczFkOEYMbQHOPbCMT4WyheEXF0H9FjUB2rCWB7kDPwI1UVtG++NVThyuO+jK3EOV Op6eCteqpTybz8jGHgkY2u1uG9t/Qdpf5DaSi4YW2c3sjxBKIixVhMtB3ZejNzzjWudj0frf1Za4 unxnFfwCOisohFXfwAFLJOmFHzdNuB5loqv5f9LE1tOKEWAhEymE+gd4lctVNJymLlq0fbClHChX JGmW/e8eA2/i8sgBnhWYUwYepI317NTHiPcL84VwDG29/lUZkGEDtpJbqGJ+xd8XVln9cAynP5yR qDQWPtnIGgNemRqU637vwAkgRbeQT4u0zE38AWDNue9z3zPEHhYtdVEzLJ33PW0Kj14m0eWDnIAG 6IxsN+o3EUZBookEbg1aXxRJAttyzhYQVEwPveIg4eBlxmsTs4fFtE5CWwhfGW7qx7Wp4OO/flxD 4cJczAbssRToUukKisWha7JaYP2deVppomy/+Q/hRTY/QDdf3ySaegFeZt1QdVWuehjuZGm+qLoO NZskC7teNReFaAwhjTeBj1Fo4NtB99aKwadRiKjPSb95XcU6+EAVQJrsSflaCzYXeiMLEBF0jZIx yrS5m4xMPkvQAoTHKPMsjq3/yOGhRpJmTXgTP8Sq3vqzbKSPfmfUs9qF5YrCoUPty2UPlzJMw+lE lBF9/04LiJKOWRdb6RdKfAqGl15PWxA6Y48ctpiXRlRbI82x37s75Lt8cHwzfNXECRIBWZDGTBSg BDdTKfBp8/iUvANO4h5jni1YNAFNbV5LdqBwvIbY5fEgJQYtxKxDrf2HLsjeuYFfTgMhvPT2E6OT nbxDa3Qc5Q1DykJQ4wxdFUfxT6yboIUQ62o+jzjhTmsuk+9Vol2eoPqIb4kRBR1bnTbCHGC4t8MB nGkg/kgAGs5Gc+Zgt6nAPxx+cAOPH1QUgOWmBd5bbK5azc3QF6mZXUAbDyfc0ikxOEiT9Nw9BD8M K31O0G7WsP/2GrapocB9nRdYKwy0oCxGhxsIb5/qEJX3fVHDLKSN5DSsfe70qlyB69dGC0I6kD0y DFsZ2OsgPpPhN6rqZdEz10HPk6IVcsazmFFjK72fCcZ26LCNlZzg7dQxXTcOplnyiytNMNqUPao4 qvV2Bhf1Bif2FxjBAJ/JhOob86/5a+aOMicYit9REWUkhvsqRceLbq3VPDiDB3l7BmbC0uar4WIA n5IHWGCqqjaZAGuhrL8AVbgLfLN4Rb8GN2BsQcmK7QgOIoLJN8IfHAwU0zBE0/eFtTyn+5FCpgnb MMa9SYfyyy3GGZfJeE45egkf40MF8SVWHFXBFXqAFbvPwWu3Kvur8ettvxAsS6oFK3OCzAUlEucj n6sKxcEiL7Q4vqi8uvtRe5nQ0zQivSIRrZcL0QiYx6vjQqm2wPvYAWrNThBVkPqsl6PXFqYRUpKA /YmO5eorX3ePtoCw9WJrkV6LhSLUfo/umBKq0h7+skbgpB1MJcHpEkziIz0yQhS7XXEdP9HOOScA pR0TeEafBJPYKy6basqOOG6h6BScp7ZXsFjtBG6gEw9dd49lxl+1ra1ODREFw0l+JPrfp5wK6lfK Wexfn+iSF6bx4qvqu1wzds4nydOFGet9Vr1m1eNmfHdpb/J2gaq89UH36SFQDBG3/CxBRkZEXd0J CA1MdidCCj6jlrkFFBQYVoiKxOAOfnHTwtgKt/RSe8lEKdaZSgIlsi8/+r9zEGO9Pjs+Jq2ITxI+ o0PTx6z1CAps4ajS2cYEi3UTQxZ+98SJJ7ZdybUYkgIzq/5boVL8RG7qLSsMkcQAGOlL6dOSI32Y FMHgjf8OafiUSKSjpGgM1Tm3RidJh2EakAnygQSnMGqdcxr81DcmMw8ep2fvAw2/eiljLKOJhy6G lQU9OeSf18bmopu6keL46lRU/LA30LnCykEddd/DxAiqPPerBsO++hKzzEGDZ4zTdM0HHFJFHUuj WAIwsJKpjcqjsnMVELYsDx9mQZsdhi2u/5dsZH8OmDmYfWCwsZMeLNcgmqVXgN1JlziTS+29Ijf1 CC+ndoqVj6t91ecKZgQZDERTnzAOyDvB//VMZBLrkqEatBGuC2a7DTzU6EQFuOhRv2srVUOjzkPy noNMRX67lOS5j9vHf2Y0yrUJcKErH/49Ef+5ZXqTRS/9+CQxqJYGDKE+MY4mPBm2RTHvLfNHwcxO jIwoBX014mKU9WOcd58zc59dkpdw8kIoMnOSIPLJBvB5GFS3zVQz3zRsmAZOTfjinxgKAX6JaXs2 S0Z1cq6ErP8JVfXV/ePmHjvb+UaVkCalppFqhOaBtXQTbwtWrGN1Y2n8pU9Ep9aWkox+fQ/z/7b5 cjDajLNxh6XIHaqdeXgfBOsmNlpuMeqBxcaqVW14WN8rIncVDdQAX1kBK0xQuMVA9/is3T416FbG PRLgZxdq2+tGYAqlh+g0TqCyQlmtQ16kpV7VjIYPEDq4rVU5FPWNyjDZdXwlBVDVYoi6FNyIkFZ+ fS/oRIuZw+VunhBOLwHENfA0hzGfVOQY3tXx/Ff2QTV1HnELPOIZ91YNwOqeFDsoUzxvIaP8KX+o hx2SehhHZozt5GPY0W5q6jBBB21MKpxXzJ6MW5vT88Cz10Idxjnf00wfwhhcuckCg8R7djFcSkQk NzuSDEzO+jO9rVReYu2DzPHSZyHEUyuDLvz+ubWC7Jc25r/0kRkRBl/dMXk9LnM6dnsOLE2biyZ9 RBQv6/+lYkBc84bYIJGy2h+I/kN979h5AqRIvox75IpMWhgQOBTQdbs2IJCVOS90LG4swS4Oco2h CCYrWaHkSqCmrMJShX3Wrek8EBfVQZdPoKKYbxIhJTAuTAnE45Qtrfm+03wCRncezK1+qNeHh280 hW14f9oAJJCn9o6ioVi5gKxIbGoN3e1vIjbYAa0FsWVLYELe5/ZqH/Qd2AVZFWI2wyNz8JlYFQDW nvbRX0yl0qhdlS3gqNkIsJJlbeB/87VoZ5LYhsA2N79pbVwNbi8hgVzP4z4SVqeNS02med0fHp8b 3LJ0ijkn9MXKJBRwa2DaGDd+GCECYDcq8B2bCOZZMNhh8y22wHhji1JmaS8zPirFnDl7Q1WSjeSG WCAmJsoQGow5YpEyVeFSCJLjXytppDt8rkV/Qus5h2hE+V9nyM3Z3+JRR5X9+jnGSa9zbj8bNFxI yXLcuvoHufMMnUaEzr1bpTjEvwx2o74tODGOTw0J2QEp/dR6mrs9JcQmz6eUiH0xzIr/HsUOzlmR uR9eplSR8Z2u3S5DME/ifhjNA7ie/sstcrgKspE785+dr/c0rQzu/ALowPS8HXEA/LphwZJKWi2I mTBYiwCipFmLZnvdwUYEVPvaJPnlOHHplDtsxZzia1vWpGqZ8wcu1b6ED9XNQDhML8MKo+FoBKlc DweV23vmk5x3+99AQ2kaZn5HdFd1pAJc1UfGLG9mPmkxPM/cLXev/xY1e/QbooMWXlIot3slklJT Vfo8Elyahw8TX4w4mlnc1MCMkaZSQxsYkBFdrKm+Rotc8ya57S3GWiyg0hFEPPNVNH92OEwPOGUa Ju1G1bqn5YqM7e4eoplwzh0LFdiqNLywvZ6KbBH90hKDlYr7ld80QpiFcyrVQZvX4wsSVbvtVJQH ion9eQyqufm4aCzD9/As3EOhO2KQXTUFUY5Q7r10YFzdymKzuNxYhOVo5Zs5W7Ff/drwOVlpXaRg BtVFBIZ+9tJF4OVMv5QBtY2RKNle7cLs+3jyKEoCAqJJYQgCFRf5gIqPxS7eqjawwQIi1vhkWbJ8 SY2ZiU2u1q6+tlgIz2qzmjkTLSp9dh9MWnrhr1OJA61yYV72HZkAgqWPhOR1WZtbC389CT/VBM5j Ud/XRpOpAlDYsXfXAkXlHR38HQ+RLc3PkS7eCFk8JpbIGoe7eGxBow4CAlIxg3g/z1PT0ADe/cIE hhefH8SvPa7P6pKBJMl5ivdRoZxuzgFYiUuR85zqPYYv9Nv4fGBd+s32vjy2nV/DSA1sCZhJiFLD LKvDClq1dZyN0PpK0t8BX08IPy/vd/c5fBVZv7IvXIRiDiEipWMLJ6tP8lvUC2S6ec8TN/bC9WWZ maUC8FiBOVmXJfsaxVEISu7yx0If0TxJ6/UaTQvAKIBhNJlmUsUMTvPtI4EfrVmpcvZLzM+V9tLM GhMF4I7Bq6BiJiLfh+Z2uMzNhDDZugRX3Uf5dmAgYu315N1lW5umBM4CGjvyANGecODy5zUqsXnA 69bJaor898pcjXTbfKDrvlKJfLlE5mgpFyEsm5csetaSY6v0aF4Jen4wwXwjxXpMWmc7s4Na9CzT f+v5Zsi7uCqo3Z9m9VCxkL7ujwc9Of+wsHyLWjL+SgXjGOKMhTiInyuOo3lqgCIfHtcx3BeOBXaK 7t18DctZZC+770X79PY6yR5YM9DEmZPHWun0YZFy7syk3VU5XrcSFnsWCiu0uJUxIISxAKwahUOH DJ2TymdVGrWmfms9qAgrmXP6h8NwLSqxdc2MpQ0oITYAwJrZFq+WLfi+dQOllBlrkDT0FIf4xkRg FvjposxydNfHNm7NxjNSrOt7cGFjkXGWNp9t5LB0fSx9VVLXFBkaXsKPoh54SXHDrDKfZloMHSUv eCYGXNB/7SMQ74S9c2Tp0GbVQ6Rt2r+Rj1bBtKCCQaCUbvKsHawMbZ1hj3XYqKNPrxQ7ovKQ/Fde uKmR6yykla6e3Mpq0BxkeuDIQfct4HoSxB1rfsD59dKTAX+v1JXcrk0lTY9/XK6oyW8jug86y2MV ko58dh8qPC3CMohnb5z3v71axdGJ+f7436mkgkhvx8WiUpMTY1OVDxHsLRvIYXYtZ7BD11XacA4O WW2Tz1OYIrF8ZQRmMkdN60kaUXYWqHgyOb/GuZchB7Jeku+Cn7WgKr/Wko5/uOTcIDRAowPvfZmX MJA8G0DppCYHlwTPd1Q/agUKyadyWWGGcdBwAlYtqr1xhm4+W7vdRlHrR6mwu2s8TVEPojKC8kIK dtKDTEL3F3FdV9Lgap3hdxSa8IEPC1pf8VTzg7iUkP0K3pyWNRldQ/yYgSQc/pyFXG4E/+XuKXAt dCuCMhQ2l62qo6Y6WhiQP2A/bR9xCbCNNyZQ7hNn5d03vQRmKAjHln8tjD+YlhfW4i6YbaNME9SP JcQF5fP1mgHInIVFRvaHRl0bWTjoWlaOq8lq+dEb9LlWxAWo5Bl+4fkMromKQ678ri4dhkn1Bipp uN/76VX4NZJePaEOggni4LcGSjzfPPB66EORfXAgVxMnPX96J+XQkzQJPH68y6y8V871REWoB+ep CxEXliq/K1trK75QpUfPq49TR9SbasjPH9qP0HzZLkexfoMghosFhDn6CisxxajArqWnEgUBfm2+ ybDsNSrh8MIs5hopAQbLKnGtcQPVw2WFiuOIyCjvnoGynvfKWw4jb58coHITeKb4UqVJ8n6TM2yF 29bEjKXzOaglB2G8RQnGoXDWaNNp2Z4Trr65/bGFoYxRhescUI0FC+tN0MK5Unnhetg4TDXpT76R UgooSzfYnqTjv13CzSJ3PHviZIBNCrolvZ+hoPxdbVLWKhyXnNwYTbKpCW1IyEgEJA6nqVX/Q0h3 iKtkERg/BiAMdHZtomkF/eldY9vH4h6FlNQ+RH7L9lpupX0nLFnuWHFFhrSor5iuLimqrgn1QuxE N77W4Pygk0MQgnLUfXh5sNny3Rt3CyHV3Id/UxPtXasCytLy7fhfeh9bQur/+WcpjqR3Z1HxY1BH lNEaJhAiNvZyHyGtr7UWFbUZk+GsW/QDG+nP6uiQWa+Liv2neYJ2fLYdj3yjx9zUzQLmrI8szSco /Lb8cSSshjf9eEjDNIomu6P9oXzl2gRXjEuWZ1sN//zR7Y5C3aPIMFXbrbfsjwR1OMJ7CaqVlz5U QyS1efRxeeD9NUcumB+ITIsIfK9G4/qBE6aCSEc+tio/W/pxQ7uGV5X6zvrcw6gVSbqZFMIq3Czs yoJlnuFLngdnKqeBcxeWdXUSsTFJH2bvRYYxK/6dJukgQ1N4Q6eUHeebn3xzAWMyP+MhwSGAln/U LAYuVrFrSXsv/AbG9i3len7m6kHAjtUUsTIwwl7DfAyEwfzZtkZsRAk+5/UsNJ+n1ib/6s1onN4T GvRfY8MWFFanlXQ70c3vmUvagNhGjmKTs/2Mr5HhdNzCPJ5pTop/6CkF6nO/rjoXweez7uAC+yMw JPjvnhR+NQhJQworJ94Cq8480fuVb2AfeiPngOALtLD9FJaGjV+nKlZ+F697xaSRyhqgqermKE5F +ugoDV4wWJrlpn5M6VmxRB408DsTRH4bT35yKBC2u6o2A9ldQ4FfO9yeWIGZIwvjMGoBBAmrIqq1 17qNHRz9iTWqXqNQijC0j7sfEwbHO9qtNgbTUvkdhs6kcxbSAJ+jJRvxFacNcTD15NNjiUqWZg1s xr7UeqdEdP1ehqnGVLZ97imgYut+NwZfnBUoFLq/2ykrE6Z+87/KT+dXp2/d/vBGx/m+M77+0x4n IlxwZDeHI3nk6QstRsZ60uClGiF+ngqzHl1sx151aePpPwdNHHg1H2fZfgOlYDfNxjILcv9fdXBD O73vbEMqHm7yUy9VHtS/zKujq0WStd3I1XJEVEifD4oI/U5cZHkMRbbvhChTELEx84JgX2g9jufo 4xz3v1vLxtnqRwnIggI+eZVO7GPLpW+xUXHUMp8uwHVacQuIqbcVHLLGUoLlOrvVcBIiQ3/V+K2Y +z2tK+d8xlG/FAR8eEc0b/cY73bHpUiVUoWQg+FC8xRezPGn9o5lFj/VE6H7uda9u/2/BzapBCzP FxoiUtXFSSKd+sOopvBwgPJqBA3or59cGtn9WA9wCeQJVNV3BO7tEJEAeniCJ/Xfw8XlxVcwgloo kNaVotQyE1gnjHfcHbUDigoFlxuGA723oz0epEJGDzc+W1uHX5AY1kQliYjP6z8DN+a+TOIY1VaS KnKZTOM8x2cGwidJ2uRbc5DrzsEoO2z1XlorBgwE5eRHd+N7fh9GqDE67romf1k/mmQiLnPvrvfc pyJ4VfAn1oYVp28q2cWhxoLQGX0tFoeoZvmp3TZ/vjwTVSB9h7uOQN4zOsd5T0CvPPVTFInMpWf9 2QTzheO7Y3LaL9TYBuHd46CANDoIjTesLAQ/Kk5UvC2YL0z9U/RR7LCpUh6EUyUDD5D/E43jrrVE IO4uWuKFcYQOlKCy1E2YRskxR3CjoD0jahaJ0tISVlxfoXP2AfQjAwylHxOlnhyBJrhl7bZEgQ4S KANVqpo+k1v18tRp4ZmnhwSIPdVgyvZAD/nu/ZfXPQLoyYxkpsWlgXatt102wj4StCge6r6fk7+b Rd14/tNCjihY7KcTgtmTbMh0O9IqfMHX3lD5l7+pBd6i6MMXV0CvTN3r16p3GmuG0UwhDDuifBxq Qqop3o+OKZBYwDipDrB21QkvmppBkek2HjDA4wlCYsQmRP7I6Qy/x3AgbVO9PfkFKYL7WquJnJ/3 TtZ5vbzsBFHJeujUuab2KnSQgPk+FYXAmxmkt26fkDEAEky3VqzUHgQHacvtdjnoeTGW1blhEI33 q4/U/U/Km5AshXikyKY9nBYN2feO2tdoBSv2wgSVjOifvIqSgQz6R1SDvbv0P3bHQurg4h5gyx3Q qs5v7WXnJKjF65zp1baMFkZ+9A1bKf2Yk2MEu2DZ/sIr5DBhdK5F9eN+x9Qlo86DlDFj1wVuQ6Ue hQR+66+PhnmVXdYNGDYyXRrvomb7beJ4Btrs0VhZRZ+jCuU0nrK5mlwBE4OVwr7tW1mt6+wXxe12 xPVm77RsNc2DonUpem/7GskK/Ib0wwMlvekJyWEM+eY//7c5rF739LEgjoQdcIzkah+WiNBe2I5W hgbMReKdCq5zAytJQIFPfNewcJgf5YTKG0/86sjGxcf+4N2/C0pp2KitybpGZWUAOXEnIQmDsZk2 va4zoATcTI5+nOsY3j3xFdewKt7Qj6PsRJP6wnndGxQGyRgN3uzngEQ8tkYUxkSWNdTNziRvyOCJ DCXAbnSTZFe6IPRW/dXxtQYG2nVQ/H0r4U1357ji8J6UpDous8f/MFvRV43c7qxpMMLnPuymSoPI iYjBTQ0escBC039DiHG+UwuT/4Di2pEvByhwG+Ku5c6yy/hCMxJ89drMRgdSJnZkL859S1p8WfJd JaH5kAW/OJKxzqPFZXDpwdhPNKIspQ1/XdcrU95nUntHZvsHpP73q/NWuzkq51ileORhjQjOFOzA 4f1d1wLyqKz/PavsWWo3sEeSKxmY2cX0Etz4JLX08+r+mJyEupv2n/Xl1P2xk8vqHGz8flGBjNIj UiX0NVaPlo5jVa/bAVZtY/D9ONw8qEaqALgveuIXDRlDBi6cGNF6FU3xF3lUiaQ3kwHNBj29dcA3 FunTr98NnVutqOqcrxetmdM0SvluoFYYsTS0LpDlHaLAQRJkiWcSoM7sQr6+MUw7lJv5c+RoqxYE 6kzd8T7nIR1ep3DEWJTE/ZQwydgdQ9o7CzZkRFVSSKCLSfziUi4fF4o144qZABLGiaj6mVc0M5b3 KoFjdfyjDX1fVlTLdteZFQU6Ig22oSomxVU+gfCbAwtFNNnqw/WeLrCxU2/UA31B9IGCAS8bAQnM evAw34aWtqMvecQ5gKqHnePobTUGvj6RbEMnegESoWPWEtp4f0q4rtBetpAEBS9qhqiy+p+Zt8oO d9Tz4liN6Ll3K0w4F7TZtKfdMjaZmm/dyvM84UmUQ5jelrZURw7fni6bzc9P/byh8OMkVDHAowMo lnf5gfRNVxQeZCwhOzbOJPEKS/y242adTo6pAmxFhwBwJg0zm1H4QZFCNbT4r5YoQGD7A4JUPQ3F GifQF7kZ3iNtnQu7AFjIWE3bWjNf18hBOpdVcX1oodf2zhwNPOg4svtOdjklBlMh+oziqq1keKnT cWj523qIFJZOpLxJGgnSMrNt22n6Tl9iE2gvYMpEFjv8qelLdGadpGQ7Yt5NNrhHFYtIC2Vx2HEq gNd5LSrXJ3XhTWCw1HjDb3nlt+KBYNgTwbO8jbLKTJ7KR6eXOcXi6D/oT8FLYjKpH99dan8cNN/n v7zDkT5gvQDIezcDdnOFoAFPvbWpf09wYwJWvND/IjAGxYodwtkM9QCNqVgRZc/JkhCHHTR6YPn2 XXnRuf3yY1cdmPPWI3hQJWlUzH7dlYdkAUzlVPbZOWkZkQxMcVIRZ/N7hVk/ky3Ts8Kzh0A9ZJYR WDmUYFBmhpFczfmD5B20f2m9IFIwfVPUDzw5lW6z1n1lcdtlYW+iGQ+4c/1I1rmIKKlRiQDoF0Y1 srBL1eHbNItZ4rNfjCG7Y6pEUbSKE5I+L79qjIe1Xad93kRXpG6O/1DgqZ5Moa8v7MzgrT/Q2/Bq 0HtoOpAtpQYYRw0AoYqdJQLpdQjfpT8Hrpyz58/KPvBZCks3Ope4zt20UgHQ10kya7DGk7nR7Lsd rqpK/qRpSYr+hKiVBtMFxAnvFUQDJafGBkXcIx9roOL222+LlWS/9suDzZhFsYIOxz3JNXW2/nB4 om7SaHHfiw9nVIWtYi0i03GkCJZ3ByY0xGBSjX7lDEgcSSfKLGxZd/fH/CZK5UmnBFbGLP2NBeN7 SZQd7qGkSjoo2KAnLHZZHiITfRdOvWomdO7dKymeG//D3YtymjyrIC3Ez9asfQQGp6hF7T0ErcFW qYOXjjyHotU6pi17CU09SaWX6rFA8D6KgejbR9h6H4CzhNch4tGfkKDlxiH5kR9fAUNZVJqBR4AQ PaP8vddooJ7eb3G8s3HvLLQviQxnjkoj3BrbjtUwYmxcH2gWd4ck58ckU5Ixlhf90kOnYYz2RDko BCNFaKCfeWaL1ZhcgNxxyFtP4IrcLp+LQazE6AsigHrm1bYElR4VwUqWSL2EgszLd72DwSCewjFN 6ByXR7BvQI5gzVBk2hLr3GS65cMbQ+YPaIZe/BW/mVuppbvs7upu8qzuIjH2Tnq4xqQoXs4S63FH xeGDffJwawvE/gTGTwhoFsqo2sbGDZuIVrPJyeDGPZkftIunORZvezWJonI9ZDSbdy6A5ccduoe+ gWDVnaw0ZIdgx0d8+NnEps+DQ+oWO2z4Qm/g8vLpELHNsR1cI1FkMmQnxTR88Z+54hgKZCR/RUvQ cz/j59qIL9EuXBY8xCjOv3yoFuWP2HR9QfOXqDPqxecjp/agQebcnj6M1DM4yPok3YZEAxjKFcSd 3OdPHmneHF7PpEqiF5WBDVouKwzFNTUj/ZW9TxqEUoBzTxggAjInasucesm6et/100F4b/SL/Vn3 AA0OyRurgLPGPNN5m0810spR6PPgH/ANeEhDIEl/AmQlDB8LvvzMNoc+coQKT3fnsMAI7KN0APqz 5OeQozx7HSFDZlinzMN4CQxk3DLCidcpWkt6TbT+Wb9R42YVSop/e06wiMxf1g3DKoMba3DRymtP tTEWpdcRYzcVIwnuYoVB75Ajc06XVSO5IZ7Yy4qiPOcYkFeOJu42l+PyrcJpWlBQKKLu+WUH78IU HO5yFSx5IJuvo6b32X86Z5gdFB1K2PrYdjXTZj1IK3RM9aDp5wqUhi/iSNuK08Gkkw+KUKl967Te iTRFZfb1WJxjFdc+PW4OWSgYlP5IDRzpxex3vAe14m+rNy+gBgT4CtFTz3152/pTjMv8x+qSX9Ii Kz6cFhmbbAsSlOv8pncG33HD/FXh8N0sX1yXy4DPuUVi4S/FVK3vqQrcZtlp42J9o3nEpDclEbq/ /fqlJIOrMPxgD8geOu0skaXrfRWGn+jKONzcb6QP+IxqtbaYNCBbNIQCsIEPvR93TnrpIJnR1lIX efjt6LrWdnpWPv3OBvK75HdPAuy3xOCRg/YIZYzo7vbyE1SYXUNxFSUn+wVHqetCEi6wLeUPQUA+ kC9142Y+tP0z65YEK/mP/RI+91qMUR2FOAgTwvv4TwLWEGUOVAvZEf+LVZ8sYiz0hRAM2oHzoEAl MbihgjX/s5S3mYsDjppah0x8OGRpZ9CWiS/lEZPJGRTJMVdChrzbHYSOK4iiANPQ3MWLXdLsqebk c3UAIeD/cCEC9OjvFObHl/eUyitcD4TyiylYHKVMSdAzsUraSH/n2IASUnYKp/i6FyoNKEZ1yU/R FO8Wa9uzfX3VBZAKW3Zgusyxzen1y2xY6h06xiTgM42WdP2b+qam6KwYRWuBHTkEg04InFxTBZf+ LgI3fq6g0kbCkVFwRu002Pn3UWm78REt+977zoGUnQBRjSFQ5bxPmqVdWoqz/JaSuZj9saMv/ryG DLL39f2bqa+2OarUfrMOLlzdta3AT/AfL4N2LifFhM0nwPov2arf8qwD06fBh0zl++JYWwwPX8kA zI+bcJ446SKjOOvm4Qeabb7PJmYYfbcaMSAfTKEGF016BtvZ/3vMJFjNQ4qLM2SnTBuN0uVPg6Gs ZXtMCv1i1we8JLNFqXu/OTVRtsZsIM4jvxfaXlzrLPJ7424ZpgiFHXq+aTLhuoqQccP5YC/VJmW+ X0Jj0Qg4g0y9OA9aLToEccwg32YeFPjDwNJrLSi35RqFVPZXp1KI1xRrSaI+FPjqR5u0YYSNIOHN o1LDDHVKyaPxOkDHYp+tPzD6Hz5JVccepMDrZC7N2PHjrDFoS4dUlaY3Bl5E+DBCa1nbtTV6kwdy jG+fbJ9W59jcTN6HKAj14zf7HhKxpaDjTAQt1o/StWjTEztS3OVaClkeizYyCFtheHIn3ODNaPcT o0LHU0DuzRqNWMFoxeLmKzEUpC7lbMDIXdodsvUS0MAqU1KTdhNgGHntaCRMEGe2zwCSjwZdLzUo ZPFDjBAUtkH2cacLzzuBnWCCxghwP/o/dwpPxuzy93uwEWD/7VluUvGGQbCk92zefuJMTjNDixLu 6leAFPIapfhGwtWDLwMVQkIy7+lcOdHbSkkmoRg42l5BLwsJ7E9yKP7mzV/Quu9TIFtDy7ilRxm/ OX1KC1v5/uRcKGYuVln82uRQxPYpc+6DanxKYlmOD1djBnb6apKV9MTqeA6iAe0N5n8I1JjnKlyy UprDLf4qgt848ir6vKbf+JTCyvvnPmnq+pX56FKfm4lj9xgY4gXyY1erUu3aV/5tA91XVU1dRrKN ULrmTjkRgfAMNzJA+i2Fd7hn9suTT90fghSUFWEqa+LyIrI40gnKTbf+bqBfHn1FmnwDN29g7tvU /lvDtfPfPZLB4W8DOqmY8MAUAjrpy37otPkMxPXs+SxK90CKFriOPJHRAues1U9Qkq/CQOD/UiXO ZelkfSv67Ulz8J5CH8y0IwPhLzgkPeatRwuwm2cU8WTdgu9QG/G44w5Fib9akpaYRc00cm2cFhj5 KTr3j8kG9TcoZoK3q2CEjmSI2rbGcwGCQX3Y0okvDYriDRXfNysPhOLvJJVEEf5F9f7QUM4X8yzd n/RA2pJMk53NuAOAy2kdHh0O6Qgya0mo3Al/CZG7JL1Uvzrtr7IAqcutGvje3F47X030JbAJ4bhx ZazyMkBDnKDLzl1m6DOlDVW4+GZl+LDPtOwXdCY7Aj0lm56kQP2gFzzpl/nDz63jlr5WgFoecN46 TrohStNlf20lIc6qJJmcedoh/mR9H3lQ3F0AdF2gLZNKBAi4BwYuxucCYrpdcnHQwf4opvDdaM9v jpbc8zyrtmA54Bt12LV3aWSNZfMJokUWPyIog9ukBWi+qJpH+DJatveoc8TvpaQ79q030ukAFy9F gr4o+WWiH8iQl9AW5WsxmpybrBz1QuCw7r71sRdlihJ2c+KMPItvTiO2x8bkPJQjpmWlqNCKw6C7 wSJ0uEi1iinwapPf3uwqZmkYX5E/CZ0oy06fvPsgFYHi5oStqAKwaD+PDlIkRTkFp0YsrkQQB63z Wjx9qpkHTKDAFEfrS30RTcsEy9LUgeQN3nQh+hD/EjZzWARU3qYa9rxVPHg79xY8TnFIxkt3Vz5O hDCayBhRfcPqZ9lRqAJsPiAN3+/5Jvbju9f7aWsCboLJNqrszIbzyS/OHUy5OlU+t6k1FqJVbdfh lyDOMTjopQWHEALIw5XlNmMAEA65f9BDvUOMTwtHdZZ39Kswym7eafqgyqILx/tQnQZ4ABdKSG// 7VqLbYYWySM49sTCZHSQKUpqqJB67gcHEdbZr56ULz9MOB/EBdZpBAwMfr1hIJ9fn4QhY9EijDBe 7QLizFEVWir4sx7QNl9PftzIINwx+K4SY0E+wZYccvIMbUsmsW3UY2OAetwiO7RGAJjuBHaLxg+s vIziVSnJHd5LzLBbHW70KGlakgn88FeQ5mZOqdH2el2AVV6ceCL36j4vuDQRrUbfpXrGKkRY4eI5 fS+ngsBNHPn/oTtXktSuGwFr7RLFwE1cK4bHQ3+R/02zhXeKH99CnuzwuTxwwaOWAEN0oZ2TV6hI 0/RIe6Xv9V0aPby+lfRGzQC1AYifDfZyjrN8t+kQRma9jAWL9iIuff5gY/9AnaG3rh/NGK/ENNhD El+Hei9hGuNtBrNgvhjrTEkeChwkZxPxfQuviGkzFSHaN4BllLWTETiqsuFoCQ735nBYlbrwBgMw d8w13yWNFp8k0mjsqD2UYqBsm7D3BnRshklcjDPYQh2rJibvhXrX01+Ce+rkw6zaAEFelEyr4Lo0 U4N2ZW74o0bP1V9aQXc1Fe+Iq18STVgtgdVEdz1fwmKKgsMyJMA9YUojKMV02yZO+DdL4V+wtaaP nmyZTrmw1GFtzP6clYUMSPSYEydgkhFxjxyqAyFtp5qELa83vtGMNeFXkYu5frZSs1TwzQNuD3LM +bu795Thl/MKScwW+cuXi9cfQe2h7qinwxeMVNO+PZv4W9DtInVGSKy04TelXjI+/MlEjgj4cOQl QbdUnBuXpGTglkY5CPXEPTU7N+puglL5O47uKUUKYJv5hOgy0mhSqBgk1ISj6t5arKWn3lE/Wivi LDBIpB0I2rZUP6jZ2Cut0rYa7Ex+6zxfg7PIgbIOip7ykQ6DHFk63spl/YHI8E023uGCD0LH90Es ahR5Gi7tMuJChvZcR0/Tzsw3GpyImg09lH/4sD+ftySRyjm+Kotm8+IHZapkGgmW+JtxnI298Zms 6n7XlW7BfsnRTiMZ2HnxC/IUtKJEaklxnoObn+zohS9YyODKp+A/fAEU6QkJ8KMyEFT+JkCiSqRd WDUydyhCy1j9bDFBt1YWflrsHugeXZcJZJV8WsEXdVdtJHhx4ldjUi59t61g4c3s5EDe8QxKDEge J3n4S/r1+YdrTxNDGU8HuWb/xlTkPj4nhU2uOI+C2csvjHiuo03TnbKv+SKDYPGrFPca999JODuD jiVNMTHcQYFQKCHeVsxMqWQ9lYLEuBEBa2TLm5BhwQFy9HcFNpeWkLIBFN0wfRnd3zs2RV9XN2fS V+YC0Q+IZMLC31L8gIInLvterR02SJ3D/4vFQdzT3IArLfAMqQ4KRR7oW02tU1EPmpYCuWc/o+67 ij71ZLKYKuzz236mxS9HyJSIiDAjCYr9jofjWSSmqWwtVZ875Effz38lQvOtV2YTJh2PLVOvxWiH W2UUKl3LwuWb1SJlZhpculcZ63JAHBnzG9Ncbq7PmbkNwanzJL/BshxQ1rNjpLFz1X6yClfnE15z kd5syJHFGeu6yVsN9tH+/fotVey2vAyoVSVdJvxR4xIXIcMeW53yXxV6DAFEORwe5V3VzIhxr5O+ MSk1qJ7Cwv/yXjnjIhcTxyiwcDg4B0B6SAWHAY5L7xRZbG+cXPpBFsDyAnns95jDLNb6HFuM0fYk Ge9L9lX128N+ThP9hY9KzFbuelCnO6fqa/A6ZKkoKJLqrbepm6KUwfWRk9f1jBNlgMs8NeWDQZaI ZiRgi9q8YKbk1xTR6ZgU8YlOqujLncwZZdQyYZCrvHmyiZ+gsopNj4GpgDCKRX/hpd0kV1sWtgpV 2LcEhZwPJtPMBTgRe6X2zTvN4g0FxuL4RzHeJb8= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/logic_sshft.vhd
5
30599
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L7QXUHa+EKO3d9U1lByc/MlIKaA8hoGuMRU7SK0bjih0q4L0bhKN9mLV6juvon/DsSBgTbASQDzp XjUIABdnsA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bVntC3V5hTnRNy5jl2xsvCSeeJuoGDgwLuLST+wn8IdrQ/NwFZizL/B3TlZDAisWeOVE7NtE712E g/7YbbYPGFyDsJ33ap0iYxiSCmVrIMH6N1pRLiUQSa8deQqYXi6ZLcSynx4/VhXRXOjw1GIuaWP4 7bM1niXwXkEu6VQdTt4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m5P5oF8fWoOo2eqmes8S1kI3tnhVTxoj2C7SHK/+x0f3aNHd44dJElPtQNe8++stgziqASN/TLwv qoIpiAEDfJKsHZ1leHdcRfuAUeqRI2cjhWS7pRHVGfFSvKKxkDxhaUfv0Zq+yQaKcuEAoFRaXw6b xmB+5pgUmQtuEHvhjg9k2N7thmtgPsqW2Op4FW+rnowp37Auj8WXN/W3ylviLvkH0EXE+VtweEak PmFCe9+hT4kG5xbj3UvnaB6HwpcHRSP1iWLkX/k3w80Ofx/gTGgbyCtUv8x1XW7aptfSwAaijd5b AyjIAx+iwew75VN/VW3g09bZeuGeXy66zQ4A2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jM5h8bfBeppi5YZJSfABjcI9yI1ktEfvRORzdvd9oTHH0f/cou3dzwit+DcXDs6MZf7DDfhKMh2B IGtchv6rXvRZ6M2wv1bskIAF8VCKmXrnFfYr5mRque5wmkwBYVg0WXCF1cEe+w3Fx/EQsEXd4NNy upLu3LkUWcnh+iqHNx4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Be5+tuEiORBtxrRPAVW2gLIVJB8wW11O71rfRRnUk7uIzPg7SgInlX44eSrJwTbOxlxlEHBLpLwN hMG89KzDC0Jk7g572fHVoZszRBV7zEt5MCNzLsL+nqIgdnKnvp0w9Cm1n4qrDk/vX7M75o+ekwb3 juklEkpM3BS50n1nfMxG4qiSpwbcCAigxZYjSX+3RC5mAtvUDTCn28WbtUgr+BFpzhYbpuu+eIzn DuY8CAlEhGR905rSl/P8xTB2QF2DKCJATFSSQBRrFoRW1kqRlnH1E2m8aow6k7QKpdjLStGomr4L ufRHA2eb126wPMn/r4l+csMwLmzGVqmGXUSNhw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912) `protect data_block sbRX1ypEr7ivndxuCOsz/Ev8BIPUjp8BluEeylB5RDgNrzN0Bu9f/SpfDzdQ7P3yrG+PtlJfIwcg 6UUMHogUHy2/uLSs843RvfaTZsi0ILpvKoMzG712FK7s4SVuQfLICsqRCjWpIGZDuVWjyxwZgS6V vZeuPA5JOVT0gPkORuT4OPzKdwM1vu+n1ese87YsHp/Q2tAHWtpf2EhI7IXSnUShzjmo9quFFm+o pmdiQevKRQ1DLGdV3L5/MROXI34U6AQXSU9gj2xX6eirLVaJsrQacJblxYzlG0Rm3FcIltueau9j 7ABtQDNgtw3e1zT1odMUxQGST73UGnuCPaXX6XqYPn6PmfrSBhMcGYZixRveCqkc4DAc4CLfcb06 eDiUXCn6RVQrBaxKAF+9lDs81XCgu3WStuJdjxI8p6Ey73PmxVjii+u1mQBlZiJQCFJeHvg43ylA qucDljeh92ImjR1JrgRld1TnRPPXr2iB++gxBaJ5HsQWO5PWY4MOiHVUQttV74A9GYEZ2Vhg5nPt qCB/e500JFqtY4lBq+S6N12iGTbqnWzOiRV0CtlN8YR87xyo9uR4WeXYMvlYEf4kmgSsAUZOiqvF XkHUU5p5LvsltYt7JhYjLynhb1ugjmFQhvARXEvjnVbZqVu41X3ElDMMFgWs/4xZmJlxk8PwWUup RjuKChzTYYyZavPpwaA7i89evtqRA8eGdSZHNDHKLZGshrIVJlPnkl6h32U775s7J5C6VxlvHTXZ v0Xb7xQ/pn1B4JsEjwzUgj6hKCLJT6c21cfA1a5kcS4W7xmbd3ZGHia7RRBoaRMwysSaVs04tgQX i2wXDCKfxcpk4nui4FhXKytaIrLvf3irxwvDLg6DEtTFmSMLjE4vqY8Imut5EvncNCGj6XAyzlEK rZFaOSJEdQWo1q+dch6LLxSKjdg37fkUqUrwF546KtpMdR5x11feEk7fT6vIG3uWuW/YGX2wCJRI KWByxtxsv6wSwxEApskA91g9o3LriZictIbOdtyuvnL/jR4EY7yfz+hkQKKSl2DUoYKaosIcmcGm 1WpkOz19qUoZWotk9aKFEPoENWgCLm3Yoz7cBfGYu/tOzipKZDbsi6WGIdJPwnZEqGgt8KAkOj+A JTE/peYaMV/sx19g+ZmLOi3doAXycyFej0ql+xpNnxsgVoipHWNDqVkjn6YDHbCR2iQH5tQiLDB6 Bq93CxejMZUbFv4kyHR0lk/yfNDm1di5pHwiE49PgFfLerLexLBGDG9sCAci0g2W90i/KwKiiCCU 7aCqkEBlpiCQFTqbwrt4rW2hhTuVTEzotTMbwCOH3aY68Of0iNEu3JyBoQ821EdqLeHSqul9ZRAq dnJNkUZd4SDOK1xlu2Z6ZrjxndDmrfTiYVlOwLtdpPUZ3eop2fkussndB/1vAAc/UzI39hg3TNuR ozlvqzTP6h2ds8Tl9ocutPQeMcAACxRnXOUbIMjRpO7+h8Uv9DDu/+/2pAtHNh6GFbR1Msx1EM6c p2/fF5J+g+TZN4WcWUd1uAKACSxv2uZk3e3RXLC1v0RS4955Un3pgj2xRqeCX0nPMxrjdtTzyAna b0ZMySfYUBEKmklWVX2Cdb9GQgBjcUvjKjhYkHhi5f6m9QK56iKa2cYhgDElxFSw9F3D6mj+Bk06 pdM9pl4Jgy2wOunJcXHKIdo2zodzed5vHu9iimQxhwzCmvmHG4EOh5F/QY+r0j0kNDLoLV0GG7/Q mIOWl+eHnkfRUP+kdkNGseluWi12mxkpKgHt0lWN4ksZdzajE5g3XGVKrcqPEyTt8l96JWdVv8rP Tre4sb6i1f2w1NuMrpZuuAOCz/BamZBF5hpY4GxYuFmlKMAq61Ny3wgJiDVr4JdDfU1OPiP1iUy8 JbfYn7o0gYQB5pkbs8/DrS9ne0Q7N/JI3Uk/mtfXW2aVl+rwkghXV+W247ePZMWry2YdwgemtfeE wIxAUGJcj7V45Laezyn06aywx3Vi59C8RJIUYJ0zfgyIpFuUMWIr25C6bDzNZrWjbAzcfCSTDeQL OZg+AxfTO3/uIDmJhUS5QKiCNhsRgIsI7iOtHe7yUBx0U5aunfLTQQMekMC8RK7MCi4jt2i3WNPE MxjEBOj3Tx8Y6aBIyZH0lUbT89/37KbmlBAP2XhDeDw6Uk4dZrPY9WbIFw1/x7WtTL2mVOQlvz4G KLAmYO6IuWJGr+AktN3d8l7fc1QbyzCVES2nLMQmklcne9GWVTsG5DyuCJk6TKKAlEItKM/U1AmZ Pm+iyieFemD8RWRMfaiN3CG1BOrTluiEfy0SQjuNqa3cUupZskrnDIGhBtzoH7ayr6UkrZlNd/yA oiAiP8MRledqwjT5bIOezu12nesjs1sc7Ce/8oTHefZrpb8dO4cisaF8o4JD9D6qL8V/eTTOsEmT HpwZFCTdz0QFxlIlHcjyiP8NahpU7b7LqG5bxi/rBaMuWWkdn/n36/52ITXuJXQQJxxop97jFnWV u+iFRTM6JIFc1LAaKPzVmzoXhIGsRlMK068S8VQXwqWUeVs4uSi81Z2mB/+FTg+WvWORL1NXJZk6 5HiBINXuPgvvv6Ki+h3eR68gzK+IqL1Z6JXhwQb2OmBJhwK4xKyn1KrRP6R3wXdv2oPcMzanXAmZ odJVeRalAcNikKCu4J9CfdqWrR/TXzN3aFCpVTCZ9jhKS5H1eWre9hamkKPB+SfuewDkdKg6yykS +noZaES9yJA000n8DboA4wLgWGD0FC+G2whsgmRd5s+ULzmbaUh173i+d/UgJ9jf2f3C4SddmIuI Uql9YEKE92tHOm4JMV1V2FParpavaV5CYLQCbcCklEqBoRaa6FQHvti8/HWzsOjLGX+SMYWkXIXg ZpxhRL4kP3iS5lIX9jdki4OW1WJuV1hmgbI6xysngp0PTr5MZtPKDd1rwJNQfrixRJ/CHMS/msgz ILjhOiWFGLQ/80aODSC2bVuTNGxYPrVSV8u9YRdXlafQzl2CTiHI4s14tm1DqMIVuj4aY0gBQxEY Mfyd0l7AoOsoUOhFBNEJvNYAa/Izvfc035vvyl3Wmy+SJQOxK2LewDnA5Qo5LsQ6/F843RPuYKSc jKcC4/M7QszouqLAtoaFZHcI1Q2XmW9pzmBo2svqOs26/vhO+ifmKD5YyLGIkvY/CyXaza6DxmJ5 Bv1lWIBJmyfPnU/8vy9G5tMgHdDeIj3rccxfGCVVh5TVq8nd+/odY0X7LV17wMEdsTDa7HydeMoG m8+t8lLSFTWdOHzCSVvT5GMGZtpuYM125uwS8TlLC4nmQN0YQND+85T/VwNAtCgVgSj794AMOmQ5 16oRbnBIy0M3oxC3m8SoKFM8cO8QTi6WcPvgslIGy/i2KAjWKNUXmSiS0ySvqOdDeVnwa/GT1id9 o7crhw64GbEw4CB7bTzyLNPhuhMasf6f4S9RWqlR2RBpq/ns2N/3r2Ta+m37pUY81ju+cCah3TrH fSYzWm9Edu0wVwKCQKmewXI/J/HrfOXxT6Whb+uCH8zlAfbKX0xr3ZkSoZA/gzxaQ+R9qs1HOuPq 4SZTarPdntJHKpMhBY3LrMye2LN5buQem+i7agKoUCCDlZZ5xIDvU2PaDLP9zmGC03qUroupN4Nj eKoVRc9RSafFZOelVVaPaA5TsXuir/845hUI1C1pYw7ARtOnDlOip066C3i0bGdRiKBrlDvwtRm6 a2EsIn2PJjhtb+56FNnz/hAEC0QRurY1rFCxhHH2kzT1elY+zAgMFAIu3siwUNeH2Nh/KdF7rzzG QE6oLxHP7UpNJ25D90BPIJo6uTuRAnq7stgCyga3WmaujfO+TlZNqsHFaXkdGWTqoTG+JS/5RZVT MBWIopLDJMDB57avtqGrPpL6jWRMhct2z2Eh4gLjVR8vqsLdFr5PGRVOwYJtZIbYdqFu+TBzUIpf b8fQQn295P8W33blDZCosV5cd036ToBMaCslDg50rxOP3KnNTdqciN/PIwcLAgQcVHGjHargK0wR j37j3HnBMNVva0S60e0R5keApZLm8BpCSr1OLqnoFl32rhMPFXoI/513jp1sCPx6/rmnXJnFEwSI lpK6XVNqYPxaD8wpHyD6qtByW6GOYPZN8gwgq6VOvOH/ej8lO765pdPSQRt+8QcE2LrCa1/Y/lsx 2iKAIuLtCdch1W3qb0oWl5kcEaGGkEU53QjLIs8093FJH3VthOYXcc8VQpv4rzP89YiGjpbKbmb6 bmcQwwhV3UiXC29TJ3beVYe/omngqCyMMevJwzQ+YkcE9LbrXlIa0icww29/ZmcO6OwhmKqTKFi8 lL7Msc5ReIuyFwb/jOfbzj9THlwtuRP/3i7N2YDQTcuZa+8bWCWDSwMmXQ7YpP6A9yDf+rjk9Nh/ S59D3/q0plKCbmLj3HFJF9gPPtUgDrzALhQmtDcQ/8GCurVb8TyKq5PVepVj3riB18LPtstF86b3 qgEsimmNTIWk49o2S1Fb0Pu6IZL4dEaBYlXqCs8+w2p+9cI5T5F56ysBMtk61p/dPu0K/OzNFrEe EPHVSyW0AWk7mQTohnG59hfeRpA82N8QmnciIAv/47JtfP8HVtB5oRgQwe9Zd279ZivJtPZSBIA/ W4Gq1/JPDQPNGVbheC2nS771GR/1gbfmwR9K2u99Bn7nIGvawrHFx28wTTHEQ2sEqoYrp+mDWElF nZJUJo4jU3vIN16ZWiKkcbSUKZi67mO3mCFxhzrrNqVh7Wn4STqgvDeY0HWMahFVhOiyZ15Xn6Zv +UIj9FK+i34e6/Nm0fUJgCIPMmc2HXzqo4yJ7E0RcQlLFxFB2Ozbr2ZcF07kmyNzXJ2kKJH5/2ZG yw1RiZrRzQuZtNiGilfoL16sLRKao6AjVDpSL1ywL46noSBJp4DGvvhsVRM0cUl+HXyf76hOi6Sh 7eOtRT+A4dZoNsZjENmf1bUB15YKIEN9yoFvEtUu7hTuw6gwCw6idT20707e9UCuffenNsUzvbGh HgsOfuHJZpw+cWH/7MCXuXg59ivrNp2h8OA87DfV1bimGjP3msmrwTKgS+W6FkHoxLYvFQHNflbB FXlFbfZ+IvRDQFhzuu5IpXeh0QSwrFooe6N9awEUKoUJjxXLZSbFWu13Ek8iF4eGIYYTZOjf/9/9 Z4SyxPcJha0p6XZAG/vVKWXc8gOt9fXp6/nL7zLSg+UxFtzT801GfZ/zrPkEp6c+esRPzncPDv9b h3NXjFDUtm0OEBuDHPEtRAsVCet2MLAccaLUMYO8hR2pe/HdPVFrBqvRP0idpJdyZYp8F4Y5hbvH 2nUdft6kPzfXaHrnNb+/hQ42MbtvDlpiRf8USb952OiS8Crp2r6CMxBhdqJir1ExQSqpc6Ih+9W2 /bzilRSSTV0EPbx55Acn561zRZFIagwlsT3z6fg+8nvTbFqYUWdh+aVZCe9unf1T2s1SZnS5wQxC jGXlB/Te0d0s0kWnx+MEY+2KyYF/L8Ij6GFEsd+mCg18Gm6WXQu9/KE1f1Fe1q3byOtCnF0Wh4nA rpGyr8n94af4V1ifxJAW2cy/X0M/jwXH/7MsbIZFSKTn0nwsGTLi355zghO6P6VgIuc0jqUY2vL6 iMte0QI1waPMV0uz/BPWvoe8/l2q90JZmMjriPT9N1eaEKtkvZXgAD2Qn55X2zygWZ7I5JpqOjMS MqjCsUJb4/H4CiOgvF1B6sTw6tbAMyloSUUBbc9pw1vD1wZj/JGQZuQ1s3AvhRw4KI4kqQ8cxq6K Hj9k4vxbZUPmKuexRx9PGGtn/Pd79SMb1iZJG/TS8pg+iXzhN9prp2k1YvTSNSKRkVs97Uiy0zNX T7c59nnbCpxhGLCV31uiGelP4c/zB1dTAZtMwSmJnXZeCV7csHoErbzrseidjnUgxqydcwR7/6CX sTV7yzJ3uf90tgmxcWiJa4/Fzj6zbQDAgcx4wQKnYfxNZ/fUzH+Bxh0HiQmYBdKl3u9dbatudUE8 a5p0hAE515Nzhy+ud+sHC77LrToKpSCfyxutIkgU/M9ZzU8HFGlqR30SVbAsPLVAv0Gdt0ywMD1g 47s5y9xkYEoCGWlIWYXtQmA3MjPGhWDcyP5OQ4Dmn7QsnkzrQaDF4tKeVP6w0Kvd2r+/ekoTzwNz WcTTUzvBT+4KEbxibiKEwaHRaBMPC9T9F6uei4U3rqw2BU4fqNrCt9rGsmLFbE1SrORuOSesw59T xigYEFDvyV8Nd0b1HEVMd88wthKxNzxfQid6yao/viacJ7f0M4AE1dpbS8Y9k88bF85HWeCYy0JJ zOy0gWy+jMXQJr/djN109HbplDi1gQ4dTSFvKrCi9V1g80ZJoIANcsIKZNqeJVWVsrtIbUmiZaGb Z51qOy/uS+NUVbVqmWEaD2ouLr1VFc3rtNU8oLzq8jdICp6DKRFspScdnXEaM+IzkC2aclDA0Dsw k3VRqbCkWHTIpnnGuifQmgqikWrdOZ62hp3/BclkPhg2RNMiVClKTi320g+9nSBjLIPu9Je8czLO 8j4SpVp9vQ3F1ssHlLF1EojxF111E2njKETvuRdBbH1Oo8x110Yv81vTQ8JtXSYi9bmR/EMmVdv6 XOIBDPhZy/VLSrZPTFkNq2i2ub9bbgE4zUsGyHrRAoz/7ds8/39cKrPHDDqRjifznU81yUfYFsUd p8OuV4zx3cu7P48QJU7666M+Gbdenr9Txh6yN0KCA8+p7nGv/u7FlOWseAGu8iJDKCW2SioOAu5h yk1y+kippqQNLS3KS2l8cjH6311nAMZlVhCoED5DtjLEGfmv/JIva7OXVTWAzzyZ1LgIlltU1sHc c/TXBFDgf2O3uiUxou9Ry6Dygzcnzqn8XEjDd/ZapPFez9/5i4RtVTrGZHw6H4YtWUWPIoRGgl7A RxgN3VUtMno1lIYoYWqtt7aZ7W2dy4I9fkRHNxeGIkl1QO2LnMB3I0MHI59qyuBB6DMHgbQ2/gRs N8IN6CYI440/U1Ch3IPTueXyjRq5rPrlUnPML24cV0Oxoqm/0Vq43EvuLudVu1wzO4tg64GgdRVq mBrXgWlJXdY3WqJDS2M2Kzp44X6V2oh4mayMe2vcGczB/sbsE+YG9frllGen33wfGVrrjaTdD67J 9jMrbAvkv3jOlk1M5yMoJpwzWoSGDAMSS1TcM8blri/7fQ0euEkRK1RXEzteFP0XcuUaoL6+cTqh 2103FynQti1YGH68guqh13SmFkBVg9YZMEt0x3W+/8D9Ldm7myK5TgD4twfrMxPFRXQ5bhY9H+iB tBT64cRLj2jOZw5i2v7fmUsZwf18d8jOaILySrfuvD7mQ9uK4VVAcc3oqDB2Tw3lBVGWTr2mi4+F bEuiYeB1w/zh52+KM8bws31qaJm7KVZ74soCloARg9IzHPYa+K8vi/d0xkyjKjhsAtT6o4C4p0X8 Enzq2NG0JXr2Qy3CJerkBycDR6t0BirSNvQpotw1L5kOJe41Et9psfJ7Bsmz/J4dA2ohSOrnVKBU G1iZ5BlxM4aXNmiIlJmpWpAQCOI8pBxbvlIDYO1xjgAlQphNhjH692RVzAJKTvvxGSNbeRtE2TMw YzooctDf9djl6lDtWvfM9wtzOVX56q6t53H5trbGl/tVBysZWxjqmQUwETR47mlMm65KoMadYKuc A5rnHeVkZB22fneCc90EDUWnHt2mSnKAW4OUhfo3FrCokx5Jt8VrIEAUrVKHS/7czFkan+TUNQqt Vs7y1ZRZVCCptcBFzEG914X/YmubOnHfwGke4Z77Uzn1LqEyzyh5+82Ez59zMneQpmI9Ss7O5yyg REbNI5T9EcAxjPn+4QCJxUOEf3PwDtQR7VUjZhjlfXFhVxH8KKF68abWBddYgj0C71wHl/rjGRnM L0zyCz1W/FDYwDUOibrcXsI3G0bPD8zExV79o8i3ZWVV1ZPTerKRWeuFFULWEBuFvsRwhiCl6M0C y3KWcuqPeReYVNqNv+TuRwbo4FP4CD5gnpTgR0nh+d/v7TrAoY1PkbVg8o3kXeHlpzqtA3k92ypq 1NHMv1UE2GeUpE2Xc9MFxd6oGQnIsngcuYgI1dP7gM+ErUIcSE5g9VzKfV50LcLjWVikfcb2NTJa 6ezMlhj7U2ZR3cEhwM+S2kTn46MPbydvwKbIS4iGdIlNU1NB131yCydxemL+ubaTJWY2iv9cGbOq kJdPAbLF8+toPTKt0Y8OE7kS7qYERFbtodfh0f328kUw/CqJvYtJZziBWo3MjITqPMmoxE8rXnz5 MI3XtxVQUFaZI41kjLW5C9H+eRbyWUYDp3sNAJHQOzC2jKd0tOLqh9CVrhn8f+KDIXRNcISAWv/W 9qKPmKjpClxy0MK72qrmbgvP/wyHdgQyR5XOpTnwCJZo0D/V128+lWcVqJBufCO7lnBRDrK2BiQh xjKY3s1zl2sfpT0lbtqjXoEhR4RUj3GSp4b9tBEk8HzwLDmxjKio6T83nvqDNPTgPHtXD6f+s/IL vNN36qyhNznbzSDmPnkRKxOaVv0c5/4bqABZDOQectb5cO+yXIawQFbSJT/C6+lEB6gUteGOvVhf KWFQ4pn+ZaCygFhibTg9eRDprfSHY+U8U4NCcs8D360RzdMA8JWjeGkxZoe8S/qjMQzdFXH2J8Ip 7r8pJPJi1jl15QzSkBI7lVLlKWhcp7ixmWD/y8rgtVkIOXm0Rn7bJTC3PkLZicaJd2Jw8AXUQZEM YQy2ktyOBSf68efnTvkoRj0HGnfleHlLG7eCcxVleojlcotpF1Q0Q8OPVKk9gnBnp4mrOe7Wa9UD 9iVpuiesvzsIpMe9u/A88KAgZeMPkENW9pm2TdiOtt/b6kS/bP4j2bF5RMJk52fxJIHkyoTzC7Xk umii4VPAJ9WvN++y5cvlTN1jWLcEUkXpmX73GI+FXsy0wyoyUSkCqDAxq+bakG7CDixsH0qHbx9O P5KsNKDCizTwU/3dGtBo+2jtbqAZTNGqvFRnikz5hW44bXFdcrAfoCwYMSO5FFbJBloPb76/Bx8e IQLFE2Ia4ErUHSl94OHOGdS6gB5RWvAWDE3zly2480yFGjBq5Nm8VCDoI1HYS+W6QX5QlpvzxQ5k zkVIHMqyWQT7sNl0+N++QSM7KJSSaguX1MCUH0je0ebjkOQ8k15oa+DKwcjffZvdr3Pq7ysTDCN1 GaN+ZcTOtfixVTu24QWh8xIkp4GAB16zsVZgWyMXDwgTPw4LSMGTUmoouGGw2gZ2KnOY6RqPebM/ /blSf4pQsS4+tyeMQ58AvdDmtjqJ4dMNHDCJcsiF5UGa0+wQ8CRQ5uQDbW6F2rhqo3RhbXe1jU9J WHga83gsAvuVcrz1/w59AMB7/Wl0WheCwOkdbo0LhLIBvs+uBtHOS0q84QmoDdsaj58Xno7gFal4 al4tGEwolpvzJdtfaVN+FU5vav42Xuv4FnWJbZMakeXrEz5L23doqVtyMWQnwx4BfL8R9b/T4iLZ yqq/GcddnORdGwZ9FxB65TcoU+HVM4R96V2xSznofA9f5JgRSxEapEixJhnfGbSCNASVyRjc40Ph 9KO2TJQ7mBeoe2/zMn2a9sWqdZE+ZZJATvPFCi0irsRvNGTUQwJgzu1kv79cZPr0WAXi8lgLSlFY sb2iUzMGom3ett7HEMh1uKI4v61dGtChFGtaicKBpLLNHdWrX1OtAqoE7QQOWDkkSRozn9gUb4AE ObDLriLrpYwW72Y0juvgGn3tEIIu6MWFYksFofXTc/nlcTxoWDTEUh3MN/BPWRD5EN5lSJybfIPn J2Wtj6//UrESuRw6wya/j5leP+vu624zMmgpX+CHxtMxVON8EnyZUzseHUdfyYzzGGWvCh1zjqCT HQmPw/n5C6qcCqOGz4EBYLKUgGc6S/M1HxGUuMqQk5ROvK1FHsprSaowJyIOJ9maL1VKwtg1g6nA sFlOiDKovIDvXg8NKqnUBzm3EgjUWA/vBzdKlBani5HPphnO/pP7NRVVorB1VK90yEyOX8Kk50dJ wThlzbE0umnEwWv639g0ZG8IK/OUTCg+fvjsGjcf3CpOvYTTC5eo5CR8rgXw6mbJoDq+VPiZbY7I rGGNwVPh6P/r1Rs63a2uiTXXpt7hrhUCMS2vNL9yhxTpQPi81EV3bB5zMb9cxpZkm8RegBoLPaB/ teY4EbWp+oh8A+azGfteaAZsLgS9EdTTrOT3YtIZ/75j663KODQleyIvVVuRno/lCypAFdxuwBuR Vi/6nNLqISItkXioXHe4Tplo7w1c+RRG+07vAKwnYkXCc5sgO0dx/ZsGfS6eDQ1E+HusV7yXy37q nJbdYYKi2DaG/DgQPt3InB7gXTYbJO/OHS7UJqisXr8rLVK8kHtkvRzMszH+/KQKnVB8xKmwJBOQ 3NVB8EyNCzQVb1HT/Wq48uTas90HCZROR4VAyAoeH9HrK0fhuHDvYm03QuSVbSw8WqxJEKaKrugu nM5rBEEULB9kNDvSbu584muV9bg31ykqsfeyq4aC/J8oYA3t1NC3oC2lHtjvEBLsQ4rPZkFlYKza S1Bnd9ixsXgL2IPXzcbEK6EVWYJ75WhMwKbt2YqyqI6IvqGcjt7HybwrUEmq3GEaJlJ+etFCdhul K/NQHl03xI+COeG7EYB4bibxyDIRzzPIz8w7iNNc+k0uEHgVwQxdnlapxDkSOB1WPR6V/0hx3pM3 RnHeSkMB8fqUPa6gGJiDxyTvxx+ASJ+56a1l5dmqsySEvsNdnQ3egyVnFGmKqfC84erzlG2wdnb5 n3axMolTSlbJhPc/FrC2ReiQIdYmXofqTe0Gis46OTzcqAcH+ZXAd3azpQfGXf2uuK2CZzhYZtSS pg+imaoGmNDfsmWxSrMIFHiD74f8QUL4QKKl0cGm8TyFHbaBm5XqNkESVLI06ozAAm1vttI+X8ee r44HtpKTxtF87We33VhJ4t1Mt4nidE8T0UVlaNe0MTA0G7GMbNtnQsRBwmOcImRCl3Rr19CXdbn5 N+/0/yFxEJ16k4UGkWvGeVjKypAIz55L891+1zXDi84UEr4IOSxJjddXaGoKgi/uWSta4N3nX/bG hpXD7/dKENpWK9u/dvLTMkQgSEI4AS9nus9QAqhz4eTS6Qa3q0tuxyfcL/emgHjAmi7exBjNig8o PsWaMKyxCnNH8Z7jdgSmOhpQDIDLW/XoOZep/MO8PNGTvgy/vD6mC+YPIXjT7t/p4GLlQ9j+pCdq nKFEJ39iFx8YwQtKWOE7Vm0elTsJvXXDprc6XwJjlJ+8vdtVlC+3JT7SeoMo9vG4xA7s4FZ/ODXI BYBatIcmPMlP1/rixsaay08TG02hw6Zf53bI8jRRcUcAoOkrscOtsSae5cwsyvpkz28JzktuXT77 UeUmOoM0Uy3Zfv7I51FHbkZmOJEM1gXoDqqHV2vsqOBUmRXqU7m9+NoS0q6nhQLXQfDurQj3MozA BjteJfcMphuMV+wOUgosePcFU9CcR++mbujqaDSGNysbXqzm4NwrKjbVpncQL4b6jLSS04gUd77I f9HkWSAlwSiyquwiZtQZ0koiyLDo4hAYzqxEK8U2vvAoA9vMIl/JImhNjJnF712BImuj2KHzoZCL 7Tzzo8YWC/IKpRV0JK6h543ltkHVieCqAN48gavTOLUo9MHBSmiJrFR2MZHH9qhCod+V+4xDijn4 Fk2kKyYAVbaOe/ttc2glTfT7rNIOwTfRlKe+GzpSwmQYGFLw+PTTAorSj35Eotp8sJrCWO8lhidU 5eWPsNoQfztXJ3agwqS3kSYf/A/2Lkhdtq3oij3Stgjd8imYF6Dl+UzXD3e+KaPPfaCw8P7oluRN rXIKJENZqZO6WsOjxR7Eo0YZrq7Y98jGjGkyhjZ5qcaaF4KUPSsS3n+n5odUbjNoRhw08BPZ1aaQ GfqbUskxLWCfTe+1uV5aijIglGNe0DYp6SaXL/WLdtLToLPkUQFTOgOyQabfRAm9A5gmj7vEW0co RodXCL8AnXDIytg0jzhm94007QGOkeH03ARNozdEoVqO3nRLCiecSB2hr6/7spXvF+A6UC1iakz0 5wR7sisiti/zvtOmWRqyXXprDFAJxmPO9UH3VijlbL8JBDc9T0CnHz5LR9Q0TE6DsSFmM0oAA4a9 8DMNz85NJt7gfpUg0UziCtWuQrqsl/5AF5xdB00m+vOnEnJlkJV+dVzldtEXe/STzTatmJe8Kqpx sEK82uVnhEgqT1uqpEzoVN+k9sWgyCI++m6t46wGcHLVaTVbWcvtz+RZCH4s6iNAzp2GMHtMpSsw rgo6o9wmCiyYDzzn31S/UXlSSoqaeHwiAmmqcd4ANtPloOhOV7wNaIxwKcrYlDC6UMCjclWI7K4T sKg0gFLpFQaHS+w3pUUxq68R093t888PeeeuxPXLNXemnRFVh2s5Ms3O+vEEDcRg6SXO3NrVi1zK XwC3Z5tARYlxDyNvLQPD/uJINNTcREgYhSX9fe5vGCVUG4gLDmWgCrgdklRY0/2cs2EMklsZtAxm Kx8JFie9fxrHKEBaZ8AMmvuofyWcBTvmb+O/ldNacyyn30nScTaCpFUyJxt9qz97kg54EVXb1B+j Q0doubS+41ESHFsuDCLkEfXU6jvHIDouQ43j9ZEejWvkJT5yt18+HLwC5YyQMB1WBorRU+0To+R8 cY3dAGlDMZoi8QDHqSlVCSI/mDOr5QYDhN1EmxoPy8xRaJpL+y/YaGkr5/k1Inb6G9dnDHMCXqEW ki90msitR/96TOYxdF4yLeUOL6Ce7oCHIOgpv4FNL1QKx1tFqD1jbFWoO8wbD5GC+9nmFcnPLEzR cdkZ3uZBjXD2dvtG3SW7w7Zb5fAMgs+q4OU0lf+rAEgn/Kx9+uS/GjyXwhn09rPp7iJAXtjJf5Pc JjLFT/O2geXyKaoJdbzHp1emaFUaNtLC3f6LHFp6KX8N4eHETpuj/71smfBsP32O30cWgSrxPOEB mTbr+MlDhCI09l8ukvV1HS7nhkKfPZn/pMrO280f34OSn3N8VGZyQ8zpMaNu92vyuv3Jt9262MYn QybCfb9FLEeL9pHgGSq4rovUytr0JYGmWTQPbulWjrmgq0c7XDJ2lt5o2dRe3FIWFtC4GzPHoY2k 8j21edvS9ZGcBA0i91tSi7xsmSz5ROwukh/zRftR3W9F78uak9EKvAjTdLDcx7yDenXd/vtuuvd1 yKe0DmcTLIkUPX7sJQfYI5eQAn/PW/+cB3NoHx9Ou5yrRgL4Rq1KZprCWnrmRV+ylO7MwcTRgMSw uSzSa1m4K3Pot19hnMaAeep6XVA/i5MyqmL3+dwDW8VsuJ5O7ZnQGIgMs8+Uk7SVL6n5N69ufnQ9 2pDWXn8Gf1lyyZLTqhAgY3HyzyPVW+BXvNymogI+NtPN1ZlFuVJxAajkgzj4Y2TJM5wC3hvcympv GbC3aLpa+nxYo0QykpDtdrAIVWoD0i7DkHtDuFpKIgqZN5BiFnZMEJlKNVl+fZBCdSuKUDk/Smwq 8HVXxmWQ1rMQuww+CCW/nn1aW7CRQBJ6jrOhmaZp5RoOyQHINmIhRFg4BSYmuqyt+LWQODA4xHFQ cexwRnyFVfauVsOb0sdUVEiEk17xS5fZThWJjAbvBrV/egGdpaVQvGdH0Jfkv5zJwSFWWlHbLT1/ cHwoIOl+Vmby5XvY7MuE3k7lz3Z0QdgkmHuKpyaS6h592Z50N3mE7SZFiQs3mLWC/Jm7CTMZJBe0 9oBYKpJWSsflwv3I59rU2MkP+XUruECdWamwdw4MWACscNEnOjmPiCv/XQ+HHNT8lAsijfrNl6a4 9eGgTpcE6/QDEqbnPIQLZV4QaRezj4eHSFhDXKeryxP4QLWEibHOP/aC5sSCtIlm5/pDiyMrJISJ jproHgXab6nsRQoA5fQ84FoLt74Lz0cSpt6xPnwXlHgN+6WCV8lX92UuuBVDkb8zJkHBXt0mssCy 2DSo/Ns9E0gGered7Fbq13osofPIJ0QupA5QOtirTZDH+GgZx6Anbhg2mu7lD0Zl+GK1la9q5dVc YH7CB5guhBLJQ6koO0hGZc7g9F981TgDF74Mt11/XwORSm1UhQ+UyYH88epG2kqbCCF+4Y3BT75+ e0KQBaKl4vr4HcPxwCYVLOJO6BO+1iM2x3VHEYHRr7UeiWg1flA6FvhvGmsN/CRKWsMK3zeIvQfO Igt+1rl3WNCopcHicME2Ya4q5s5Z2/PN5muXYeyisg2RL/m/UtnZqncQrpTyvis28HM3O5kFjXiu X+Dp7FGntpz0qtXoZIQZ26kgP0fycSgQBbj+EoXEouccZHLa7GmNd0BEizXmrI9vZOHx8LzLRKmB /wz83QBwOmAe+a+NZlmAmML6Gb9zsLTFaDq0LgP+kEP80UvdbtHcgwyODhjTX8vWEh8qlmK59g/0 QSecu+8xei453wcZgM+xvW1+ec9T9o6oIM/+wu2RezGbevdM/39JzKFqHIZ7y72BMOrWJS1/EqsV jVhXZpMjS16G74GJUL35lnNAhQ/e8Om6TeIUNJ4DnIUBSWL6xpuEV7KLaP0jX1Rw69cNYx67Dn8x 3mn1gGx3cc9E2uGSq9mslLkyukK+sEC/71mwEIG9XOf5l05AnqaUhFMfY/m6MaFR78cemlZjglEc rrEZU69UdITuJ/AINe0xVh0MtVi+44lHXJZ8EsmsXhmyAk9nHzx3xcE5tJNkaQe4Q6UIZUB662vi GCc5GbHzNxLoGSzehUPYgZX5fNTPJ3n3nqbtMej7r0hTQ2apDAW1fFOOcvGwISXEdFeKtX5F78bB JUduUGUGgDEtSweWb6q98bvcTLqcf0my+oLWSpsC51xpz5ijcv92gI5lye+TO6T+KleRAt9xn9i5 0iP6wPrqgrf4bMN+qzqj2+ZK6RoTw2eheIv78GfjG37GGKqk+2Z+32/a+plnalj8+R75MG6mHGkd MqbAvpl0nfXDts/PRb3wbkArilngbNjkAvX9mLtnWBcpr4wKj6OGv6TYbAsBp+ojXti/7/wsPYL5 0yKOBTKj2YaNs4mnh9knIvV+wZxNWhCy7BbbCg7ynqRTdWpXHfVhU9YHEEdeOwCAWkFD+YeCQG5Q OrbAQkO5wkntZREGYo/TPMdk2SUot+zwvolnhjTQw+THS/1oPltQkV3kWLQMEIz5wYRvYOic2xw6 FaHs43sXuQXqvwfmtqopI1sa4Bb0OFEPZalwD3aA/nRfzgESt++oD8qYg7yRtWBEWSIGGl5Iw3Dm GfWwgXLdgjyIMrh/ZYvw/qSP8QtN7LmCVpT9ogX6pZEQm55f4oT6xRa83pdiXe1tq0n2cqRgR00H c+X5lJQX7L9cTrC6D22nGMNplvTpFaTYnEjJHMVPL7uPXqbFh+Suyl5MWk6HDdneGS8hLdai+vqb I9EAw0SMmF46hbf9Ym22Hg11ec6eiMFmNt762fGWtt0I72ypzGeeluD6b4Kxx4pmlD3SEXZAVz7e 3QlMVSxzdc75Dv5htM5weLvTWpNApE9/SxvQ7Zq7uAVSC3vUILrBmZPieBpdUeqMXnNsynOKY1Wf 2FzMdpWhOWACaAVj94M9pDiEqesf4LgnTHyLHlHO6MCrJioU6KaiOnMcT8kaYgcXAQDMh2p/s0II nxIGIbY4K3qe1pvNY0qJzu/Y0IWiXa2VnGRbprZoy4a0lMBwi3hBbl52CBlZ3/tRhMpQP4F/oUnn NbV5GQlHC07jfo4pizwKi0lTO9Hv5aqaKSw1hqQzk820rO13kiz7MZKnhq0n0F1B0tfF0cFZjZb1 UjMDsf3iO6Ye3nOA0ej3rtN0qCmnVwblcv3bwSKxWhvw9V8fV5lG3PMyKNXtUjCChOK5sfa0/BAb WBI69UIX2caQoNBmqAYzEgxjod3cpWdZi/ao6cQ7vhLOQk5x9xZ0L7dxVppfSrnaJvwEaKKH5NLi goFbg3nd5rO+9A7fn6uxwHYfMU3zOjqMbbYwe2PWqn2loTnMde1NMM1vQm3iiPTtm5j+NttUD9Ot B+y/zv6t1XpPSIAt8VqIM+3JDMH/b3Yd4ztC46Iq2rwsZCaOij0yCdt0oLaWMaSKGLvllPEh6A6s THYgao5uJzDZz1vpj8zM8yybaq2qdLFg80bv1OIanFUe6ietihr2vdSYHbGb9ld83EWPiX5tQuYC RiZSrKjSvQXHMFrIrJNW56XbyC4i5iwBKzE1rPrMrnZUViawDGUybvA6zPhfIKcAcJq0u52QTG7v TLsi1xelcrG+8e5NfxXsJaVbpKjILS/avPPxTYJkMchzG+BTKN632A/vCCpkSuaMynZm7SUarAu5 5v7YNHSpXdw0ccJ/U3N8Jw/HDG/rvmb7SptpsAMATasUfVkHLEg29l1VU6CblbTfuknnJmtJ0xTa 0t+CsTsMTQgYikdlbTyfBm7OCR7jAmEgFld6FmFgRXkDeh1Dw1uR6PO3FCrnbmwMTWVoG8A62MYO VsY7r44mDHhpp5jxKqAXQcoN6HpigozgdFgbBEJX/HPZ9HTzX8EGBaqH7UFOcb3YGht36ubbocu/ j84lsL7mD4XA1ce6JevS/mTsR/qOZCX5emNKz+i8NE7EXdQdG24nU2yqEC/FZ8PMWLVINycjWq5v F0JajDmYdLN+o3X0S7EUpnoSqW9Y2OVDL8afdhaJk9JqsKO6kWDSnQ2RJ1lFKsaeMlbBom9wa4T8 +ij6BwDRWc9xDR2YpSyLfBQiJVKjU4+3cDWCN3DjE7kcr2da8E9sF51X4N8T8+pypIHUZMXFp647 kNC+4SIBhzF/8hXvOZHpJylwuXAK0RbUZB5Ewv6knFMbZfumKyZDsBe33oG8oW3ex8HIjgoBimKu QLvqWrDwNjcTI7lMo0YNR8kIJXM9wgYY89upKIu4MexBS1sb+rI4Jqk3JzMg/eg6VQkl8KaY80U1 wu/AXIfSwkeYP9f/GR21yR+u9Np5tfc1PQVDRAx5I0wqPwWnA1zCiBRMra5KO5ZA9hiwClt0AKlk 6ICxwwbCSOxK04mMjVzbjSHfEl1fd7OGivTzf7XNwtXtpyUKsEOfOVnQTwJ3DV/Oil9/43920ReT lfmMDmxEenB5Wv9IfNQ4rxAnlvSuJjgEQ4CsBPBsXlZ5R7YOHautmNRXvnqeLpkZif2zO+Qe3k4O RUXxFfsTBUFoUmV4UZ6e8c5aT9xkXBoMIkMDymaZnhpQZXCLEeyNeJ+8FAmL2n0odNrOL0Ob3jg0 PIH7RKbrR/EOFuqXn+mGXbFSUk27AG5vd6DXBSF1bPCoVyoVbvJZO09hrIxMztYu6oNTLz/30zs4 QtHKdRiwcM/2HI8K3epMRZkNsiq0HTQXZhgXw6SetgTQJLqx4HcJGszTl4oMiBeIQIZBE0XUg3l+ Cl1TPFrbsSuaAO4SqhAV2lp6dl3T3WG82NIJpS4+xeOrAlXlgEWkn4lWUjRIdXBXZ6XQCPblViJT XrkBfk1pwtM9N0y/TAytzzKq2ImfTZvpHiofC6Nh3WBwSSJEI91BxE6yF53hO/1yzuKEs9i/puTi uLcLbG9/Im8VD3+heJZFq6aDH/8+3e0jbw1n8lz32y3U8NMGpaEZ0aK30+IzidBRbUi1ORJR7REu 34BpUx+iE0JKGEQtBx3F9D5W2jSXZOZE7Ww71jFkoTlF1GXDlELVIRYFAuqerYjfnygQzBLxC3Bn PCro9rcrgkjareN4JMTBY4BJyQa0CU7Ttu/E0aSZMMz2B2JMPzJbjlPJH8Ls7W+cZonPE8AtK9+8 Nx40rQG5HhoM/gyfJyGKsrNVX8JcZ5qJYphc+SkyvI+yaiNzPX11MfxfsinFOcoIgAyS5XRk1dXh Hil3IzIpQCLXdSeaB9b7JCWs3meD0ur9owFz90djpn92BDFFIGiRyUhaHG3/y/XbPmHsRzAEML1o rSM8Onfl1E9s9c9lvKIxjjRJG7RHC2wCjKgpsqH4aR/qvSnp8KPJ5iK/a8dmOkWWaLrm7UEkBkGl +sRG3kRbKGHVlpRjYpG/l07lVTexP7fVe2e5jfQ4Ng4jAaLmHyPlLLvXA+kHZXJ2JF25DMIPDIJh +9HEnmBCZ5isHgy5ajx0h9EXZRKMhM87iIuDBQ+ykbV742+xkroivUJ8HQS9I6o8x8vUpqFKTQ3P 3h4zzbgcS5YCTSlwsSY83DA7eAZ3t+McCyrU5extde0nsM3HeUiQz4eJZkYP3KnZL0rJnxPQhjzx bktb0RlrNYCnCKtE71CIRXIVLDLqB1L2+lcK1X7KJbprh6yf44ou2Ow6/bP+ugu2hGrffJbExFbj 21SbpB1y/Ay0cndmoeT7USs7a3WMvUFOUnOFh42YLSQS72zHLmGYMfPAAh5sp51DMhgYiT3EiQgs Yhh2EnYohUw2ajMtn8PJGuGHjx+9AIGdKcDppsRcWUrX3yT/oN4gIGIe6zncGd/TcFTtwjn53E+N 7AK3SNGQXvoUx+Wkt31cfdW1bleL1ZzEN3vGhV+otPgHvdSxziU/u6B7gefb/Sh1y4rmmTgCCKcT 1V26UX/+rxYsp9sD1G06XuseTFiVIBz8lFkNZBjzPXMkjLyI+tHjY9iQWyYcxSUgfrGP7xroFjX5 6e4uQFS0xqWw/e4Xvad7J4iflvL+o2BJ6iH90Tq+EgU04djHYXiBzk14Sbw7E6Hv3ij/fkDyACtx H+MbspE3f0WFHBiZLml70W1arNB7rZ9Y6gzbB6FqOhh0v4SabKxfoJq88ePLUuw5u5TaJhMw4tV7 zmQmlXnPIN+suzthBp6xvUTp7pNlXrILPwqU1ZLQv+MSVXHRl7pZhHr2GK+yhr0FEofrofpp4wLM 5c4vAmRKeHSZneVxlEOK05RaVgt41XSoJe6Add6IuUgD7gzCojdycOY0mJiyFArnYCnehl3kuiPa plu4mbhODvyWk5+o0RpnU7oASTVeBZ7MJ+oMLP1SrMo4cI2gUg2Whc0NQkPaTTmI0RlYfdHGlw/Z UPaQnB6tmKxgFqQo46zz2gEGP/YbA5dr4AvZwbOxkK2cAoPdPUV877kQ8lvzPtmgc713o0F3TOWD Mgpsq5sp7xfpq6EfWwf2ZeK6xy7AAJEZSS1QeW9/R7rLO362i4sLuVQ1NpO6hcd8bzRPyVcxlX3x 2zuwh94uOXuAr824MnMC4UuOOqv2LnkguJ8Ce7fC3KQsAtko6NvF8TKeBXTDPomAq2sLYU6o3e2y QXEmk9rxiCyUF+PORaILjv/nKOGA77fQ12FoJGHfYFeqgOQo/Q331QGtFs8g8J6LuibxBt+m5Jon RJAruV4gagLGex1f/A4+btosVAOznGaH5yl1vXptPtugFlnUecROp2huLFFQnRyBh/zJzIMT+wWX S+fmK15AJtMSalJL32+FQp8gpk0w41p5kY8mmzh+gt2iLt+ZBvOMDMUkm7X8HS9MSa64yOfj6WGT bMEXHDPU0CZojLPRUnT8wAOLs0GnKE5s2w+Yrfh6upCWnBR3aNRUU8D94P4fMlUYUGZhiAPPAbUf MmbldWN16ufowuYnmr3Pc3lrOHNj6TICWSVMeGjaKozYcvtGyCs0I+uFeGu6ORJ0KPHLfWJFZIQN WBRv/8HeSfMo0GDj9Ok0odqhIycMso6HM44hOTWZZawwvp5ZTcIakZDcYJCEuL85Rrlq3ghoOh6i 7p4iqdxPGplq9vLG68KxqQKHEI8Qj0NZu6Lx0EUHNTqFPTwnm1xKaYY+QOhm+pggPQtXOnXjc5j+ RjDWoVu5ltVjEap9fcJlhR5RzyVch+NzsiC5K+G+Nu7P8+gHCrP2iAfKng+xhkV1qvwY3h7K/uwV d1nlw3Bwl8bnP3GOtkO4dVit5T08j9fSWsE+RPhVsmrt0CuXVtywMztrrvE9mZ6QNJlLxkB3Zbn+ UmIq6pb0zZq/Ei4lxZuGkxa6SiVE85YGUdjuwJLQAaiKHx3QcdJEprRIWiv+kacaKCsZDUNdqyE5 /7X671NTSEEi2cGA4skzEWLJBELAQPz7m8o+Fe8kuNhiLeGmT1zRAQ+AQBUR7xFveSQrxZiQT+UB CP1XAc4q/pcNZrFeqPOTk4/Mqbi+LwzLQrJWFdT33tUFKXZNzKZBiHfBZM4GJMZs0FJ/yvTILI2A ilpYYJX8QnxzNfD2WDeN3FM5084rcIN9m8MYCoIs6QDYgy1L0/QO2SuiHz00rd8yP/MWiQtrXq2T Sb41wBufc0C6UokmS7Yu8nlh/J6Wrkr3dCRGXkk4oFTv0vIFaM+7CUrSMf9dysWdAUduCn9088qU sc4Sjjm2lquhP3+BkfIQQjb16AmypmZa1q+6hssXk8T0AfZBTnsEagLjOEs1GBhno86uwXjIkNGh Q+5SM3HdAR7Ig+mrfWc8HqHlqaFim29rt6YcRRQX9tEuecsj5eR4iVh/W62rKPmRzPZwzBT+xP0Q JgP+chp4XWBGBDPXedyMg/PKHZxbbDwbRd8f7bul4J0tQNdvPmdOca9fWVRjQs6BT2viNifdUxtz 4Zm0FgPEjayEU8CQ8mut9esUz1rohVKoPogG+Jm1Qav+ibny8jT7w955jWaDkN7FQMXHG2V/gy3d ea1AjvZnirTKN0M8Q5WtS1Q3zG+txOTrYIROF06WpHrA7QOyOaeFaq3SQK2aGOvR0+sfLtJiLiNy yCSosfBPw4w5fTCCAKkGc+TIZnIE7qOL4HTNa+89ypjw0gdKiWQgCROp+jIdFcnJ7wwPRZ+COi4b JnXwxsrMS9Gwe0nOX+X7s/UfKrrWwqBB16/ob0y+VGjsntjyX+g9YZHJCdtRRC+Rc5fTmk+PhXTr nNx52aI6SKrPoX5v71VBgNcLlS92KUiHbyiUqwWm4uBIn2afT2MTsAbnKUhUn3wq/L+a3dWP1TSh zVoqgv1pLCrQLEqxdBTL8jWR/r8O3SO6fRfOUDuqncBp3wC68H7qCcxOB7nRGh3s770Wfjasxrcq Xijv2M6TKVOMNMV3sLv7hNisNADyzVvyzkXSBcUbj8U6z1yOZnw9XwShwuHDMGNgJMNUY/4EOoKK vrDjnse3wUI5zmz6Cw+zLTnQeJYAPO6w7fe+E2V/y+KYitfRQa3vIbv93ONhGbJyX9UdqxaFuvGa j8hfhhNlSu8Ap322RW8uCBkURyA9ogx24IoXXWZeKulxono3JOB5JUctat/Il5Xrikd1bncDhN/w 9cZGP7Tkmh1iSE739uLA5o67RIbvmXA9dqt1rWSryjN4BVbWgm+Wu/4picibcZqPDNl+MdpC6rcG jND55lTgcTD91jVQeVNNMCx/3CGcC06TJfxWSzFaecXZ8fI/dvmpeKamY6minyWsRpP8ToIqlcjo PllxMIpDLnr0QMpu5k01uRMFGRhhwW81VK5lF4981paMF5Fr8NMLLCya3k+jCqjRDa6ncXamOeQR UnJg9p/wfOt5klG9fn/H6d7ImIwnRJhO6MjXq0ZmV5EcolVINkoZkcmEDT3zieZIiwYCwaQPqa/4 rnFf1GxVxMuVW/xB1KoDl8nC2SBgLRGi3s9FmQysnyP+PMH2V/KPcvHG/p3/Ah8QhAOzwRIIPyjw 04RSaLUEGkRcrK2Z3cKrbwA1EevILt88NJprwMB0P9EGvebt+/QaGjN1qewEFFM+Lu2ZB2QoRCdW 9VvwfJh7L+cgzWK6LLqdH1hyQ0VbcIW/MM74xjZ7KuRvlE4lHln05C+UIuu3aV/RL4TDHQxoK+vg 1dWt/ziYQJOvLh0+bGgZBc7Y8fbY8vR04wIoaRqQoZmh6p0J5I2/+chE5BlBbejzp3iqNMj1GZBr /n+Fn5BSxdWFdcJqmAV6wVT1a9aW57uqTlTCClWEECMSzs4Z/KDxwwf8JaYRye0lKUB+2R9izf6n 4ggVMgrtFmBlzqvY16FFQ1OV8IxB1eYz/4QRbKpI9n0t1TRPlMJa/IskADW4+1yRMOqmqj4e6AYb Ufp81bCB0kltCMQQze3u/h0Y+czscauErxCcBWG1orndSkDM/lpheCWDaQ4J5F8ynHtI0gYUd4x0 qu+jOf3L6pRlz13Tho0QKbtlDEIPXFc5mZbCLPjAzuXEV54A1N+5quW7ysaPbZJ8xGtLeP58eORF zGgVNapMX8s2F6JVSZ1AM1ev4cRUsFIedj4e9OgDXaO4agnyr11C50sgqtXeGn3EmSqAF3do3LcT su+sjuel8UZV2fuTCs0/97t6z5dsFIILp7DEsLMy54X0MYl9KJdWIlqnJIMrm2EQnH9TogP/yKGu GjHSf6vdYDPmhf2DgLiAKNkvTzSIiIAYknYhBI8aiwgIvuvawfDmo7Ak3MPoQgJAiu79PwSriguS dg62GNsCeA+yPuoyNrxcO6Gb+QdnP72CtOGXVhQL/kllUyPu6hfhVdo+urQ0q9ISsIwE1ZxrraqL teesyhvTJMmJI0CGFkndXV2+BlwsMb5MEUwnyEQF7rs4Y2zBKi9LzSY5yvpZlWqFSi1gvTWD9yXb kn8oejDKynroLTL5GzpAsE2AAg2jXn2Fv3O1TjNsYv8VAZVnibehC+Q9Oln9r5xu6/woSLhcvXrW JZMOF3CkLgmVHVFthZ7KF9bsQCF6QDBEDK5K9PGuRy4iw6e3jDIH9soIpPh8La9Ed7AM6EY2aSrR xuPZtEqp9OIkOQUyvsIoZPpbl8LfWxFiO6qA0WOVC3cjLziwWAr+11vuUvQCD9IV5S7xUapBEkbf Z8vorbEzX3L4YnPbpr5SPR0MeECwTtRcuAqibs0U+GPxlZNa3Ye3SG3YXmx/T+P97DKM/3kjeCRe q0DCI5NHc0iVWi8knpByH4k0EBHL0e2O+DQSFpuq5UVJ79z/8Xyl3ZCrEFk8b3DKsAfWaXaozKVT w95Vv14C0A49Fb6YsxlXhf5JP/eWog//7Z4iOiQEPGv5OmuolRV7NUSAR1Rznk/lsSxvNy9I/Zlp obeDI9qS34/cwN0VZuw1y11ZzVefBYRRPRiv/q0rum0dhpwjbRZz+oka0J0jtmWz9j0NKpvGUO03 G6XhpsHAHAiwL0ZWPNHCzGk+HHsVhdHGKVfdqoBgjj27ZKCpD5IqyBTurvkW1fFfRelrlpJKV3Tz p9rUHbjiro16TbTMIUuLaR1gdFtiRhWB6CIaBdwH+XdTWXQ1scNpImRheyFCxLzIbBf5wPrZoAXx LAOXkLfLGFuQFqmYBcWDWhQL1u7MQkpgigTQ1M0BZJmEZEQeBqnxse7qFXh22xoaOmVYq/Np8LgO vWQOgkeDAoBNIAPLNQSbxCWgxMMq6W0YdQYq2MIxemTf97NOJNe4iIQcTI9YF/L/Ma0gz6QRDLFd sEvZ1bIgWJzSJ5mqUWT9XD1uxuZPKL+XcPI9eGaahyfdgBA9CQdA10gqhvU07FoAEOcTCvc+lCc7 gd4O6xXfxAK2WgQ6wmh6eSdjc8+uurDMK9zBMlCg+CRCI2pR/1pa2jR+ToHDnTLFgVTibEwk8CWP OhXP6lGGtw72kBc3Qv/FO275Qy360NKle+9vyztshJaIma4NQ9L2JhtM75airb8kMLhwJHnFkifK VkkRaM7QkLUzigVyVPde4ympBRVkUaizAP/Ios66wGHXC1Hza09ahvQbbDGXoAjCDni/hNXCeK0y G1q65jDDf9RAYq/PqOxXOfhCbC7M2Lxiaf9U9sUVgPYljvZ13Nbnuoz/mR5nLVTdtR94cfcbv+Lk l6OOjIVDKmqv/bvMHnLFA+xlljxh8aWEYUgWWv9mZQcUPKWWUGJiHALr5RbSMDCjT3q5s5Ufox6m 3zvQ1rFwxvdxbpJSUN03IbDaqv0yVmholsOsRJGtbqY08ddhEVP0rD+Lyt3YXLjyvjH/dlEgM1jM 2pgYS2Xl1qqRlWX5yQquq1ngBYeUoC2GRds0tI6YlX6qsjRQ4rboHbL0TF+hJPpOgvosoPpdPGTv 0ma+SX772a/uRL+hyhgxcOAJgyOW7v7DLE14k43XXfPHYjY/ba0vXcUJWdIvEzxacKYBKLmvtd0X +CayGwHBjkF1LDArYoyCrikwzbliZ61po01ppmAItbLk8wW4yNoybcp64jgUF6LkIuGfFMP9vwlJ 3JHjESbaeSg3Feo3FnOpJytZcBJ9wXp7QFed4IjLmCqE5Cv0VqbLkU5+SMPrC2+JWNG5omuQ55SF pJY6usr9Q7Yv4rQVsCZ5ozxKuUM+MEI06roO7SvJtisXx+ZpTANHeS6SkpqoPH1iz6c+bxHKDo5u nNDas+pOMkrv8UDxy9DELZnD4lLsv/HQ2N2T4TfY1XqyiKA/I0oZ5p+576sb0K+sN1DO2FTc+U01 SRQD4xqdir3uRIGd/U7JJUCzdN3g2tLS1o2myEg/awBg08sccRGzzMzM8hAW4bjofihDOBo66M8u 4rP+1rKEIHdSgJMvznHPcUu+K6pandyLWsKaUcg8lwhBOv7AdUc3RJo3Bzz7cRzCCa9CwyOmhmB5 Gxi2UH+CuJAXo6jgwsrPBCTWmnKAzuyLbBYomp0Dr3SHUKT7rDVINLyrZZxJWe2k3pSbCOHVZjpW yN9WZsr+jlAHnF2Uauowen/D27AMJHFpjn8piP8OXQl3zt+6qwU6IANFgLCuICIjhqiNxtfaML3h VQfdPEFnVYZGLalX3j5gl5+byjx8+hcBXCZ8EH8170ue0NjwePDQ7N9vqiAFrb2YXO8OQqVtDu0G D6Q3tzgw8Ga0ath8UUOHSuaBAggprDElFFv+H+TCZgZ5AWjjJchcwIbyOPz/c4PTEZ9ZAFM8MFi7 exhl8LliRBW3fLBjcmDI4d6vb2bsLjK95kVefkSCy6b5niUIjvvOdUxSS3l9YtcVUloWEIBlpjmB zW89pJfTGBFtQKBv+n8ggUecGQnNplYTHnMeCOxkAOg7eLW5P6GoWlk5abkARKjJ15VaH47W7EIC 480tYfaejB6DNOaQDx/IeWcyI6bdzJoWguQzshbcS/Nknlp6UU/UR/8PCK6z1Ns4GljhS47kvmmJ o4dCFiNFevGUL433XOdHzadrUyxyLoFTVhLWyvHotULLGOaiiYGhWSgpuWpUqxU7D7UPg2JSWe8J LyKSmaZXlY4+pcQH8FhQffpeHu8nkywFju9VrK3QXaznx7OHdnbsDPFxlI5dwz3p1nCkQdspJWFK PoMXlTrc/Vm39IvGLTXY3ASClEYLDuizHKEE0bh1zNePABeq/lDv1W17QD/tPOiL5nQ3ib41f1t8 eVqa6Qqx+VMMB6XrWxdsRhptXeCbhfQA9wUEQUMsLSkZLnaTA7H+54U7uWZP3lqBfj1ytaO6naSL 6d7meA89s6gvZyLuPl6FcEuPZwD4y5IYlbdQtBqo8BPVBA72qfPyX3/6CNnqwVvJ1dRhFe/DboIy us7y8+RqR8+mR7j0N/+iQE1gsZWp3XrliENopUyZ/n3mGy7fadyDy2cBig3e/0e25PJkTCaqOp4L r9flTNJG+hiEVNdZTSl/B4HCZez8/9oEbQH912vWfXN9jpqdlZzSmXnootPrTmlslcEeVBaPqXRj BQalV91a8awcyVwKn6+UUbS6WwRX9ZprRcEXKxfhJgQ+PAb6cS7N+KBn7UDB/6T230ywpMgL+JBS mK/R9G0ixXOfg+k93Q27rcJXPf/bEJAiNEVglNqNVM4FswKNq+Yi97L/HzCrptAN9m1ISWy+qk0h E3EFPglRKKSD1alMQFqPblh3AmmbFs1Y8jaVFzA9tQNqfxnTXC5NqfllvOD7pbQtIBQXCkNMSBIv gcp2/gRpUBMscuUmAFa0Xxcn/9QOCvDd+wXMkqCYVACU9YHUOAaT36br1pTkd4Si479FV+DK3NJr n8NwCHdlmPxIIYNYbwKBQpj7s6Q1xWDBtvtPTZr/RXtZocyHHs7IEHsVMb3WuiKnui0TqSiwbkQZ 6MT5LjExhKnx+bImcdKFEO2HhtprEUErl/4n5Tgd5IpUHdgWo4s7POhrwvZ+8j6+coHEicqeKLby EJncbzliydmbA/r28wmQilcWkDqhSaNKeTaWXKDlbCUMGar2U7cQMQ9IG63RuxPuS4ZnfZ+5PLm4 AVQimv6Tj5qIbOc7uCEnXXBuzNFudj0Td8OlZIrlUt1K+jdyYlN8AvYPuAma5gozMmf9zQDoJYkG FV5qhOyQnVl62ZWAMBeaYlb1WcYnscMgfJ59THhu/UIcqmN+kevwDS/ITT5tTcrw6qA8jlFL3qNt +FpN0TFvhs/8q2Fk6E02lJRz9rAwZPdD+hUZO21loxAFck/bltJHiKWh7hmTqI1qXjPxn0BXI5WO LevIvttoZ9E4VN1lsJDybxTmH6Zd/7qTvk7e68mmFyHs4PnrzIPpJ2J75kjONrIAjG14hhQO9kfa DS8YdJb6OWpkQ9aQGX5j+Bn4co41Wfo2zvpg5fU/kEPrY1iTXAquN7Eb6JgfTa5PUJOJuji8L3Z2 ja0gIfY69s4fkHmJKLssTkO4dRGgTBgOz0iZnVVj83mWPZw49Bk8P9MgcOiIFNlcLkXpqK6lvhIC o6rcY7sWMaTKyFVqIF7wblhg2DtE6/mYR+dSxeRDsh+iEpPVQmqldst+UiM7PFRxGgeMEp+Vn0Yv 1XYIkJzAMTYXHM3g/hFHDTA4lDzTJ4GL1VqKO3CuqbnfLs4XbaZPtmpgiKAIllsMKUb3F6s+AvgR xggPghRTt1dQW3GmZyFbT8DCH/Awr04MyEIQravrZRGlf7sL+aDHuI2+p8MmBPTqIo7UYt1/H6B0 JbTqTaELZuy6uE2IppiNBfiGCA5jWDmDU3e+rJJwC1H4GEVX2qqCiOBlaYG7DTH41YU6XXmBJ38v X/m+Qngh7dylaq6rVsuk34GyNCI8h3MficBgFbNl1qoktd1eudBMUMDEpUg8lritrdVvySUZYCCq XA11muNcSWJeggTGawSNnfopHy6fFeZo2pWxju6xI6Kbf5WExR6M92vp5jchPD9+sAhcub7bgYlv bZpFhoJjxnmO4nbJW5sXX9w/45KCIZSoteuUFrGY3KE+iA0fMGQX5CS1eEYB70gZww7WFAr/Lo5e Eanl9U4q+IwcRsh79jeExCtnOJpa1BjmXW0VhR4LQlFAaw5WgLy0a1X99C7c+H8UY7p8sKZbbXo4 gDKNrR3FGBmDrp1Khb+eX4do4GZ/UwJ8tL4bZqherqFmJSd2rC1UpqGzoT+2KUmboa/9/y1a4vY/ d426dwJ1dPrAbHtFjKUxlAclNOkod7wKk8/AJtCpw04Q1YAQQsncShbdkXFIYxH+COy3LMPz62yd 7HlXaSd5oEHDY4tRrrAsLIoRriqMjzke97yyHVbaBt4Dvjv2fNZr7l4hEMN13TMe1miQSl2bPyN7 Kyr8kKwOFNQ2P/+wSz0TqA3416j00Q8lNqphStD0voKPCU6lud2kpCopPfNQJKRS6sUe85JwDi2S NTfo74gn6iKlo2m6fmDpRHn+LzrK7hTz2Fjky9N7YDIwvKZor1145pcjagAYhzPEJ+V0h3qfPFvA wsQrcFBSn+6IxpmOKCeeu1t+2g72z72BkgxHN/v7ZUha9wKKA+4R6/KpPp1V+JfIWpSUibjQxZ8o zKjPtoKxVR8Ne35IHiivJ3NKWfaUKK3qyRSqad9cEIqEqo6LLgiRJKQK9oXqnkAWv9G1CKD6WKoz zEq1oy9A/LNq5w1z1r6YROcynvM16bTE9txm1uDdRdOqL7NwZNXYpUy5lzggV4Ac7Zk9FxEG4iqR YjRWK6qmE4uhTxYYqLb+/pd1MDFBYa9Ua+RqKnLfkR40Lxcl4VjjEn6qB3eoUDXXjRvHhOgIvcos LZa1yDG3XDVUY20qWvhLyvrhFWz5f4B9GdPepZq+wB1VREOMsIvq9JKQCx6f8RzfFoc= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_wr_demux.vhd
1
32014
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_wr_demux.vhd -- -- Description: -- This file implements the AXI Master Burst Write Strobe De-Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_wr_demux.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.0 $ -- Date: $1/19/2011$ -- -- History: -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from AXi DataMover v2_00_a axi_datamover_wr_demux.vhd -- ^^^^^^ -- -- DET 2/15/2011 Initial for EDk 13.2 -- ~~~~~~ -- -- Per CR593812 -- - Modifications to remove unused features to improve Code coverage. -- Used "-- coverage off" and "-- coverage on" strings. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_master_burst_wr_demux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; C_MMAP_DWIDTH : Integer range 32 to 256 := 32; C_STREAM_DWIDTH : Integer range 8 to 256 := 32 ); port ( -- AXI MMap Data Channel Input ------------------------------- wstrb_in : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- data input -- AXI Master Stream ----------------------------------- demux_wstrb_out : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --De-Mux strb output -- Command Calculator Interface -------------------------- debeat_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- The next command start address LSbs to use for the read data -- mux (only used if Stream data width is less than the MMap Data -- Width). ); end entity axi_master_burst_wr_demux; architecture implementation of axi_master_burst_wr_demux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; -- coverage off when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; -- coverage on when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (stream_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case stream_width is -- coverage off when 16 => var_sel_ls_index := 1; -- coverage on when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; -- coverage off when others => var_sel_ls_index := 0; -- coverage on end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant STREAM_WSTB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant MMAP_WSTB_WIDTH : integer := C_MMAP_DWIDTH/8; Constant NUM_MUX_CHANNELS : integer := MMAP_WSTB_WIDTH/STREAM_WSTB_WIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(C_STREAM_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port demux_wstrb_out <= sig_demux_wstrb_out; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memeory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate begin sig_demux_wstrb_out <= wstrb_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel demux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer range 0 to 31 := 0; signal lsig_demux_sel_int_local : integer range 0 to 31 := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_DEMUX -- -- Process Description: -- Implement the 2XN DeMux -- ------------------------------------------------------------- DO_2XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when others => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; end case; end process DO_2XN_DEMUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel demux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer range 0 to 31 := 0; signal lsig_demux_sel_int_local : integer range 0 to 31 := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_DEMUX -- -- Process Description: -- Implement the 4XN DeMux -- ------------------------------------------------------------- DO_4XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when others => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; end case; end process DO_4XN_DEMUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel demux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer range 0 to 31 := 0; signal lsig_demux_sel_int_local : integer range 0 to 31 := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_DEMUX -- -- Process Description: -- Implement the 8XN DeMux -- ------------------------------------------------------------- DO_8XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when others => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; end case; end process DO_8XN_DEMUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel demux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer range 0 to 31 := 0; signal lsig_demux_sel_int_local : integer range 0 to 31 := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_DEMUX -- -- Process Description: -- Implement the 16XN DeMux -- ------------------------------------------------------------- DO_16XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when others => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; end case; end process DO_16XN_DEMUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel demux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer range 0 to 31 := 0; signal lsig_demux_sel_int_local : integer range 0 to 31 := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_DEMUX -- -- Process Description: -- Implement the 32XN DeMux -- ------------------------------------------------------------- DO_32XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when 16 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in; when 17 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in; when 18 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in; when 19 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in; when 20 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in; when 21 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in; when 22 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in; when 23 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in; when 24 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in; when 25 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in; when 26 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in; when 27 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in; when 28 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in; when 29 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in; when 30 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in; when 31 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in; when others => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; end case; end process DO_32XN_DEMUX; end generate GEN_32XN; end implementation;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mmu_types_pkg.vhd
1
10388
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dPI2Kuf4xz7CemPH/QlaD7hcYqKhcR3NrIcD8v0oKrpOlL+W1YPz0HzOs6fITkhHPw6GpiNmP1w0 YZaKH/vuQw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LKBMBPM2d4xR6GBUOC+BvDP5wZzXqqQ9m1OpIG5zDdhNfLoiwTXATh3DCuN3aa/Dayo8pfS08DWr aASYjAENp3kVaM5l4J30ay8/s6VsyQ5q+TLsgX3YbPs0REJKOp9L7P+uikKwGIdh8jywuE4A7peh 5WSRnykewDaNFp6V0D4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mGZwjZFw6lSXejIDvo8bJmdzCBD1f7njaT8rYSNTIskEfSM3eC61RUpfK9qL6ZkYHgjAnoDrRjj4 FZEah8aGEfvwPauPJAXt9V8LpC+y/XzFItJ3A1U5sxk04oAtQnRjbV1h/EYcmd4XGuFfF515XsRs QjgYEiO3ANJJQPTpDo/GzCM2J0dWqOI4woF3xqsKJF/L+aIHuPG3BSVSQSc6j368uBfvvVi3+JBf ZsqhTYHWNAsdDp1zi2bBQwreChtxH9uTLIYIVWvJUbxQ8/vVEFhj7j26SaLhmeXieBb8yJyS3+gr t2Cf0VEuKtPoTFDjvJ1BKsrypNn6uaikxsTfIw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HT91nkJv30l2sL+hYvGWt5lDRpi32qw9IhSnIDUWPiPRCVNOitS13UeHRPjWZUxd+iLf8PlyeC8j cuwJFVjRdw4r86nwERiL1DCbqjs1Q583liHZmkXWAwMOFik/kxn5q3bo5EkhcD3Rc2B0nOSRGdky 5w0/jD13VREQe8JC79Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TFr8QARZ4fqhfixs6hmzCJmfF5+3OgY7+x+mgGOKNcZqRhPsDDwSnz/+cbiQPQQgvN17Fj9Dx31Q lvrXOMnLHWKF4Vzxw+O9LQVe7HvsJUBziR3FOlikKCCsaTCM81aewoN/pR6ITL/ZkO5KKbpmNPpm bQKK6fmlZkXVTFNnZwqlUdjx3Cr1Hb35cyIfZ/LQ4ipaGCNTdLmIO6qGKYj1i7hZ73BuG+74ePxe 6w8VRG3vjW1D2OdTbezAIsKA4RtrMMJvlEkpuq2WH42w4oiU1u7MYgF88pD7y54WnaCmJ/vCDmUS GHAUM3AM3iFBs51StKs3LSNIi5CWN3J7ehFXyw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5952) `protect data_block WbWY++SfiYVc6G09QVRM3u+uOQgyxsIuI1L/PPBdydfAA7FumRyebLDPwvHoJ/qBhtixt/ZupKdJ +rK20+OH2+UKzQ2AlKLn0LFzgS10uz9bELLOW6prVWPb2aYQf4KROcqvX5AyncviYZ3L0kl+OOd4 Z1k3J+xwMICIw/Ru2SeNBr2rlyMNbhSIVriBZYZsX/VPuguqOWdhUfTQUXj/Go+avLxvKOT8RvNa XsCejBJkAJHg4vjxYLmuLWbfbr7wR6UkK5UEtD+uuHmgIQGBvTrWecESEwwxEfRs08OxhRxZ2Al2 9byISB88tjuRv1fBDbVfKKTH5XBQfc1Pgh9hAcN7s9rOJUNHADs5KG0iYXyJAjdXfI1ksPiGiyC+ Nr2VhBqq1tx07+RUdmIdvchi+mfQ5aSYGY3xQhQrhwulTTYQJz0f4fk9nIbrhBNy0GNotoz3ewmX eC6EWot2P2O1phGM7mzhrFPK88/4NWcf4onQPmeYKihl5zBFq9gNFayNwNFzVaqhj4ZeqcNQikrS i0m/NiGnBrwYVHstaEx6Ir9a9rkgWVrJIwRCcNo0XIptn7qOrFnAHLbqJwrie9b5JGdoS9MTLq// WeyQKwNealVM9abKEFsCnUf+PTSStP1v0+tR3vw0Q+jk6e/kZ1CHSuD0DCvaZVnLmybCNtQ/5SaD 292zFc/hdQ8btYbsGzATnAyhKIdCS7m262e0kLmt/UufQ2x09GQrL/Kl1TrEdmV95UWpifkI06tK zQXo7KYtWM2KJLC+YEwM9o910DwCvqc6D3i8KuuW44c0QTdltP3M4+B/Xr4hWL+4tUSpkKLYHZYh ztE+H+m0AiPK0KXdpFwstkLH35U8g4ZlNuROZ1/LzRONwFkGmgOasXvQPrSNqYhh8rNgSAcBRpIh ts4Z+mwcEYHi5ymurAQgLy3O/QhO4VNNCDSIS1evqN6ABiryTmUYoM2MCIRZFD/XQZCnmw8A2hDd ufH+3QyJTyrC3jvG+1eMcV9S+Ka+3fpSOZIRAoZFlHot8PRf+FIGwFg/jHl3LcqM60tLzm2q5Mjz 0dYzGlAdzs+iQx6l7TcBuFhBePov15op04WRn7ntJkZEMysmMPWF1VN73Dc6Dnu/fLNg9sCCGap1 k8eAmGrQr1EiNDKlqlXXoT85hkXwMRUO/+Ev5sqJAIwulC7EPmFDAL2bjWCZZsVPvHVSm5HgmQc4 29SIBzATn1wJoJvmnpiC0Qh+18e3Kgeks/qla01nVu6QnfOvFMDZzvnc+FYesdPg2Mw5/nZyDR2m 4blqkRqko2ahN9LNbceEI2RVIjC8/Y6+k2w6tavfUJwiGSEmZXEptQGLb2Ar29P1E0BTvlHYkPJ/ 5HOBIakEZGnuk4kfVzW5D2O5QBiikOnb51nl1fM54RPjnGgY7sY56zwUnhGOQ+swpwA8xx7tjX2o 6MaaKzpSXVKeutir2VoYEj71Nf+YUEJiSvoCwlyIcxt8znnR8MjkPBzrZ7A7u4WGiWHvBEluahyI /QizDTN/3dE+/U9zhP5gCYjW1OlH8B9MGTXLuikM+zrAIlD2WrG8QM7gsXF0BrPWqtyl+Xb+Fffa Gwl8o1JUGfDqPRTvWBFgjtmVrp98u9nFb0IfWsCWXx+7yoOBQtFQsYIOflNsupRqDNYSvl5fT3ki CgmR4zx6mgRRLiP4ENSjUfhvUiOCBFrjHlDPwxPrMvp4Lcyf6g/bwJ99Y66uLVS2p866lrkXBSPL wT6FYjrB4SnKhsS3dj4bcB0fGdJxyfK5wAMViL3cn/AgmH6PqNgav2bZuhfl/5de9sPMZNYg006R en4NVy/dDKkdcnJg3wcMOH/l7rSElrRUmBVzsDbBMRAphwkcwlZ/XrJfbJ8wzvwec3pAyUxk23VI naOSHQC+zIutZ98iSlCoEAhjF56S5lSucuy0Ewi39Y3ZOMOdqkR6g+0dBCnB0RlTvZcoqb31p7CK dBgMFSKi1bltB6RrvdwcM4JAg7WNbxn3TPCDwJQ/gZdp4DLxIJnSGlgp5aJYVx8DctMnSrSngD0t 2YQG7W91SFdMmqLQF3uxqZdYiSRF7QelSNl67F05NE9/GCk1fMObuLby2TkWaNAIuRPYaMlq8Sz4 r0TR3fN8/W2HKp3DFO8L8X/wQGimPliIdRbSGjNyEYqdLOQ+Dkm4/7mplLFoS/tsKAMNCU8ugyLj 8LPJcvdXOXNKFSoG4Z4hWIxsTcyKW9kGxDOh2hd9dyrTs9fjykCCO0JbuU4915S5OoPeavQcc+ig I5eVCjqrrBDqDxqnntwwFr+MsjHkAODQkaWIjp5jO0XdJWYPIy9mUmhM4RyFYEVUW9gV0xAvIcCA c9a57wQI8gC/QTttt9un5LGfJMYUJifmi/i/ZPPOg1pmRdgCiOjq08ROWhJY2clqHPvNCPY9Mx3U BgWkEsArbsj/7n54pt8F8b9To3WqVs5VR1mMrUcUss+j6FqQmplkWigeyWkAR9pxIre3Zxn3Z7cr DxroQlR+itqSOgxp3XB1K/BOb+Ty3REwiz0VFx7v3UefOGJmp8xrnfOZnjN29kgfrnNWEcyodN5R UOjwP2FkNIhHPKkRbiWANnuf56ud7Ru4xdZbH2ppW7JAzppPmPs0RQUVq/MtlDoR7nIXqvp57Lm7 BjeJHjDExDZ2nL0hT2tScX/jpnDhOmDJPstwRzimeDCBl+T5Qnk6mHWDz2Qc9JlRlLspOGSu9hWn 4h/G4/Zb+BJdqLlxWd1pFjdCfuQVfbvAKYsavEO+PYEk0CuDHDUz6E64jY1CiAcJ4vuZX9p0Rxzi cf77018S7Xrg+gqMY40fR499W3dIZnREujKCLHZwZax3k9cdHfZ3rYMMEvdjUDGGH6TRHxcjHnyw VPkhgi+8gNInu/ripBdxkWgt1CQMpuAN9ogWrB9iZFYine0PFHxhBDQROGgg6GmUw7zrXOl1kWg2 lRVS57YV9xKKoUniUKTJzvlYFzMiy7SSRrTc+/CwCkfisQtgGf5HNYXs8PbxkQz3AOXtNvf1TxMQ pULwBLhjQj1rVpbbpt9PQVIVl/VvRwIJ2aV9xhu+KotgDQkML/VuRZpoG3hY8EIbdUDykDmRvL8Y hrP9Usw8QOpisJgLQBHLMICc2xIedNLhD6w3DD+7aEcCvuo9434KUeD9CkfoMIqJAy1ICoykW0px Ugw2Eb51Hw4mk271kxyRj4yZxVUOI9vpfB9ufDTU6ul4CufAZL2rcgoKjDzHeRHU2sJJW4dQbSHg SOIiaDettPLVGACC8wpJZlfgMqzzrT4/vqxCHjcIVKF5qtP7IsO5dWctHEDGIe/M0VFi0+WngK3+ x/qkJ/P4G/t3p3nRXHzE87es514P4vJ7Up35jMcvdOGup9BbyBrKanQtxOocMB4+JsPxAT+6hWh3 222PWCHkHN/pCmSeVDUOMx296U+xhabzEPBtWn4YiPmBpILusEcbq4CRLqnsS7f4dTh3ZwyqG9gu I/u37P9sR4lzU7HTFUmEwEQvkywSFG4GUMuUUrHZrltTOv+jCc4EPs86uTHB0MqWgQuGXsf1VWJe YRqlBwB4SFVoDcw5lt46Mwyv9wk+/mknQ/l7w84UBCQr56gk8B2kPuVoCt9QrxmpsSE1xUEBdgr9 CoDh2C4XIRoQvIl9s1jYnv9cG9gYAmsPxKrvoS8TnNnkz4uy3CSX8kNiYqSeFOEHQUeQbKwbVQYQ zOFc8HrxlL40Srpckp6EsGi8IruhehvHmei/f4+AA+tqmZjbjJRoh6aEKkxTeLq+kFlAfLZpQbvE hh3Z1wPj1+oHh3frP7TuK6dKEyYWxD9NTTS+T7iWmkvTiIBXF0dQFoOYw+Mncso94XpA8wkbK1HR x6HCqGp885q2QO7G56hm0l08CwmSHruGoeoLSRHdKzuQxMWaeLE6vj4IxQQwMFTX216xtAv5MWVL hBkHZJKfmsd+LzZ3cGUP9IVFWbJskZ1LVnFpqECUWUpItDLVgs2h/9QtqKONvBD+Ekn7ZuWop4g5 CLuoA+R1zmy6YnJb7ot1dDUzKyIhkEidoL18TNGzO21h6qFKu/Y0v4PEKwwFvg7U1krQPk/aJizW Ozd+KK/2auVOXh3wIM1WEAunwHPF7IIuapW1XyA3UFSATvOodM2Ab4UcM8fyjE7doUdSniEBTS7C NTyvkGyJWrf/cDFdXkDGsKmd1BQ7roNm77/Rw0SYSDr6jH/15JFLcEBMNvP6kABqXvd6OTNjE9sz YgYFOQBKdX9DZaruL2Zrykl4RXg7qMe8A7JUNtQi6kkcjHY79vqEcdA8NSyh8c+xo48dbIPnwCUN 6evikJZwCbRjdXhMHzGRLuav9IP5K36zT4Vy/8xZlCIgyREr5uo+gngBFAEgWNMKZeQUdaCkoqj7 NUNYCHnKhk6VVEGr+spQZBOeu1ngsMOhj+3HoR0qSih9IWKNVdd2S5WfU7FD2U/wdrKHOVrUGZTW Y4g1BGbMsMPjPfUezxDTaWyqFe0rmMfmrkWYBPP705t/vAj9fx8iBFLxRO+3k+FLls0WWfGHPjvg 6gdSqltSN5MVGDFhxYvi2pDhgWuh9VxfeUvvjaU+fCyYO1VUayrSxN/rAU2dUyaeKvOgfY3pwieo HA1ro0RqstvDMzT5AhQPkIFD41TSJPgUy2kreS3l/pvcx46bL4sBVDNofci5Ox/wgqyEWk1DnCA3 S7EkoxnaC29cluyeOxRogdwxVOkI3dYOaQWTlHtWbM2uJSPUoj6PcsO2oA5h5N1deQxKzelQGdju FLEmBuA37Epc1LnAh5NNo2eJqwt/SW0FDfUXhHQOV32rhZpglsIAlYfdHRbamesCj944P+di07+k oxTIuyjZn7tDRCgb+tY0PSI7xhJQEPoqHD5nlTx4KMMLD7TajRG/A2s3AK4ygJ4grUAj3r8X3lYb i1G1dEK74hcWKH5G+vAeS2piLElp+pSwQtDZd8UuUlocbG9Im6lGsBnK5ppAt/re47GA15D8Gnua txzNK7M5KveKpk2eZhrq6xxh0W1sJia2D2NKCZepqqterD7avgKjzO7jJuVi9UkesC2WFhN6kEl9 wizkeXjXAYdoXjm6nCnFV3AMFQFyoE7auFzGTAsLacqLuHIZuamM/7hjjV5JTv1JEG5Q0vJJYJGa oI3VU/na8JTzUL915BGOA+DARrti8/txRxquyeH7pnQsNFTK41JlQsOuPXaOTg+UQvn5MOrKFY46 od/J0fTEUsT+8fr6i7o4IeKx9aNSQeZFRO+rGUomUAG8NLFh4PxM8hs2vjamZG3jkMRDJQSj6Pr7 gSOKUThEGMJ1+VTV6cBpUq45ChFYI/3n2qK+mmvnv7l6pDEdEk8oVrn/P+1ljBZFH/JOTBEv+0JR UkOF3LzhtQjiIJg32aqZ5azvyQbnTs5VTDJVzoqDqRF35X8jBkmEd30fbDe9MPuio1KcL9sksgbY l09iMYyy/VQAfsxMJG5BWVwYT4wQWLe2gyCThU7mX4HxtyVotxUXYdKtnNuvjpO+ioCW7Is4+et1 NH96Tlm05CYmKzc6Xe1ifxJEoVKDFxulFwROspXTCgqG9i8TqrsKnAzu7OX/YM8TRlDZR9LHlX5d dusLihJd6cq2fweAbjRPrViHFQEGwbPi4LKJ65Tj8/pcdIQI1N1SKty6FLIERBGjNzz2YbLZS2xl /KjJ2Jc7GoeCtwje0EsDcFHeCFdowtXqzfIq1p8W/yTL7ag1MS6e3IiS63YvnoZckGKFnpgYsBz6 DjS7mdshGG8hJDjVDM9pFjVR9hHfJ1Sl2lVQVb+vwqRforKMTs9U762pWs40RrmQ+WqSTWoXV8Dj 6BYV5aHhVLASSRcVJkRFeaFqBM+xoUiJq0eL0/3UlHZFxkeftRGETflf0MAtGsZq6e3z5mg1UwcD VovSkwMVKjSPYmumuPGhV6EbliD+SV7oCs8JXT4bAgHJ0hfU0eGwwGX4sJ7fl4iO8pc0gaiAMNgY AOdtQ1OJi3o0r73t1t5nyeZGPbqJSk1TfHm53XH0Gxym4II6FOZomwThuwyfD5XqT/zqQOFlDXAV kWmpdwAcnNnq8dcbk4DBOMK7w+eermXURmTAmcwFOUckW8/OPu9vJwGO17EYrwEzHrLla3Vg0ssF A4hQK67qdSZbEw0gYhiSc04yLldQzQlThroTwivJKQmwSEVRDe4OnupxwR8XdCO+bkPNe9mJ8Uru 4Zt6rwpkghTbN5xi8wGAM/qot9xOlzyHNn4e//N2RBalbm0SfkIIc+tRTCI08X8OvCOnErXCu6L4 MziFIQCasM9/Y6N1TwStaA85g5ePpV0ieTaaYuelCRnyOCkDC52XhhamM+49GvB7VeqU/gRloiRQ /G6NGb5p8eEjgFjlY8Yz7yl/Z70WR3K2XwZJP6XCSXvWpuM52JvKyzaz4pKM+/k3Rrt1CbKSSVpO kMudXMkCLHe6QDDQxq0FfwjkCUvxthQWNkQbpfRlXr00X3fH1/SfX+s7PrNuAURHFBstuidcTplV 0gpJ22amdDuuy7QxN5GlVeoNH54+fRnCXfWisP7gkThoDDeDWF9kk9T+eYYBtc7jWJQHJ0MGT6qn xk3zZ4ISU9VqWg+rcAZGojGP1ViOhqCmyiuJPYGLclmyANZ4j+SCqHul11HUaNb/0nOKX4Ajftr8 K+04QiQgpfFZ/FUhaEmVHnLxyhJ3ilFqMah32SkwziF7WxAHAmq+nLsqXlHcARez17hnc3XeV9nv LESqcnWoBW5pxoWMmsc6VAhxbA4G1H0LQB4PBTrjRFavegJ9fgudsXb6vErmY1g9a9GqcK8Szs+/ yWnE/lNAAMi3CG8se7rZT7/WQqd8lWbnVHqxQJEiiglMYMGBEugr+wfHg1wNBHzqeq8I1c8dQ5Eg PmMdxPOE8kQjmoHC7vk3QXEPacRVkNqy0Asd2F5/EAcgXCrN98tNyxG51dLYf2mFFosJx3iysGuS crkEKdmMWHEaJl1luPLw65mUo4XTmZvx9S6E2FbOcmiXy8Cc+0e1WrAMck3OhAEysLxrRob6h1FP bidlpNp/76Dx3ipIrL/vYCdQUHXmia3SH+16se6RtgJN44+EWDi+OLwNjfqQRz7XtQ5frUF83Oke vGhY0HAJ7LzajRXyGyI3P2x+vSAiss2Iiw4XhEdO4ZfWM0HRUlAyX40DTbldu8j5E8Ab0rLwausD KkjM1QvUq5BOlPNSmT0Ybmi+JMOOSkfonsNLUiBYJgJ3ubkU5LkaPBelI+g08SxmOjRhapbd0nem ZRtgd7+5tfHo2AmHzngrN3PmAqdMXBoB35dZ5R3691syVGTdPWVPprquCAHXgme/vf2JWpAteVAm PUpZF935raq3hvrz+bW92XibM+EXlPkMqvGFZjCzLWYjTZvHhpi72bdrJYa4y2sIA8SIXqWBMgqf senaLRxVq/o2xEU88FVgpWeR57xskm518fTS8D7q1XJIxS1HeaG9UWBLu4d1E9GC/b0fhGNYaj5T OkEQAHtSFOTLGvayD4alxsXPUp0Jfclf3iEdSycwmDH9YhLp3K1VuI6h4zfrngCRHRbeUJGpFK0n gj5kAqWwyIJBF90Ap3GKrRTGXZA/DHHve76cbH+Rf28THdFGNHkw//S7u0pYEltTcGVEkflQn2ef repHTKnF3mecjexieY7pH2IFetkX3IR0jf7TYeI6QM3HQw1aj+hGXvjTJMHGoXKhZtedhyokx9/v OIbjhVIatars/2fEpBwbbGeqFTc2pgcGA3kjZGV+a7TUPJUlkXXIieukdZVrlaIyFqb2oJ5ZCtt8 rgxYRyNOvamL01xzRs3OsvkXKVQap3aMXLtOpcZjtJuKPBWk1fkFgU8NBQ9E0FzVrFvbbfLcQbYz BQeRBSR5zQeKPuWWs5fBu0z3Ib1JOFEg `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/dmem.vhd
5
12333
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JBGcQy2j1G1MgfMojkzBno1qTN0v9S3M9iWkK7KGNBnnOk+bFsnU/xy7X+TZF7bYaewiAscUKC8p Uy9THyao0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RYXQ/3NBYzjw1mRA/nI/MDMgox15TgJVSKt5K0AwQjFaOJZ610sx3QhFiOGRC/S6jheItfU/7HwI A8Laohq5PdLQeBm82lZZ41APVpmsW5DpYy+slPxXaGekBd0VK7DjdP4TzDP0shmDZDioXCXiGbX3 TLhPwo9VVbTlQnGoLYg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VsrzcQLVu3a5i+2YFwmbNR+9sr6j/26fl7FHVb27gQMQoyf7e681BU2Bdffqo+l6eKlBDITHznEQ ZdxyFqvl9ZSMwDbLxQyN15/wbmVXh7FrltXpgHWn9hxLFFwF/aw1DCk3qAJAABMSIZPcB5YoEcyL iOzVyXAA0To5PhAEj+f35mWI1G0AoLutLJ3uwR5UZqNRnDcwnpkbSmwrGPmlgVbUCaTlBpJtuK01 mA4FrBsub3wScHUyAGou8zAB/OPWwCZlrR20c9vdN8pKP3k56G/QhHUEgx4EhCjm75VgFAv4hBmh dzTVS6RTI1Y0c9hY4MbMJtHZcd4AgefuMZVGiQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eU+kHENLD0InHp2zQEiVQesaxqCh8y1ZJ0mW5aBJb6vZedMNuKr0K1EcfH/tOmuHC208+bCgcEBo XQrUEY8GRkuYdHjyXb/xjHRLsMuzfXmRln5a6rnpb3LXkpKBCYUSfGiQ+lirXbtT+096nyhuIJUa suoxpDAZvYgnOwtdFvA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fJWfokGEuIX4wu2EJ//Pmg9MBw/SKlenwLPoaOzVxaMeT7zzClm1LjBuom6X3m8zZCKj/gsQFNzm 5dxj882RlB1pdfgaCwFItwXHM8TCcNNRUF/2nLm7jZtnlzZdEirVQbDaojNGzBlibsW75LUatPhT i+U9hx+p7v+1H3pLclndFeO2VU+HWFpq8t7C0MHi15CvXyHr2ti0SBBNlHeoCgFYLxuiZMcJwZhk bqR3V9HHQdhibWriC/1bta8M5IZ38SLE7ZOWRHF8XZ6woovx9Y49JpvslPykCiEDgrBut2UQ1w/G 1C3dr3dsq1PXpjUoibQJqGmKFF00CIMNwEPmHA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7392) `protect data_block JvYFg04dzOcdRr50ZC30bz2R3rkqaFdOlRN/miz4zlwwzxUTX/x6v3PQA09NGVP/L1Oi33laZ9u7 yxSNMU6UUhh1QqYZM26c3Hf6/iv9aivgFeAkA4aRgwO5ertRKz+R4/Oyb7Vgt5tPuaBWHpvcVz4z uSScYG/vBPsJp7gXXoHaTfuGCf5p6p9UAYlFHbJFuilxJxu5Umvgod+XH9cYRyWBV7wC/P8vh4Ca HBSZUoDxvLC/VpWhhtqMpbS5mJaF06WS0EkxL1nQUcw6qGAVt9Fe6/YDwFBW0ZmehdNhJ9ZrLJ0g DjgLCXrBQ1QikhCBhRUtK4SI29oCVMdOf+VHlW2cclZVL304M650LW6f/fVF1ffX9/j98ZtuG3s6 Yy87r/VBVbaeOikuAJ2j2WzgMBnDB1zHsEeFgJ79+5/kEVpDL0bCvrmvlCGS+VvxhYzjTkdqeSFF nlJP1Wszy3W1lafnrvbeTyPtCiDmpfBooPsAxgu3k+Kogm02scAXnGYz+dWo8AAfN8l5IHjS3Nwd wwWqvSXQejowTsyg8QhXUJG1V7kY0bINj4owBabYVKqEm3xXkGIeJd6eXQSIT2WredRLf4NHeQvZ 1zwh/6MowoWj8ksZG1ardlOpt25dv3Oiy2bxGPRZiiLjLIxrvRBs/6yxzxKW0Gp4ZMbA26ittI9P ZD3ZYptRNF3oDwtNYFo1Q0p7FVBv62VG4v+DEQhe3oGg7WsUc2FHNlX05V5F9rVR0Z74+aAgX71/ loYSPW3N64aDPQ73h8fC1IHlufgDR1hyXHN3DQKWdaIXwGed0BYT9aNDUA2U8uqFg6NioxC8/Gdd JAUEjhXsVGGjXtA4urlDWaew5DTkMCtNcLCM32C4q4UIfcKh+Rgs2sERfeZlghlMcTRSkRgkifnA mm1E0509erJ8xNEG90uYq+ASeYpWEZeKKQpgyE9UAhy0oEMY9yIDRhZSaoasw0IwByaXz1HM6n/c /fOCK/x8NVlZnHuRQ9HejzO+VsBe82csnlUDmwYfhmsnA4+ElFVRe90K/cgn8CVmGNVBDv3YsHV2 miQKFMEZeG9yN9iN7+TFnr6X8vf7HlQ4Adzc/Htno6NzJtLkmjLwxQNAOJpZAbIyjxU7fqq9rfVR c8+k6oY7nMItHJuIaLWNiHsp7lvWGHdTCl0ftKOy9IpDgF56i7zWui35p3pZFpseaxvTUl7n0aTJ StjGhEeS8Kk2y6kIkHJaeQxmIAPgxzfxa9cyfoAPxao29PVnEwncFYYBIFjEUrFWLiIh9gq8lGGb /zlc8pkyzZFxJMRkvg4e3+mYWwfWoXfGF0/0Tb0CacoLrD8F4T12CVvtsFjzDIC9AW9/G6xfE4A/ S09JBq0TTp0wdQyEq4qDnSEHBi0X251Fb7M7mJuKNHW3SDpbZcLtfi40PIzXWs18I9Id9tXbn9ts 5CwpAofEr7QgxFOjUc+2Yr6WsK16zQL6eSmIEiPVCP2Q3OrDSa5I2/25g8QX8vrraNayN7S93t9/ Q2aPKAo+ZHiPDUPlP/X8xIjszPR5X5C6fYtcPdYzVyw28BcZ5YkFuf6lTJFZTm5gKA9Owlm+tqsk c8Htx+7ZYAnnjPy2lyC/V5GRFT23n9DA5SnDgl6o5pdz73xhIYY6sF+bipdNFWRWLPh9dPCZaNX3 zm8WRSR1OFRJ2mahfipxmDdXpECbGhahu68X0Zzad6KigmVbfa6pyCW8UGthllmjSMYAKAgBu0Ga bz2Xz413SyILsmzwVocxdAM7n82ExXuS9CKOvRjS6ywXPc4wiIrF/qk6XSqAv6Q54pIqX4f3c8Rc AeDErhE+vI9Y5C2HPhUIiW5QaO/DPe3U0+2t5HKTvCtzElRqBr6WtgpanA11QQ3Qm6bJ17+IqtSU YmuTa/W3GsG7RZcZveF6vwp1IE8L4j+8mlHJpu5W7PEb4d3I1bcB3GtnySA50YJbL59Tmo8sbfRz 15fLjjU4x/8bprdnSiV3HPXeLmaYU+5+TgciVdWSgel8PrIkbzA/1QyMLTYugu+BQcAg3m5WSXf2 gB94u6PD414/npB5Y6TtLwdQMNftVZQCth+xlhoYCeDh2DK+YXxlxSYzKWH4sTmKhtqxMDjJgjZm HclOSmBJs25pT+Y6I/QWm4Yz99iqGZKOccNlJknXBZX+GriCrWKTVDeJUTMHNmACFpt0WWHlh2Jj rrIZklfNTS8uiezS7rL4EEiVGj6bpSixAomsPQ0e9jwu+ugHi2ZH4RjCehUul2w6bK2H86LP/E9A kXEhCilUwAkwKXSLdiZdpA4uTez7hqGGs8kUX7eevfQrWUc/ZmeFzAspRbeTToxoow1Xc5TBYcXR Iom1XhpLG3k7EcFgLIsASJQLiv/5eSPR8FKrLCgdGRLUYL4Dshs7zkUYnKcXfFftohMFDx7spqOz PUBzvArSS2sNehMQyx2DAv+Dxz7axwrtjCsQu4OZpxmdwCqfxTd1zoKLvLB74vHekiZwDEJ07CBP P0AOJjRsNvj/Wgq6gCUK9y9dj59t1U3UQa6jnXf540a34AFcOGww32+Dc4ek7EVgOwWC7coz/p80 JXlDj3YCw24qL/YADzF8lpEtrfsfQgCJYN1NYe9EW6gtBMIKPsy6ho7TwrL4R8y5g5qNXoDqJbBB O717GX1RZ6/x62ZtF3amPaY/YkVi+cUPAJMBT6jxf2QhEv3P+V0MPsoW2NC0V3wIeK5aZLtnTHFS 2/SnhG+LH10dDr21henpciKYsNw35+YHYa8iNUUSN8uh9/9mdMhJYiFSyOGiJn/2DqjuMEXXCRG6 8SMauniy07alSUti+Z2ZsHZZGBR8KXDmJluIKRdp48nnFYw6RAo8dEwAYS+/S1+LTFJYnstv2o/P VnPPWV7v/IG0UhcrNCElVz+iF8P4d+3J1BDr1abRp51N08eMuHMN6LwuKE4d0WCo0/LD4oaIVI0J Z8BYPeMbI1nhgp5DfGnkbZLfF81jC0phF3Q1izsfDjVJ4UK8+GWKo5OOH2wN2WoLJ1x/oOesVtK6 UFuJkM86KNBngu921tYSVgXzAroP/kOrEO8XNtCTAhdD0JCAzy5tra/SIltYklDwfIJHf9+4dGWH 4szHBLOXx10JHMm4oFYaHd8rcLjmudWfTyBuaE/6grpRixZy1E/rqDkfhE4QcZcBtzajks/aOC9m TA4M99ztzwbjIJUPttUjSIs5FIEeazwuAMReXzwVIQRJSyKY72P4ye74mMCaIGQ5cJBb5EBLfJ+Z XcIWThEhc97iY+xkc3nhhsHyKt3MQFVF+C6WUO903hIkpKbxhV7ZXJWlsMOrWmViqmadVaN/ras2 lDn6h1sNwV99BqvZfqp6NgFBCL2gUODw3iC4rygY5VaU5QwZELXJ+dYZFl2WPGLIFdtW/wWFzG4K u2D8Pre5yEWPVSDcxqiaaSrbfepA0HRiSjrS0N/8JCykhoZKrQc4hwuB/1Qogga7ZDsQVkgghmyh yVUGAsJ2vgb0RmmgfsNYSIhsFWIDEKZBjlN2iGLFTuyfZeIC3BHoqYZU9PdE8NTzPfbbQ1OEOZFS WsiK6BwceW4WMwKyXqZg7Nr1HB9/4KYJFqcO7izZDVVJ9FKfSpNBWL5v+SFcYrDMDwZ+GRHRbHzI Hp6B1254KLp/EZUEptcFbt53K9TsqF5VuBiAoIqXgclkJjXR/m1hdGWLdlhXmDVtPq8WLP9Io3w7 bAqu4dyVwJHlyt81dMF3t5IgdWpyaNKhyA0eyG1nwpvt7EhngPPi4Q5vfKb8Bu7vNQbPNgvscZjw GXbF2Wy+TV8i8vnPGu6MtLYNYsvtruljGZqQZ8WYaM0beD21J7WIfjmWwydH8TwBN+o00CPX1zbP stBIsikq5HMXVwirAJmOWZSisMZKBooakrNWoZtFRmJT35OwGn2rrYRv4w+lctealwKRqdpcVbOd ofbO7Qt5X9TKPQxithFjgFiN4l/M/M3S40E15udSHCHBw2i3rlJDETQx59kooLGh78WYcuWgUSWG tWOWL0d2r3UrCJLbGKspNoFFKrBRkJInMtXkAkw9Q7YjaKyr6WRq9iAZaTX98LlDaOg6dgZiJHAd pJzvxXk8aBxJDZNM/+6JO08VebsVa+jF7PUWa+ak1gMSYC/kkGHb2FUSG/NfJuw6+RcxbradPZgj FwprHk5eW/+XFbnQx+SPCfH7mkh62N5niazLaHSY7jKZW9ecfCQKRwT4hHOKQIBBGFlTfrQ7ncA4 NR3z7in/7t5ZlLYo11kDNbD+jnay8k2IpkHpBJ4C0SVlw4h5eg11TguZ8Rsm3bDQFmHThLucHcIH 7b/wv33v583IT/ctcFgR+svvSvo91V0OCdsMLwVavTElcb7M3a63VVuHQJZERgw9l5Zwy5a/LK4C OQIvLXP5yxFqZg//E+Azho1bZXWog1I86I8Obhcprqb6nabi8vMBzqbsNzsH1iEp908+86j/zSPV Nzdz0EjgxIJl0nCyZJsyTsfcPw64ukBJhT6AZQ/TEfVhSsAN2kqZba3v67EJm8KDOI87CO62jfKH /sToDVi6WyeOi2oa3f2WtdOpRFb/PF44m+dMN+CfqlPm5Rgfz5EJkeVcnsTcMaAVis2rUHm8fRMV 2PDYIzU2IyTGXjLXK4lqPBwFZqxApqGty1zJBMIs4OWrXdzfO+U0peJNR4vQwNRjZTzWY2HYc2g/ pKy3TIoh81EVJUunEG7cxBKQFpqG8mmWjK8fJJ+wozN05Ke/65YD4R09sDn7dluNhvfuMGUH6ryW 3QVwFkfYMaZ5awVD3Ci0oOEabzqhaICEgry9Q8RWHS3KabJ1mXAL71/6ldi++EVjf6Be8DFkJtoQ xIe7QwrEPWCwmuyCXdw1OZhSeL6Ib/tZomThbhXwfDcG69qRHC4kGgwOciXHA3cL4ktSg5Dhptnm 0x3M1StuXlRVxip2QPkXaewxgOGP/g+Ff3csgztbTaMW/W0sBhKFJryvB4pmhWsJdEH9G1J0yzik 2KhMH/t53eiUPcIgKh6GHXcbQ8/tPhiE2nR2CVnfV9TY511wijYxmHJhKTMtFfzGPlQr1/JeHqmU Upua6a1P6pz6o95QCgE2Rr4mTW6h3LXn0mavNwF8bXKUcz73bB6UGFHXPXwaPktRQwypJjHc6Gt0 0eXYiB7DaYb0Ah2PyydOqHW0iyolTcsTegmEyd0LuSj866+bO4+sZwOsK5pS8oNS/pItyc5xPKmV 17u1bm4Lz4SZibEyNmcOwj+7NWuLnhKl8+HN5bCtrxG3OAJ7x7v4XIJ32+2FtwPehM92JWjrXb5m Qxbnfl8KuoUVZZbLOOm2SzsFoz8gtCWZoR+dbF0oFhQMlXYkyL+tH2v2jx7tNUpPqb5VzMITmXuH Mm6nDTB8FkUOkDGezGne1Akg8TgewgxMfNvp636qcR7Ot3NmzCMLcNL4SyaRgCLel6KTdaoqTPBS BuztvHBeYFt1A+NxeNT6bVJSs3OoFgVFXVdSptudKVWDp3mPh+9yaSvhhxv/jJ7Pv2StkXJwvmIy 3d/Yx5DLo518EOGQsg3ySFoXQvmpUB63Zp2uLnmEXZIn0kHW7H7jrJG871x1z56NirDct9TJg08b abxGK2W2YV9VDb0XF/G0Iuwui9JrdaIpUb0Z//nbATWCWfA3PjIj4MHBtjPCms3jXJL2e3k+XOSU HhD89KqYIxSdoUAxH8NhVF0Jc1JSmnbr/Bh23vp2Et4Q+xkss6mTMGj8N5ppt9z94gCPTLYfwPR3 8IcU/JIyRW/3Go4j9pCWW3S35AME4yPfnBdX4wDrDiMxtX/Ge9Yot+DJffSyV5+6b81B/AWQ2CDL AMMOdv/WsO5jhBUue/iFRbxGIrTopftuPwqv8kRJLGGZkPl6SFJ/Wi/C2wzh3v4tVj+hU2rLtKsW +7QjeYJiWz/VIZqaohLBg/7eQfUyDvBHGT8Q9a7ub1iGGZrK4sablviSopezRkcqBfF9/GIs+GCe 4a93TKqt3GoKsfV8hUTxxfYyZ8xN5DV92OKkgp9++J3t5sp7W9LTqejP4hbocsy+jrhBwb80+17q GOkCpYgXG9k8+9xB6UYdlitMXrQCjPipkvcZ7g+TpkoN4WsE5vlN6a3YADZSuFDGH/ZnDySiYQdw mAIR92fjmwuDT3fSx5poKC2XCFEv3gy9EprhtJ9WyDuUYOVN5IEmmJH0QYTs6cA3GjfDsFCBr3C2 Me+8+5h4M+7+9p2/zShSatMKRXgGzqxI8lcefQNm4K9S9ai8GokbnSp9dMR+T62FEXTanDTC5Rzs +Lnhqy83S+WwbzBd7V+rvSDNUBO45fA+O/WBZ+F+nSWgGiHRAcBCuXRldwFM76GJwly6ueoWUSCh P6iBcoum/dQ7YV8mKTrk4YOOSl6EbCZ9zfUMrDdcfp54fDkfso7mc4Ipwm/Wstd/MccCoUGIlmU0 lA6WBawgPJ4Hp4CsWS0mnYtHP9On9PTmAFRF/tK5JmLNQSegiuY0Dt2nU+CbRhAzvzodyvQI8z6w 8CsLWTOiYdNqIFAuF/QgFjBGiXPF2ABYrSJfZ5pV0kRCSvRoop3wPCfEWrSc5PVBkWR0j4Wl93kH QsGcMDY6tKdJsn3Fbj3hnFbcHfvzEvv8H4ySRfcL//YLKI9lwI2hp1AQIKWO1y144KnWWlm2SOIW w4j3wwfjr72pshDlxk83T1NWzJUKPcwEqsVb79WhNE/p98uuD7+N+ycvb6/7h+fE1Xs83VKgqAQG 0V1QFDFXv0HRHLyrQbu/jukgJiGSTEHIHDeNCvaEQKwudWhtALMXvsjWLj0xQlgTxVYZyyBNx+2x uI2O6PBC2QMRtyierV93mjTMOmrjuaANmdZwevuoZ8t1LGjbpUkCq1V6KXyHWBVO2cSPbMPnfJOQ MP2qOCdEejfJ/MsZCaineSaO5v0S71v8tBXCPn6wsuSAtAHGnXPQyN81X4Z5VAlfiCBoOGYptbdc wgvxfBeOaJ7VkcbySmN0NlVp2iTWWEzMXQ1yDkuoKd87mmPr8NnyAOxPg0dEMnzX1hfQIEHrP51p AIa20JZjXkZhumSlxWmbbdQCmS9oB1fHXF8s8VWoGDZXc/AC6ymSbrXIK0Enx+cLvcke1URNmy2R CGgl3th5CAO1UZ7h7Y4+YCIHQLMXAO2khb7s52F2EVIrW1M3POaOVF/ursIOv4ggjzMmra9UUlId tyVmUESA/lthix2IvjIMnlaGEQ/0goIVtFOCBjVLb7LD/mVrCnpDfJiftVvtET+7LPwK92HnT+JN BLTCdBTZy39PTK7dTEsaQmTfD1EG/RB2AJQPrWMOUBolkEuBE5sx+6OJAeuL5a6UK3SbJqABHWKn IT0HUv4mk/pT+1EyYznspc2Yj1H9EHNYAmt1eDXwCwwYzOc/u7y8iKWXpevykfx1/QB1geK0E/+S bCnBVcJQbMcj+w8hta0Xzp9FwsX6bPOjQTVOzAex8nTo+rJcB1cqMiOv8qNZW2HEtGzgihl6Luhf 1wF/02VDCsIBOZ2Yl6Cz0sqi2k/F5dFEC5FB12yOFwSOjWUj8pSHLg20YmhxbsO/HVZ+dgSgblyf 1JfaNrVWhiG70tfSZwgAj6+aosyz5c5mIWt8xYNi/+lgwG8QMwQC+N1vPIRepM7WJSQIhjVc5S4O VrMcnRHEfrpBjab5uHhrG2rH+buMZFlV9nWoqWSeNODvTG26gZHUNWQSXlV7LM1vtgNtyEB4So60 TRMer5AfsEsOZnUsDtBuL2Ae2kl6QAqnde366uGeTF5Pb3jRrvUjHuOCTN6Wv5LMmgwgzPK7XNHV EaRwntMwxy2DpsTPSHoDuW9BxR7pZvp9E7SQbUg2JCCaWd/CflulOLT9FsRUZmxfKVl3YhsaGv1C GeT/S/GxLeNFm/R23Lf9wTydj5vyeKkcyh60uWR5HLU1kb3h08IIDx6bYYCK5zmKufZVUVS+2TAE 07/vpsMpRDZgepKWa0K6B4duzvQI6Ft4eNtKpre+zzOkKaTH2xBuBrHSTNVY9tbbMymurpz8nheY UPELybYJV23Ok7vK1f2+5liMe0bwHBAjU3qbUDwN2HoO8vMY8sIosyYmWtJjhk8FP0RUpS3w2Lx0 gFmXIbOIDfECN9I62nB6YYCTmYushOh5uACCkYAxRe8TLZ29SuyqYYs8YPG1rMdTIYRox0O5+r3L iG9Qrl1I/H9cNqKrVTIu8jB1pCVjlwWPSlwvV2P3O4Q1vADN0nhEFzGXAklBKjYbdWiEp46NKfDZ MUFpR7JRK5nqtLUjZyQsjIjrs9lmNypUo27tBG6a0H8j6jVUroLTtfLelQbwZ1QLWQwuzFmghN2h 1QsgX1G1nwGHYQn0CqNko1pM7H4XkTI8Jv3wj+hrlgWstRHm1+AhUMnR3RcwwU9qnYaynAY4BCF5 KzWNSxcv/tELsNf2iyHlcsXoajB48d7R+OdmpZlazribFg/24giBe2OWvB0GJ0dKaliZYvHdV4OA S9Rv+DqUU4AakPbniPPdP+aSO6/SAF1jr7B++s/kjMv1czdMRDlR9egqKkWIbqJ3kbRel0HyEZ7q I1deIEsDMLUJxJFoeWjIKT+0yjQWfzKMhuGDiRbo4wM2B8BLxW9Oa3ZgW6ol6+T+WHpdbUNnjYab /ZDGyFCC6pH/Xe5ZCXwDBPSIToGW0l0TKHhUabuxfjPWtilPTkMhUXuteuFYCZD9q54NyuTs5z++ RMC4ZdE5/AKEFYExbXqYKQpFfCfgfMDzeaA9x/SttBgXtSl9iFGrRDjlvbAo0tD1H19lHdzg5kbm iNnF3G5QAq6PoYbZZOPSgWpL5UQuQA2FxsoNizyZNIs5ex0qA7rSgHZNSTQ09ySi1ksqKHNMgPqu rC+jlCP5lLFTC2j3uGTHvt7Rx8hqJBcuIHO8kM0lW5/j/gPZ4KE6LpjrAC9Fzi1RJgStXHo2NXZ5 b4GxTYStwtXzA1Ol9cxyfohGls36H0xkGiZhvXkzSKByuHwZdfcmV3W7B79ABx4a8l2llvVqxkFQ dtGCros43TIUyPeiZVpQh7Zbdn7NsKnX741PZ6Flsc42jOqK9EIVhBG6aM4P4TbuSg9loEossk+k AVdriAypnqzePdL4aoq/QhZ+jAEK0cnnCjLTHenTYlc9B98al/wQ+p6inG+CwrKTxhXzU2z+Suze FJ2PNZubF4YFgRuV6exTbGSWHBxhRMX0RYnCchI49bokqjkZpNrWYSzZQT8PgemGwsNHGaXdJ7kB C1exnvHBHgX0kgg6H8pccsPyld6bFa3Yn1JyqQq93ZYmqFus8v4p9eB3Srk3pWwCUn80E6E2k5lU UyXD+SknU5LGNsKJ/+UAtSl8L84VjlrHxS+SJjAB4kvnGjAsY8yMtP646Wd4BJ1zKS2vuOD5wdc0 dbE41IkGz5UJpWVWJ6BRYplnoE/MV4ZzV+9GqbOUi7Rpt9cXQ4FEkPUcKwG8s0U+XA7ia/F9YAA2 PO6MsGDb02s6Xem1giSwtYHBgJVCS28WaXKluC/PcM04TDqJcfn8hbKjeLhcJUh799iQVlfYY3Sw l/D96kdqL3fyOR9k7UC4j/7pjCm9AfhD+G1XEjQDu/0C1dC+gpzdYTHz1tnd4Dm595FdrP/rqR+c 3L0KC9kfYgF6nZuGQQ+gGKCjegK0oWGsc7R76LyyLMRj/+8W79k9pVbRl7Mg1HylC7uA2hNRfqQt C/iMRignhGTRTOBg0AXBFFJxOwgRM+4cZy43fauZpGMMbucNLumLouL3WK/d0Aef4sLYiiH3FvXf INlWJGTUXgX6OasTy4vHiXvxMQvUuBmPo8gsXCqviCzkVh0gPnzq `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_uartlite_v2_0/6e58ba99/hdl/src/vhdl/axi_uartlite.vhd
1
17784
------------------------------------------------------------------------------- -- axi_uartlite - entity/architecture pair ------------------------------------------------------------------------------- -- -- ******************************************************************* -- -- ** (c) Copyright [2007] - [2011] Xilinx, Inc. All rights reserved.* -- -- ** * -- -- ** This file contains confidential and proprietary information * -- -- ** of Xilinx, Inc. and is protected under U.S. and * -- -- ** international copyright and other intellectual property * -- -- ** laws. * -- -- ** * -- -- ** DISCLAIMER * -- -- ** This disclaimer is not a license and does not grant any * -- -- ** rights to the materials distributed herewith. Except as * -- -- ** otherwise provided in a valid license issued to you by * -- -- ** Xilinx, and to the maximum extent permitted by applicable * -- -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- -- ** including negligence, or under any other theory of * -- -- ** liability) for any loss or damage of any kind or nature * -- -- ** related to, arising under or in connection with these * -- -- ** materials, including for any direct, or any indirect, * -- -- ** special, incidental, or consequential loss or damage * -- -- ** (including loss of data, profits, goodwill, or any type of * -- -- ** loss or damage suffered as a result of any action brought * -- -- ** by a third party) even if such damage or loss was * -- -- ** reasonably foreseeable or Xilinx had been advised of the * -- -- ** possibility of the same. * -- -- ** * -- -- ** CRITICAL APPLICATIONS * -- -- ** Xilinx products are not designed or intended to be fail- * -- -- ** safe, or for use in any application requiring fail-safe * -- -- ** performance, such as life-support or safety devices or * -- -- ** systems, Class III medical devices, nuclear facilities, * -- -- ** applications related to the deployment of airbags, or any * -- -- ** other applications that could lead to death, personal * -- -- ** injury, or severe property or environmental damage * -- -- ** (individually and collectively, "Critical * -- -- ** Applications"). Customer assumes the sole risk and * -- -- ** liability of any use of Xilinx products in Critical * -- -- ** Applications, subject only to applicable laws and * -- -- ** regulations governing limitations on product liability. * -- -- ** * -- -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_uartlite.vhd -- Version: v1.02.a -- Description: AXI UART Lite Interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_uartlite. -- -- axi_uartlite.vhd -- --axi_lite_ipif.vhd -- --uartlite_core.vhd -- --uartlite_tx.vhd -- --uartlite_rx.vhd -- --baudrate.vhd ------------------------------------------------------------------------------- -- Author: USM -- -- USM 07/22/09 -- ^^^^^^ -- - Initial release of v1.00.a -- ~~~~~~ -- 20/09/20 SK -- - 1. Updated the version as AXI Lite IPIF version is updated. -- - 2. constant C_DPHASE_TIMEOUT value changed from 4 to 0 -- ^^^^^^ -- ~~~~~~ -- 01/02/10 NLR -- - 1. Updated the version of axi_uartlite as uartlite_core.vhd changed ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library proc_common_v4_0; -- SLV64_ARRAY_TYPE refered from ipif_pkg use proc_common_v4_0.ipif_pkg.SLV64_ARRAY_TYPE; -- INTEGER_ARRAY_TYPE refered from ipif_pkg use proc_common_v4_0.ipif_pkg.INTEGER_ARRAY_TYPE; -- calc_num_ce comoponent refered from ipif_pkg use proc_common_v4_0.ipif_pkg.calc_num_ce; library axi_lite_ipif_v2_0; -- axi_lite_ipif refered from axi_lite_ipif_v2_0 use axi_lite_ipif_v2_0.axi_lite_ipif; library axi_uartlite_v2_0; -- uartlite_core refered from axi_uartlite_v2_0 use axi_uartlite_v2_0.uartlite_core; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics : ------------------------------------------------------------------------------- -- System generics -- C_FAMILY -- Xilinx FPGA Family -- C_S_AXI_ACLK_FREQ_HZ -- System clock frequency driving UART lite -- peripheral in Hz -- AXI generics -- C_S_AXI_ADDR_WIDTH -- Width of AXI Address Bus (in bits) -- C_S_AXI_DATA_WIDTH -- Width of the AXI Data Bus (in bits) -- -- UART Lite generics -- C_BAUDRATE -- Baud rate of UART Lite in bits per second -- C_DATA_BITS -- The number of data bits in the serial frame -- C_USE_PARITY -- Determines whether parity is used or not -- C_ODD_PARITY -- If parity is used determines whether parity -- is even or odd ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Ports : ------------------------------------------------------------------------------- --System signals -- s_axi_aclk -- AXI Clock -- s_axi_aresetn -- AXI Reset -- Interrupt -- UART Interrupt --AXI signals -- s_axi_awaddr -- AXI Write address -- s_axi_awvalid -- Write address valid -- s_axi_awready -- Write address ready -- s_axi_wdata -- Write data -- s_axi_wstrb -- Write strobes -- s_axi_wvalid -- Write valid -- s_axi_wready -- Write ready -- s_axi_bresp -- Write response -- s_axi_bvalid -- Write response valid -- s_axi_bready -- Response ready -- s_axi_araddr -- Read address -- s_axi_arvalid -- Read address valid -- s_axi_arready -- Read address ready -- s_axi_rdata -- Read data -- s_axi_rresp -- Read response -- s_axi_rvalid -- Read valid -- s_axi_rready -- Read ready --UARTLite Interface Signals -- rx -- Receive Data -- tx -- Transmit Data ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Section ------------------------------------------------------------------------------- entity axi_uartlite is generic ( -- -- System Parameter C_FAMILY : string := "virtex7"; C_S_AXI_ACLK_FREQ_HZ : integer := 100_000_000; -- -- AXI Parameters C_S_AXI_ADDR_WIDTH : integer := 4; C_S_AXI_DATA_WIDTH : integer range 32 to 128 := 32; -- -- UARTLite Parameters C_BAUDRATE : integer := 9600; C_DATA_BITS : integer range 5 to 8 := 8; C_USE_PARITY : integer range 0 to 1 := 0; C_ODD_PARITY : integer range 0 to 1 := 0 ); port ( -- System signals s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic; interrupt : out std_logic; -- AXI signals s_axi_awaddr : in std_logic_vector (3 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector (31 downto 0); s_axi_wstrb : in std_logic_vector (3 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector (3 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector (31 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; -- UARTLite Interface Signals rx : in std_logic; tx : out std_logic ); ------------------------------------------------------------------------------- -- Attributes ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Fan-Out attributes for XST ------------------------------------------------------------------------------- ATTRIBUTE MAX_FANOUT : string; ATTRIBUTE MAX_FANOUT of s_axi_aclk : signal is "10000"; ATTRIBUTE MAX_FANOUT of s_axi_aresetn : signal is "10000"; end entity axi_uartlite; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture RTL of axi_uartlite is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes"; -------------------------------------------------------------------------- -- Constant declarations -------------------------------------------------------------------------- constant ZEROES : std_logic_vector(31 downto 0) := X"00000000"; constant C_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( -- UARTLite registers Base Address ZEROES & X"00000000", ZEROES & (X"00000000" or X"0000000F") ); constant C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 4 ); constant C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0) := X"0000000F"; constant C_USE_WSTRB : integer := 0; constant C_DPHASE_TIMEOUT : integer := 0; -------------------------------------------------------------------------- -- Signal declarations -------------------------------------------------------------------------- signal bus2ip_clk : std_logic; signal bus2ip_reset : std_logic; signal bus2ip_resetn : std_logic; signal ip2bus_data : std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0) := (others => '0'); signal ip2bus_error : std_logic := '0'; signal ip2bus_wrack : std_logic := '0'; signal ip2bus_rdack : std_logic := '0'; signal bus2ip_data : std_logic_vector (C_S_AXI_DATA_WIDTH - 1 downto 0); signal bus2ip_cs : std_logic_vector (((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1 downto 0); signal bus2ip_rdce : std_logic_vector (calc_num_ce(C_ARD_NUM_CE_ARRAY)-1 downto 0); signal bus2ip_wrce : std_logic_vector (calc_num_ce(C_ARD_NUM_CE_ARRAY)-1 downto 0); begin -- architecture IMP -------------------------------------------------------------------------- -- RESET signal assignment - IPIC RESET is active low -------------------------------------------------------------------------- bus2ip_reset <= not bus2ip_resetn; -------------------------------------------------------------------------- -- ip2bus_data assignment - as core is using maximum upto 8 bits -------------------------------------------------------------------------- ip2bus_data((C_S_AXI_DATA_WIDTH-1) downto 8) <= (others => '0'); -------------------------------------------------------------------------- -- Instansiating the UART core -------------------------------------------------------------------------- UARTLITE_CORE_I : entity axi_uartlite_v2_0.uartlite_core generic map ( C_FAMILY => C_FAMILY, C_S_AXI_ACLK_FREQ_HZ => C_S_AXI_ACLK_FREQ_HZ, C_BAUDRATE => C_BAUDRATE, C_DATA_BITS => C_DATA_BITS, C_USE_PARITY => C_USE_PARITY, C_ODD_PARITY => C_ODD_PARITY ) port map ( Clk => bus2ip_clk, Reset => bus2ip_reset, bus2ip_data => bus2ip_data(7 downto 0), bus2ip_rdce => bus2ip_rdce(3 downto 0), bus2ip_wrce => bus2ip_wrce(3 downto 0), bus2ip_cs => bus2ip_cs(0), ip2bus_rdack => ip2bus_rdack, ip2bus_wrack => ip2bus_wrack, ip2bus_error => ip2bus_error, SIn_DBus => ip2bus_data(7 downto 0), RX => rx, TX => tx, Interrupt => Interrupt ); -------------------------------------------------------------------------- -- Instantiate AXI lite IPIF -------------------------------------------------------------------------- AXI_LITE_IPIF_I : entity axi_lite_ipif_v2_0.axi_lite_ipif generic map ( C_FAMILY => C_FAMILY, C_S_AXI_ADDR_WIDTH => 4, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY ) port map ( S_AXI_ACLK => s_axi_aclk, S_AXI_ARESETN => s_axi_aresetn, S_AXI_AWADDR => s_axi_awaddr, S_AXI_AWVALID => s_axi_awvalid, S_AXI_AWREADY => s_axi_awready, S_AXI_WDATA => s_axi_wdata, S_AXI_WSTRB => s_axi_wstrb, S_AXI_WVALID => s_axi_wvalid, S_AXI_WREADY => s_axi_wready, S_AXI_BRESP => s_axi_bresp, S_AXI_BVALID => s_axi_bvalid, S_AXI_BREADY => s_axi_bready, S_AXI_ARADDR => s_axi_araddr, S_AXI_ARVALID => s_axi_arvalid, S_AXI_ARREADY => s_axi_arready, S_AXI_RDATA => s_axi_rdata, S_AXI_RRESP => s_axi_rresp, S_AXI_RVALID => s_axi_rvalid, S_AXI_RREADY => s_axi_rready, -- IP Interconnect (IPIC) port signals Bus2IP_Clk => bus2ip_clk, Bus2IP_Resetn => bus2ip_resetn, IP2Bus_Data => ip2bus_data, IP2Bus_WrAck => ip2bus_wrack, IP2Bus_RdAck => ip2bus_rdack, IP2Bus_Error => ip2bus_error, Bus2IP_Addr => open, Bus2IP_Data => bus2ip_data, Bus2IP_RNW => open, Bus2IP_BE => open, Bus2IP_CS => bus2ip_cs, Bus2IP_RdCE => bus2ip_rdce, Bus2IP_WrCE => bus2ip_wrce ); end architecture RTL;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/or_gate.vhd
15
9199
------------------------------------------------------------------------------- -- $Id: or_gate.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_gate.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_gate.vhd -- Version: v1.00a -- Description: OR gate implementation -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- or_gate.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- History: -- BLT 2001-05-23 First Version -- ^^^^^^ -- First version of OPB Bus. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- C_OR_WIDTH -- Which Xilinx FPGA family to target when -- syntesizing, affect the RLOC string values -- C_BUS_WIDTH -- Which Y position the RLOC should start from -- -- Definition of Ports: -- A -- Input. Input buses are concatenated together to -- form input A. Example: to OR buses R, S, and T, -- assign A <= R & S & T; -- Y -- Output. Same width as input buses. -- ------------------------------------------------------------------------------- entity or_gate is generic ( C_OR_WIDTH : natural range 1 to 32 := 17; C_BUS_WIDTH : natural range 1 to 64 := 1; C_USE_LUT_OR : boolean := TRUE ); port ( A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1); Y : out std_logic_vector(0 to C_BUS_WIDTH-1) ); end entity or_gate; architecture imp of or_gate is ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component or_muxcy generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end component or_muxcy; signal test : std_logic_vector(0 to C_BUS_WIDTH-1); ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin USE_LUT_OR_GEN: if C_USE_LUT_OR generate OR_PROCESS: process( A ) is variable yi : std_logic_vector(0 to (C_OR_WIDTH)); begin for j in 0 to C_BUS_WIDTH-1 loop yi(0) := '0'; for i in 0 to C_OR_WIDTH-1 loop yi(i+1) := yi(i) or A(i*C_BUS_WIDTH+j); end loop; Y(j) <= yi(C_OR_WIDTH); end loop; end process OR_PROCESS; end generate USE_LUT_OR_GEN; USE_MUXCY_OR_GEN: if not C_USE_LUT_OR generate BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1); begin ORDER_INPUT_BUS_PROCESS: process( A ) is begin for k in 0 to C_OR_WIDTH-1 loop in_Bus(k) <= A(k*C_BUS_WIDTH+i); end loop; end process ORDER_INPUT_BUS_PROCESS; OR_BITS_I: or_muxcy generic map ( C_NUM_BITS => C_OR_WIDTH ) port map ( In_bus => in_Bus, --[in] Or_out => Y(i) --[out] ); end generate BUS_WIDTH_FOR_GEN; end generate USE_MUXCY_OR_GEN; end architecture imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_adder.vhd
15
10246
------------------------------------------------------------------------------- -- $Id: pf_adder.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_adder - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_adder.vhd -- -- Description: Parameterized adder/subtractor for Mauna Loa Packet FIFO -- vacancy calculation. This design has a combinational -- output. The carry out is not used by the PFIFO so it has -- been removed. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_adder.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- DET 2001-08-30 First Version -- - adapted from B Tise MicroBlaze timer counters -- -- DET 2001-09-11 -- - Added the Rst input to the pf_adder_bit component -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_adder is generic ( C_REGISTERED_RESULT : Boolean := false; C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; --Carry_Out : out std_logic; Ain : in std_logic_vector(0 to C_COUNT_WIDTH-1); Bin : in std_logic_vector(0 to C_COUNT_WIDTH-1); Add_sub_n : in std_logic; result_out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_adder; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_adder is component pf_adder_bit is generic ( C_REGISTERED_RESULT : Boolean ); port ( Clk : in std_logic; Rst : In std_logic; Ain : in std_logic; Bin : in std_logic; Add_sub_n : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic); end component pf_adder_bit; -- component FDRE is -- port ( -- Q : out std_logic; -- C : in std_logic; -- CE : in std_logic; -- D : in std_logic; -- R : in std_logic -- ); -- end component FDRE; -- constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH); signal iresult_out : std_logic_vector(0 to C_COUNT_WIDTH-1); signal count_clock_en : std_logic; --signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(C_COUNT_WIDTH) <= not(Add_sub_n); -- initial carry-in to adder LSB count_clock_en <= '1'; I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate begin Counter_Bit_I : pf_adder_bit Generic map( C_REGISTERED_RESULT => C_REGISTERED_RESULT ) port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Ain => Ain(i), -- [in] Bin => Bin(i), -- [in] Add_sub_n => Add_sub_n, -- [in] Carry_In => alu_cy(i+CY_Start), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iresult_out(i), -- [out] Carry_Out => alu_cy(i+(1-CY_Start))); -- [out] end generate I_ADDSUB_GEN; -- carry_active_high <= alu_cy(0) xor not(Add_sub_n); -- -- -- -- I_CARRY_OUT: FDRE -- port map ( -- Q => Carry_Out, -- [out] -- C => Clk, -- [in] -- CE => count_clock_en, -- [in] -- D => carry_active_high, -- [in] -- R => Rst -- [in] -- ); result_out <= iresult_out; end architecture implementation;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica04_OsciladorEnable/enable.vhd
1
206
library ieee; use ieee.std_logic_1164.all; entity enable is port( enable_in: in std_logic; enable: out std_logic); end; architecture aenable of enable is begin enable <= not enable_in; end aenable;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica04_OsciladorEnable/toposc.vhd
1
1105
library ieee; use ieee.std_logic_1164.all; use packageoscint.all; entity toposc is port( indiv0: in std_logic_vector(3 downto 0); habilitar: in std_logic; resetear: in std_logic; outdiv0: inout std_logic); attribute loc: string; attribute loc of indiv0: signal is "p125, p124, p123, p122"; attribute loc of habilitar: signal is "p121"; attribute loc of resetear: signal is "p120"; attribute loc of outdiv0: signal is "p24"; end toposc; --port( --oscdis0: in std_logic; --tmrrst0: in std_logic; --oscout0: out std_logic); --attribute loc: string; --attribute loc of oscdis0: signal is "p125"; --attribute loc of tmrrst0: signal is "p124"; --attribute loc of oscout0: signal is "p5"; --end; architecture atoposc of toposc is signal oscout0, tmrout, enb: std_logic; begin U1: OSCINT port map( osc_dis => enb, tmr_rst => resetear, tmr_out => outdiv0, osc_out => oscout0); --tmr_out => tmrout --U2: div port map( -- clkdiv => tmrout, -- indiv => indiv0, -- outdiv => outdiv0); U3: enable port map( enable_in => habilitar, enable => enb); end atoposc;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica02_Semisumador4Bits/paquete_sumadormedio.vhd
2
490
library ieee; use ieee.std_logic_1164.all; -- IPN - ESCOM -- Arquitectura de Computadoras -- ww ww ww - 3CM9 -- ww.com/arquitectura package pack_sum_medio is component eAnd port( entrada1_and: in std_logic; entrada2_and: in std_logic; salida_and: out std_logic); end component; component eXor port( entrada1_xor: in std_logic; entrada2_xor: in std_logic; salida_xor: out std_logic); end component; end pack_sum_medio;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica02_SumadorRestador4Bits/paquete_sumador.vhd
1
847
library ieee; use ieee.std_logic_1164.all; -- IPN - ESCOM -- Arquitectura de Computadoras -- ww ww ww - 3CM9 -- ww.com/arquitectura package pack_sum is component eTopSumCompleto is port( acarreoI_tsc: in std_logic; entrada1_tsc: in std_logic; entrada2_tsc: in std_logic; resultado_tsc: out std_logic; acarreoO_tsc: out std_logic); end component; component eXnor port( entrada1_xnor: in std_logic; entrada2_xnor: in std_logic; salida_xnor: out std_logic); end component; component eAnd port( entrada1_and: in std_logic; entrada2_and: in std_logic; salida_and: out std_logic); end component; component eXor port( entrada1_xor: in std_logic; entrada2_xor: in std_logic; salida_xor: out std_logic); end component; end pack_sum;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica05_ArquitecturaGenericaMultiAportes/xnor00.vhd
1
1399
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity xnor00 is port( clkxnr: in std_logic ; codopxnr: in std_logic_vector ( 3 downto 0 ); portAxnr: in std_logic_vector ( 7 downto 0 ); portBxnr: in std_logic_vector ( 7 downto 0 ); inFlagxnr: in std_logic; outxnr: out std_logic_vector ( 7 downto 0 ); outFlagxnr: out std_logic ); end; architecture xnor0 of xnor00 is begin pxnor: process(codopxnr, portAxnr, portBxnr) begin if(codopxnr = "0110") then outxnr <= portAxnr xnor portBxnr; outFlagxnr <= '1'; else outxnr <= (others => 'Z'); outFlagxnr <= 'Z'; end if; end process pxnor; -- pnand: process(clknd, codopnd, inFlagnd) -- --variable auxnd: bit:='0'; -- begin -- if (clknd = '1') then ----clknd'event and -- if (codopnd = "0100") then -- if (inFlagnd = '1') then -- --if (auxnd = '0') then -- --auxnd:= '1'; -- outnd <= portAnd nand portBnd; -- outFlagnd <= '1'; -- --end if; -- else -- outFlagnd <= '0'; -- end if; -- else -- outnd <= (others => 'Z'); -- outFlagnd <= 'Z'; -- --auxnd:='0'; -- end if; -- end if; -- end process pnand; end xnor0;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica05_ArquitecturaGenericaMultiAportes/topadder00.vhd
1
1415
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity topadder00 is port( clkadd: in std_logic ; codopadd: in std_logic_vector ( 3 downto 0 ); inFlagadd: in std_logic ; portAaddin: in std_logic_vector ( 7 downto 0 ); portBaddin: in std_logic_vector ( 7 downto 0 ); SLaddin: in std_logic ; LEDaddin: in std_logic ; portAaddout: out std_logic_vector ( 7 downto 0 ); portBaddout: out std_logic_vector ( 7 downto 0 ); SLaddout: out std_logic ; LEDaddout: out std_logic ; outFlagadd: out std_logic; Soaddin: in std_logic_vector ( 7 downto 0 ); Soaddout: out std_logic_vector ( 7 downto 0 ) ); end; architecture topadder0 of topadder00 is begin padd: process(clkadd, inFlagadd) begin if (clkadd'event and clkadd = '1') then if ((codopadd = "0101") or (codopadd = "0110")) then portAaddout <= portAaddin; portBaddout <= portBaddin; SLaddout <= SLaddin; if (inFlagadd = '1') then Soaddout <= Soaddin; LEDaddout <= LEDaddin; outFlagadd <= '1'; else outFlagadd <= '0'; end if; else Soaddout <= (others => 'Z'); outFlagadd <= 'Z'; LEDaddout <= 'Z'; end if; end if; end process padd; end topadder0;
apache-2.0
dm-urievich/afc-smm
software/third-patry/dds_synthesizer/trunk/vhdl/sine_lut/sine_lut_12_x_16.vhd
2
46353
-- This file is automatically generated by a matlab script -- -- Do not modify directly! -- library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_signed.all; package sine_lut_pkg is constant PHASE_WIDTH : integer := 12; constant AMPL_WIDTH : integer := 16; type lut_type is array(0 to 2**(PHASE_WIDTH-2)-1) of std_logic_vector(AMPL_WIDTH-1 downto 0); constant sine_lut : lut_type := ( conv_std_logic_vector(0,AMPL_WIDTH), conv_std_logic_vector(50,AMPL_WIDTH), conv_std_logic_vector(101,AMPL_WIDTH), conv_std_logic_vector(151,AMPL_WIDTH), conv_std_logic_vector(201,AMPL_WIDTH), conv_std_logic_vector(251,AMPL_WIDTH), conv_std_logic_vector(302,AMPL_WIDTH), conv_std_logic_vector(352,AMPL_WIDTH), conv_std_logic_vector(402,AMPL_WIDTH), conv_std_logic_vector(452,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(553,AMPL_WIDTH), conv_std_logic_vector(603,AMPL_WIDTH), conv_std_logic_vector(653,AMPL_WIDTH), conv_std_logic_vector(704,AMPL_WIDTH), conv_std_logic_vector(754,AMPL_WIDTH), conv_std_logic_vector(804,AMPL_WIDTH), conv_std_logic_vector(854,AMPL_WIDTH), conv_std_logic_vector(905,AMPL_WIDTH), conv_std_logic_vector(955,AMPL_WIDTH), conv_std_logic_vector(1005,AMPL_WIDTH), conv_std_logic_vector(1055,AMPL_WIDTH), conv_std_logic_vector(1106,AMPL_WIDTH), conv_std_logic_vector(1156,AMPL_WIDTH), conv_std_logic_vector(1206,AMPL_WIDTH), conv_std_logic_vector(1256,AMPL_WIDTH), conv_std_logic_vector(1307,AMPL_WIDTH), conv_std_logic_vector(1357,AMPL_WIDTH), conv_std_logic_vector(1407,AMPL_WIDTH), conv_std_logic_vector(1457,AMPL_WIDTH), conv_std_logic_vector(1507,AMPL_WIDTH), conv_std_logic_vector(1558,AMPL_WIDTH), conv_std_logic_vector(1608,AMPL_WIDTH), conv_std_logic_vector(1658,AMPL_WIDTH), conv_std_logic_vector(1708,AMPL_WIDTH), conv_std_logic_vector(1758,AMPL_WIDTH), conv_std_logic_vector(1809,AMPL_WIDTH), conv_std_logic_vector(1859,AMPL_WIDTH), conv_std_logic_vector(1909,AMPL_WIDTH), conv_std_logic_vector(1959,AMPL_WIDTH), conv_std_logic_vector(2009,AMPL_WIDTH), conv_std_logic_vector(2059,AMPL_WIDTH), conv_std_logic_vector(2110,AMPL_WIDTH), conv_std_logic_vector(2160,AMPL_WIDTH), conv_std_logic_vector(2210,AMPL_WIDTH), conv_std_logic_vector(2260,AMPL_WIDTH), conv_std_logic_vector(2310,AMPL_WIDTH), conv_std_logic_vector(2360,AMPL_WIDTH), conv_std_logic_vector(2410,AMPL_WIDTH), conv_std_logic_vector(2461,AMPL_WIDTH), conv_std_logic_vector(2511,AMPL_WIDTH), conv_std_logic_vector(2561,AMPL_WIDTH), conv_std_logic_vector(2611,AMPL_WIDTH), conv_std_logic_vector(2661,AMPL_WIDTH), conv_std_logic_vector(2711,AMPL_WIDTH), conv_std_logic_vector(2761,AMPL_WIDTH), conv_std_logic_vector(2811,AMPL_WIDTH), conv_std_logic_vector(2861,AMPL_WIDTH), conv_std_logic_vector(2911,AMPL_WIDTH), conv_std_logic_vector(2962,AMPL_WIDTH), conv_std_logic_vector(3012,AMPL_WIDTH), conv_std_logic_vector(3062,AMPL_WIDTH), conv_std_logic_vector(3112,AMPL_WIDTH), conv_std_logic_vector(3162,AMPL_WIDTH), conv_std_logic_vector(3212,AMPL_WIDTH), conv_std_logic_vector(3262,AMPL_WIDTH), conv_std_logic_vector(3312,AMPL_WIDTH), conv_std_logic_vector(3362,AMPL_WIDTH), conv_std_logic_vector(3412,AMPL_WIDTH), conv_std_logic_vector(3462,AMPL_WIDTH), conv_std_logic_vector(3512,AMPL_WIDTH), conv_std_logic_vector(3562,AMPL_WIDTH), conv_std_logic_vector(3612,AMPL_WIDTH), conv_std_logic_vector(3662,AMPL_WIDTH), conv_std_logic_vector(3712,AMPL_WIDTH), conv_std_logic_vector(3761,AMPL_WIDTH), conv_std_logic_vector(3811,AMPL_WIDTH), conv_std_logic_vector(3861,AMPL_WIDTH), conv_std_logic_vector(3911,AMPL_WIDTH), conv_std_logic_vector(3961,AMPL_WIDTH), conv_std_logic_vector(4011,AMPL_WIDTH), conv_std_logic_vector(4061,AMPL_WIDTH), conv_std_logic_vector(4111,AMPL_WIDTH), conv_std_logic_vector(4161,AMPL_WIDTH), conv_std_logic_vector(4210,AMPL_WIDTH), conv_std_logic_vector(4260,AMPL_WIDTH), conv_std_logic_vector(4310,AMPL_WIDTH), conv_std_logic_vector(4360,AMPL_WIDTH), conv_std_logic_vector(4410,AMPL_WIDTH), conv_std_logic_vector(4460,AMPL_WIDTH), conv_std_logic_vector(4509,AMPL_WIDTH), conv_std_logic_vector(4559,AMPL_WIDTH), conv_std_logic_vector(4609,AMPL_WIDTH), conv_std_logic_vector(4659,AMPL_WIDTH), conv_std_logic_vector(4708,AMPL_WIDTH), conv_std_logic_vector(4758,AMPL_WIDTH), conv_std_logic_vector(4808,AMPL_WIDTH), conv_std_logic_vector(4858,AMPL_WIDTH), conv_std_logic_vector(4907,AMPL_WIDTH), conv_std_logic_vector(4957,AMPL_WIDTH), conv_std_logic_vector(5007,AMPL_WIDTH), conv_std_logic_vector(5056,AMPL_WIDTH), conv_std_logic_vector(5106,AMPL_WIDTH), conv_std_logic_vector(5156,AMPL_WIDTH), conv_std_logic_vector(5205,AMPL_WIDTH), conv_std_logic_vector(5255,AMPL_WIDTH), conv_std_logic_vector(5305,AMPL_WIDTH), conv_std_logic_vector(5354,AMPL_WIDTH), conv_std_logic_vector(5404,AMPL_WIDTH), conv_std_logic_vector(5453,AMPL_WIDTH), conv_std_logic_vector(5503,AMPL_WIDTH), conv_std_logic_vector(5552,AMPL_WIDTH), conv_std_logic_vector(5602,AMPL_WIDTH), conv_std_logic_vector(5651,AMPL_WIDTH), conv_std_logic_vector(5701,AMPL_WIDTH), conv_std_logic_vector(5750,AMPL_WIDTH), conv_std_logic_vector(5800,AMPL_WIDTH), conv_std_logic_vector(5849,AMPL_WIDTH), conv_std_logic_vector(5899,AMPL_WIDTH), conv_std_logic_vector(5948,AMPL_WIDTH), conv_std_logic_vector(5998,AMPL_WIDTH), conv_std_logic_vector(6047,AMPL_WIDTH), conv_std_logic_vector(6096,AMPL_WIDTH), conv_std_logic_vector(6146,AMPL_WIDTH), conv_std_logic_vector(6195,AMPL_WIDTH), conv_std_logic_vector(6245,AMPL_WIDTH), conv_std_logic_vector(6294,AMPL_WIDTH), conv_std_logic_vector(6343,AMPL_WIDTH), conv_std_logic_vector(6393,AMPL_WIDTH), conv_std_logic_vector(6442,AMPL_WIDTH), conv_std_logic_vector(6491,AMPL_WIDTH), conv_std_logic_vector(6540,AMPL_WIDTH), conv_std_logic_vector(6590,AMPL_WIDTH), conv_std_logic_vector(6639,AMPL_WIDTH), conv_std_logic_vector(6688,AMPL_WIDTH), conv_std_logic_vector(6737,AMPL_WIDTH), conv_std_logic_vector(6786,AMPL_WIDTH), conv_std_logic_vector(6836,AMPL_WIDTH), conv_std_logic_vector(6885,AMPL_WIDTH), conv_std_logic_vector(6934,AMPL_WIDTH), conv_std_logic_vector(6983,AMPL_WIDTH), conv_std_logic_vector(7032,AMPL_WIDTH), conv_std_logic_vector(7081,AMPL_WIDTH), conv_std_logic_vector(7130,AMPL_WIDTH), conv_std_logic_vector(7179,AMPL_WIDTH), conv_std_logic_vector(7228,AMPL_WIDTH), conv_std_logic_vector(7277,AMPL_WIDTH), conv_std_logic_vector(7326,AMPL_WIDTH), conv_std_logic_vector(7375,AMPL_WIDTH), conv_std_logic_vector(7424,AMPL_WIDTH), conv_std_logic_vector(7473,AMPL_WIDTH), conv_std_logic_vector(7522,AMPL_WIDTH), conv_std_logic_vector(7571,AMPL_WIDTH), conv_std_logic_vector(7620,AMPL_WIDTH), conv_std_logic_vector(7669,AMPL_WIDTH), conv_std_logic_vector(7718,AMPL_WIDTH), conv_std_logic_vector(7767,AMPL_WIDTH), conv_std_logic_vector(7815,AMPL_WIDTH), conv_std_logic_vector(7864,AMPL_WIDTH), conv_std_logic_vector(7913,AMPL_WIDTH), conv_std_logic_vector(7962,AMPL_WIDTH), conv_std_logic_vector(8010,AMPL_WIDTH), conv_std_logic_vector(8059,AMPL_WIDTH), conv_std_logic_vector(8108,AMPL_WIDTH), conv_std_logic_vector(8157,AMPL_WIDTH), conv_std_logic_vector(8205,AMPL_WIDTH), conv_std_logic_vector(8254,AMPL_WIDTH), conv_std_logic_vector(8303,AMPL_WIDTH), conv_std_logic_vector(8351,AMPL_WIDTH), conv_std_logic_vector(8400,AMPL_WIDTH), conv_std_logic_vector(8448,AMPL_WIDTH), conv_std_logic_vector(8497,AMPL_WIDTH), conv_std_logic_vector(8545,AMPL_WIDTH), conv_std_logic_vector(8594,AMPL_WIDTH), conv_std_logic_vector(8642,AMPL_WIDTH), conv_std_logic_vector(8691,AMPL_WIDTH), conv_std_logic_vector(8739,AMPL_WIDTH), conv_std_logic_vector(8788,AMPL_WIDTH), conv_std_logic_vector(8836,AMPL_WIDTH), conv_std_logic_vector(8885,AMPL_WIDTH), conv_std_logic_vector(8933,AMPL_WIDTH), conv_std_logic_vector(8981,AMPL_WIDTH), conv_std_logic_vector(9030,AMPL_WIDTH), conv_std_logic_vector(9078,AMPL_WIDTH), conv_std_logic_vector(9126,AMPL_WIDTH), conv_std_logic_vector(9175,AMPL_WIDTH), conv_std_logic_vector(9223,AMPL_WIDTH), conv_std_logic_vector(9271,AMPL_WIDTH), conv_std_logic_vector(9319,AMPL_WIDTH), conv_std_logic_vector(9367,AMPL_WIDTH), conv_std_logic_vector(9416,AMPL_WIDTH), conv_std_logic_vector(9464,AMPL_WIDTH), conv_std_logic_vector(9512,AMPL_WIDTH), conv_std_logic_vector(9560,AMPL_WIDTH), conv_std_logic_vector(9608,AMPL_WIDTH), conv_std_logic_vector(9656,AMPL_WIDTH), conv_std_logic_vector(9704,AMPL_WIDTH), conv_std_logic_vector(9752,AMPL_WIDTH), conv_std_logic_vector(9800,AMPL_WIDTH), conv_std_logic_vector(9848,AMPL_WIDTH), conv_std_logic_vector(9896,AMPL_WIDTH), conv_std_logic_vector(9944,AMPL_WIDTH), conv_std_logic_vector(9992,AMPL_WIDTH), conv_std_logic_vector(10039,AMPL_WIDTH), conv_std_logic_vector(10087,AMPL_WIDTH), conv_std_logic_vector(10135,AMPL_WIDTH), conv_std_logic_vector(10183,AMPL_WIDTH), conv_std_logic_vector(10231,AMPL_WIDTH), conv_std_logic_vector(10278,AMPL_WIDTH), conv_std_logic_vector(10326,AMPL_WIDTH), conv_std_logic_vector(10374,AMPL_WIDTH), conv_std_logic_vector(10421,AMPL_WIDTH), conv_std_logic_vector(10469,AMPL_WIDTH), conv_std_logic_vector(10517,AMPL_WIDTH), conv_std_logic_vector(10564,AMPL_WIDTH), conv_std_logic_vector(10612,AMPL_WIDTH), conv_std_logic_vector(10659,AMPL_WIDTH), conv_std_logic_vector(10707,AMPL_WIDTH), conv_std_logic_vector(10754,AMPL_WIDTH), conv_std_logic_vector(10802,AMPL_WIDTH), conv_std_logic_vector(10849,AMPL_WIDTH), conv_std_logic_vector(10897,AMPL_WIDTH), conv_std_logic_vector(10944,AMPL_WIDTH), conv_std_logic_vector(10992,AMPL_WIDTH), conv_std_logic_vector(11039,AMPL_WIDTH), conv_std_logic_vector(11086,AMPL_WIDTH), conv_std_logic_vector(11133,AMPL_WIDTH), conv_std_logic_vector(11181,AMPL_WIDTH), conv_std_logic_vector(11228,AMPL_WIDTH), conv_std_logic_vector(11275,AMPL_WIDTH), conv_std_logic_vector(11322,AMPL_WIDTH), conv_std_logic_vector(11370,AMPL_WIDTH), conv_std_logic_vector(11417,AMPL_WIDTH), conv_std_logic_vector(11464,AMPL_WIDTH), conv_std_logic_vector(11511,AMPL_WIDTH), conv_std_logic_vector(11558,AMPL_WIDTH), conv_std_logic_vector(11605,AMPL_WIDTH), conv_std_logic_vector(11652,AMPL_WIDTH), conv_std_logic_vector(11699,AMPL_WIDTH), conv_std_logic_vector(11746,AMPL_WIDTH), conv_std_logic_vector(11793,AMPL_WIDTH), conv_std_logic_vector(11840,AMPL_WIDTH), conv_std_logic_vector(11886,AMPL_WIDTH), conv_std_logic_vector(11933,AMPL_WIDTH), conv_std_logic_vector(11980,AMPL_WIDTH), conv_std_logic_vector(12027,AMPL_WIDTH), conv_std_logic_vector(12074,AMPL_WIDTH), conv_std_logic_vector(12120,AMPL_WIDTH), conv_std_logic_vector(12167,AMPL_WIDTH), conv_std_logic_vector(12214,AMPL_WIDTH), conv_std_logic_vector(12260,AMPL_WIDTH), conv_std_logic_vector(12307,AMPL_WIDTH), conv_std_logic_vector(12353,AMPL_WIDTH), conv_std_logic_vector(12400,AMPL_WIDTH), conv_std_logic_vector(12446,AMPL_WIDTH), conv_std_logic_vector(12493,AMPL_WIDTH), conv_std_logic_vector(12539,AMPL_WIDTH), conv_std_logic_vector(12586,AMPL_WIDTH), conv_std_logic_vector(12632,AMPL_WIDTH), conv_std_logic_vector(12679,AMPL_WIDTH), conv_std_logic_vector(12725,AMPL_WIDTH), conv_std_logic_vector(12771,AMPL_WIDTH), conv_std_logic_vector(12817,AMPL_WIDTH), conv_std_logic_vector(12864,AMPL_WIDTH), conv_std_logic_vector(12910,AMPL_WIDTH), conv_std_logic_vector(12956,AMPL_WIDTH), conv_std_logic_vector(13002,AMPL_WIDTH), conv_std_logic_vector(13048,AMPL_WIDTH), conv_std_logic_vector(13094,AMPL_WIDTH), conv_std_logic_vector(13141,AMPL_WIDTH), conv_std_logic_vector(13187,AMPL_WIDTH), conv_std_logic_vector(13233,AMPL_WIDTH), conv_std_logic_vector(13279,AMPL_WIDTH), conv_std_logic_vector(13324,AMPL_WIDTH), conv_std_logic_vector(13370,AMPL_WIDTH), conv_std_logic_vector(13416,AMPL_WIDTH), conv_std_logic_vector(13462,AMPL_WIDTH), conv_std_logic_vector(13508,AMPL_WIDTH), conv_std_logic_vector(13554,AMPL_WIDTH), conv_std_logic_vector(13599,AMPL_WIDTH), conv_std_logic_vector(13645,AMPL_WIDTH), conv_std_logic_vector(13691,AMPL_WIDTH), conv_std_logic_vector(13736,AMPL_WIDTH), conv_std_logic_vector(13782,AMPL_WIDTH), conv_std_logic_vector(13828,AMPL_WIDTH), conv_std_logic_vector(13873,AMPL_WIDTH), conv_std_logic_vector(13919,AMPL_WIDTH), conv_std_logic_vector(13964,AMPL_WIDTH), conv_std_logic_vector(14010,AMPL_WIDTH), conv_std_logic_vector(14055,AMPL_WIDTH), conv_std_logic_vector(14101,AMPL_WIDTH), conv_std_logic_vector(14146,AMPL_WIDTH), conv_std_logic_vector(14191,AMPL_WIDTH), conv_std_logic_vector(14236,AMPL_WIDTH), conv_std_logic_vector(14282,AMPL_WIDTH), conv_std_logic_vector(14327,AMPL_WIDTH), conv_std_logic_vector(14372,AMPL_WIDTH), conv_std_logic_vector(14417,AMPL_WIDTH), conv_std_logic_vector(14462,AMPL_WIDTH), conv_std_logic_vector(14507,AMPL_WIDTH), conv_std_logic_vector(14553,AMPL_WIDTH), conv_std_logic_vector(14598,AMPL_WIDTH), conv_std_logic_vector(14643,AMPL_WIDTH), conv_std_logic_vector(14688,AMPL_WIDTH), conv_std_logic_vector(14732,AMPL_WIDTH), conv_std_logic_vector(14777,AMPL_WIDTH), conv_std_logic_vector(14822,AMPL_WIDTH), conv_std_logic_vector(14867,AMPL_WIDTH), conv_std_logic_vector(14912,AMPL_WIDTH), conv_std_logic_vector(14956,AMPL_WIDTH), conv_std_logic_vector(15001,AMPL_WIDTH), conv_std_logic_vector(15046,AMPL_WIDTH), conv_std_logic_vector(15090,AMPL_WIDTH), conv_std_logic_vector(15135,AMPL_WIDTH), conv_std_logic_vector(15180,AMPL_WIDTH), conv_std_logic_vector(15224,AMPL_WIDTH), conv_std_logic_vector(15269,AMPL_WIDTH), conv_std_logic_vector(15313,AMPL_WIDTH), conv_std_logic_vector(15358,AMPL_WIDTH), conv_std_logic_vector(15402,AMPL_WIDTH), conv_std_logic_vector(15446,AMPL_WIDTH), conv_std_logic_vector(15491,AMPL_WIDTH), conv_std_logic_vector(15535,AMPL_WIDTH), conv_std_logic_vector(15579,AMPL_WIDTH), conv_std_logic_vector(15623,AMPL_WIDTH), conv_std_logic_vector(15667,AMPL_WIDTH), conv_std_logic_vector(15712,AMPL_WIDTH), conv_std_logic_vector(15756,AMPL_WIDTH), conv_std_logic_vector(15800,AMPL_WIDTH), conv_std_logic_vector(15844,AMPL_WIDTH), conv_std_logic_vector(15888,AMPL_WIDTH), conv_std_logic_vector(15932,AMPL_WIDTH), conv_std_logic_vector(15976,AMPL_WIDTH), conv_std_logic_vector(16019,AMPL_WIDTH), conv_std_logic_vector(16063,AMPL_WIDTH), conv_std_logic_vector(16107,AMPL_WIDTH), conv_std_logic_vector(16151,AMPL_WIDTH), conv_std_logic_vector(16195,AMPL_WIDTH), conv_std_logic_vector(16238,AMPL_WIDTH), conv_std_logic_vector(16282,AMPL_WIDTH), conv_std_logic_vector(16325,AMPL_WIDTH), conv_std_logic_vector(16369,AMPL_WIDTH), conv_std_logic_vector(16413,AMPL_WIDTH), conv_std_logic_vector(16456,AMPL_WIDTH), conv_std_logic_vector(16499,AMPL_WIDTH), conv_std_logic_vector(16543,AMPL_WIDTH), conv_std_logic_vector(16586,AMPL_WIDTH), conv_std_logic_vector(16630,AMPL_WIDTH), conv_std_logic_vector(16673,AMPL_WIDTH), conv_std_logic_vector(16716,AMPL_WIDTH), conv_std_logic_vector(16759,AMPL_WIDTH), conv_std_logic_vector(16802,AMPL_WIDTH), conv_std_logic_vector(16846,AMPL_WIDTH), conv_std_logic_vector(16889,AMPL_WIDTH), conv_std_logic_vector(16932,AMPL_WIDTH), conv_std_logic_vector(16975,AMPL_WIDTH), conv_std_logic_vector(17018,AMPL_WIDTH), conv_std_logic_vector(17061,AMPL_WIDTH), conv_std_logic_vector(17104,AMPL_WIDTH), conv_std_logic_vector(17146,AMPL_WIDTH), conv_std_logic_vector(17189,AMPL_WIDTH), conv_std_logic_vector(17232,AMPL_WIDTH), conv_std_logic_vector(17275,AMPL_WIDTH), conv_std_logic_vector(17317,AMPL_WIDTH), conv_std_logic_vector(17360,AMPL_WIDTH), conv_std_logic_vector(17403,AMPL_WIDTH), conv_std_logic_vector(17445,AMPL_WIDTH), conv_std_logic_vector(17488,AMPL_WIDTH), conv_std_logic_vector(17530,AMPL_WIDTH), conv_std_logic_vector(17573,AMPL_WIDTH), conv_std_logic_vector(17615,AMPL_WIDTH), conv_std_logic_vector(17657,AMPL_WIDTH), conv_std_logic_vector(17700,AMPL_WIDTH), conv_std_logic_vector(17742,AMPL_WIDTH), conv_std_logic_vector(17784,AMPL_WIDTH), conv_std_logic_vector(17827,AMPL_WIDTH), conv_std_logic_vector(17869,AMPL_WIDTH), conv_std_logic_vector(17911,AMPL_WIDTH), conv_std_logic_vector(17953,AMPL_WIDTH), conv_std_logic_vector(17995,AMPL_WIDTH), conv_std_logic_vector(18037,AMPL_WIDTH), conv_std_logic_vector(18079,AMPL_WIDTH), conv_std_logic_vector(18121,AMPL_WIDTH), conv_std_logic_vector(18163,AMPL_WIDTH), conv_std_logic_vector(18204,AMPL_WIDTH), conv_std_logic_vector(18246,AMPL_WIDTH), conv_std_logic_vector(18288,AMPL_WIDTH), conv_std_logic_vector(18330,AMPL_WIDTH), conv_std_logic_vector(18371,AMPL_WIDTH), conv_std_logic_vector(18413,AMPL_WIDTH), conv_std_logic_vector(18454,AMPL_WIDTH), conv_std_logic_vector(18496,AMPL_WIDTH), conv_std_logic_vector(18537,AMPL_WIDTH), conv_std_logic_vector(18579,AMPL_WIDTH), conv_std_logic_vector(18620,AMPL_WIDTH), conv_std_logic_vector(18661,AMPL_WIDTH), conv_std_logic_vector(18703,AMPL_WIDTH), conv_std_logic_vector(18744,AMPL_WIDTH), conv_std_logic_vector(18785,AMPL_WIDTH), conv_std_logic_vector(18826,AMPL_WIDTH), conv_std_logic_vector(18868,AMPL_WIDTH), conv_std_logic_vector(18909,AMPL_WIDTH), conv_std_logic_vector(18950,AMPL_WIDTH), conv_std_logic_vector(18991,AMPL_WIDTH), conv_std_logic_vector(19032,AMPL_WIDTH), conv_std_logic_vector(19072,AMPL_WIDTH), conv_std_logic_vector(19113,AMPL_WIDTH), conv_std_logic_vector(19154,AMPL_WIDTH), conv_std_logic_vector(19195,AMPL_WIDTH), conv_std_logic_vector(19236,AMPL_WIDTH), conv_std_logic_vector(19276,AMPL_WIDTH), conv_std_logic_vector(19317,AMPL_WIDTH), conv_std_logic_vector(19357,AMPL_WIDTH), conv_std_logic_vector(19398,AMPL_WIDTH), conv_std_logic_vector(19438,AMPL_WIDTH), conv_std_logic_vector(19479,AMPL_WIDTH), conv_std_logic_vector(19519,AMPL_WIDTH), conv_std_logic_vector(19560,AMPL_WIDTH), conv_std_logic_vector(19600,AMPL_WIDTH), conv_std_logic_vector(19640,AMPL_WIDTH), conv_std_logic_vector(19680,AMPL_WIDTH), conv_std_logic_vector(19721,AMPL_WIDTH), conv_std_logic_vector(19761,AMPL_WIDTH), conv_std_logic_vector(19801,AMPL_WIDTH), conv_std_logic_vector(19841,AMPL_WIDTH), conv_std_logic_vector(19881,AMPL_WIDTH), conv_std_logic_vector(19921,AMPL_WIDTH), conv_std_logic_vector(19961,AMPL_WIDTH), conv_std_logic_vector(20000,AMPL_WIDTH), conv_std_logic_vector(20040,AMPL_WIDTH), conv_std_logic_vector(20080,AMPL_WIDTH), conv_std_logic_vector(20120,AMPL_WIDTH), conv_std_logic_vector(20159,AMPL_WIDTH), conv_std_logic_vector(20199,AMPL_WIDTH), conv_std_logic_vector(20238,AMPL_WIDTH), conv_std_logic_vector(20278,AMPL_WIDTH), conv_std_logic_vector(20317,AMPL_WIDTH), conv_std_logic_vector(20357,AMPL_WIDTH), conv_std_logic_vector(20396,AMPL_WIDTH), conv_std_logic_vector(20436,AMPL_WIDTH), conv_std_logic_vector(20475,AMPL_WIDTH), conv_std_logic_vector(20514,AMPL_WIDTH), conv_std_logic_vector(20553,AMPL_WIDTH), conv_std_logic_vector(20592,AMPL_WIDTH), conv_std_logic_vector(20631,AMPL_WIDTH), conv_std_logic_vector(20670,AMPL_WIDTH), conv_std_logic_vector(20709,AMPL_WIDTH), conv_std_logic_vector(20748,AMPL_WIDTH), conv_std_logic_vector(20787,AMPL_WIDTH), conv_std_logic_vector(20826,AMPL_WIDTH), conv_std_logic_vector(20865,AMPL_WIDTH), conv_std_logic_vector(20904,AMPL_WIDTH), conv_std_logic_vector(20942,AMPL_WIDTH), conv_std_logic_vector(20981,AMPL_WIDTH), conv_std_logic_vector(21019,AMPL_WIDTH), conv_std_logic_vector(21058,AMPL_WIDTH), conv_std_logic_vector(21096,AMPL_WIDTH), conv_std_logic_vector(21135,AMPL_WIDTH), conv_std_logic_vector(21173,AMPL_WIDTH), conv_std_logic_vector(21212,AMPL_WIDTH), conv_std_logic_vector(21250,AMPL_WIDTH), conv_std_logic_vector(21288,AMPL_WIDTH), conv_std_logic_vector(21326,AMPL_WIDTH), conv_std_logic_vector(21364,AMPL_WIDTH), conv_std_logic_vector(21403,AMPL_WIDTH), conv_std_logic_vector(21441,AMPL_WIDTH), conv_std_logic_vector(21479,AMPL_WIDTH), conv_std_logic_vector(21516,AMPL_WIDTH), conv_std_logic_vector(21554,AMPL_WIDTH), conv_std_logic_vector(21592,AMPL_WIDTH), conv_std_logic_vector(21630,AMPL_WIDTH), conv_std_logic_vector(21668,AMPL_WIDTH), conv_std_logic_vector(21705,AMPL_WIDTH), conv_std_logic_vector(21743,AMPL_WIDTH), conv_std_logic_vector(21781,AMPL_WIDTH), conv_std_logic_vector(21818,AMPL_WIDTH), conv_std_logic_vector(21856,AMPL_WIDTH), conv_std_logic_vector(21893,AMPL_WIDTH), conv_std_logic_vector(21930,AMPL_WIDTH), conv_std_logic_vector(21968,AMPL_WIDTH), conv_std_logic_vector(22005,AMPL_WIDTH), conv_std_logic_vector(22042,AMPL_WIDTH), conv_std_logic_vector(22079,AMPL_WIDTH), conv_std_logic_vector(22116,AMPL_WIDTH), conv_std_logic_vector(22154,AMPL_WIDTH), conv_std_logic_vector(22191,AMPL_WIDTH), conv_std_logic_vector(22227,AMPL_WIDTH), conv_std_logic_vector(22264,AMPL_WIDTH), conv_std_logic_vector(22301,AMPL_WIDTH), conv_std_logic_vector(22338,AMPL_WIDTH), conv_std_logic_vector(22375,AMPL_WIDTH), conv_std_logic_vector(22411,AMPL_WIDTH), conv_std_logic_vector(22448,AMPL_WIDTH), conv_std_logic_vector(22485,AMPL_WIDTH), conv_std_logic_vector(22521,AMPL_WIDTH), conv_std_logic_vector(22558,AMPL_WIDTH), conv_std_logic_vector(22594,AMPL_WIDTH), conv_std_logic_vector(22631,AMPL_WIDTH), conv_std_logic_vector(22667,AMPL_WIDTH), conv_std_logic_vector(22703,AMPL_WIDTH), conv_std_logic_vector(22739,AMPL_WIDTH), conv_std_logic_vector(22776,AMPL_WIDTH), conv_std_logic_vector(22812,AMPL_WIDTH), conv_std_logic_vector(22848,AMPL_WIDTH), conv_std_logic_vector(22884,AMPL_WIDTH), conv_std_logic_vector(22920,AMPL_WIDTH), conv_std_logic_vector(22956,AMPL_WIDTH), conv_std_logic_vector(22991,AMPL_WIDTH), conv_std_logic_vector(23027,AMPL_WIDTH), conv_std_logic_vector(23063,AMPL_WIDTH), conv_std_logic_vector(23099,AMPL_WIDTH), conv_std_logic_vector(23134,AMPL_WIDTH), conv_std_logic_vector(23170,AMPL_WIDTH), conv_std_logic_vector(23205,AMPL_WIDTH), conv_std_logic_vector(23241,AMPL_WIDTH), conv_std_logic_vector(23276,AMPL_WIDTH), conv_std_logic_vector(23311,AMPL_WIDTH), conv_std_logic_vector(23347,AMPL_WIDTH), conv_std_logic_vector(23382,AMPL_WIDTH), conv_std_logic_vector(23417,AMPL_WIDTH), conv_std_logic_vector(23452,AMPL_WIDTH), conv_std_logic_vector(23487,AMPL_WIDTH), conv_std_logic_vector(23522,AMPL_WIDTH), conv_std_logic_vector(23557,AMPL_WIDTH), conv_std_logic_vector(23592,AMPL_WIDTH), conv_std_logic_vector(23627,AMPL_WIDTH), conv_std_logic_vector(23662,AMPL_WIDTH), conv_std_logic_vector(23697,AMPL_WIDTH), conv_std_logic_vector(23731,AMPL_WIDTH), conv_std_logic_vector(23766,AMPL_WIDTH), conv_std_logic_vector(23801,AMPL_WIDTH), conv_std_logic_vector(23835,AMPL_WIDTH), conv_std_logic_vector(23870,AMPL_WIDTH), conv_std_logic_vector(23904,AMPL_WIDTH), conv_std_logic_vector(23938,AMPL_WIDTH), conv_std_logic_vector(23973,AMPL_WIDTH), conv_std_logic_vector(24007,AMPL_WIDTH), conv_std_logic_vector(24041,AMPL_WIDTH), conv_std_logic_vector(24075,AMPL_WIDTH), conv_std_logic_vector(24109,AMPL_WIDTH), conv_std_logic_vector(24143,AMPL_WIDTH), conv_std_logic_vector(24177,AMPL_WIDTH), conv_std_logic_vector(24211,AMPL_WIDTH), conv_std_logic_vector(24245,AMPL_WIDTH), conv_std_logic_vector(24279,AMPL_WIDTH), conv_std_logic_vector(24312,AMPL_WIDTH), conv_std_logic_vector(24346,AMPL_WIDTH), conv_std_logic_vector(24380,AMPL_WIDTH), conv_std_logic_vector(24413,AMPL_WIDTH), conv_std_logic_vector(24447,AMPL_WIDTH), conv_std_logic_vector(24480,AMPL_WIDTH), conv_std_logic_vector(24514,AMPL_WIDTH), conv_std_logic_vector(24547,AMPL_WIDTH), conv_std_logic_vector(24580,AMPL_WIDTH), conv_std_logic_vector(24613,AMPL_WIDTH), conv_std_logic_vector(24647,AMPL_WIDTH), conv_std_logic_vector(24680,AMPL_WIDTH), conv_std_logic_vector(24713,AMPL_WIDTH), conv_std_logic_vector(24746,AMPL_WIDTH), conv_std_logic_vector(24779,AMPL_WIDTH), conv_std_logic_vector(24811,AMPL_WIDTH), conv_std_logic_vector(24844,AMPL_WIDTH), conv_std_logic_vector(24877,AMPL_WIDTH), conv_std_logic_vector(24910,AMPL_WIDTH), conv_std_logic_vector(24942,AMPL_WIDTH), conv_std_logic_vector(24975,AMPL_WIDTH), conv_std_logic_vector(25007,AMPL_WIDTH), conv_std_logic_vector(25040,AMPL_WIDTH), conv_std_logic_vector(25072,AMPL_WIDTH), conv_std_logic_vector(25105,AMPL_WIDTH), conv_std_logic_vector(25137,AMPL_WIDTH), conv_std_logic_vector(25169,AMPL_WIDTH), conv_std_logic_vector(25201,AMPL_WIDTH), conv_std_logic_vector(25233,AMPL_WIDTH), conv_std_logic_vector(25265,AMPL_WIDTH), conv_std_logic_vector(25297,AMPL_WIDTH), conv_std_logic_vector(25329,AMPL_WIDTH), conv_std_logic_vector(25361,AMPL_WIDTH), conv_std_logic_vector(25393,AMPL_WIDTH), conv_std_logic_vector(25425,AMPL_WIDTH), conv_std_logic_vector(25456,AMPL_WIDTH), conv_std_logic_vector(25488,AMPL_WIDTH), conv_std_logic_vector(25519,AMPL_WIDTH), conv_std_logic_vector(25551,AMPL_WIDTH), conv_std_logic_vector(25582,AMPL_WIDTH), conv_std_logic_vector(25614,AMPL_WIDTH), conv_std_logic_vector(25645,AMPL_WIDTH), conv_std_logic_vector(25676,AMPL_WIDTH), conv_std_logic_vector(25708,AMPL_WIDTH), conv_std_logic_vector(25739,AMPL_WIDTH), conv_std_logic_vector(25770,AMPL_WIDTH), conv_std_logic_vector(25801,AMPL_WIDTH), conv_std_logic_vector(25832,AMPL_WIDTH), conv_std_logic_vector(25863,AMPL_WIDTH), conv_std_logic_vector(25893,AMPL_WIDTH), conv_std_logic_vector(25924,AMPL_WIDTH), conv_std_logic_vector(25955,AMPL_WIDTH), conv_std_logic_vector(25986,AMPL_WIDTH), conv_std_logic_vector(26016,AMPL_WIDTH), conv_std_logic_vector(26047,AMPL_WIDTH), conv_std_logic_vector(26077,AMPL_WIDTH), conv_std_logic_vector(26108,AMPL_WIDTH), conv_std_logic_vector(26138,AMPL_WIDTH), conv_std_logic_vector(26168,AMPL_WIDTH), conv_std_logic_vector(26198,AMPL_WIDTH), conv_std_logic_vector(26229,AMPL_WIDTH), conv_std_logic_vector(26259,AMPL_WIDTH), conv_std_logic_vector(26289,AMPL_WIDTH), conv_std_logic_vector(26319,AMPL_WIDTH), conv_std_logic_vector(26349,AMPL_WIDTH), conv_std_logic_vector(26378,AMPL_WIDTH), conv_std_logic_vector(26408,AMPL_WIDTH), conv_std_logic_vector(26438,AMPL_WIDTH), conv_std_logic_vector(26468,AMPL_WIDTH), conv_std_logic_vector(26497,AMPL_WIDTH), conv_std_logic_vector(26527,AMPL_WIDTH), conv_std_logic_vector(26556,AMPL_WIDTH), conv_std_logic_vector(26586,AMPL_WIDTH), conv_std_logic_vector(26615,AMPL_WIDTH), conv_std_logic_vector(26644,AMPL_WIDTH), conv_std_logic_vector(26674,AMPL_WIDTH), conv_std_logic_vector(26703,AMPL_WIDTH), conv_std_logic_vector(26732,AMPL_WIDTH), conv_std_logic_vector(26761,AMPL_WIDTH), conv_std_logic_vector(26790,AMPL_WIDTH), conv_std_logic_vector(26819,AMPL_WIDTH), conv_std_logic_vector(26848,AMPL_WIDTH), conv_std_logic_vector(26876,AMPL_WIDTH), conv_std_logic_vector(26905,AMPL_WIDTH), conv_std_logic_vector(26934,AMPL_WIDTH), conv_std_logic_vector(26962,AMPL_WIDTH), conv_std_logic_vector(26991,AMPL_WIDTH), conv_std_logic_vector(27019,AMPL_WIDTH), conv_std_logic_vector(27048,AMPL_WIDTH), conv_std_logic_vector(27076,AMPL_WIDTH), conv_std_logic_vector(27104,AMPL_WIDTH), conv_std_logic_vector(27133,AMPL_WIDTH), conv_std_logic_vector(27161,AMPL_WIDTH), conv_std_logic_vector(27189,AMPL_WIDTH), conv_std_logic_vector(27217,AMPL_WIDTH), conv_std_logic_vector(27245,AMPL_WIDTH), conv_std_logic_vector(27273,AMPL_WIDTH), conv_std_logic_vector(27300,AMPL_WIDTH), conv_std_logic_vector(27328,AMPL_WIDTH), conv_std_logic_vector(27356,AMPL_WIDTH), conv_std_logic_vector(27384,AMPL_WIDTH), conv_std_logic_vector(27411,AMPL_WIDTH), conv_std_logic_vector(27439,AMPL_WIDTH), conv_std_logic_vector(27466,AMPL_WIDTH), conv_std_logic_vector(27493,AMPL_WIDTH), conv_std_logic_vector(27521,AMPL_WIDTH), conv_std_logic_vector(27548,AMPL_WIDTH), conv_std_logic_vector(27575,AMPL_WIDTH), conv_std_logic_vector(27602,AMPL_WIDTH), conv_std_logic_vector(27629,AMPL_WIDTH), conv_std_logic_vector(27656,AMPL_WIDTH), conv_std_logic_vector(27683,AMPL_WIDTH), conv_std_logic_vector(27710,AMPL_WIDTH), conv_std_logic_vector(27737,AMPL_WIDTH), conv_std_logic_vector(27764,AMPL_WIDTH), conv_std_logic_vector(27790,AMPL_WIDTH), conv_std_logic_vector(27817,AMPL_WIDTH), conv_std_logic_vector(27843,AMPL_WIDTH), conv_std_logic_vector(27870,AMPL_WIDTH), conv_std_logic_vector(27896,AMPL_WIDTH), conv_std_logic_vector(27923,AMPL_WIDTH), conv_std_logic_vector(27949,AMPL_WIDTH), conv_std_logic_vector(27975,AMPL_WIDTH), conv_std_logic_vector(28001,AMPL_WIDTH), conv_std_logic_vector(28027,AMPL_WIDTH), conv_std_logic_vector(28053,AMPL_WIDTH), conv_std_logic_vector(28079,AMPL_WIDTH), conv_std_logic_vector(28105,AMPL_WIDTH), conv_std_logic_vector(28131,AMPL_WIDTH), conv_std_logic_vector(28157,AMPL_WIDTH), conv_std_logic_vector(28182,AMPL_WIDTH), conv_std_logic_vector(28208,AMPL_WIDTH), conv_std_logic_vector(28234,AMPL_WIDTH), conv_std_logic_vector(28259,AMPL_WIDTH), conv_std_logic_vector(28284,AMPL_WIDTH), conv_std_logic_vector(28310,AMPL_WIDTH), conv_std_logic_vector(28335,AMPL_WIDTH), conv_std_logic_vector(28360,AMPL_WIDTH), conv_std_logic_vector(28385,AMPL_WIDTH), conv_std_logic_vector(28411,AMPL_WIDTH), conv_std_logic_vector(28436,AMPL_WIDTH), conv_std_logic_vector(28460,AMPL_WIDTH), conv_std_logic_vector(28485,AMPL_WIDTH), conv_std_logic_vector(28510,AMPL_WIDTH), conv_std_logic_vector(28535,AMPL_WIDTH), conv_std_logic_vector(28560,AMPL_WIDTH), conv_std_logic_vector(28584,AMPL_WIDTH), conv_std_logic_vector(28609,AMPL_WIDTH), conv_std_logic_vector(28633,AMPL_WIDTH), conv_std_logic_vector(28658,AMPL_WIDTH), conv_std_logic_vector(28682,AMPL_WIDTH), conv_std_logic_vector(28706,AMPL_WIDTH), conv_std_logic_vector(28730,AMPL_WIDTH), conv_std_logic_vector(28755,AMPL_WIDTH), conv_std_logic_vector(28779,AMPL_WIDTH), conv_std_logic_vector(28803,AMPL_WIDTH), conv_std_logic_vector(28827,AMPL_WIDTH), conv_std_logic_vector(28850,AMPL_WIDTH), conv_std_logic_vector(28874,AMPL_WIDTH), conv_std_logic_vector(28898,AMPL_WIDTH), conv_std_logic_vector(28922,AMPL_WIDTH), conv_std_logic_vector(28945,AMPL_WIDTH), conv_std_logic_vector(28969,AMPL_WIDTH), conv_std_logic_vector(28992,AMPL_WIDTH), conv_std_logic_vector(29016,AMPL_WIDTH), conv_std_logic_vector(29039,AMPL_WIDTH), conv_std_logic_vector(29062,AMPL_WIDTH), conv_std_logic_vector(29085,AMPL_WIDTH), conv_std_logic_vector(29108,AMPL_WIDTH), conv_std_logic_vector(29131,AMPL_WIDTH), conv_std_logic_vector(29154,AMPL_WIDTH), conv_std_logic_vector(29177,AMPL_WIDTH), conv_std_logic_vector(29200,AMPL_WIDTH), conv_std_logic_vector(29223,AMPL_WIDTH), conv_std_logic_vector(29246,AMPL_WIDTH), conv_std_logic_vector(29268,AMPL_WIDTH), conv_std_logic_vector(29291,AMPL_WIDTH), conv_std_logic_vector(29313,AMPL_WIDTH), conv_std_logic_vector(29336,AMPL_WIDTH), conv_std_logic_vector(29358,AMPL_WIDTH), conv_std_logic_vector(29380,AMPL_WIDTH), conv_std_logic_vector(29403,AMPL_WIDTH), conv_std_logic_vector(29425,AMPL_WIDTH), conv_std_logic_vector(29447,AMPL_WIDTH), conv_std_logic_vector(29469,AMPL_WIDTH), conv_std_logic_vector(29491,AMPL_WIDTH), conv_std_logic_vector(29513,AMPL_WIDTH), conv_std_logic_vector(29534,AMPL_WIDTH), conv_std_logic_vector(29556,AMPL_WIDTH), conv_std_logic_vector(29578,AMPL_WIDTH), conv_std_logic_vector(29599,AMPL_WIDTH), conv_std_logic_vector(29621,AMPL_WIDTH), conv_std_logic_vector(29642,AMPL_WIDTH), conv_std_logic_vector(29664,AMPL_WIDTH), conv_std_logic_vector(29685,AMPL_WIDTH), conv_std_logic_vector(29706,AMPL_WIDTH), conv_std_logic_vector(29728,AMPL_WIDTH), conv_std_logic_vector(29749,AMPL_WIDTH), conv_std_logic_vector(29770,AMPL_WIDTH), conv_std_logic_vector(29791,AMPL_WIDTH), conv_std_logic_vector(29812,AMPL_WIDTH), conv_std_logic_vector(29832,AMPL_WIDTH), conv_std_logic_vector(29853,AMPL_WIDTH), conv_std_logic_vector(29874,AMPL_WIDTH), conv_std_logic_vector(29894,AMPL_WIDTH), conv_std_logic_vector(29915,AMPL_WIDTH), conv_std_logic_vector(29936,AMPL_WIDTH), conv_std_logic_vector(29956,AMPL_WIDTH), conv_std_logic_vector(29976,AMPL_WIDTH), conv_std_logic_vector(29997,AMPL_WIDTH), conv_std_logic_vector(30017,AMPL_WIDTH), conv_std_logic_vector(30037,AMPL_WIDTH), conv_std_logic_vector(30057,AMPL_WIDTH), conv_std_logic_vector(30077,AMPL_WIDTH), conv_std_logic_vector(30097,AMPL_WIDTH), conv_std_logic_vector(30117,AMPL_WIDTH), conv_std_logic_vector(30136,AMPL_WIDTH), conv_std_logic_vector(30156,AMPL_WIDTH), conv_std_logic_vector(30176,AMPL_WIDTH), conv_std_logic_vector(30195,AMPL_WIDTH), conv_std_logic_vector(30215,AMPL_WIDTH), conv_std_logic_vector(30234,AMPL_WIDTH), conv_std_logic_vector(30253,AMPL_WIDTH), conv_std_logic_vector(30273,AMPL_WIDTH), conv_std_logic_vector(30292,AMPL_WIDTH), conv_std_logic_vector(30311,AMPL_WIDTH), conv_std_logic_vector(30330,AMPL_WIDTH), conv_std_logic_vector(30349,AMPL_WIDTH), conv_std_logic_vector(30368,AMPL_WIDTH), conv_std_logic_vector(30387,AMPL_WIDTH), conv_std_logic_vector(30406,AMPL_WIDTH), conv_std_logic_vector(30424,AMPL_WIDTH), conv_std_logic_vector(30443,AMPL_WIDTH), conv_std_logic_vector(30462,AMPL_WIDTH), conv_std_logic_vector(30480,AMPL_WIDTH), conv_std_logic_vector(30498,AMPL_WIDTH), conv_std_logic_vector(30517,AMPL_WIDTH), conv_std_logic_vector(30535,AMPL_WIDTH), conv_std_logic_vector(30553,AMPL_WIDTH), conv_std_logic_vector(30571,AMPL_WIDTH), conv_std_logic_vector(30589,AMPL_WIDTH), conv_std_logic_vector(30607,AMPL_WIDTH), conv_std_logic_vector(30625,AMPL_WIDTH), conv_std_logic_vector(30643,AMPL_WIDTH), conv_std_logic_vector(30661,AMPL_WIDTH), conv_std_logic_vector(30679,AMPL_WIDTH), conv_std_logic_vector(30696,AMPL_WIDTH), conv_std_logic_vector(30714,AMPL_WIDTH), conv_std_logic_vector(30731,AMPL_WIDTH), conv_std_logic_vector(30749,AMPL_WIDTH), conv_std_logic_vector(30766,AMPL_WIDTH), conv_std_logic_vector(30783,AMPL_WIDTH), conv_std_logic_vector(30800,AMPL_WIDTH), conv_std_logic_vector(30818,AMPL_WIDTH), conv_std_logic_vector(30835,AMPL_WIDTH), conv_std_logic_vector(30852,AMPL_WIDTH), conv_std_logic_vector(30868,AMPL_WIDTH), conv_std_logic_vector(30885,AMPL_WIDTH), conv_std_logic_vector(30902,AMPL_WIDTH), conv_std_logic_vector(30919,AMPL_WIDTH), conv_std_logic_vector(30935,AMPL_WIDTH), conv_std_logic_vector(30952,AMPL_WIDTH), conv_std_logic_vector(30968,AMPL_WIDTH), conv_std_logic_vector(30985,AMPL_WIDTH), conv_std_logic_vector(31001,AMPL_WIDTH), conv_std_logic_vector(31017,AMPL_WIDTH), conv_std_logic_vector(31033,AMPL_WIDTH), conv_std_logic_vector(31050,AMPL_WIDTH), conv_std_logic_vector(31066,AMPL_WIDTH), conv_std_logic_vector(31082,AMPL_WIDTH), conv_std_logic_vector(31097,AMPL_WIDTH), conv_std_logic_vector(31113,AMPL_WIDTH), conv_std_logic_vector(31129,AMPL_WIDTH), conv_std_logic_vector(31145,AMPL_WIDTH), conv_std_logic_vector(31160,AMPL_WIDTH), conv_std_logic_vector(31176,AMPL_WIDTH), conv_std_logic_vector(31191,AMPL_WIDTH), conv_std_logic_vector(31206,AMPL_WIDTH), conv_std_logic_vector(31222,AMPL_WIDTH), conv_std_logic_vector(31237,AMPL_WIDTH), conv_std_logic_vector(31252,AMPL_WIDTH), conv_std_logic_vector(31267,AMPL_WIDTH), conv_std_logic_vector(31282,AMPL_WIDTH), conv_std_logic_vector(31297,AMPL_WIDTH), conv_std_logic_vector(31312,AMPL_WIDTH), conv_std_logic_vector(31327,AMPL_WIDTH), conv_std_logic_vector(31341,AMPL_WIDTH), conv_std_logic_vector(31356,AMPL_WIDTH), conv_std_logic_vector(31371,AMPL_WIDTH), conv_std_logic_vector(31385,AMPL_WIDTH), conv_std_logic_vector(31400,AMPL_WIDTH), conv_std_logic_vector(31414,AMPL_WIDTH), conv_std_logic_vector(31428,AMPL_WIDTH), conv_std_logic_vector(31442,AMPL_WIDTH), conv_std_logic_vector(31456,AMPL_WIDTH), conv_std_logic_vector(31470,AMPL_WIDTH), conv_std_logic_vector(31484,AMPL_WIDTH), conv_std_logic_vector(31498,AMPL_WIDTH), conv_std_logic_vector(31512,AMPL_WIDTH), conv_std_logic_vector(31526,AMPL_WIDTH), conv_std_logic_vector(31539,AMPL_WIDTH), conv_std_logic_vector(31553,AMPL_WIDTH), conv_std_logic_vector(31567,AMPL_WIDTH), conv_std_logic_vector(31580,AMPL_WIDTH), conv_std_logic_vector(31593,AMPL_WIDTH), conv_std_logic_vector(31607,AMPL_WIDTH), conv_std_logic_vector(31620,AMPL_WIDTH), conv_std_logic_vector(31633,AMPL_WIDTH), conv_std_logic_vector(31646,AMPL_WIDTH), conv_std_logic_vector(31659,AMPL_WIDTH), conv_std_logic_vector(31672,AMPL_WIDTH), conv_std_logic_vector(31685,AMPL_WIDTH), conv_std_logic_vector(31698,AMPL_WIDTH), conv_std_logic_vector(31710,AMPL_WIDTH), conv_std_logic_vector(31723,AMPL_WIDTH), conv_std_logic_vector(31736,AMPL_WIDTH), conv_std_logic_vector(31748,AMPL_WIDTH), conv_std_logic_vector(31760,AMPL_WIDTH), conv_std_logic_vector(31773,AMPL_WIDTH), conv_std_logic_vector(31785,AMPL_WIDTH), conv_std_logic_vector(31797,AMPL_WIDTH), conv_std_logic_vector(31809,AMPL_WIDTH), conv_std_logic_vector(31821,AMPL_WIDTH), conv_std_logic_vector(31833,AMPL_WIDTH), conv_std_logic_vector(31845,AMPL_WIDTH), conv_std_logic_vector(31857,AMPL_WIDTH), conv_std_logic_vector(31869,AMPL_WIDTH), conv_std_logic_vector(31880,AMPL_WIDTH), conv_std_logic_vector(31892,AMPL_WIDTH), conv_std_logic_vector(31903,AMPL_WIDTH), conv_std_logic_vector(31915,AMPL_WIDTH), conv_std_logic_vector(31926,AMPL_WIDTH), conv_std_logic_vector(31937,AMPL_WIDTH), conv_std_logic_vector(31949,AMPL_WIDTH), conv_std_logic_vector(31960,AMPL_WIDTH), conv_std_logic_vector(31971,AMPL_WIDTH), conv_std_logic_vector(31982,AMPL_WIDTH), conv_std_logic_vector(31993,AMPL_WIDTH), conv_std_logic_vector(32004,AMPL_WIDTH), conv_std_logic_vector(32014,AMPL_WIDTH), conv_std_logic_vector(32025,AMPL_WIDTH), conv_std_logic_vector(32036,AMPL_WIDTH), conv_std_logic_vector(32046,AMPL_WIDTH), conv_std_logic_vector(32057,AMPL_WIDTH), conv_std_logic_vector(32067,AMPL_WIDTH), conv_std_logic_vector(32077,AMPL_WIDTH), conv_std_logic_vector(32087,AMPL_WIDTH), conv_std_logic_vector(32098,AMPL_WIDTH), conv_std_logic_vector(32108,AMPL_WIDTH), conv_std_logic_vector(32118,AMPL_WIDTH), conv_std_logic_vector(32128,AMPL_WIDTH), conv_std_logic_vector(32137,AMPL_WIDTH), conv_std_logic_vector(32147,AMPL_WIDTH), conv_std_logic_vector(32157,AMPL_WIDTH), conv_std_logic_vector(32166,AMPL_WIDTH), conv_std_logic_vector(32176,AMPL_WIDTH), conv_std_logic_vector(32185,AMPL_WIDTH), conv_std_logic_vector(32195,AMPL_WIDTH), conv_std_logic_vector(32204,AMPL_WIDTH), conv_std_logic_vector(32213,AMPL_WIDTH), conv_std_logic_vector(32223,AMPL_WIDTH), conv_std_logic_vector(32232,AMPL_WIDTH), conv_std_logic_vector(32241,AMPL_WIDTH), conv_std_logic_vector(32250,AMPL_WIDTH), conv_std_logic_vector(32258,AMPL_WIDTH), conv_std_logic_vector(32267,AMPL_WIDTH), conv_std_logic_vector(32276,AMPL_WIDTH), conv_std_logic_vector(32285,AMPL_WIDTH), conv_std_logic_vector(32293,AMPL_WIDTH), conv_std_logic_vector(32302,AMPL_WIDTH), conv_std_logic_vector(32310,AMPL_WIDTH), conv_std_logic_vector(32318,AMPL_WIDTH), conv_std_logic_vector(32327,AMPL_WIDTH), conv_std_logic_vector(32335,AMPL_WIDTH), conv_std_logic_vector(32343,AMPL_WIDTH), conv_std_logic_vector(32351,AMPL_WIDTH), conv_std_logic_vector(32359,AMPL_WIDTH), conv_std_logic_vector(32367,AMPL_WIDTH), conv_std_logic_vector(32375,AMPL_WIDTH), conv_std_logic_vector(32382,AMPL_WIDTH), conv_std_logic_vector(32390,AMPL_WIDTH), conv_std_logic_vector(32397,AMPL_WIDTH), conv_std_logic_vector(32405,AMPL_WIDTH), conv_std_logic_vector(32412,AMPL_WIDTH), conv_std_logic_vector(32420,AMPL_WIDTH), conv_std_logic_vector(32427,AMPL_WIDTH), conv_std_logic_vector(32434,AMPL_WIDTH), conv_std_logic_vector(32441,AMPL_WIDTH), conv_std_logic_vector(32448,AMPL_WIDTH), conv_std_logic_vector(32455,AMPL_WIDTH), conv_std_logic_vector(32462,AMPL_WIDTH), conv_std_logic_vector(32469,AMPL_WIDTH), conv_std_logic_vector(32476,AMPL_WIDTH), conv_std_logic_vector(32482,AMPL_WIDTH), conv_std_logic_vector(32489,AMPL_WIDTH), conv_std_logic_vector(32495,AMPL_WIDTH), conv_std_logic_vector(32502,AMPL_WIDTH), conv_std_logic_vector(32508,AMPL_WIDTH), conv_std_logic_vector(32514,AMPL_WIDTH), conv_std_logic_vector(32521,AMPL_WIDTH), conv_std_logic_vector(32527,AMPL_WIDTH), conv_std_logic_vector(32533,AMPL_WIDTH), conv_std_logic_vector(32539,AMPL_WIDTH), conv_std_logic_vector(32545,AMPL_WIDTH), conv_std_logic_vector(32550,AMPL_WIDTH), conv_std_logic_vector(32556,AMPL_WIDTH), conv_std_logic_vector(32562,AMPL_WIDTH), conv_std_logic_vector(32567,AMPL_WIDTH), conv_std_logic_vector(32573,AMPL_WIDTH), conv_std_logic_vector(32578,AMPL_WIDTH), conv_std_logic_vector(32584,AMPL_WIDTH), conv_std_logic_vector(32589,AMPL_WIDTH), conv_std_logic_vector(32594,AMPL_WIDTH), conv_std_logic_vector(32599,AMPL_WIDTH), conv_std_logic_vector(32604,AMPL_WIDTH), conv_std_logic_vector(32609,AMPL_WIDTH), conv_std_logic_vector(32614,AMPL_WIDTH), conv_std_logic_vector(32619,AMPL_WIDTH), conv_std_logic_vector(32624,AMPL_WIDTH), conv_std_logic_vector(32628,AMPL_WIDTH), conv_std_logic_vector(32633,AMPL_WIDTH), conv_std_logic_vector(32637,AMPL_WIDTH), conv_std_logic_vector(32642,AMPL_WIDTH), conv_std_logic_vector(32646,AMPL_WIDTH), conv_std_logic_vector(32650,AMPL_WIDTH), conv_std_logic_vector(32655,AMPL_WIDTH), conv_std_logic_vector(32659,AMPL_WIDTH), conv_std_logic_vector(32663,AMPL_WIDTH), conv_std_logic_vector(32667,AMPL_WIDTH), conv_std_logic_vector(32671,AMPL_WIDTH), conv_std_logic_vector(32674,AMPL_WIDTH), conv_std_logic_vector(32678,AMPL_WIDTH), conv_std_logic_vector(32682,AMPL_WIDTH), conv_std_logic_vector(32685,AMPL_WIDTH), conv_std_logic_vector(32689,AMPL_WIDTH), conv_std_logic_vector(32692,AMPL_WIDTH), conv_std_logic_vector(32696,AMPL_WIDTH), conv_std_logic_vector(32699,AMPL_WIDTH), conv_std_logic_vector(32702,AMPL_WIDTH), conv_std_logic_vector(32705,AMPL_WIDTH), conv_std_logic_vector(32708,AMPL_WIDTH), conv_std_logic_vector(32711,AMPL_WIDTH), conv_std_logic_vector(32714,AMPL_WIDTH), conv_std_logic_vector(32717,AMPL_WIDTH), conv_std_logic_vector(32720,AMPL_WIDTH), conv_std_logic_vector(32722,AMPL_WIDTH), conv_std_logic_vector(32725,AMPL_WIDTH), conv_std_logic_vector(32728,AMPL_WIDTH), conv_std_logic_vector(32730,AMPL_WIDTH), conv_std_logic_vector(32732,AMPL_WIDTH), conv_std_logic_vector(32735,AMPL_WIDTH), conv_std_logic_vector(32737,AMPL_WIDTH), conv_std_logic_vector(32739,AMPL_WIDTH), conv_std_logic_vector(32741,AMPL_WIDTH), conv_std_logic_vector(32743,AMPL_WIDTH), conv_std_logic_vector(32745,AMPL_WIDTH), conv_std_logic_vector(32747,AMPL_WIDTH), conv_std_logic_vector(32748,AMPL_WIDTH), conv_std_logic_vector(32750,AMPL_WIDTH), conv_std_logic_vector(32752,AMPL_WIDTH), conv_std_logic_vector(32753,AMPL_WIDTH), conv_std_logic_vector(32755,AMPL_WIDTH), conv_std_logic_vector(32756,AMPL_WIDTH), conv_std_logic_vector(32757,AMPL_WIDTH), conv_std_logic_vector(32758,AMPL_WIDTH), conv_std_logic_vector(32759,AMPL_WIDTH), conv_std_logic_vector(32760,AMPL_WIDTH), conv_std_logic_vector(32761,AMPL_WIDTH), conv_std_logic_vector(32762,AMPL_WIDTH), conv_std_logic_vector(32763,AMPL_WIDTH), conv_std_logic_vector(32764,AMPL_WIDTH), conv_std_logic_vector(32765,AMPL_WIDTH), conv_std_logic_vector(32765,AMPL_WIDTH), conv_std_logic_vector(32766,AMPL_WIDTH), conv_std_logic_vector(32766,AMPL_WIDTH), conv_std_logic_vector(32766,AMPL_WIDTH), conv_std_logic_vector(32767,AMPL_WIDTH), conv_std_logic_vector(32767,AMPL_WIDTH), conv_std_logic_vector(32767,AMPL_WIDTH) ); end sine_lut_pkg; package body sine_lut_pkg is end sine_lut_pkg;
apache-2.0
dm-urievich/afc-smm
software/LEDA.vhd
1
2131
--???21EDA?? --?????:A-C8V4 --www.21eda.com --??LED???LED??????0??????1??? --????????21EDA???????? LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.std_logic_unsigned.ALL; ENTITY LEDA is PORT( clk:in STD_LOGIC; --System Clk led1:out STD_LOGIC_VECTOR(3 DOWNTO 0) ); END LEDA ; ARCHITECTURE light OF LEDA IS SIGNAL clk1 :std_logic; BEGIN PROCESS( clk ) VARIABLE Count : integer range 0 to 65535; BEGIN IF( clk'EVENT AND clk='1' ) THEN IF( Count > 50000 ) THEN Count := 0; clk1 <= '1'; ELSE Count := Count + 1; clk1 <= '0'; END IF; END IF; END PROCESS; --------------------------------------------------------- P2:PROCESS(clk) variable count1:INTEGER RANGE 0 TO 7; BEGIN IF clk'event AND clk='1'THEN if (clk1 = '1') then if count1<=4 then if count1=4 then count1:=0; end if; CASE count1 IS WHEN 0=>led1<="0111"; WHEN 1=>led1<="1011"; WHEN 2=>led1<="1101"; WHEN 3=>led1<="1110"; WHEN OTHERS=>led1<="1111"; END CASE; count1:=count1+1; end if; end if; end if; end process; END light;
apache-2.0
xuefei1/ElectronicEngineControl
vhd/frequency_counter.vhd
3
1272
-- frequency_counter.vhd -- This file was auto-generated as a prototype implementation of a module -- created in component editor. It ties off all outputs to ground and -- ignores all inputs. It needs to be edited to make it do something -- useful. -- -- This file will not be automatically regenerated. You should check it in -- to your version control system if you want to keep it. library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity frequency_counter is generic ( AUTO_CLOCK_CLOCK_RATE : string := "-1" ); port ( clock : in std_logic := '0'; -- clock.clk data_out : out std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; -- avalon_slave.readdata freq_in : in std_logic := '0'; -- conduit_end.export reset : in std_logic := '0' -- reset.reset_n ); end entity frequency_counter; architecture rtl of frequency_counter is signal count : std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; begin process(freq_in) begin if(freq_in = '1') then count <= std_logic_vector( unsigned(count) + 1 ); data_out <= count; end if; end process; end architecture rtl; -- of frequency_counter
apache-2.0
xuefei1/ElectronicEngineControl
niosII_system/synthesis/submodules/solenoid_controller.vhd
2
2625
-- solenoid_controller.vhd -- Author: Fred -- Status: Tested and passed library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity solenoid_controller is port ( clock : in std_logic := '0'; -- clock status : out std_logic_vector(7 downto 0) := "00000000"; -- read control : in std_logic_vector(7 downto 0) := "00000000"; -- write chip_select : in std_logic := '0'; -- cs write_enable : in std_logic := '0'; -- write enable btn_shift_up_in : in std_logic := '0'; -- conduit_end.export btn_shift_down_in : in std_logic := '0'; -- conduit_end.export sol_shift_up_out : out std_logic := '0'; -- conduit_end.export sol_shift_down_out : out std_logic := '0'; -- conduit_end.export irq_btn_out : out std_logic := '0'; -- conduit_end.export reset : in std_logic := '0' -- reset ); end entity solenoid_controller; architecture ctrl of solenoid_controller is signal handling_btn : std_logic := '0'; signal handling_control : std_logic := '0'; signal tmp_control : std_logic_vector(7 downto 0) := "00000000"; begin process(clock, reset) begin if(reset = '1') then irq_btn_out <= '0'; status <= "00000000"; sol_shift_up_out <= '0'; sol_shift_down_out <= '0'; handling_btn <= '0'; handling_control <= '0'; elsif(rising_edge(clock)) then if(btn_shift_up_in = '1' and handling_btn = '0') then handling_btn <= '1'; status <= "00000001"; irq_btn_out <= '1'; elsif (btn_shift_down_in = '1' and handling_btn = '0') then handling_btn <= '1'; status <= "00000010"; irq_btn_out <= '1'; elsif(btn_shift_up_in = '0' and btn_shift_down_in = '0') then handling_btn <= '0'; status <= "00000000"; end if; if(write_enable = '1' and chip_select = '1') then tmp_control <= control; end if; if(tmp_control = "00000000") then sol_shift_up_out <= '0'; sol_shift_down_out <= '0'; elsif(unsigned(tmp_control AND "10000000") = 128) then irq_btn_out <= '0'; status <= "00000000"; elsif(unsigned(tmp_control AND "00000001") = 1) then sol_shift_up_out <= '1'; sol_shift_down_out <= '0'; elsif(unsigned(tmp_control AND "00000010") = 2) then sol_shift_up_out <= '0'; sol_shift_down_out <= '1'; end if; end if; end process; end architecture ctrl; -- of solenoid_controller
apache-2.0
corneydavid/HT_FPGA
Exercises/Demonstrations/Failing Path in CLIP/DemoClipAdder.vhd
1
872
Library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity DemoClipAdder is port ( clk : in std_logic; aReset : in std_logic; cPortA : in std_logic_vector(63 downto 0); cPortB : in std_logic_vector(63 downto 0); cPortC : in std_logic_vector(63 downto 0); cPortD : in std_logic_vector(63 downto 0); cPortE : in std_logic_vector(63 downto 0); cAddOut : out std_logic_vector(63 downto 0) := (others => '0') ); end DemoClipAdder; architecture rtl of DemoClipAdder is begin process(aReset, clk) begin if(aReset = '1') then cAddOut <= (others => '0'); elsif rising_edge(clk) then cAddOut <= std_logic_vector(signed(cPortA) + signed(cPortB) + signed(cPortC) + signed(cPortD) + signed(cPortE)); end if; end process; end rtl;
apache-2.0
Krabby127/ADC
scratch.vhd
1
3152
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.all; library std; USE std.textio.all; entity tb2 is end entity; architecture behav2 of tb2 is component adclb port ( reset :in std_logic; clk :in std_logic; clrb :in std_logic; scl :out std_logic; sdai :in std_logic; sdao :out std_logic; sda_oe :out std_logic; min_flag :out std_logic; max_flag :out std_logic; diff_flag :out std_logic; max :out std_logic_vector (7 downto 0); min :out std_logic_vector (7 downto 0); value :inout std_logic_vector (7 downto 0) -- data sent back to master ); end component; signal clk : std_logic; signal reset : std_logic; signal sdai : std_logic; signal sdao : std_logic; signal sda_oe : std_logic; signal min_i : std_logic; signal max_i : std_logic; signal diff_i : std_logic; signal upd : std_logic; signal scl : std_logic; -- signal start_bit : std_logic; -- signal stop_bit : std_logic; -- shared variable bit_count : integer := 0; begin adc: adclb port map ( reset => reset, clk => clk, clrb => reset, scl => scl, sdai => sdai, sdao => sdao, sda_oe => sda_oe, diff_flag => diff_i, min_flag => min_i, max_flag => max_i, max => open, min => open, value => open ); clk_p:process begin clk <= '1'; wait for 5 ns; clk <= '0'; wait for 5 ns; end process; testb:process begin reset <= '1'; -- start_bit <= '0'; sdai <= '0'; upd <= '0'; wait for 200ns; reset <= '0'; wait for 5ms; reset<='1'; wait for 200ns; reset<='0'; wait for 6103us; sdai <= '1'; wait for 2us; sdai <= '0'; wait; end process; -- start_b:process -- begin -- wait until falling_edge(sdao); ---- wait for 0.64us; -- wait until falling_edge(scl); -- if stop_bit /= '1' then -- start_bit<='1'; -- else -- start_bit<='0'; -- end if; -- end process; -- -- -- stop_b:process -- begin -- wait until rising_edge(scl) and sdao='0'; -- if sdao='0' then -- stop_bit<='1'; -- else -- stop_bit<='0'; -- end if; ---- if rising_edge(sdao) then ---- stop_bit<='1'; ---- else ---- stop_bit<='0'; ---- end if; -- end process; -- -- count_bits:process -- begin -- if stop_bit='1' then -- bit_count:=0; -- end if; -- wait until start_bit='1'; -- if rising_edge(scl) then -- bit_count:=bit_count+1; -- else -- bit_count:=bit_count; -- end if; -- end process; end;
apache-2.0
takeshineshiro/utrasound_fpga_modelsim
fpga_sim/model_trans/work/@a@d9273_@s@p@i_@config/_primary.vhd
1
1875
library verilog; use verilog.vl_types.all; entity AD9273_SPI_Config is generic( CHIP_PORT_CONFIG: integer := 24; CHIP_ID : integer := 47; CHIP_GRADE : integer := 16; DEVICE_INDEX2 : integer := 15; DEVICE_INDEX1 : integer := 15; DEVICE_UPDATE_EN: integer := 1; DEVICE_UPDATE_DIS: integer := 0; Modes : integer := 0; Clock : integer := 1; TEST_IO : integer := 0; FLEX_CHANNEL_INPUT: integer := 14; FLEX_OFFSET : integer := 32; FLEX_GAIN : integer := 14; BIAS_CURRENT : integer := 8; OUTPUT_MODE : integer := 0; OUTPUT_ADJUST : integer := 49; OUTPUT_PHASE : integer := 3; FLEX_VREF : integer := 0; USER_PATT1_LSB : integer := 0; USER_PATT1_MSB : integer := 0; USER_PATT2_LSB : integer := 0; USER_PATT2_MSB : integer := 0; SERIAL_CONTROL : integer := 0; SERIAL_CH_STAT : integer := 0; FLEX_FILTER : integer := 0; ANALOG_INPUT : integer := 1; CROSS_POINT_SWITCH: integer := 0; DELAY_A : integer := 3; DELAY_B : integer := 3; DELAY_C : integer := 3; DELAY_D : integer := 3; DELAY_E : integer := 3; DELAY_F : integer := 3; DELAY_G : integer := 3; DELAY_H : integer := 3; SPI_PR : integer := 0; SPI_REQ : integer := 1; SPI_WAIT : integer := 2; SPI_END : integer := 3 ); port( RST_n : in vl_logic; SPI_CLK : in vl_logic; SPI_Data : inout vl_logic; SPI_CS : out vl_logic ); end AD9273_SPI_Config;
apache-2.0
airhdl/spi-to-axi-bridge
tb/tb_spi2axi.vhd
1
9013
---------------------------------------------------------------------------------------------------- -- -- SPI to AXI4-Lite Bridge Testbench -- -- Description: -- OSVVM testbench for the SPI to AXI4-Lite Bridge component. Use SPI master verification -- component (VC) to issue SPI transactions to the unit under test, and AXI4Lite subordinate -- VC to emulate an AXI4 lite register bank. -- -- Author(s): -- Guy Eschemann, [email protected] -- ---------------------------------------------------------------------------------------------------- -- -- Copyright (c) 2022 Guy Eschemann -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ---------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library OSVVM; context OSVVM.OsvvmContext; library osvvm_spi; context osvvm_spi.SpiContext; library osvvm_axi4; context osvvm_axi4.Axi4LiteContext; entity tb_spi2axi is generic( SPI_CPOL : natural range 0 to 1 := 0; -- SPI clock polarity SPI_CPHA : natural range 0 to 1 := 0 -- SPI clock phase ); end entity tb_spi2axi; architecture TestHarness of tb_spi2axi is ------------------------------------------------------------------------------- -- Components ------------------------------------------------------------------------------- component tb_spi2axi_testctrl is generic( SPI_CPOL : natural range 0 to 1; -- SPI clock polarity SPI_CPHA : natural range 0 to 1 -- SPI clock phase ); port( -- Record Interfaces SpiRec : inout SpiRecType; Axi4MemRec : inout AddressBusRecType; -- Global Signal Interface Clk : in std_logic; nReset : in std_logic ); end component; ------------------------------------------------------------------------------------------------ -- Constants ------------------------------------------------------------------------------------------------ constant AXI_ADDR_WIDTH : integer := 32; -- AXI address bus width, in bits constant AXI_DATA_WIDTH : integer := 32; constant AXI_STRB_WIDTH : integer := AXI_DATA_WIDTH / 8; constant AXI_CLK_PERIOD : time := 10 ns; constant TPD : time := 2 ns; ------------------------------------------------------------------------------------------------ -- Signals ------------------------------------------------------------------------------------------------ signal Axi4LiteBus : Axi4LiteRecType( WriteAddress(Addr(AXI_ADDR_WIDTH - 1 downto 0)), WriteData(Data(AXI_DATA_WIDTH - 1 downto 0), Strb(AXI_STRB_WIDTH - 1 downto 0)), ReadAddress(Addr(AXI_ADDR_WIDTH - 1 downto 0)), ReadData(Data(AXI_DATA_WIDTH - 1 downto 0)) ); signal Axi4MemRec : AddressBusRecType( Address(AXI_ADDR_WIDTH - 1 downto 0), DataToModel(AXI_DATA_WIDTH - 1 downto 0), DataFromModel(AXI_DATA_WIDTH - 1 downto 0) ); signal SpiRec : SpiRecType; signal spi_sck : std_logic; -- SPI clock signal spi_ss_n : std_logic; -- SPI slave select (low active) signal spi_mosi : std_logic; -- SPI master-out-slave-in signal spi_miso : std_logic; -- SPI master-in-slave-out signal axi_aclk : std_logic; signal axi_aresetn : std_logic; signal s_axi_awvalid : std_logic; signal s_axi_awvalid_mask : std_logic := '1'; -- @suppress "signal s_axi_awvalid_mask is never written" signal s_axi_arvalid : std_logic; signal s_axi_arvalid_mask : std_logic := '1'; -- @suppress "signal s_axi_arvalid_mask is never written" begin ------------------------------------------------------------------------------------------------ -- Clock generator ------------------------------------------------------------------------------------------------ Osvvm.TbUtilPkg.CreateClock( Clk => axi_aclk, Period => AXI_CLK_PERIOD ); ------------------------------------------------------------------------------------------------ -- Reset generator ------------------------------------------------------------------------------------------------ Osvvm.TbUtilPkg.CreateReset( Reset => axi_aresetn, ResetActive => '0', Clk => axi_aclk, Period => 7 * AXI_CLK_PERIOD, tpd => TPD ); ------------------------------------------------------------------------------------------------ -- Test controller ------------------------------------------------------------------------------------------------ testctrl_inst : tb_spi2axi_testctrl generic map( SPI_CPOL => SPI_CPOL, SPI_CPHA => SPI_CPHA ) port map( SpiRec => SpiRec, Axi4MemRec => Axi4MemRec, Clk => axi_aclk, nReset => axi_aresetn ); ------------------------------------------------------------------------------------------------ -- SPI master verification component ------------------------------------------------------------------------------------------------ spi_master_inst : entity osvvm_spi.Spi generic map( MODEL_ID_NAME => "Spi", DEFAULT_SCLK_PERIOD => SPI_SCLK_PERIOD_1M ) port map( TransRec => SpiRec, SCLK => spi_sck, SS => spi_ss_n, MOSI => spi_mosi, MISO => spi_miso ); ------------------------------------------------------------------------------------------------ -- Unit under test ------------------------------------------------------------------------------------------------ uut : entity work.spi2axi generic map( SPI_CPOL => SPI_CPOL, SPI_CPHA => SPI_CPHA, AXI_ADDR_WIDTH => AXI_ADDR_WIDTH ) port map( spi_sck => spi_sck, spi_ss_n => spi_ss_n, spi_mosi => spi_mosi, spi_miso => spi_miso, axi_aclk => axi_aclk, axi_aresetn => axi_aresetn, s_axi_awaddr => Axi4LiteBus.WriteAddress.Addr, s_axi_awprot => Axi4LiteBus.WriteAddress.Prot, s_axi_awvalid => s_axi_awvalid, -- Axi4LiteBus.WriteAddress.Valid, s_axi_awready => Axi4LiteBus.WriteAddress.Ready, s_axi_wdata => Axi4LiteBus.WriteData.Data, s_axi_wstrb => Axi4LiteBus.WriteData.Strb, s_axi_wvalid => Axi4LiteBus.WriteData.Valid, s_axi_wready => Axi4LiteBus.WriteData.Ready, s_axi_araddr => Axi4LiteBus.ReadAddress.Addr, s_axi_arprot => Axi4LiteBus.ReadAddress.Prot, s_axi_arvalid => s_axi_arvalid, s_axi_arready => Axi4LiteBus.ReadAddress.Ready, s_axi_rdata => Axi4LiteBus.ReadData.Data, s_axi_rresp => Axi4LiteBus.ReadData.Resp, s_axi_rvalid => Axi4LiteBus.ReadData.Valid, s_axi_rready => Axi4LiteBus.ReadData.Ready, s_axi_bresp => Axi4LiteBus.WriteResponse.Resp, s_axi_bvalid => Axi4LiteBus.WriteResponse.Valid, s_axi_bready => Axi4LiteBus.WriteResponse.Ready ); Axi4LiteBus.WriteAddress.Valid <= s_axi_awvalid and s_axi_awvalid_mask; Axi4LiteBus.ReadAddress.Valid <= s_axi_arvalid and s_axi_arvalid_mask; ------------------------------------------------------------------------------------------------ -- AXI4 lite memory verification component ------------------------------------------------------------------------------------------------ axi4lite_memory_inst : entity osvvm_axi4.Axi4LiteMemory generic map( MODEL_ID_NAME => "Axi4LiteMemory", MEMORY_NAME => "Axi4LiteMemory", tperiod_Clk => AXI_CLK_PERIOD ) port map( -- Globals Clk => axi_aclk, nReset => axi_aresetn, -- AXI Manager Functional Interface AxiBus => Axi4LiteBus, -- Testbench Transaction Interface TransRec => Axi4MemRec ); end architecture TestHarness;
apache-2.0
takeshineshiro/utrasound_fpga_modelsim
fpga_sim/model_trans/work/butterfly_radix4_2nd/_primary.vhd
2
5250
library verilog; use verilog.vl_types.all; entity butterfly_radix4_2nd is generic( cos0 : integer := 32767; cos1 : integer := 32767; cos2 : integer := 32767; cos3 : integer := 30273; cos4 : integer := 23170; cos5 : integer := 12540; cos6 : integer := 23170; cos7 : integer := 0; cos8 : integer := 42366; cos9 : integer := 12540; cos10 : integer := 42366; cos11 : integer := 35263; sin0 : integer := 0; sin1 : integer := 0; sin2 : integer := 0; sin3 : integer := 52996; sin4 : integer := 42366; sin5 : integer := 35263; sin6 : integer := 42366; sin7 : integer := 32769; sin8 : integer := 42366; sin9 : integer := 35263; sin10 : integer := 42366; sin11 : integer := 12540 ); port( clk : in vl_logic; re_0 : in vl_logic_vector(15 downto 0); re_1 : in vl_logic_vector(15 downto 0); re_2 : in vl_logic_vector(15 downto 0); re_3 : in vl_logic_vector(15 downto 0); re_4 : in vl_logic_vector(15 downto 0); re_5 : in vl_logic_vector(15 downto 0); re_6 : in vl_logic_vector(15 downto 0); re_7 : in vl_logic_vector(15 downto 0); re_8 : in vl_logic_vector(15 downto 0); re_9 : in vl_logic_vector(15 downto 0); re_10 : in vl_logic_vector(15 downto 0); re_11 : in vl_logic_vector(15 downto 0); re_12 : in vl_logic_vector(15 downto 0); re_13 : in vl_logic_vector(15 downto 0); re_14 : in vl_logic_vector(15 downto 0); re_15 : in vl_logic_vector(15 downto 0); im_0 : in vl_logic_vector(15 downto 0); im_1 : in vl_logic_vector(15 downto 0); im_2 : in vl_logic_vector(15 downto 0); im_3 : in vl_logic_vector(15 downto 0); im_4 : in vl_logic_vector(15 downto 0); im_5 : in vl_logic_vector(15 downto 0); im_6 : in vl_logic_vector(15 downto 0); im_7 : in vl_logic_vector(15 downto 0); im_8 : in vl_logic_vector(15 downto 0); im_9 : in vl_logic_vector(15 downto 0); im_10 : in vl_logic_vector(15 downto 0); im_11 : in vl_logic_vector(15 downto 0); im_12 : in vl_logic_vector(15 downto 0); im_13 : in vl_logic_vector(15 downto 0); im_14 : in vl_logic_vector(15 downto 0); im_15 : in vl_logic_vector(15 downto 0); butterfly_re0 : out vl_logic_vector(15 downto 0); butterfly_re1 : out vl_logic_vector(15 downto 0); butterfly_re2 : out vl_logic_vector(15 downto 0); butterfly_re3 : out vl_logic_vector(15 downto 0); butterfly_re4 : out vl_logic_vector(15 downto 0); butterfly_re5 : out vl_logic_vector(15 downto 0); butterfly_re6 : out vl_logic_vector(15 downto 0); butterfly_re7 : out vl_logic_vector(15 downto 0); butterfly_re8 : out vl_logic_vector(15 downto 0); butterfly_re9 : out vl_logic_vector(15 downto 0); butterfly_re10 : out vl_logic_vector(15 downto 0); butterfly_re11 : out vl_logic_vector(15 downto 0); butterfly_re12 : out vl_logic_vector(15 downto 0); butterfly_re13 : out vl_logic_vector(15 downto 0); butterfly_re14 : out vl_logic_vector(15 downto 0); butterfly_re15 : out vl_logic_vector(15 downto 0); butterfly_im0 : out vl_logic_vector(15 downto 0); butterfly_im1 : out vl_logic_vector(15 downto 0); butterfly_im2 : out vl_logic_vector(15 downto 0); butterfly_im3 : out vl_logic_vector(15 downto 0); butterfly_im4 : out vl_logic_vector(15 downto 0); butterfly_im5 : out vl_logic_vector(15 downto 0); butterfly_im6 : out vl_logic_vector(15 downto 0); butterfly_im7 : out vl_logic_vector(15 downto 0); butterfly_im8 : out vl_logic_vector(15 downto 0); butterfly_im9 : out vl_logic_vector(15 downto 0); butterfly_im10 : out vl_logic_vector(15 downto 0); butterfly_im11 : out vl_logic_vector(15 downto 0); butterfly_im12 : out vl_logic_vector(15 downto 0); butterfly_im13 : out vl_logic_vector(15 downto 0); butterfly_im14 : out vl_logic_vector(15 downto 0); butterfly_im15 : out vl_logic_vector(15 downto 0) ); end butterfly_radix4_2nd;
apache-2.0
zyq524/Habitat
src/Foundation/Theming/code/bower_components/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
apache-2.0
takeshineshiro/utrasound_fpga_modelsim
fpga_sim/model_rec/work/@d@a@s_@r@f/_primary.vhd
2
426
library verilog; use verilog.vl_types.all; entity DAS_RF is port( clock : in vl_logic; data : in vl_logic_vector(15 downto 0); rdaddress : in vl_logic_vector(13 downto 0); wraddress : in vl_logic_vector(13 downto 0); wren : in vl_logic; q : out vl_logic_vector(15 downto 0) ); end DAS_RF;
apache-2.0
takeshineshiro/utrasound_fpga_modelsim
fpga_sim/model_trans/work/butter_2/_primary.vhd
1
867
library verilog; use verilog.vl_types.all; entity butter_2 is generic( RST_LVL : integer := 0 ); port( clk : in vl_logic; rst : in vl_logic; butt2_real0 : in vl_logic_vector(15 downto 0); butt2_imag0 : in vl_logic_vector(15 downto 0); butt2_real1 : in vl_logic_vector(15 downto 0); butt2_imag1 : in vl_logic_vector(15 downto 0); factor_real : in vl_logic_vector(15 downto 0); factor_imag : in vl_logic_vector(15 downto 0); y0_real : out vl_logic_vector(15 downto 0); y0_imag : out vl_logic_vector(15 downto 0); y1_real : out vl_logic_vector(15 downto 0); y1_imag : out vl_logic_vector(15 downto 0) ); end butter_2;
apache-2.0
takeshineshiro/utrasound_fpga_modelsim
fpga_sim/model_trans/work/mult12_8/_primary.vhd
2
323
library verilog; use verilog.vl_types.all; entity mult12_8 is port( clock : in vl_logic; dataa : in vl_logic_vector(11 downto 0); datab : in vl_logic_vector(7 downto 0); result : out vl_logic_vector(19 downto 0) ); end mult12_8;
apache-2.0
takeshineshiro/utrasound_fpga_modelsim
fpga_sim/model_trans/work/@a@b@s/_primary.vhd
2
212
library verilog; use verilog.vl_types.all; entity \ABS\ is port( data : in vl_logic_vector(29 downto 0); result : out vl_logic_vector(29 downto 0) ); end \ABS\;
apache-2.0
LabVIEW-Power-Electronic-Control/Scale-And-Limit
dev/Core/AIScale/I16ToSGL_convert/xbip_utils_v3_0_5/hdl/xbip_utils_v3_0_vh_rfs.vhd
1
157786
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Gct/uIPzc0i1NIb2WUOmNkw/P9mkWgE+av3+XcZgONpQjHkUSm6mhOBblanfwG53ifeZzv2he/yA kUFzXQkGKA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HHqDTkC4HJ5w/u60aALx0AOcI6Y2bB2b0PbzUmDglE4MnBl5IussFMSyoANhd1y7tmLXZc28RSgr yZkwiaiC81/6YapUsUkN7iI7dzxe3hJX9OANajuZyyDI+hXCLRD9Uu/osiKpcvzpw9w5GG7KVcL9 K06jQdh7Mtp17qJUqgo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VHmPHUcspS9rMHMyb5PldS21HYgXrVrEPvP2SLYM8GHqzqUWtXMk0cayWXJFw9ZucXATYCapeYtt c7lshAhqaD8EYf/j8UTc0jTGotqiWqK1x/wY7vHDTn/FvjImIwSrNO4b4WV001E54Csg/RiwLXIe YNKQPvWQjj6hC/WQ2K/fS8Xf520w+iBajNfHNBdvgl5MO+MT4r7QHAzj+MrWyEFPhX4WGOV3ax4h YEvBOxjNkq1SapFBFGS55pZKvsQY1RFyq/7Cw/nhojJdZR5oaI0RPtQPgUvGA8Bl0VIadq5y3dmD uHpAVWTFazili2V9eD/+/bKIPdmnXx3JGLag0A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X4MBCXMJB2QRvZgQFT76Zu+KPNwU0oUILEvKVPuSWL7ppRdfzESSEIMkoMbN5rcgisCgkeBdNicb UL4H7xmpRZFgeYLVYDKFJ2rM/nPHTuueakQG485kpVaEcoOXfBQOl/p1HUrsqbBfOuFpy1zoX4/R yHsrYIFialrrZC8QVhs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Bd7VV62aBct/KZ7lv+k+J7GMOzo0uW1A7HgmHEqMv+X/ksCXXU6aNw/29YgEhHAe8caLMvfwoSBV 1gdbIRYZwl1Xd8SX5n4y7c6eo6cQkLbHU5o1eS2ku3OXk4NpsIY/1IithHdMZ7wrQ3cPyYb41TMv BmftqC1xDXLLsIjXzJBqfV3RFZO4McADN/vC5K1k7yarS4rRfY1DZyC0ZfUdYNpSoHtYrhOvp1YU jySfiRCtlrLydo9VksOkOqoifykF73iRHcuujg2HctQO+9yyG5FEPodYM9lLEdPH+U40+f3ANfTd CdDWoYINsasgQXfKm1l9he2JqvZwAn8EzM2h7g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OYTYlTCqaEBv9tjHXsSmTvF8Y5+U5CS0jpnBFMNUV0VVo45ksNvj6f2fI7tE1Oen9ix3ISyTvP7O 7YpDUlyTE7meb/fmKvdZCWIuAsN/wef5HuukSJ4M3Indf2UWWa1KQ4El31VCeIcePgq5upCUflma V6DxdR5+f7maSPI92gt28G5nuYJ2zD67RsMwuAkxoUhOpxjuc8eV+G5557x1LzTWJp2u2PypsKc5 T0uPg9UYfMS74vmL19/UoNPWLNBmQKsGVOZIXHvgv/Yy3kcEVaoitqmrmLf2iGjJ8l02Ihr5Z6TS l29ordoxEZeCPOHxzsOMPV1eJlzgTvlFJ/3Aow== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114672) `protect data_block rAex3cxN0Df9jMi9dmX0g1gJa624EQ1FGainl8mLpqPMvG+vmF3AVZRP/qKmiQtf3k4AlJ/mU/p7 LuYTHcm1VvXkpvTwAG7GZgg20Xy7AIRnIRmYZ0pwb4hrmxtO1xBrAb1V+u8Ak3vUuL1H+5e9cl0I eaG8KLLyTeRaLGnDL4MAbUWD5I6eYQdIuHP9socC8oLKckFxZii8W1z4Kk5iALWA+QRb48cG3rME vlZC5tRDwLrGj3LB6dol4gQhu029nGhvBNtpqBIV7vt50YWAykf4WwsKgaNSi6rFXJAByhPnEWvt 8zK+pVYdSLrVo7TkSa3WRG9+Bhqjruk/jwaw3Aw7cZ1nZFr88lAjucqcxocnRIAx5ueNJkQKDhM6 I8EA1+t1EhfEfSrSUc19VvZ6FV0IT6nl2jv3gMHEQUc0RG16S/JjKgVza0QTRXdrDbVvvAZeikYn pP9YTtBRxVp4oqSWgdnhWJIQpc+bE4JBJQapX8P5b7XP51V7tUv+ILaVoEPpeBPsWw2r10KIhw/d c45ruw5sxZ/kF0GSZpIjkTBdL6iypiaSF/UgUCuIp/SWA1zXGioByXP9pWC84C2BFlMGV3mgUxVF lFBsABWKoB7lcRdzb9pfkzIFOSB/dzXC9LX9E79a8CsLCsJyvwtyCmwNuv5PZP9ZkoXCxidVTxGR QxXfVAB5AQDjYP/9ElOCWzVVWO5a5HeSZkb+AKmgJOH6aB/XlClMatq9XrGghpCBiiM1KQOA59o2 FxAJbTyCpBWygvlPuGHcCcqy/Qe/Bsq+C3q80S+TdLX24yLrG7LYy6fQFMqSBUBZeVDJIMOlObxW WKNIZ8AKYxGgMYebqEizMOBqcj1PFCTj7/dSU2BnNuZlTAEu/YayJEUiWtmo9uzUC9Gl2NGRK4yK 2uDV5k4Zf3oSI1Q9X4cF9xtALsGKIiPf1Swq458aR/+5whYumECWiHVJ8RPr6cIx+HtE8vo6s+Sw JvOWPjupYFP/CLiFnrrRUrdFRNwnNdhnbUaX/kIvz+kOvYZeHB6T8NffQDaZuoqDeTqyO0tfV7r8 gNljILbJ4YCqTbrPa2s4JAx+eIm7iFGzI9QECXGtURG2yXd1px4GEXK7IQMt3UlxsT80kIy9rHEK rcz68Wex85JBOG9QzGhf2GGEEkZ00bPJ8i++FIo2Az3H7PPtokcs+3MkKEuxYm/Y4XV/yGTT5H7p dBtGVFmMSGvB3B4nhYoN0wSrNIkHsEb0iUJrOEWXJ71WAWJ6Ym4kwi6PNNdqOAQH+nTfTrdQRH/C 8zX93m6cix1p/jZ8lTtPkkGfOg6j5NEPJbhZF3hvl8uN8JByF+YMFLSfsUgp6UHJCW1EowLfhYA6 c6ADo9DJNVjlR/XQIKwZZiIXIZEIkZUrX/HT61t0m3AWaIl79J+J/cJcx72AcmXvI1JT6jkCgYTj whLOK0jgLefi/UYczUxOGzwH0SiAK0c8W9akppq/+GXnNVvkEJKru54Kgll90vSIZ4zxi5/OTl+w sfyvcc8689qS4FtFQIQZ3hRi33U3SWhkqlC3z0qhFW0ETlpfxBFzO24aJSNHDRSoLBQMWyehHfoN brPz+kvqf+dx3MV/8YCpSRUFiGowuVDEq6f8eJ60iLpI4PMaBVLbTNMo3JLG4UcjCUsO9bQk3ckX lJpEjntPwaao1b9PyZmnvEleulQJw3kxBPzbtJotjRtHNa/2wQ9ruSwtKGOEewhUC8OU8rw/PAj6 SLv3j/nZfDZ1Ynrj6iKnuEFx0zEiqN2cSB1v/GJ6CYSPtQADRkhXEo0tJcmo1s5hfjw+eqBGy/xb PgPhCSypJiAiBHFfqiErdXtgeZSv1Oj0NdVlPCyzKO/priHWk3D0LcoeeY1EkKElbIbo30M7zNoh xfsgHni2J4WzwTCpJf4mMwCIt6aLaAA0KDWcghPQLCfLHlji6hxkoO5k7SWidUuwSnwqB6SV9lFL e4jFCkAP9S1sl2Pp6Bu4xuoB4s6b/W986YAXrEwybnnj3nswQfuSH2wT8zrQkvMu1J8HKnHlz1Nu rGUUyBJmTwdd0w6OUfsdvQDQ7H/n3/CoANr2y1At+D3wUULOC4kUZa3qKpXV0wF3xjv95ehiKKNt 3Zw7Y/TMPe3wDNhYsOMQgvEGFN2/gDELl1yGBTjWyBgtbLmNjycxPDQT7LPj2OE5RRzTR+CUHAf0 GCmRVMe2BSNTZtnDuR+LhXJrhy4eAarOeoVgOXAm7jfplfJ5hdJGBPCYUUTCO04EPN4me2Y205e4 TIZvtL2nX1Dp/Ewt5Anl/XV5jq6vzMWyERJ2gUIgRvmN4DX3icrPjgmumetYHo7WA2yEPyTq2S+U RrcpKh2alr+O6laGMO9gzIVz4lbHLdWqD5rktM+hbycSSgw5EiWaQVBrCtGyKVTVXMrhstfAwv5/ 4afMV8KfCmlUpMGfXS7PDfc0nWe3BG3YDi4K0cWE1DTC5fnpwjhuG8GN3KL3q/fOEiVsYsRfVTXa rWVAaFI+ixdB/7VGCzFg6Mmr90yaTxWzaXYZfoLr4A++BEg7V2l3vOSScINTMrCbw8OI26tkRzda LHC+DM9Jc0sSZp8wYDd1VKBI38fT3xa+5DJ6bEtjX0zBBXbtioQOytQTc8yxZL336kPtyO7VkR+1 Rzj2pAJmA+K0GcyfAF7U2dcF3QfIhIFN++bj57a7U+gDTfArLXwUraH1X7oL2BDOBYPN3KLHqdK+ vVgtn49GDEIAxsyY5fIxrEAScZsMLeXCQfgnlAbHv+BtucCCTxETJz0t39Y/Vgj4QQzHOXu+U9eg 5ZAhq53Uhjlunceonu/sj5deILSJRas5/LzMW69oi9Xep64aPElaF9+vpZXF3WLsnnHR9xH8qj3F tml3X9H+crRwwGsZy/YklKFkwFdmrJZ8+MriejgvUjxCwvPqT0QgP3/mi1zZQfhPBd4xMJF34QAm 81Sjb1w7R9Es1RwsmRG5yK2E1s09k7E0Y8ypQjDoVi6MSwCVCWkGKFg9tnZ+1H50xUe4JokAMbyV i0nMzOyV18RKZ8bt0tFX+NyDnTbPEUolaz1zVt768Mf9uc3nk0TrQDbkjAas373WNxRWP4BgsE6/ LmOASnwt4Z5RcWjyz2usMY0I4ehCEgT4lKxECXyLFNCSNKCoplWK2ycLOLPUYcrPKheYXWsM7DYi kIaS1M5LQN9veO6XJgK4yldcCpygstmdBWqMudNcSldy1MhjHJrXQIzpRTLSwhg3jlyKWJrdyXr9 c2dSqepFoeyPAgizmLBU1daiLr3d1YNR8AoYnpqX65JH5ypACX3m4r7jm+l0ZWfaCUYEOrdQYX2m Ou96sg6sRKbCJaa8m40V8KVG6+xNu0w2bs9kSGz6cc4mj4pHelvpr0fCDBV20LaUDmFGP1Lkcbk8 2s/XRgvd+RboL374Ejmk57BdVU27muTO5MTv32DkkaoTRhAhyI+KT4z4vEbAdceNU7NjZ3BUPDQq jaD1taxjCkHXTst583tsVKdKpcMtvMQzgFwuAa+hGSI4ALYj9ajkiFLkPfZla8EpX4qLWMK3D8GV RAKzNJvDzLEiOFXyDK1iRX2mN3yU0YWVECWxl2O1+pt2N1OtLrLLUyMjzzJSED/ANYHM3TO5+zjw BK4vMWu2piGJml9oMXzHS16OXx1CQ09lkynvjBVH7ve0lurDcm0prV4c8QU+FSuvSaUk06Ud1saw TdnL9vvarX3iUXxzOawMmXs0F7y2n7NIkuERNNEK2yiElU9aNp3ZWrz94BVWW6eTKhjPc/xjNVv9 aI5V+X+yf8LD6iJF1lU/veN+kMRCm0gCcOMBENcosvl4UTfUhkO3Lh8C5HqaOVZKPQ6nl/PYs9qO bCnIiIEvSObEs9jVL9bvQCMOTWS+jbh3BPAF7kYQYneQjfHSqTNI+nc1FoHgAaHIlv6ZHpuWtl1s /3vOlwirzuOr6LYfPZjhwnrvDKEx2eoShy4ucRPS7P8EsXmLvnsvFCnX5BENfTxSCJojHL4kqBbs gMcT04Vocslsf30isMRAskmgCPvMvSwuToAO7Eer7PmeWJxFOQvfCHcExC4CSaCbQKr7m6j7MQpy LRCOq5Iwz8KLzZbQPYTU7YTKZDK2QHtLgaI/6cHE+VWa/nDpwRSJH4kmNC9rqBl5GZgAbhKB3HE2 wIUCBY++3ZXwE9iomkERnH66gZdEWozt5iDhiU9y9aIX/D9CmfK6NC85axhe90BFb6VL+JQs0mBm p6oSrbsQmhK009J/9UA0HAlQHI77NGKWGWKBuwOLeg2Pzfn0riEYNfkSZvaz3H2WpgeH7GSjpqPk M5ifa/41u4ovcw2T4yC9VMVkz7oeFnKieF5la9y9oHKvdxADEg960yh/aZl25zMp1u5uWzgv1p/1 EIa9u2bXMpr95A1nTBEnkORN9V8e3XOU9RoGNGiKkPxELbhWqunv8+n9ztANOPm1U+9hbeSE5BaT 0KNAB56FUm0bplwGwzox0Up+AUMoX6Bg4ITwJk/FBbqylRvTMUXGGoMNjjkPD1nHEeSL1srohGt7 FhYfu/OGAL2ZO/xRNeaQQR+w+WPr5h0L0GapV03IHd/jKXjcnhYVDEhSXMTiCNHfozkmqQZ5oCNR BAsgdyYMmLp2EVGuSvVGrowXMZHpdeSYhYyFmlHa9NYMeU1MbElr9CkVvb1dMzblPbTEX8wSGLqq CBGMfo1iMR8wbNLCq3vh5fnVe7QwrvWPu7fsYCiUFb13RetkoaXk69taRddDfw4TMJacEIcEj3M4 SuWjuL8QIavWQC3zAW2A923L60vJQxOE27AgnUa/vhMDsQOIUASxwZbZHydwjhwY8JaLqcAMTKQT AEG1Ln47vT3Rg+XBK6lyQwpq1NvD38s1HI102MEX97W7AjfNsTA11Ymr4yfMcVKWXL36xPfbAWZU hWMx8/jubCENdt98ojyijWzFi+ZfRdBFhn8UDTZ4Zu+SN9XhH4sTZmw8UFvbQqrTmEfT/J2QyzKQ PPS+t09Rmi2cMJ1Ylgw3GqFYeNxhmCC8QCS+Eis/2gwXn/b6QBDYlNuwtzPy6PRAAxnX1nmj9OhF 21hil+CIaqJLoY52iPcBopEtsuB9U3yFfKEST5esP7hNcsJOCc5BJAT1rEkm/463birDKSc5mUXU 8QthxDGJ8YLb6TroDaajC4jNVNhyqnyXyCmSGngszSM+sqcBMUxr5LoOb1WXsWYbmVFhorcjroa2 dGRAnczPtnJUrm9Cr499qTQUWDc1NMqnbdhxDHsY4KEfYZ1JbJmdxaV9V3EAAesRnXIT4A6/RvYH GoVGmQuPrDcaMYajA2qtXhdsTYF6ySoSiSIf/tVkb7HeWSMw8gqm25hQz9LTiu3Lj4IYXlMlQ7m7 2s2z2Mzl9ts73VVA6EgQ7v/N1Dfwr+fnrnmjxfjQuGcnc7CKIVr7boNghMqLp1AZ9KUJE5MLewM8 FjUyj74dGjvLt2yBWxxvSlrrG3LgFBgS1hJbem9Xdz1ge4iQ934n2pRZbqqFbeCTyXn6XEeJzcvY eqxzlsG7xsWgxzSguz7ALCCTuEeKtWARDxFN2P2xrmKM+edqwlfAc0wAg5r6rjzwvaE6Wgsben+8 8DOCy61gH7jGJYf9AEqjEd+kIRn6uWoVKciIuK+HXi7rZR5GleEEn5nL9QPDQHDA76pWOrwvlYTY /hRzVYnYyMAMjWZbyQiI3JnGm8lGCOrL4gagYrgZ/LLfHNgeFSy1JkUg/hF3WqOZ/gKDSMYcfgWh Hqx9SASYuJS2qc3iDqa1p0I6BHki9LwJm0ajWPzgNFw0TAnEFAECVyHIX+s69sUG7UJ+/h6gL9Md 6nvfumR2z7nnvQ6Jrrierdv9F3kY8mtz0mxRegHVxWdBQqpeIcp1LUArliH/ftUtYL8R6m0VYp01 t8PpBleyZG40sohtQu+0x2VCv/kS8jYYGFgJ8GZ8tPOOc61gByOkLG7Nna9q+9R/UQweEupQsVWg Sw5xwzdlMCOSToK4tG/7f9/FP+CDwPqvh8hxuP+ETpxz5nVdqVX3E67mfXfXx0aca1kMFfPB+/iu ypAWDvB7rnD1j/3wlKfHiJFGlQxC2fdv1ByNg6T11uh2Ho7khMZ92RdhJzwIHKMmawhUknwK2rAB KtWJxWBoW219cYDCH6Dn8EZbXR/xo/2++KhpgYvIDJ15qNQpmHIxu1rHRe0LDBi3v9XnofjcTdb0 MAskO/rxgouyrw4SeZTzSJEKZSINAFdYb2dvttFOR6at6ptygrW5bI0YwG+tC3s9biVLx7Ro3FCt ZzzjwY+4yVYeEU5U0rVSN089D+v4wTa+65sZs8vzC27mr46YsA7eGdj5DwNcYfmCtYpgCv9KjiBJ JBL3re3DIdd/uPlIAlLxa/BbZTqgygx693FakPhzm6eUv2uQoPPfxnsG+/0xFNcKG7dQjucO3wLa UXXUSihpp4PFPNQeBU5DvxIqNqvv10coLLrATBROGyfRCt9BDHaclprep5cI1fmG8HA9YOti0E4D kci/7NpxVhivznPaoBXJZNH+39MrPqUEeYW1/5dXKt7aME2yWaWbbatOT+tFB8Jy6ooqbCvX9sC3 jrGO9UNXLixuw9sOctHVAOG5ZxvfiJfAtnY+TSxnPbZkU6CvbrVxCRcfutx3l+yL1340+4OoQxOh PqzcdOL6fe0FeBolAHpV3kDeKRuh0F8gDzR6L28DiwSMRuA8lrkhc8QHh1BfTQKv4FqBc7/3TNsE 4k61o7mk46riGeZb+3Erzfqhi2U1U6INbvttDoYGa4jFySiCVxyuwCu76Prtt+kSP2c615CaiQ1N sDQFQ5ndka/xJeiVj05K2fSoIRk4vPnPVz2cqXKlDLKMx641HQGk1xrlaCa3Y5be/OnCawsdu9Ph LmchalmyjxkzacQgfx/alrcoOVMrr8GC2vlP/6RO8nCyKOlG/Yk/Hvaz3d4epObSP5SNVXWct+ls sbSPqZFklfixh//ddEykQPbmwYQ7cg4AWm8n8XGqUCGhvkY+H2rZj7PmfVUq+8LDzrAFpsdZrJco jb+MWIH9Hk3CNdTQ5ZIjEo+g+tDnKHTm2gOLGIxgmCUrCmR3kdBM/jM1i0Htb8jT3JfjyYWUJXaI U4KWbsTrF9hVBMpW+OgUGjhjof8qf5uOELacCW9tpA98djnMUkP7GhQv6enQzIpRsxYCVSPp7F2Z x0DrhDeFvQ4kLyuzDw36zVhNVCG8gGtqNR2sO+iC1vAl39uM/kUDoVm4JYYPUb9d0P+o/IbvAiQj mHPHLuu/k6SlZeU4wNjP2dwSOISeKTrkVTyaRrPuImbo1h1GW9j1g25PunUm5qwGwsqhAwPR+wa1 94WVKmgcyeyiDZ19jm6iRWLiIUmsZGG6RKeTHJXi7C7okdVpSv2DjokCC0rto2CjnXT4HK86xYth AQ8xOnMgzNOw7NBIAXRHOxz9wi+7FAzROLfcFmfqLJWC4LepNohCb5f/y3b/e9ZLTUiMpwbyiQch OU9EAjYF+rfIOl9YOqDZP+BKpF3appuSV3Z/czfYNkbgHwGtOofukFpMIH1vuPfMxOftnHbkrsH1 rzx9GKKnEtngznxTdptMvMzJ2J8iUd+VOsFR5zwxTYe8yLskFoukIJAezgYyo5zvIgdxI7gFOJb2 dHIuNXF4BPBWmRXo86ph9pqW5i845Y+N+TmPzLYTcTwCpY2/s7K6ss/81AIBeoyH8vhZHzMiE6gh /KUcxmRxrIYSZ0Ms6i+qae9oNrvdB+TCLffXVqgNsutclUr9kvHv0SUMMDy6h6uYloCN3Y0AuVGZ Y1mQULBdkGBW4/mcwjm/m2iMxvsf1ofZvLX+kdQvYWF1F8kBb+k9LHzCvMAEOaexQE3zL9wDZLED MtSI1Zasm2OkLi22XOrmtza2LGtDoosbBULZydKMz2/Be+h+o1sIYrqqIV/ApymtSmtgOZUkFTgC 7rLPBXOlS7eDodjezgVxh37QcMHocMDFrqVs/tQZUSuCAvLTlbI/vy4LrNMd+DRCECX9rP3ngG0Q 2sSfJQTm8N0vzrk1WgeBHBskXGvNtesAkOJkkJO0NnC1/nJ/wL6OJP7BeK8usj0LjDK5ZJtzW06A ++2Tsfv3F457pSvOknvxvM9HPnGq003mMCK4rjXQXakvf4snH7i9pbPPFjA5tYQblQ6KNNgYOJ6e trHmiSuecvG37Mg/CD1Yofjxf2Y9kBICZJTt+69HDLYb6fZfkJFiu/Ugnk6OqAXP6OloLsT0Txvw uKe3HcuLFTeckFck64IAZsqGPs3bePeYUuJX4YtBHLVKhKzk2VKCLPHbPKLdxsZbiCPvEvEyLCvf 6Ngl3StnCHVWsq042pKu21y74HGtym8FX22/dQteJ/L1i8kUfMLyqhOF4tMCmg1i1e+rYvqgDw2N WzTWtdGraXJcqQU/usdwaEci3H6GLAHVnalos/7vHwxso2hyO1SHbdvCyyIBrsLM51nv/AcwqQZC WVPJV8dunBdBXiQCdN8YV2Gka64kHgGvHKiri3bkAsZ8n5AZeCxFtiqIoX7QTdHQcJwbtsSxF777 UMfCBYQqIuT9Q+cQP6xPqOu1ajiHbx045IEmJKSY2e2t7Ov64Agh6qLv+VrQ3QXwcHZRlZCwrkbY KpVjP5Ys4lo/yUmyPWzRo/Sgyhi/x2bxEGAGFrmIOyHGuOsU9IWLjnckdhoAYN73kt6A10Gf1mG3 MtxA4SDS5Rftb1h+br261w4qvIk+9uIkPWYPObzUAa5+vjogcZbpsI945Ht6/VS+CG+7v7BASs5x vwE4KkZUpD6CuQNb6UD9l5Uxq//lEsJEimYtlYi5GVCxmCDyT6EyH+TWGJ99ZzLzcry/eC6jC3G5 XwGXOeyfkn0lLx+tOiU3phoWuDldCCcWQn7eaXPxOiqrI55y8eKO2RxMAUqHBY66kdXcXfJel6hl yXOIsneXIRl72XJIviJbmSO1+htIe5EmZD7ohFnPpfV16CwdejDjNjjfCR6kWwRUGsMpS5bD4BYB eaYJDeY1tjYM7m6gwubNcJlQ+u/6hHHfoqjih3atOqr0N62JWyE0fivrwSNvYH9G5AG+UkB5G6j8 v98RRRrL/MDMrE/gUdYBEPXRs37v1slzms1OHwyeh6c9WHHmCCHVyLTHdjkpEEJp+zRFy+65Azmr pyxdKtIxJKAwmm2v+FzufvvICPTm6mJkR7JcoAL0YvEiGCNxLGzHchIMI+OBGEhXqvTUebq7XAx8 DMkOLfQYWeA4FTA6Ak2p0GZw6vRgS+NrwA0+uwdNgKut9qkumP3upr51BcF3sFtq5sN3jZ74J8Zm RvganlGsGd5FGNDRF3yJwMa7EmPdGYJAxZZaj3oALQ5cPjo+XfuqQgT5V6Lqi46b6L6nDLuS0QON mcs853kTcrK5S+eZmRxK8no6IDOa6C4d5ANz7np4oErLGhOhFHrCwk5xavL0zmNE8rHJWu2LB/hL Pem4/ZZA1uO+3bZd9TbtiByJ8E/KLY6xXMwqJDbQNQbUKah3HSNrBdkXpna6irNX+W9akgnuxAqm fbL4zfFMN4jOS4KDZZCHekINZQOtc3K4f5l35SXxMMZCgJ4e1HSRr+huSXNLS4wDd8Y9UPYSdhCc FBEKnVm0Fv+RORTWShiLjKXpqkwC32FVwJImFumeXsLNE7Qa6D8NymYCvo/mcN4qvbBF3nlk53LC 5mYPKpJZ/hHCAUPn52iIAh6Y92OO9wxb02mlnnlaAMfsf2VNzpZsYtK3xF4ycgRoSVuBfEmeKdFZ GFjY33NrX/4EDIQGm8fGrAm8flTJscz6L122ap9uEIRix/zePlWA8CiZWE5EFtz8Le05b9jQfyTg S2Jr18PPiaCJ8T6Vf83sIS+MxpC8PGvyHll591cNyYDa5h4j3v6IuaUkLPlNg5gq8QFbqqaa/kl3 tKnFMQjTb+zvbsSrD0j2oH0+mo0f9kH0u3du11SA1fZfkCi69pDM9EU+0/2fDqqmjk7t2SJ+wc0b D2PksD8QqFp0tlfMp/vt30x6mcWFrNys8Qdk/k6+e/7hcD5QP9mgtavn/0gnhKG2Vd9sl9D59aQz 9U+ybaFU9XlRfaEhekTchOFcbd0/eOQd7BOtpnYrMCczmbfHxBPmC9pbAM5TZCAIPWkPgol7Or87 hf4wDNLiHVK64SnS/oqMrgD1QrTZTFq13RQmqrIGl/vkwqud94kQBRuZond8z4Sqlo64GISnNf7Z jsfV0/4EXS0uOtP0JcrfhkfZCIVJOjxQz5hMt8IvsrM5rcWFGCcIKSQPg9nxwMNK3OLUR//G5ppw tb5VwlhYNOKvKXLLJFh/P1xkC+O6D8aB3IFuF3TgcqT4lp4DaCbuqn+rVzok1mslsvj3REj8l/lM nCbNlP7EqDn+S5wXhXu9IJtzmmmVbs2yxBSIcq2f/jM9LDwBJdrH8rnQXcWJVnrqUWPrVjknGoGK irp0Yv16nlWXVgBIKzBniSCBy1RjndBp1pOPrJt9eRYPwnGxubSg1OsGnbFKmgBFY0kBvS3zkAHB FTnPpO3HATdjJRjLaxB5U0z3LcriWbKGYAe8LRrB0rcqk91dogopsqqMC4DIqdhqYQoz6MPNa33K WK/avofbf9nrk9R/xiOwhxJheRIDH2lGelC5cYY960Xxjlv0zoOwgrg3n0r0RFT1npcVykjdVRco XscmLF86y18iRBfMU/cG3o8oSnJaLH7vZb8aDSNi9SrBb2aQ0zHOFDtWp9xZNyXFNcvM2NyZy15t 1ZOTHJgnJvNSIpP3y4fc2/kCleeUanY+4W2FkaPh/Vs45VbR4DuthC8DzfJ2CoR4oUqWMUYQlfWz HWF+p008dlvmZP/2ISkTopDLsRpwj2tKi5/rrXwqhW/TmnP08MDrjHcb6s6evYCIVHH6LHIwQg9l UvaZUJ8VNr9gE/IitgIf7rRoZfQ9EaCnPDcbJo6pEzJWRif7YnO8M6FuT4MTxA4+7RgqrduZbK3p 3dHrRLEdphaPDZrXM/nZeknC0OpTYX84XqueFo93KMPtL9CZ/fMu6rNqnIkBjlBdR5QZe6VGWi+7 S20TPcgEmHHaO298Cxz+tviZPKMNjyMHEU94BLza5VcpHydZsF7fEgkJelitZZfZz1TUO3GGX7PV hY38S8xEumfITbqdmJb9CIO2P+JN1zXXJRpuTo5kYENetUCyrHIEvDaDYInTjAjc5fIpogWkhKZk OfJlnm5rHJrw40/bwmDxQxrpAqM3vtJdX5joC6mAVhcybRs09EREv56VgPQEhMC7sHx0pkrx8KrH wOpUTeJNj9g2GKTPZxqqj0kBJODpO9MxFeWfmAXyiXwzjxPiEB8c+o5e0FEqZRnq+MYoPGMtvB11 rjQaRa0//bK2a/c4q+QDdN2YqTHNHqx+LbRxwIJ1aznjEk7IZKQI43Q9bIMnBfLXQUqULs+HJhfo BPqoEhNZ8uTCofHfjT7qFPgnV3RiQjPeXKoJt9UrJFGscUek3owNdn3ER01a/8yAEKZW1blOyZhj NQXShnXbhA0wlCBLa4LAmko+5dn2ZA2jofKGIBdrPVyf7JHnSMjMEPsU/dboxLkfPsSQGDHvvsq8 glRfrnv39Cxl3oGRC0s5B0M3W7N1N+Jkwm4Q5chLe2ArU6u9F7KcpA2bMtlqbC1gTdhUXx1Liknp s4FAVLTHcLq+Yvwrx/emvcSnW6XF/CZNQ3JG0L5c0+q3PBAIw1aIx87Mb4P9t4YlXACyNXpGcnsm 6It9WRNKA5mjfcyH746oiFnAXD6uFLqDTMEsq7VCj5PGIJst9q49LEEWQfdsTQxINtSvRV799tJg Gnio8bmnu3ccvQEAEG6jpX1Czjow/696lyXVNp3wZ9LhRADfc8+FsOf+wCmxJj6FDT3bSQhQ/g+x oyWhaaeMp47WTLcp/KIVMl9ubiEwDobmQFrMFZXOlActsenRr89BXhw1sgOwNy2k8Gkjf59lFE90 1oc/Ee3wqQ5vMvYwawEBIDucAP4TTvgbGPvbe2h2n2rqSnPNqZIAmjSLVKZfcEIRskgbQPxkaY3o 5N4MhMIC+wrEtI0kN4G3fvkKyTlytwwBxmxlpzWIJjTQ1+TooHQVc5BaWiTUFeQtt8CF86s9XiPK IM7RCFimIko/G5CL4BMPeLlqiYzvBeoTQiXgnLczJkaFqKG/hDeBP3XSErT+GoQOikn6aSnRp345 GMTxXkBTfzdLZh5jPwWwXjGFNaHzVQjBPxf8kd0G+auOa5Gwlk8zcbc8PFSfU6qYVnyOMSR/ZLkP SsBspPS3+pGGVMKwozDsHYy4iPkvYH+4dJIO4yFw18z5EcVDhZSlLZHFFFLIC5Ckhzd8W8kmgan4 uW6LgyJ9oxo/SNpQZNxjsLTuF2cHglMswyG2IST3Eyd8ZUZJoeVt8GqcRzdYl3D3uuLmLWnrxsvj tgwhVxIBuiASomBoEsosWuZdl6o2LJ5nxPZQCCaLb905so5VilG1egfkAwviH20hsa9heUZnjcvu YPh9yOcyIyVJ8sscIN7oapyDHmFZPXP8lQ5ScWT6dfhUiXkG1+mbYVoSFD/DLovgUwjFxhrStspv UkaRVVuJZnVsVQAG57cT9+yU+T443J+uZklIWXpc1xykwt8wxjB7UWZUtqbAuNwj4iVNBJilvfyf ZTKTyeaLxXmW8GiD2wULNFbl3xlxaDmYOD1cpt4NPj+QPQU8/tizk/umBBHKh58M6GVq59vaVPhE hVQ2gTwDtDHNfxsU2ocuC6folykdNBGL2IKAFYnbkL7qLHMI2dhO9epa1Je0qUOewx3C3+YqSVbf QXj8vhZFDF12JM6b6B+RIbu0dckcN4nxTBGX3+BzqYzToBnM6Wxdi3UCYI61NrVyE8auF5IALDiz NgOLTUOGdwQY/6jYsxFxX84Vsb9iZLLJ1F9R780hXgKmrLy/cO8Pj9QwgrqDiIkQ2A5kzTYMKGta +bKO5OkPeuFrftb/NdkUH1Opyqbomq3hKmwt0+AoilYYxBo1Mxz+L6xAkO8Zqi5pDpafiWCp19cE 1ZnQkfMK47P5QOAh100OUOiw6xbFzz+VI3aYCZnHTJhs/LFytb2iTBIzgcOLwEl5bYtZl8M1D+vb P1jXBuX/7qJ+9EqsdcwkZ60sex+yYtORTa+Kyw2HLon9DnV4A/tIMslyg/s8yMMmmBQgVZs5z7q6 7fJpv25MJuqNefny2BT3J0Hf8aQRAiOBnhaTKfzmY4Yq6rP4/1pPGHPP5Ob8RsuRtg/yVdna7bdz on7AaPOxbenCQwsXxPwKZJuR436IYBqz2YqA4WCsB05DkSdUHhXg7D9kpGHjpbNKMBzefxvIaeRA aBVvjbKAocHNOZLaz2uSkBHo8rJLbab932ymqgJrzauwbrpTCw5WuLmBj2N7m8r43CA4a4E1CPS6 QxhpH29bh82jRNDDgXBxDxFsS4WaS3d/Yw7j23bP4X4n4JVC5b7kfAI1p2Tk4wdyb6Sd0Z4hhsOJ atjHrYr9StlpKdaJY9dkwLBw94QL6f2YfwpQNZnqlIXzCo72h6l8zSE4Hnq5A5v1AamHcbheZzp9 0SBxMk4HZlrFA5MWqphZHDxl0GehzZpRbVCt3P3UyBNBZW755nikbd424tes+hIbtTkdBEsEOK/K LoMDVFP7LJ8PLFZi9clFI5DdNVsCy3PZGYFCmP8LvI4Cc4bNTqq0qGves1aW7nLzJ9/R2DL8Tjdh hhEX6ZqgCJD7WkH4JrWcpumwBiChBDn9RaH/lOrPwVYeUoWuFYLMEuLb+BD8h8y0O4d3XCD3UHkw ci2R3swrDKI7M1iChTTextDhc+NDgxAGKIHHV0iXvfdK7fvms40VRCicZPHbf7qp6ytuw4T/HhZ/ OmCGsySDCoSNxxYkIlnH5lkkj5OgTjumoJbD2+AD4RYIzDbdVQwXkHv/ygoNuvRLdUneCvBQ0oWb rMco/Uwr7HWx3KrDmyG2HGDz2me1BbDiUD7tUReDnr2ENgNkR3XCj0qMkAk2R5jM3FiMpeF3LlJo FCi2GctyzBUUok5mDAxeWKca61e/ndYh1dbn8xgzl4bbMxiTsPGjGdct18cH3Qs/SbxhdsLkzcz6 kMoHoyj+hd/Uj8bwmFEjCUfKWL42nxcCpUgekqDMSRI4nYM0f7kibKhHpqDUZD8AA50NkMoXqge/ Zvypwbx9mVVomNCTECT9Ksj8NZK59FgBIxL08kMLZdeJWPf3YRN2+oxfdtML4Zl/fqnTPPhPxo3s 4PwLSIHeLL91JlGQUheJA30qEmTejtJIKxYSSCUTeGL3J10uNDLnN08LjJgpd1ORgGeJrOygy8gM nQIHX6A4ojggUWOWSacj4CkwVKWXjL1vUakhIqq91yHHA8rUmdVrlaBEwTxm4rtkaveny4IXcF4u 5Km7SnwXozAPh4wScwJWKMXsB1PCxs38seEfnu3y2Y+bflx7nBoAwBG7kaWfgr/rc/tKOtAFLmFs cZHnlZwwudEGmS1XfcopNcfH/Ce8h4Swl8L5Z8aS9hZdcH9DGFoqnU++9cdjnvufAKFYo+bNWy9e OJ39Lfub+tWvnStlUHRF6b1wcy1Ir1PCTPp903h50gO4sy8fXyCXQq6QC65IVrsBXm3StghyjxTe 5afOvWiYR9FF2JH/k8aI/5iVRx9JJmr15LKqr/Kz7+in22kE9+KpJWCNPtHAxaqIMRfvvCLEW1Nm /vp0Whm6t6x0wIrRI8HS643HC1NEw9CgXYDcjj26MSmxjLXf0vfN5zUkl5fTOUz23XfY6bLWUlnq sIiO5fQmxAbuR4mI2DI7l75Af5ZS85kHlADWpwo/zOiqBJfTkzAfo9BheAU/OQDB6otAHN9igId/ pNU4ZvuW0LNU0AWQ2wL4mc4Lifj6DZ+SVjnmWl9gmNPOJ47N/bPV/BwMLQQtFYmvlPNEosleutOW 4bPGzHb1LGbTZovrHpcGTMeCicmFWCtr0xZUfzjWt9im3jgTJLZ9KCTJIncSjoIzYOBfDfi1CWJ4 FpXp1coWppyKwzMWuT9wYQbLOLRDj2OpYmFq5tRZoKL41h6lps07rzE8/ZFBYqY++GGRtWajOt0e vTU3Zxm09B/DfJY4IijcTBt2EufcIxfqQ0+yVYWT3+mWyZUBf586pwwBX6EK7FY20f3J4mP3VviF IkGfOVnsZJui1+B2Gi4dqOZnhA8aMOVmT7tZ6ofKUUxz2dY1tkc46kMN5Z6brNKyDewEc3KxcOEn iSgiOjFxWvFJlnRVlY2lco+bKMUixdej03B77c4zRlCf4xEmTQcOIwMFCkYmugOwOEwfQoFeqCP+ /0tZzkGt6ln9rQCvwXD+57JuPt6OJEk5ns/tnX+TTUu9zwA5VSVvzaIAuJvRHSnPvJ/yhVsBOucL Z8fGXxVvjYb4Dsp/GoACmn92ztteQWSoZmNJI4O0ZI9X8ycHtXvDtRuuB8UM7J9UTxb1i9kIGcuM 4lFFM+BgwhRvijX97r2xA9rI8TCHri7WTuDbbM+KWNjLjc1LRZAKNnb534Ksp4ryMpC2dlvVEv/w dODbivdS+j1pFzGrbdezN1E2ZYlP5lado3I9tHNiQIALUDbMWqSmQmIt8D/Gbx3W7mtXJEyUDSv2 +WOpi5XaKWkhlAkBnxzahKuRJan/iiVA/IOWH2ou4L9HP5zSapi0ePfVq7+bZGkv3XwZ0APXk+S7 /N6tCnOKkLLzPhBrCxMzq/ykSxI+fA1GEkLLInlx7ZpoYlXVd2/vNrkNfvXYyQgXuQPgoGJGJ5fN ZKo8Znwdu/2CTl7uDxx1s0N9NHGVSo1g1gvVYLCyCPGTwiPRRSKe1ccCp2A6kBgR9TU9piHSThfB SOzIwjNhfY+CtsjoADa+UlN/iaRjRw3lA1usY/dNOJiL/xAqACd33AR3rwjfKL/7n+qKVteXyOgt P7+5rn1KIalgELv/d7IyBQ4/2rsKffObk6JEde7dvWRAadMAZhh+6I16v67PVJofXqxTp+nLDpSh ++a9uuiHMXpnM+aeM88V1zApOhwNMeCJaFxLjI6GZmCVIriXUVO9A3WtyjJGfn6V+Yzy8bYVd5EE +zN8fI2nOI0mHFZVqHkgs8/7CnW/+LPuMyq6NiJ2L1Em8HSd4j7S0B6OClUu/FxF5gz1ls4Lmll0 o2phPzq7h9XI/oiDdnhtP5NVrqtDR1e2auHaTRdVwGYi6R5F42f1Ctx52exIfJWBcdCuIolCeS7t F9HprX9w69PjtH7ipg4sAL40DZQJ69Od5Hjhsf8ty6X0h87C2YEsQ1PVMB+Kb1iZOkWjfE2kHTa2 llxIav9/+TH807hfqgq9pfqrEUmyuTnzpuF286XJg95w1C43LTEZQh5xe5miPtyqGSV5KiUHEMoD QJCM7U4Kb13m09XwpRMIym4o4nmZgC8jKx+NsZ/sBzndk4Al8R7deMonG28x5MN+f9WcvtMqoluu YU4SsYeO0WmkJgxgYngjNvMgAz5ktYO0kEWfzwG3H8JkcdWMa3sb3MOF6OYiIvZilIVgH4fYRmnQ sCGfVqtwgZc4ASbd2imX878qJT+08hJ42OZGF+KovzDmjM6WAmibzW9xqC9aYTSMNXlvgvmCQSVg b/0oHfkzMehlOOxCFo5+G2z1tu3smUG77nekxfoRSEqiTDE7fNPq+m0XAeUIIxbIYnpKJhIxM4Hb WJwTZq0njC/BTbFvwC4C60hnolFWZufVVUwpr50oAJnJrPUn5vo1I9BgtXt619iqtf/018PGf61l N528QLFlrPPByPeZWVTamMr6+v/cl4+t68HsYYUEulcNFyxxSIrZFE6wE/2iB3NvvCmLkTduGYc+ oCINUezs7o03DkZUjKjkemVumOttIfXxGYwZUWWBfCIjanC687f1WPug9IxNEDKOkfKJTBIkFHV8 OWHv8CutNU0lXrZ5EE2m/amzK2OZysjTZDvK+7L7ToL1G8Ss+I8cWDTRRVGKR66bDth5sc2je/fB 9Zc2joQvOGk99Tiq9i+DZLPGSN6fktBmCTjXlOq0OpEkbKFZnINVFvKL+gN8yxqIA0XAQbKlKAP9 00BlcbQ4OTcfOyDQ2UNe12p1eQNkzIVH1/mYOmEtWjQNiITVXabCB3HYbFbwACZgJjJgDl5XtT// pNO/54XdXnmpKKlLKCIqDh8tXxs8cQK29BQ1OpNzhHi7mZbPE2zjL8eHCGm3L0mHWEFO45DDrtt+ Y3Gbi2LXd1jvPGX9hcH3HbWKSfNd7ReTnCuUWoMzUuiR36KZERZ5J0oB+6pDjHhpNZzCZWgsb426 mMv20R12mCwPG2PSJ2XlERt4f9pkGZLIpJFowEXGKvYbYLyHpcrzc3OZRe754Yh7723rFBlu86RN rj9wtiPMRgrxheq8runfq2PAYQ+KtUzGFiV45Hs8T6Jz+fbE8JtUmeIenW5vis8sk5xs6sB14r7f PAcU9gSv43VCFxuoG2bW+QG8cffFavGb8f1yYTpIjffr3R6klTuRKUR7MbWiUhHRIBcJimfMalsO rfbpW726AKSm1L0VgfjincHkxqzb/Oyp7LsnDmcoTE7It71cLdo1PV64j3SDqhNKif3jXWFrWqNs CJuk26ZQWKDVc+lvpKSUHOhOjo6ZVJp02nKbSK50q1u9Fyie/t0Y+pS302OP262n6Cb873aaRWnP lx3CDKVmw548mEuqMeS3/tTqUuFtpToKqwvnfmqwKRa3QxW3u2kYpuoY0PrzywGqwwm4zbmI2MDG Q/fGYp3X7gXah9kPNTeCy7/oeY4X0tfbmWdT6y9r1UcL6dRlP0/+19vOqsf2bmxMhMiz9CllWh7s ZxTIPQJkkEzDNU0GbKb8uygACXpqk39TxVbt+D1YeoaFlVUD673uXCuO7Q2h9/1zIUm9vMFDpjRb jh2jYf8pyzXGsCMzKc6Wv8uQZ2aDvDDt0hy6luglFAR7FzPazy76x8kw8lt1f9HgHFUo06atwPvl bkaE8Q3Rtd1Xo24ygsuizb1KMosM58R3Lw2WFT5S+Ca4QWjmd7NVGlLEbhafJL6R5bwniBiO1Yy0 vJ4l9tGS5mV/DlXPxbX8lQyn2ps/98+F0/55iBm/Z+qBZd79BnOwjR4IveNOaHg+eItBw6yaKF0U OmsRfvRYyMVDkZQOjc28qf1v5ZZ4D3Itm6rQ6hlSrcQzejOgMAJCdPZI1dhCgl+FIncRo12V0r2Q ebWWwrS0HeAkxh69g75xHYUKvoUImygTlP6KZyrJXkBF8fmty9l7Jif3nFK4FRtt5iDZbML75xbc lW/OK94s426QGf3tXYDMwtKcHlFYl0fnaqlbt5D1RklXci26cGSbbXI2kLChH951Fv82bYatNnvV lRkmIHRPfurseYRpiDUEfNds5JEZOK8o1ge8fSgASzQp7uS+DUR6LvBTBvbmMVYfkScj5rVtr460 qdZ6mz2p8g03cumh1QC4ycOxhpTshJDx/txZVKcjU/2uiujEhP4z+b9Un4oLjo4bMunJ1aYgD/aV Q0dZBTKDDgknZrwP++wyfeW+8vJeZ5uxNIu9yo9YogCwPuyCeJcX93wRBg978oUnZqsX8RuwBpBa IYbheIR1RSHrqS3Ix5bc4V0FSH19V8L0cj1VG3HAR7GdkntcirieGSqc4IxlBIFbWishy+c52ZvP VNfw9dcMlLjbh3DF8NQLL8Weob5YhbeeI1OjxNc1MJIdwQG3DFxm/DqGA1wUNnM3kT8ZA/NOjE7p 7sxFgT/gUm49sjUzPVz9aHLzTfON3M92ukeDAv15haHv9kp8Jc7dvr/t/TqDV3B77mppAR4NgAgi Kof96Aj9bA2mZXNPFtrlE4JY24GD6UMFUPAxX/TAeGNNq/2mHMHxc7B+qBMR2gtX5ShzLlpL00g3 SyRqIjgqR6n/yL33v6xn52FyxhGVJeUT0ifh9VqqDLrqDgbl23kGxzBnsoqA8QRBBQR2Xm2+vH95 ANHrLnTpBOz88DAX95M8ESaziBLSACogxep5fC0TZ2wZqCrUG8iWgwT1hzSUzgTfVpHy32g7snhO rrSWzQf640XLQIndCXvmg9VHZWNXOwGs4I3KVArUDkTVGOI8XaBcXa4hLggWtoQRupLe7kJJj4hK 2H8163kHytSFQBx/sX+i4Btn98CVTLKbeMBRLAwuMVVUZlbpC3V+zwIBaeC3V+Gf6MmeWE9PKgyL U+p3MAdzt5l/S3luAUpCu15rumQz5KFkDJiB1wkGDid074r3dGRYiGmL/x2E+3G+8HqAJ86Yz5pR 3yfN4AIp2k54yT+ko1I3tocW3Fx/hVvcgSgv74ScPMInUfzop1M6daEx+RGNZxE5PXkIGQNbZe92 2PKdy3oYUspFlCi3BuzXOSjwZncBgj9VCu7+tfDOBCw+XRmrlFMgmJ9G9TONQhex/6lF9QWFKllb NSAM/U7AXmjJOlDHrz9LhTwTPbOk9n7bSKo2CKh7iI7hOvq2wi5y/NZoZ+ESgJgl+7cavBBh8JjP SnOruSr6U0Isz3Xy5EFuI6ILZJwA+cEChDdAolbihB5BbS+OCW4UPy2UX0mRkil9zn2gi1uAImyu qk/Agvv4iK1tZzoygA6sHMjn2urxMuOBGZWU5LudBc1tO5rQmEKIAMIhcoeVr4DTe5s973IA7wtQ MQwf96/FuOjeJLH1aLt2jfOnE9XOD2taB5sFWAG5IPHVTvYUZBvbn/5wgRZZaY/LVX8kRhD3C3c/ mSlzwYyqMw5P6Pj9T4Jq0OZcdC6+kyYGSbjmWi7GtiQZG9PeRbkJihYZDDPmj2FVOekkDmH6X/Bq Qu5mJWsz0IkQQJTIverMF9QctkQRrdIoTWSo5HopevwhyR0/yjg/KQbT5piUObMUdaDXsPouBMeS 7dgAkP1/IqlVegg6B87foFPy6aYHPqXEcEcS/b7Kpd5kAjkQASM5XP90h3kWsZ9hf/smQPInhE8n ec+7AcL8bkV/jKVG0ojub9lLOP2zQo1am+yANT2L2sAhW6qmvbvpGVVADCzNY1A8fbFPNlBeTYwM gjPVEGJNamDlxq//ZgKrEpoywbyA34x1xR20NetvpH56NfrDQijGmxr+hsFbPEvi3hkjb5HZbzhh BY89EBNKG2g9k5u30hUdpfgZQIryllUWwHxef5QYnfv2+cuzMvpr4m2H876hKhS9le1YQc1m1wFh iWgB8+DYVzwEG27tkvmC+HMwSoHgWzplLefAqvdSaVtqQXJbBT75XaeL5UIPFlgsELImbo5oHWkp 08NLxtKf4XOAQ9Li6K6ELSXAZFeGOanSAJSvoJl5NZsD76m0uN5jNu8tO28iMBDafbnXGNRk+Umm YYSEfsTzcF4d6pM1weWMToayPjHQssftV6610h5iH+m4IkdX1ya4BygV+tA9cVLjRG8CKqAS5YDe NzPpPQST3X69XNTQeVQeiAvvNxNzaRfYdmIHfPvSdR4v2C7qVt80I8/ta9tZiXfPXH/nKu9+8lLl 73s67xUlBwrvoQheYb3D4RsVvi1lPqXGqjtdphpcHctG/0zbjQxEKzm1yISziTZyH7iP22xcU857 QHvEkbsK2Zgi57K5BoQJVullhlAPqvkiSzv1R+TxyqbXm1y5rdWfl8FuPgU+FPVyztmReeCAfA5y PznuulNl71VYnNB6zNaeUj8Ff+6bh159PnDS5O7zEgVjAYHanDfKHdFblg+oey2zPDx/FMU6VZaY F6JzEx/UOlBBjGAFgxAzyJWmsFZSk8/erN0jqrgwrZVzOncM4VA5JmEQiaRRDrw0jLr4CA/XVxqQ bZFTPb7YgBMXD5uxylZl4bSiHeaTM+Jfh23gPQjVhvIefdeH/68f6UXxv4xEQLnxHWiNplMzErvM 8z72gIqGmXDV79rSC+v7yD/H+QmEXTTEdRxU9k/pYQKylDErhBUh+h418XOlg3IX48g1ObcVE+/q c8Ys2ZMHlDoYrrJ9FP/fOEpYV3sn3GLafFKtElCuxzgn81csIMdV48U4UVkse3FppOJzJ+TvPzDn ZRklFSeVbDiPmawOSJ+tSVc5S7fuYdi/uORUzhn8BWByKabU1FITYyvcB72uF0e1E1oVt8wCvSea +0K+ij6fsFZZoxWEOVoBeFWkRm9Huy/laOXc33vQNVycLGO6Itwt6p+DzaWOT45IBSdtHafqJtKF DCbBibgBAR51DLtW6FzyXIikxlKiGTLs4jZ1j/80mOrcYCzBhFHD1oZirI2l14N5G7YAI+7sh4Ur Gb06hG9YB4JrH8GMAla0krqK48EDvQTkQqmVEUg995XTLNJyFRqIKJA4xR3z3Z0lLhwBmo+lTkav 98xORnrUdj/0dbS8/eUZWQerUsAa0xfizI8LIcyqOoRZFMnMiJ2zYilc9tqaFZKtXi6cwRIGxtrv dxawvaXmSBqGW1LBraPTbON0C/CImHR2fLuLdwS+npciP0KoR8rzprDTlQZLuumaHFWEgLvujMVL vOS0G7ThgGnDFVF3ZiOImAMqw0pI955dQZalXlNiaWf57hrgNzW06wJXgiAdcSewzxguzOdqjdLN Of6iayDQzNuK+e3K+SgITy8mp3ka8/UlipmVa7Ti9yBPN0pd69taTyUTitIee1l+dcRWs8CR77js AgWSvYBdNlm1sXqASc2Ne4h/4Zntx7k45/6A2d9JDLVa2G0kORsZLdFE0THG2hoat/7jY4dKQw97 ukyOK+lcLUABzCNYp7h7RddNlgrCigmpgac2E/0g+UiY1M0L4+wKaOMboGwAsAlwGukh/xpUpS4f ZnTBiC8mFzITLJ1zV++p8XiFNnhhrmIOXKHUDTvFtKxTvmOiAfp9c8Gg4oWO/zqNb1PEtaUL4eia ygIw1qRvae9GO3jSVhIMmCzBPhNd0ETQyXhA+HBdfB/5oiYdQ72L4Vsu1k2OTqzwwR4SZ0bHIIc0 alvDmn0AJOEZkC3TxJopiyH/VAQMWcQ6w/fRbRyqMasgs/LDFpZb98clt26Oek/qGPZt8b3Mszwd zP1VH+rw0/uQiZaOntkzDDlHZ3Ejr3pxKaFG0g5sfd6Gwnbk02K1CxlUVCgS1JZZ10Y/gLEVZ1bY zo5TokXi1r0XduyO3EMKESSnVTtMgej+2R2kpak607jjLvoLi4A8j7Gd1MAc9JnUfBnpXlIdJgPc AgQMnNXSWWelxkQSXdcSW64nLHYVvs5zFZlA2MvQRtlrdcp8EgZcKOGy+6YKJaW+haQdxcdjpdSB aezaYYofcwuWijAevZgNP0Vh32IKHN9z+7klRur3BEe3CoWV1ptr8jZe6U5FWxCKa4lhgj8dpnsd ThEY9DB56R4wFntujvOZuqhVD/m+Wx1Hx5cFDpjBz7kftzEmgYbEciwX3mnQdA8xqJkLKL0YNOdx o2XWtlMgjX3XsHRjCuWR9xJvJwttv+aQBZqMaAc4GXr5VHE9saw9otavZh+VtEzNsewMvkY2qEu5 +qxat8Ebt33XCfWzc32yFWb8mz1yLsxM6QGyckV0ticQB6jMLMdCQRmunabHl9s37t3WNTl/6AN+ 9YI9hWqZIkJtSzEhf9vkKrMzBF5oKhJcETE5Bw2iqumEgIjEatYQIztBISZtqIqyBErrwbPdXxuJ wLrQodtX9meGUNHeVtDG8Iae01vjfvviPkx93Kef2yy+l5iiz64jlkOIIggbehN5G3LPkpoSbIBu EYzCJizdPV9UoJAiaYP9PjiLTM1VmN+TeDryTu1vd3j30iBWYkf2uLbCj0+J1RextIZX+5Pw6pT6 3o9uJ/jOm4F5PckAoxa+hiDyL5hirRmQoCuT5SJx0XeQvCrh5+OpPbQU+ASv6c2L6D55P83VgIxh qH1qX9pctzofY8/V6g/ZAevljhF8Wo4CtL49lJxpMZR8eAdNWBGrgy0tAU63bs332bTilyq/d9aI FoZcrzz+bMGW21k1IC8pMH8yLmL2/LW42TQ9cW6Crf5O0soYk4oS+HzecAxX+/+3MG98Tj7T1cTD bbxIOaur254SNkZ2hFXmSYPnc4LwUGBt/S7DiSyzAh/EijqE5JQ48OZZ/DokKpDKN8Q+XUgfXL0e ojHDl5dKOXyVF3dZj3ijbaqIYjjDn0S3kUUwt7bl4lVzJ5FbdBsByzFbBKtoIl6g3Zilppagecc4 pZgLF8zx1eR0mJPttlR3PkGMajxj9IGnyP7/9BMVGRbel3/FcvWOAUVXAPbNwsE+L1BGztxkkFfk rHEgdJHmfjuqXk4DLuklYscidcqQ7kniLCINRHC7cGjAlKzB5GsY8pm8Xsyd1jk76Uq9JWlPlnHF pEoMdaBAhqOkdokdv0P+NQbNbknCnhUwRtDhmGBj6kOAPesBcnNX1alb/Sw+Y6OYuypV+RXH8AUT wn7rfXcjoysfootnu6jHYzRErKImZE6iSwnNRCqpwT0Nz2dhhVGJcghKaTnv1skzoSy1BC1piRPO wxGeL/uZId13a4hlqAg2l8YrbRawevk5jfWOPltwHqtnUDxtLqB3/fTPtZci/RBeKQ2lXT6reilG lMxUsb/PAN/CnuxGZW0LhkwCWvKcvQZmImrIiAsVxigqoaUQ9SJOwyKXEuG106AAoQ9s6kDIl3Ay cgqVzgPwE0+Lv3a89TNDdFqaKijHfpdUyjV1QOZGvfXskN6ub8FXg9aFcyvwuuSy1OjG1JX3s9jO tz2cyqyuQD0oLZSn+2H2roEadaQw9aB2Isfmx3hqKjNF4K081in8OwSwGmkxBNCIzeT0fJ8rN3gu 4mlkEcaNbecLdLP1NYOnThcifjQkRoA3Tnm797IsGrrgfP89f9XDaDKSwdqMG7B3pV+fbHCmYL1k C6hj5N+8jZXvZcTuueZPTf79xY1ef4dk/T+bYfNT0rrolZZjadJXzcuBlIfBQEUM/Mi2j6hg9Am8 dmcgZg/gKphu2q8MDlW4p+IM3p9GBiXgGzqPYx/d17+6aHhbjSv+Y6+bZZTMeRRKCP684Kl0RVz/ rth+hCqiF37hsrUwTX7tYv6E1KqYuVVCotCg81+tC2QbHfglqSfO+nmMC40giyBrcnx46miXrHT2 lGWrchx6NEnRCYj3mXBVJGhUKuULj79YSJwYyku3bRKgG9QlvJ7tZwXHNIW2/eJmKUokkzQeIY6r Gt9+5kfLkG3YcIHt36ZJstKDLv1QZAbt43tiRIBT52TGUyBXciwLzAMEwzcvtBg2cCer+GS+cUEc HH3v0y6JOucpdnBAFNwKqy75mSTOrEjlYIJ5UjztjYJcJT05/Hr/4Q3AI3DDeHFNQv0tOMemY0pO xVMiWfBPbU0pLUn+5HQtUYTDgzv48aOtcsEbVcVTn3DmQILxYuzfnIolkEkv9Oa5fvPF1zAcSLeX 6HxQLSpX1Bhg110PHSKP/7v0ZwsYhlx2Gt5UqhX4HJ5tTWIW0/4GxXz1nbfiG6qK92EILQ290xJy yavd1uLcRWWRrb9Jk/+o/ldGkDthUZtZGtSgmmft+ngZaNWHk7seoUKK1N7QX1salJNYhTzTN1TL EJ0m8t6hlh5mHIblCQYyK772xEbenbKFpQPB0VG7ILPTcbaKE8WtlBfaIvRxFK1DH1w5ybXbcM9Y ZCL/8d4ZrCscjswNr0EHqdHB4zypA4eYRGBRk7mBJkAW1aFkPr4T3X97z3VX95IY2yV9lSYkTyKs JATyiDEq4KDQSDe2MweD6j/K/grdL+rsbjy3ZsMB5o/4X93XaA1PFmGPhbot7e5d+dqrzFdFh6Ga 8K9QvI2t/0p9iIGon6+fieEmzxM7X8xVvViJiMs+A6GlqK52cExBy5oIw+UM60cq+s34Z92NHbEs LH0ZWKt706xhD93rMvgCjowZjBHZ+WpErb6xRnejgBJu1h84KK3lp4J1SsBh4v2v2Q8i6vtMaCkr df5d5QV9CP5NFfYFvwqBBklItXkDmRI7tkVF16kFaax3CBiGfITaHZ/yeQTJcJpmZO+qH2gHPAQE bu3QjchKs1fk8/OmXSMoEisEEGplTMEYml53Ngs4FNwsn0/Gqox+AsKZrXXJjMpyEi0KQD7VwL+3 REJB8/t2IgqPHJqr0Jxsqyix1z5+00Ze1aWJT4DzmBbP04+i4m5w3C8kVpAMUf67n1TYcxfWNgGO 1bY13w35TwII++mT89HzUGMyb6YDJL2cIqRZwCdleKM2NjIDWpqMojebzM6LTJciHFetuwgIOFBl AnNL2Mlsw6bg30y1Z5lyLN+ib0WkzxRGwODpGfvGt+8xRGli9Ok+3Y4AfQSSVeL2yhuQV5lV6K/Y rtXhI4Ez9IOLL1ymRPDMx3r/HGDaeoWuF4Pvei6CygU6XvXN9PsQYA+b9Z/NcdiUZhwqZheUNa3n Se91rKlRb7proIdG3PsPTUf34HiQzD9MxNvfQpq67M1021rXEKkiMHzBc065xNmYF/QLExLofjB7 PiuLB73McXNCxMVZxZW6rrW0XPGsX2+a5EE+kEJ4T4he2FLUunuzKJoY0At81q+Sv0XJz3a2N5h2 SFCm6NJ50Xb6+YRuxe6AVf0aFzhXqPrFFjsVQqDMYqLUJKT1WOTjU3kStZqld/MvreFMzFrUC11y utG0pON6BLX6sNj2Ro5XRr+7yozAhK7bPlZYaLKlyfix+kZZGOXLZQwmtqCk1LtbAEi2zszSRoTd 7D0hhJ/6uGGhROAb/Qcdn7MMTJ+jIbGk9/jsFsrfXIAOt1hW+2jrGrPIhEOfD1xQJc1Nt2yRXrC1 icKdbsBWULN3G+dwayhr1R7oiZ90ceRkQK9u7tKdXZuCLs0taQj315vvwG00zPxpbiuRzt0Stnfo WrBLe7erSMtcZ4yfSGrGc2YKhWgaBz7Xgndswzu6k5C/nPh9YtxYV1YI8KghJyPVu5rzCt/L1Kuy kIfUMDo1nIAQX35S5xL3QxW+0cndK10rA6unfydWXqM1QNvb+eTP2n7MsffMk4mS7KmXfodu72O5 tU0qKVkFztu6ePwhdsogYi9ZOG0l1M/5HHDtlkn78gGXxC28FTprbVBeluZpZrWZPdybOVcSaLlR 4hxifW/q7Lq1b2pcJasz7bARrMhfqDt/wjZaY/S8Q/xpxyyDMfv6MLkG53GGOZx1nsQ3XNXiy4p2 /+LExABXLUhp3rSbhsGk4qUMiPEKT738MhSgXXtjkjmAXTLzrjXdS4Ocxr+FuouHaXG9ilttEUZE qVgzMI0ACf0RJXPQURH5nBp0Yb056MQQumnOCxSbAldCpxrTerDdWnlPEuE0RV0yAspwKI/3qChk pfRI7ZYLSmDBeLmHQiRsRjdzht3PHaTXH6vW88CGCFFeGpfiAwAZxuDhRx6nvN5b5Kcx1dLsu+13 NCZOHYzWnM6qCVSryT9wRDaGHFiINdrvw3rIZMONvlQP7CSUEzXKHaRnpM/9Y17k2oVA//H0Igyb UPc9jsTaen/0+UHeBJkMO5plGYq74S6XEVHd49akd0FWzJ7XYhkxMb1v37um2TCiU915dBsC4amq JSCJ3b2QYjlVEtKHwYC2QPQkyOyCLhyo/wfX/ZrqhGfFYuuR8UeLIffcgbykSVQUzWuARleXyw8m xVWnvRIlYDRGfORYCpzVssQQinsav6EjSHEFdXC9pacncBat+jCJ8X1CiJC930r2aNbV7i5lL8fK PiqczzdVLa0BRWN4rMyvpMahcHWZjw+wJ/X77GUSDelEqyLZKiRzMSaZCYlYHRFK7qR7UCtX+sc6 +kKIQkbi49hnI8qylgAY8zM8y8aQdTI9NTI95959tgXWnlFtoK3Yo0+aat+TmQNkQgLmxPNAJ0wd Tq9K8z8cV9ZnL1tugK6pQ0tpFLRKOnN7veWzjySIo8qHfKKCwfC8RnfLw8ncHT55BM2SkIp1BYQY Cgu8AGlL+0QbKpepBEY3XoWuJk4MuaX3rrIiikd1Wq+cxyVsGolBydW++G6dlN/WYIa+FA0S/H7F JpszuZzt0+1Skaa3/oMBKh07jY+CZsOSQkWal+2Dr0VWHdkO9yffoz/cyhpkHAZZ/uy0QjmSCLIN ewJeP8o5q9W96e3Zr7WYj++1Ufavwo4OcScjlYD7TSNohp3jwtFmbusw7THUnUY6oG1llFaq32en UOeQVHG3HtB9obL8y0p8kOEm45lPthd58jtR2nnCyGlWyyxF3IGiORhqxGFGzcYnGpVXMFn7f3hR ZikCVGe3/0zq+XNrMqB+uBt3qezOi6CYJNw/8EqUxWhWccswzafBe/lOK5+gfMY5kXvATAHjEQTd YutIWs6dqzBWWyFpIkwJ13D71WayKBUz6Sm6NNrcVBCWJyOFKzawxn0sABUHQOaKlBcfkfknTuKs A8T186VyL3AQOElAgrKt5016Z7Abv694vLm7TbbjjV0utv84UjXjux9dQsanB88+wWi+xCG0DNwk foSDCLEABqDUOmhn8nbfr/1i9BDUVtpUDdioTHWogrH6Ro6wbGdtDlmKpnqFqYUyPFMNBWBP/bQv T2lIwZo+eiYFBJEWPQWz1tX+fcXe8UKNJ0ecCco8UCPKTKxw0UJjLEv1h/lLG8MEklAUka0486DU betn1jkco2/5+KFgUbXWBKtSujGmH04ynXW6v8i3EJbHwAiR9VaOAlpoid72TaykqY8PtaFJhO8Z 5iV74lJskmPyVIo/zHX7UrnyqfVXhGj9RKVVJcwo5Fp2Q6FGRROUQTkkYPES/Oxjx4Z9Zu6cmqtZ Tufss3qHUZzO25faHZKokqE5hzEnb+rw2A5xjhgVPfEMhjD1RlOUzDb5yGKo0YUidDOkIRfMD97/ tvCjmUKYZ90RAT61o8ULrYF0jBdKrvoVRfQr41HmMtUu0hSTzGoIdAV/1DN6e/KeDvNdGCebMonL ZfGZoBAD2fiHkCAdT0rQvjxqAeDN8Jh2sHqAA0v5gzPH3oR8WYnFbqdusU6yez39z9GIp7TwT27/ JErf2DN7y9rk28gA6zRRF2IEwtNGqGTTg+AJhucVyg80z2BmLSfJcyngUC1J+1IaUlsUqHQSrYCY DCWeZqnqyNZpEkD/5M+Bie2ucvzh954OKmNA6CQ24J2dv2BD10XSkKtM/6BUn8lLMN5oJ+DX/16W h1tjSv+aAJbPXF4IdpG5+XTboEu3tWwi9wsapWwGiZcXLhiUYxkwoiA53a3pMN4PK4rDKyyGice8 /UHoO3D8tOLpGpxa3i/DBgeEkzJa7j5PREh8jIE4nYtAd16LtXa/C77GJeeRgshXxGCvvRIaaWWn Npxih5CwAtXUlMYaoPAQzWibVsSkDXdUb890k32DoJdChOfQK8aaeQbqBiFXnJq18ZpgwOvV8pAD Rdvr/EXctrOCGdhtW2dzZ8wKAIFdfqPyLRx0bNwyegfwjexZoPm1oyeVLGN0rLMJ3i/26TTX02fa kr4BNNbd1fiP+Xu7YIYnNrtvbYjzyh5twkkJxnR5c3MXb0U+TaFwmvSLTX465DRXqN9OT40naSBB mOx7byzETjnhwSaP7SpgNoy9LdUhXZdg5HbAYKGvAyoYUKiTUUBxPqbW7ZfeRMlA1+hjrMh94bvK l8fiafV+/DHmvCaf3RAoAc+FsFXhO0Fy1Ejf3z2+Ns4Ezi/K72TzVbtka3e8vtgmUmAtFiUto6kX CPh6SPlcSQcFsUY4BOAVEoTv0sqdv3fPaQz4ljJcLm18muNlvLPHGm0VX2cqKD5VRyIkL++oi7OC 9eiyj7b80zSg1hDzP1SN1wUK+k2yYD8Akrk1NHd9GZUpgPzGVZKXhOUobvW2niAMLJSpJZtQG1vd BBaCl5Tdn6CFVtlTD1kG8sFs5DbI/CmuDfvQMO4Kztn54aUtQhTGE5QehrIud3TtuTOWnuAKc/Rv Ctq161HqdUZ9Zkh7KwnESgAUF2NPyl0uqlcwr1Sb4noGmCdwpWvQDWPRJllcWSOuhgzWtTWlmqIC Ysl2BgSznBcHkAXkmeDkJzxjoMSgDY7Z/KpudufHm3lrzuaeg/z3A49yJIeFElM5zd/wtlhHBxMf G+C+jVCD1je6jPmmiyJdvOmAws7Mbfyz/X/NPZfzmJKpLnAQH+nYOrjAAkSzyFxeNNj3wgyC3pKr kFWYcFkGBmvZHOZR3GFmgRLIF6kZ/CDgeNuDMYTzpT0VyNFySD3g+CmiWDlFzZPMSgTOxkpKUr+o 1ivl0ibZwpbV+0iAODcxpoXBQhl6SGRMISg8lAJ/u702ZqWMrpBK3VvycVZ73AHmSTJVqueesLBE xJAiviZMDyUsZpLc0Ydwb9KWGlqyh8bRbBkGVidW6NUL10GkBb7cgVnsxQaqEU78H8KZrc/39RBr zgm+UWrpA5HF0cZptvTIFMhzyDrkvStubfDDPUn5SKZFYPQRC0bKgcXQEEonXuKGDBZ7jL13mx4K Ga9m8+d5piSiblI7mU/tWU09B1ZJ4pg51Y1YSa/BtEitM8m3yaVC0HXWC+hD+weWC0gVmEt6fB4k B6Xo7t+DcLbFiSu8KUiCt0luqpAPirwvPpQgmQwLqZUFN1Hk+wH2COvws5cBwUhAyfAf9SOM9Dp3 KSVwM0NOaZEV8hDpNSANSNGiF4RVyOi3eaxanG/k4eHoBsqXLxE47WdlxX+0o0B+DROtVEAFkUFZ roFDQXu77onItAc5AzbCjW9JzO3NselJ6pqBHB1hAYd2GnWMvHAG0PbEdpzyA0QEUU1391IPXOra n3150g8Vbw9FnbAeqVTRYQYofL8+31APct07kENCbU9Ly0fG5lhmlrJzE+6aG7jVCGlu24ifSF/n MEcj5GqmhWAkFMZhzdxEiUn/FW81mM7ZoKdvCuUWuiCa2F/t9Qk7kGWIml4/EpREeCD2dqek2T25 OxFX+l9gD7NuTsHaM5T8Tu0OHwGtUVir/gdgPV/MMv+iSz/PRgWzPD5JcuzlhCwqePFSheacBZB1 hRQNOYx8DLA06eu48EEMTBABVAlTGI7O1Fv2tFkhmKhucMk1DnKFouh8FHr1344HfsXkmoZldla1 jhGpQBEZpfW3NQAonB33W3EeAkQ34QrFGg+DI+y3TNA7m37+35s7iNIciEuBjYpsCH1tHvOCjH0f /MPuncM8q+1QaIv7g7yt2pm+GvqVpPj++iHWcI4aTnsw3YGbbM0nwDApbjxMGS9duC8bmNv60QVn IIBf6jDuQ7Igpz7bc90UZl8bQHqp5qCPtKYx+uk7+Y4uFuFblQ3a8Tm6Fhf1uvMhTsatZ1q2CthH qiW5kZYQcaSVScaleH++mVWMk7JdupH+1YJi8gOZEj+u0syBev+3l7Wft9RJFbHCfrkQpdqtyInC iqNFC4+2p51jfQ+fXEYO2ntTfs64X7jkF83LA/2jInZssEidY5sBAJN0EJYbrV6wlN9BXW83/uWT 7dLQTYK1kiN+V02XFLQmpenRXvsziB2eLlhLiPrVap+wB2dDakuBfeSL5oIHUaxJpVz7QTIRQ+tx CSnfyzyJ2HkElWObQAvfSA5ia2Sa3NbsKpzL6kRIGkajqZClXk6oRxw0IMwImbkAJQYnbZdS5/Wm KgAP9sUEqpVgLUjmTA2i3zMuG88SxlV2iwEo0DARt7KxVlbSf/tcVq3I+LJBqnWusCxSFbolqt8O LPEuWJwXZMcfQKhU1bV5YfxkKss7KYFC6N6P1ryaAZXrRlF2BloPsBNpi58wk7sHAQAZRd6MIDtM F4HuuXLhpa0b56cyY9ebSeVtl9pSWQxcEGHp70tOgQcTM6Hm7cCX49HUjodZb4ZMTHil5Oo/nMXA HfaYqFipkqXyN3qpdPp1e8xQlVvMkJ8chMaUr/EJ12HfXrXjE6tWgIMr0nvPA47IRXto8JI9kkUY rs4O22VGVfU6RXl6dTQ9x+XkNXqZWBfYVZhawzknaAx7hR1FRh7VGAoehd4aVLGnJVM3uUrgacB2 Kmci6jcILJWRr2p8eNBLY6bTIUnAxk0wmiNb7QDq5z3d/yN3O8L8LQ4t5gKNHcgOlQaCNBqyVUb4 N3bi+Iets/lpKkWswJ9TyGaIV+TSc/YGIE69orHSXERNB1G1qNjEJcc1IojlKVy6I1LkzODuCAO3 911qqM5EmWB37lslN16/kCo5XU9pX6sfNAmCDWWqYDp1twnkERk1jeMUlfhK36+qC+GRYazF79yl ZX7z19dm0mm1C8rY8YeKh2BkevOqGyHo72WydXeyMo2yC91rX+5pG7CiVPeA0dz3nVBJlH9pNV1Y rypynA29tytPOoHCi6zbCdMz3LxQ7mzMyXN4JVOlfgUobte6K/13LG18GIOO/M967JwO0mMWRWXm gdJHZ25rdIgKgaIt53jANyfwTePlScVmClxwGbkld6ycy2/IOfDrkh3/36zwhMD/UXZCVDWrYhPR AFy641zZlEJeCp1oXS5YAnXBMXX+YD+PGJpchdYlQyFzpOewkdec46+zGNX+v9pIUvAI2bmNtpzA LgF5XBykM8UCJ9c99Wn4SqWpBBw8ogAfEOshOlDMYPEGJt0WB1Pbgjh6X523Dk91ej0oLPpUubL3 60ZVm8NZtfLNqbP/vMPAo5QdXzceb3FKvvBY3UaLTFk1s85ZsAv4f5v7LtsERBcGJ+lMiVssdffi 1RdyTnYWkjjVA4GVXbXZJDNN6RG7wOKShug9KUQjW4840wE7/QJbOXLMOe9aHeqSE+rYJttylByN tM3mxVtsZLsvxQO1UuhEjx0dEMwaTA3tT+3DUyjc+Ka/gbr1F+g8dko8gHWRYj9L16VqHdFQ++Sl kaDcTA71jJozi/w1Az5rw/1/VKm8IldCsgSZoxx14Y4rBIkAR30abIkmsVb8nRWyu1EmaUifEApG LeTyhmPOc4IuT4e557OaVZtF8oY6X6H2pA5w+7w8t3ZFTEeU69JGSAqsFmwN5UJb6bDVBDVJ3xzr I63Oe/Sk2rBqaep5GLvgQDICnnAEoiBYzVwMd8vCFXllGEVf0NJcYbfBBeUL6U2i0IbO/Nd4dJfp Hkt9YltTADdpZOd6/D+MibNbqIwH47RfGULp8Pptw4Zt4W/u0ww6DMEbvMjLFqffjcdMIDvxNtDa htCEFqiPUXj+kRkfuggs6/LDAy5/Z4CaxbmlglTLvFj+QTTJq8aIUNbpm3YFCNuLicAteZf4iJP/ YdCGRPaPPdT7WwxTXnpzgSpIF+MhTAcP2mJCIZlhURXKHVtQ9bWGGEI/Ozd4JjTz8/52O54/s7SJ kIXlplqvCSIiaUbFq7lsj6pEruHm+LrsiSCyFQ3l9Ep+EMUXNDWfkDTAtVIwQEd9F4+kSIlfENMh c7gJcKK6zXT3p/VewtsXeuPTc4htOfrGeW+aP5IgW9TDcMb3erm/Hyu/GSzn2UzELOavJugaGEq+ 7WlZQFh0+KeKQcw6XTrWrmE2SpkxCBsq3JhrNXaWZWjNUgnpcylUCENdnqP91zRsIoOI9quMkM26 3tBsm3keLyCYnReJGEa3J4R0+yU7LTO4lD1/Ql5jETtcKI9sz4JyPIjqJzJhuLbhNF1UxIFrNYb+ qUYhd1xbfX10t3UaZfv8dffN8LwZrBfcF+AI9XPDEhlN7rBlUNH9W0wpBQfkChJb4cq4Ru+gT/t+ skmKPDSgDk8t9SFL6wuuwMRzqjnoPXws44C8RExIMDqlh9i6h9RFROc5KaRhW5GR4+eGwshlJwwV 8Zk1c5dOVgPnY3RCKILB+zZOa88EYB8b97/McyJ6N+xdIEImcmmk/1UnmwzseZNA2gu/GSRHSfYr z8q/Xs1zhOAIT3lQ+693lfApAT9M7JLzb6Mn7fb4S8ckQwphoU7HsfiZfeDM4jlqHY/l+Bgm65q3 CA4+WtQ2oMRQ3fzQ3cFgmn7HCzA9fI/tWp1eY2DS0JQWxWWVSdwjgDwyfPQuDjq+9AjcYdpTV4gr aeY7BIxjUKGSClDnDRKIgU1fKDJ0rY2KhEEwoVeHg0L3sdR505mnkUdHtTr1ge/uRja5hWgyOPmR Z6RMgtMV3fBX00CBGiaR8OX6Cy19mTTegplNJ/IqRrZwwGbQDUcPsnmhHio0OMrLab0bLJmxbI4s 4jGSDe5UOhkQGgBw54wYOiHv76o2iihtZIV0I/+wh1a82+PkoxVLlTUAgebEf8mrY+yiYsMIrk5o RMfo7VpIfvTf+mxCSXVdqT4ZGymm7qeaCeWxIxDNLL5ktgxMyJQHVZA1rDwaOFaD7fFaWsVClkrS z9yxWexry6A5KJ+kL0PrIX9OnGf9Ry7IiXdetetvIEMT+AiEL0b5BjG42eKnxHthzc8hXdDCE+oO sAehvOHJHq7mU6lwjafAqeASsSqL5p0IjP/SuurlZPRwzhLMRqj1ym3yvqd3lB/8FfrRaO+Uq5Co V4p+va66TkxD0Dl5yQt9m2uXT18+IEUZ/jJIexHZoDpZ1c15xvmiKGm/9VdT4zv38+mDJfUKOygA FMYK6kWy3Mfoj0YmxQPszD+e+UzX1xHnhbxBw1lAfyUF/MYBXHEAhupC/K7Xt3x+Ng4gEjMZ741a lSWQvhm45M3gw8esm5u8nnuUDevLIhoxGkQLY76YiABWvtN5us7FPe9QzMfitNZETTtA8u19+RBu yEqU9QndhOdIooTwkyoXYcLp6fxQ+HT3kV6SZex5DC4+UADWgRWElNEHtrASaqnrDz4xkO/8Fy8Y vPps/kliu9i5j+DyDFwLyVLMKJcBTU1Mx5+0hdhH99SxU8ZN/7BYwc2ZR9BJQMHZhmWZ8A7drP4W ZjiVdGMKPtbG+uvKDW4TZP5OLwXOOgXuJOcRFSY++bZsKKn59PdZGZpTFsyNem506T5Ab+/APpg8 wfXK3HU4+ReWtO38VeI315sFLznW47sNEA56WHOLCylK5Tsp0523n9zHf8Wr4OkJG8ZpeJJLgZof ZxCZxh8g9wH6wX0LDuV6Rj7aWNNHrsi8V/R3sIms+/C65YP4BcGQMwunYNMEJ5trbrNVARFFfcpC f212Bv6DN2jiUAqVuqIYbdHk69XQSEEKWGFOaA8phknjv/aXo9Sv36uZF4JuduQ+Fr6fYgecaar6 GfNy8n3R0nPlgmBDRqmxpp2/zz3AOCW86/qyTu7lBC5amQG9lCS2rrOa0C9ODZhGXbhi6tFczqfk 3dGm8tTyL5gBukEzxRSnfo1jCyBsNA9UHzElAuyC0GAihFnyUI7H9ZlczprAi0MndkN5/p3NpLJu c7WUZz46607Fuj8bxEwO7UPUi/SWemakpr96gWPpa+jPIJM7PWgCdZ//stV46+IcMnC7/iqfShWz k1420SOJ0dAYsOig2LYMDS3VRbXMzx8So4VM7skKNzMhmcFi2iFw+Ln4aAeEz++QrmsHB7b+s82t ZoTwpGVjIrxzuM8zVd19PywwKwgQnCBMnKHZA1uFGo7gI3cF+lL/t3FqO67qnZygrHLgKPjhWHet U4qpUZ7gbyzTZAue+IqHaJkIxusr4uDpDL2jWDjbk3YOcvALOO771ty+D2DlaaGLM8rPcvf/RxZq xcJaAFDel+DcZ+IPuxPX73E5oTB7qay1Ac+3Amcm22BgOfl+BEcGCV7X2Rr0I+2D7gMyrUE+vVh5 6ylbUc/p1QXIsy9rhhHWhP0IA9YZTyAZkVOo9lM2KM9fi1omAqmXQvbLdmlC+rlmuovwmr6/1Q0z BayoUFUuYK5nqEPQ2lrvvzT1xPndEf+n9W76Sbp7hCr5s7U6Jtyj6fWwkIv4E52epL2HAJGJDKK3 urM/4FVzkpJh/V9L9k/W5BK2i57yoaLTXAu6FubzJcnER38VAj62gtGduG3Qpk5xf01Ui5T19Uos 4nMdSv3dWsUc9XAS040RPqwIIoJiBD1BCPcrTgY0V3gdYeFRm49WuDxJJ28fv3r8A4gNEq0eAf0b IA02J3OHLSPMWCq6Vt7H4khehyFC2Enc1tPUKdA9bcZTNO/d7gl91lOfAvUsznYwfMey7mkyqWKv /LSC3gxbUYs5sFAW4qR/Y+attzmhIOXOxSRKZ4TCoQNhMAK85wpnpfuvrWfmVxpaJ/7JcR3hPBHl PfC/l1EkuE4WgfOoQbcVDpg3H8tuyF02BEOMaa2LgNkn5toQbm9lgeXcK50M32rRiQTyNVHYr0QR hE9WSP+AHzWrJQFxws31uFUituXD4djhsyHT9RgqxBS4O9fKQyqQFsEaH0D2Ezrvume0snzILKyH VFRlNAi+6fQ6d4t/20NBnOQAtkKRyHnOkPokg8g18kbTeKesysTt1OiLgmSMG5WFSo1tqllvsuV+ m9cIYgTTtvHl86JDPwjEWxv3WikjqTnwdgyo3zEqHEDCwF/fgC4/dDA3qsn3yhEqMuXSLN/SI98D LQ1N8ubnmbEm0d8VzMPJLktOFwHhxOrJIGsq3jalwKggEOmXtExc78foB4Zl4X92Xk8MXmNHrxQv 1sV9AwTu7AuON7O8Rxu4L1o13lO3oowOuE6ylpWAfaLmJ/lkc9NRdXR60FDz0LYNNyPStqRdmk4p RTDnotptb+nhJoP2T+/zUrL+DDZzC6dv8kR7B1LKzZU7sTjmQujlOIZAE/+/iYCym7DayQ5fZpUr 8e8+pU6+Epg4gfE9AIKLI6QvMeEs9LJQ1eFxQaHDvXLuVLC8OjSiZGtkKmWRaxy3rzjpwHK+z02w X9CjEdeW6RUQLCfS9i6II2KsnkVR277pJ+tncZozTYHmn1Tm+h1IzkprX+tvr9EvmQSwvanx+8QP S/ecc0VZiQ595sZ6eFyxkw64cyILYfJ6I6syccTWdJZY4ELpUtt5YHxbFd6l2Ez+4lDN2xk3q1a3 apliUWnaovMIczCRikoFUsa3rv5cTnmMiqKKKOR4p+4mVK955UHGO/3+2BBHT0vbJxLJ3YUiEWLk RWaapyMob0v+s1NHBCQhK82bjRoqZ2ZLZorCOWknlUxR3UxS4o9omHFZMEGdIumKxq6wx0HtZumN BFfb7tfJI4JNNvh2anvDxbukOi+Kqs31uU98qYdLnjb3S9waOFpUSLG/KnC0FkrrAPRUG9NsjcDE S64ixMUIfZUrO4yiq9bszWqNP/QPAXHN5EZwUtvrovj869wkrfTQ8CcOM+tQkncqmW2klrv7Rgci OIT0vyhAgN+J4Z+JZ/Cd2YFcpoOnbbJ7MHfxH2zTPbWrBSYjva7fJoZNI5+68B3ajRd50zzd4hQc iL07iTfQ3fdFwLYB2BjoPfVI+eYQC/KQ+uQqr/jvprzKguPBo70v0+5MWW0jMxPSE+tvbnICstLt NmsGl3gIo98hIjyIcASZJsgSOLaIFUn2yN6McXiriC/8xj38itDEnHPTsrPgvL7ny7TuK2eLo8Ke A94FV3xt2p1a8nTpTjRskem0+PjUeVcCVqE96kyRsieixGyBxu3FxYVPLJUatolyE/Xb5U5hOMJW wWe5xcxRWr6LYmRtn7005JAKILaVGnsF1jf0WKZuzcUM5JFhE1iEkZVqiIiqt6vx5zzXBk5Dkt/p spTHuiRzPt0JRpREt8k9Z/FhY/Vcix9Z5yhjIjJJIqwqWCM5SLO273XZ1WVsbScdelZ19Sf0zPmw 6dGXN5gIflPmMKBkYUPGgEV6nrqRqfG+Y3JQjcWRIbCtFtxLOLBIbaMkvNLePZQtlAczCYN7Zqbq Hl+CaY4QULN/tjFAEWr3K1aBjR/bwOqXdDOZlK6g3guaGUPxCZOZJG2hKh34r1P8Cgjocr8GCdYb EmUWanmsB9NkuKGC5i8cX0AqS86E7VjGdmrAYurm8WadoDEJ/SMEJYiRErSzPoyW/4km20Jl82p2 CWsDbNbxDAxewb6jRIcJD9sfuDqlNh2EKFcfKwJ0hBTgCUF7hL2NT6nw94HyKVJAiIfRTIH2UFdL qWTpra96OugxJ76xik3mHDR+OcQ4TvQLPAALTiMw5Kc5mSx/HnTpZR5y9bC0W2EGZr77A8vD2v/x pVBrR7CF84pEqbufCll2pY4xedJNoS80HE/i5cYS0lC9EdF69aUP4HmpSSRoqy7qEfKqcXeYFjqE wtSU5wU+AZStQtw50n8/uWbAe13A+EN5eihOoNXEv8I4E99c6RjYWkO25o0lLQi2hkTosOmv/j9Y E8oF+K2RG+c7jmRmjfakXVp3jbbkPLH7njAZv2Ix+WhjMIJqXMGUHPL9C645G4FXp6wIHRkdMEiH DzA12GPVaHKq0hLsswU6dGuhtDmcSOwzYCiDTYuie3CW36IKNKprx/yKpXRmc2TEoi/zxbnZbHaM RyyZDAQxlIFysOuOjfLDJzbkqsV0q/xJc3ALUIjMUXLb7/JkwX8jRS+rs81485Nzpt1+8Baw4qmR ETHiFC7DtD+8Q9CssBf4YYhrwvkZFX5raboCYbIX5oMOYy49G/hSEke1cN4MfFBfIS4hszWtxhpT 6PqIENzYQg2mwe+GjEkC3jVCIA/lQJbTyMwK6Uh6Na8cYkgbULowWW6n9PsV7mgIlRPER2uvNh8A 256BpdxohnRaGqHc6t2X9rdq2XHIdedzO8BULN6aYfhL55CtMX1CY5/2w1/tDtLzpJ2W/QyGXmTL lQ0Pes4iE7hSZ1iTX94MAs0pobzMvMgqyBfS+1TRpbUySegqf9Bs5SMW813sLRQKR5Mb5XHfH459 L2FSJVc/oG/chrcid8u1m102H1vhxAo+CjxtXG72qNRvCVLZxiMyUMjGO3p5JtcOM6riZVKhC2X+ wyyvqsgE6vDYiWtjAQplZHmAStVhxeM6QNX/KNb0pA2tM6XiP01YKLtDxypYOoSyaugRde9VhX4t Hd7G+JSu3StdCdpxTXCVVRQzOwDPfZz7N9aUe865phy4u/pXyVUM9OP8F2DpaS9SsMp8nHBQm4wV fn3nywZDYUy6gN86M9dkjDlezwbllKbVo12BWMYFhIyWuMsNUEd9fCnzSo4ro3xBlg97u4963bKB PtZUa+H0q4cqkpVbnS+8IQLmy/veKCfGdp5iAgsaUyNzpE3KBNt/IGxeaWEU0YuAZaGH5kmM8Cqp T3ZyAETdvUuvU17sToBBhabtbRl9AjQwWkAFePEUWddXUIRAxox4OFe+WAgSVP0NPIeRaIWPrwG6 SNWY0tOy27wzpliEIeE2U6ooqGNDt1N2QMaya2n+ay0hfrlID5/iKOREYFgGmYrk7sPkSAhsuseg UkUsntQGOdcuFkPrk1WwtD0q6IIZu4P34zd9yplo0WxqrNUFs56uVoMRWK8fGN0Z1JMKmdoJ0lbg ACsjn0bzDuXrIrI7IBu5LsbGWsqslhwXRTcOM9+TNb6JihPuEIenEWzMak6oHbvRJPUh2JPuJm/n dwTK1Td647HGGgvvygWZj6ulayoFILnTtEVd5Vv1l/1llX/DVb4nfMkrnXGYLrvBIZ2+EHok8YOc 8NSrkfHYcmfouny7/e0iFOFRtrr/uFkxp3I3RIGjmzHT2hk97pce8CJVgD2SBPQoK9KxCfDVPEEp sBFAx5AiZSIOtnencgfOkxhy5wbDWYKx4yNBG09cPVqs1cNfca6FbfTzwhVO9wp7DmiIBpqqIBFG /evN0HsP7M2In3KfWqksvm2t850asD/DEK14+S/dkl8Juf5XBZtjhwHcRdydNxmMxSRGi5epTLUk MgR7S3N1o7zrE+mv7KwLUWjgdmMdZHfr85kq/F0MkJ9OX22ezu7/2UOzlu7jwZGmNuKdsYDjGJTV DkQ6Lt8e4y3UYoE9q2NJ7H/znwVFKMeCV9J+DJZAFhg0R2b4JiH3JRP0sf0vurLags8t9YvRfrSl Rw8Ok71XrPC2DCbMh5EbmLymDLc8cXolEEXbVGt6Np5dMDZV+O14qNMd3KG62HMB8/ArqkPkRq1I ZTZ/M9T5Mw3WFmQZJYJLtb+9C4dBc2KlXL/RPwQyFKmc2BZwXo9VzT7gvf9lmOYUN+JGfjtZg8VA /knZIxyRBZl2cSyZP03FF/QiU0jy4cOHdqvWSwYhnxV4dnA76Ln8lztvEuldYTdAyFtNFzZxVh/r IlP34YnaC9UzYlcIqIPRaT0gXb2yLa1l8SINnGUMqG/5bqXt19Yrob97iLhBN9sg9pZWkIANEN9o 506zYJiPFqBUcgNq9U9GJ9DjeypQHXcIyXKxXfQxJQ5+saNdQy5/tyFd+6loPCV7Zk+Uny9eRPk6 UaJ+xhSiL1Y5eCxReEwRnjyAa7AROMF/WJ3M3yWQPqFeED+y/tIJ1TSa3768pEDeotBQ36g7qAOH cowmXinSF/PfxNmQMTK8NPYAgNNHtbHMZ3mA3eFCIu157GBblMuHtvBGVyMlPCDoiHUM8ifnPdIO TGYvmXpTh7qn8yvi1XdJu/B5ENlh17VEz/cualnO/4eV6a9DW+/kmbRYFm6rv/e34kJvgtG/hBq4 oL16REkgGA0pfwx/EWWqGZ6VRrW+MCJ41NUJtJgrXokoJmh1FA7xADpFRcvW4ayBtJuEYHDLvekl nurlpEX/plZcS49sJ2Zm6YGyTxO+rl7VknB1UyzNtg+RLAA6JjgvQ6umiq2bjp8kbickmIr5qc7L eoaKrp39Uu8on22ZTFp/dciSvH5AKqxC4C1uLagKpiSwyaCu668pa5xt9VbXJAwQs8Rm06+V7e75 HSouRm+Op2HrhQ+HjKsEvRek+i4042kTwbJV2oCbbo6U09MnHynFBjw7swMf0rSpi6LNcX+JDjzA YORuCSKS/O022NRLgIKdAbiqX3WyBDli/57ZFi0fk3QdWSBpB30LrE/a0VI7vwJYNrTdW411bIqZ Zy98/wnahfubWGMzIYA0rEp+USnhdFmgjGnzYKrispGKqZqIiDf4OMeGfwQyF6sfhuXvlSHUSH6y s53HAecLErfuDsqO8RjQoDsAtBNXt82EmhFvTxCRb5S55Z9sFA/qDeDsLJ4sUP1x64zxPCYvp6vv vPaDS3Ajouw+O0cYloKPo18/5erl3FvDdVRaQLEDPHmdH2VbNQk86wYiH3ez2FY3jJvbcGu01zUZ S9jvB8A40Ty6Abmp0N2y1XKF4EZd37Jc3Z9yrYVV3I0PO+6qslAKnr9aBmDXhM+zFnpvBcHTCMEl EI7mLGLnVcPhmrwPe9kOAWZaCr5f2+oWTi4fkxR74MGevy9+6d3fzyI+F8ltZdbu80vmEUhfm6n8 GjM51Q9RaxfaC/vb/rJnuC1Zkt88T+tKCyjSlqfyFxPrhCnteq0bZM5zK+Ux3dlZnauyag7oRX5L NYowOPhxLnm04Rxr3+h+a3eIItgq27aaCYOLnDFQJDqd6Vl8zXvIByB0vdPhAuIQ7dYYhXBY8SkI V/MC/5KMO6bwDPI8Mm5DY23nRcpsx80JySLxZTrgeeKk20aVh4/KqM6iyHLhLuL1lcj5uWkURtq+ YEVmRc/9tXaznKJlQNxWTJfJ5kcMqrtsUOprjlFSBDlh3PH5PhRztyWnMnyHhQ2/x+V1hX2Mw+Fd FaG3WkP8EybTbQz+9H1KPdrwKJicmUSd/I+UVq88MUL4FdkYdLZzTq0CgKh9fBWzAMAyIqF6gIue 7qR+dX03qWLHq+FkxnbKGvj9x9CcxeWh+OPGZR/+HNQiOOxvT+YhArdcsteFM90Jwroe7fDx7PJY hXy82c/HgmOsI43ytdskVVlBKi/LCqPCIeVSNaInidPLEEOWw+ccIOQzm46mciNKtKdgc43N7Zum GuY26Pd561nMUgKI/171xgvksjjSaVuwoLxNd/mK8mkpiFms/Yu/4TuA9yLK6OitznVKsdWnKzX1 u7fDQyZYbT45C2amnftbBTg4AQOGCuYrjVhdS6X0ZjOmYHCsaLPwnl6yfsIxM88+v6gSDk8wQ/5C uuFKbLvjAgSWBK2rikMtHUYZJKHTFHkvGv7TFdMRzZy0h0/CM2D08jpfGICifKQhPSjEsjGAGTOf 7mUnaH3ZH4kHHc/l8ZP3UY//d7eiInd/yOL1apgIkmrx+0sL6Dx/06bFrfEP0m1+xRrOe6Ve+Dpo Y3toEJ1ClLofLRbTVdYsRuouzQRn44/3BJ8dYNePB7VKbvSKgl9y+RPcu9CnAP0tZRS6vOXx/Sjx ABS6A2wrC1DwcTzZhrdTxxQ9BjDieFH4xIXWRz7UPpoknuv0JL7k93fwfg6verNKjSgNmGUGkqg5 OWxD007azqbHbX/F1pPXF4RrLOY0OdyDVBkZ3MbIXkwRbl/q6xV2IrhrFhd8ZTMu3vZR/UPqcRgL d0JitahRHEYOaevY3jqgIBeTVA44cds2VPIWTpTf5zm+HYoFWctdL7rYJMTvzn7h7xi82fPyeOHZ SS8CLKgKxYPyubbHDOGOaLy35U8x8URLKI8TB14ZFhOOqChbdp1t/mMpgzhMvmR4ta4vDc1BmWUY RFKbbcAHfhKh6uORqM9N8BullRs139cz6pNPZ60H0+HuRnV4O4NBNqPftIrs6yb/oJzJ4Fw0zSL3 VQ7zP1v+Qzl44T2elSMaTNvFZbgWXghuJ1mdwHwI9YOUW/cWY6pHvBbD2v2Taie/9ZHs7+ns52iQ g/yCpr6d7ncAb0XOwEDFZAgsfxsGer1uueVFA+po+57tqojsCR7p1TkaTDp4sOjyJM2nFxzL5/Cx DCPPX9eGJAf2KNoQV8OlCJC9EyJjzvKqeApV0sPLi5y0mgYpezPvM+gzsHhpxDAC3Y6ustFBX+Xq fpj+bUq96pXCc8u6LKX1exPHyTGkR16kAcrM/tAL/08a0nFM5L4uSZMLMTpTZly0DKhJZ8h1+x6B 5DMpQ9fDOqQgHT7hAFFvTi65PEvVZQomHmamgwIRkJqgS8XcCYAcuTDBBU0rfPElibABDev/fTeb jH8InJwgknaqiN4EeOtx+IKLHtjOlUlDqiCrfPqtp4EZE7pm1B2SM2yrx10CfJ7ys/ME81bnRhAf Qe8UGv/RWwlXyGrd6i3XCCrCDKt6vQSVZlD8SeOyB2HHcG90e36tAJ9cCIafmWe3KYslNkh5E5Ja t4nrlaZowF/HuXSaZCTY5xgVhZFvvZjp1ZXFIha0lTeZ2M4/CmQWBu5c+CCMPD017Osx+KPDNsrP R2WygELQzqT/8SIFPxSRUjd0QgJY9JLOhb3UQZin/qMNqchu/9Wv0HoDjflePElPHyEdk9GYhzXp RD4NH/DJ9xqGhjlp1dUnMzUhLIJGfsnVYEX7YxjDigQJp08nFdcBy/1jTPSBCUc/I6KYqvPlZXcv xqedn0oSYIzHWc2Xex5K/Mnw5UkSssAHfVFWOvQooX01KSW85rGMZC12DMeqP+qNUxv+sbZvceED /6Xhl3Mk/U2AUx2GklEiSrnwEQTzwviZWE5LlTHyFkcavp7hFPmvhKs18mj0ucN9w5eNige/CCb+ XnTGvIJfMylpuqHqJqqya3sqgUleCQMV4KIugFRBQdDy/S0FqtwpQNvr8Ex+VIWhDyBObhAMIMOR NRHN6LM75NT8lBgnjftUxwHLj85zeJHBrPW1BYu01Z/rC+fKgKGfv+Egqe+0cLcCo1PnL4QtAOJp GP2bWrcFjoQAjt85AWbka9SOVK4juO0kggky3513ogcxc044qH0g84Zne9QQrKusHaabUZsmEaYc ilV6fxf0hgGHylak4FdSezEQJkcaT9sNbdJlUCtXZ/DxPvjdYluYCpIKeWl8WwnjtmNwwXQJYMYa fPySnpKn/rBNNpzcJCTK/D6BPO0MM428ajeaRzGnIMAcvoOslOB0U1SOySEpr2VIJxIhMem6zgyV tdCyg5YJKDepIpYbzDMHcoO1etJFpAKaMMwjlnUVgYJWtMa7gt3am4wXhUdK09NQilSAYH7Hkx/A HiqKQo2F27AnJz58PKcWPQ56lOm9T1Iyprv38+R0jrUQ1OzyFIMcFamAV4Zw8tiN3xw7idaUK+OX dwDR37t3z3X8Oi0Ze3JPMLoqzP1yU3YECle5FgcODaRZHS3n3UxpBJ6iRiRM/35MxjDNmxCce32u fn8/DBKLtMszFft7RIMVT+uMlSrGRFy4o+xxuviDVzWyzrSD4rf1uOYbkMiMVjmiP+XJLoacz0MT FZLcGupK60QGW7ROuj2SlQ8yMlVdNHNvlH/SbLdZwXAnSUQ8P4COPLHu/4VExcMRrYuf5hmaVTGg /63/vaurEkHdQLQVNWag/dHkmv8Ia6c5nExohjefPN0DeitKNOkO85aol0IcQ0J+kkc8JucQ3dZl qDA/sMlRMSf6CN34J/FQURJGrHO9IjnlwwDJCsLkq/ohbksEke24ZpjmY7MucPB8kWDfM7Co/7em tBGHFlUvtVxBfHLm2nE5NCfOac2f4jaF0cUgARnY66HWgYS9RV7HzRv/zS/aR58FcPkG6rFVkFXS A4pHAazell64AgUWrSZpZWdz8PFLgS4VciJjmWkttTOlJOHBQv2w/vDvRGduFnDPPCwqEYEn3w9/ xxQnTYeyVtyCfl71OYkkmqnRxHbLMgcULzF4H2FhPB9tSKiR/MeUS4OhdDJ9kRhYXVi4/Tvln7za ztMW2JdsqKuZpYemB11Mfw8gqquk9U5uzaS4BP1T9M/h7sDpjNAWMnIYVwSAKHzRWaIC3vZ7Nc+j jUdqSctkTHroacSEuOBUQh37WCBBH62Zc09wxkV/b7uxWo0/ue6KzmWyDq+SyFXsLS+fqXASnJnk qOOpPa5vD1XX71O26pKZWEQPa0T7R/R/5jkSduMIX5+G96SQDXqXNUGdjMGO9C1fYp43f8friDx8 4JIcwT4wuor/08fy0DhjqYu2koebYr1btzmCcPeDQUf7xt2UdU9r5WxObev5qbAwVoYxV8dNZm9n UYzKCZhRL5X6a3VDHwal83guPsDiHCwn4VrXDFESfeed0Y9x4vR4kZPx6BfyL0qJocPdqyp6+elc aCS7zV3O9KAJroBfcb8qViAjAlrO3nFUl08E5ZpoK70cLOL6rq2RLxbAECjDr6VGEZS7u9DWsp3X BvbMKHmuWsA7fxNPwZGrdms0xc0QWv27zyyQWfaj+iwYowYsJPAMeKD4P+xJrS9uiLiAY0/HQfvo AL7pC7/mqPzsky5hR2j4nWk0f+eZ9aUrFuvDVMWswUkqqykjCkItQKM0Z3yTILXpe2fjD8+lYWhG qljBN/sPoa2R/WEmXNNkk2oHUgbLgG+gJUjZ91B8KQnzmd7pJ5oKsszYHIbh5LXu6pL19RxTFmgW Yw0TVR3FC+i17Vz6Ul+YHK9Dp/OulU20lsRjDh+Obm4b+v6DmLp93bmY5w3GXKc/rDC53cxtABZg wLWcZpcLlj6PRcisPpZQmUNdH+EaYB0sQnK7JnZJg14awvHNwyUHrzRqU+KWWWJpdAawd6FVq6kQ jbruvwpmaM/NiK1bR/Q6fzz3c8uazNq+6S+6mAqOMMttnMGRUAUaGxN5GyHHQ66MFgUgk6rVZdnH AE58G9spQZ0o9mWK7DWU5GQCfHfdEwveNWJ16S42LQqS/6QDElR1OmUJqxhLO+etZAjuhBguavNg vfGej1v5KWL4tUY/WHRudGWQ2RAgVqvEfZIWv4UR/zUemqmhIE3oRhXl0Qr7bELgeVlL9YauK+yD Njy5VhWCmr7fTKlxAZhDY937Udx3w0QAED2AqOJX8P/ZhdZiE/4EiItzmaNR+hFF1UJoEBmsHPqH POVRHJlDxJ75EW6T+FhY+u9NmwxAYphhB8jLmquuqvuroYlvn98+Yw0HZLQgE7L30AYWOD1uOVZe B2DR79o9bH13qIc9WO/01ejSog98yul7HxOIiBvZNNqAKO+a8hoSAqZKIuIbsrAffpQVmkLNd/oy AKISiTy5cksdj8XDdFrgdLYM4s+hC5LdTPkV35wwK5WKSFlVFlgM3sdfjI2tMcSqO7d8y4SWSSKO 5BN1qdBgX0Oq6N6VL6XnnRtLs73uEIFzdvPFk1scLQT8rZCVjWzbzT9MPXWHxCZnkI9MoNBWGHYr k63b4gPE2AptRomt3l7lJ+d27D4N9aMCE9dRIfxi2+kb5i2hWCeDUKM8sXHcqfZ69LRLg48ACvq9 xEHhCLN50I7W/GI+hMwaJxEBhZDlJ3OvVuKIvi6UysME6p6pUwgW55MvQqBtlBSgndFp/1Gsm1sa tljmuROAd2eEIxUWsTeZ5nDTwcxpyD71S34G46AZqK4wz+SjbXSSdH32thTpInQFGvxoOyRYSq+N NbJ22C4CZgWgz8qa5ZZ32T4t3ewetyb88BtDQ675vU/RI+d+tQlwNXnhk2udgUeGgGz66mpzzk9L 92p+48qF4gXi4CNivUUVYnAW2OtcUMb1CpcVUInSXwlTlj0wA96VrPj5Wv2LMoTNG+ng2pbYUAS2 yPH2ZJZMQlAzMCz+oMD4kHWyJqUxUBIO2+0CejcF07b3UvRi3QtL2g3ybSzFtDwvRcF1Pmc9Uiwn Zv7HjGi6KcHlPaAf1gvzlePh21ZKBkSO78S+8jFgmsCP8/ov0+2VczMXagtVOIKsnggAGFiEhO3C gOx+Xc7m5pPLcz7HYM0/v/W/2kbYJwPr8XEKhjHU+m7Gr6r74L3FS78DLkwktte0II189BQ4HJQc 7DO9P2+YJWL4nf3vHk8e5iweCTF7hXro0ZGMqTH7XN7V5E4VM8RT9ZWmzUkryomKdXbqAkdexpy/ PyKOJrbQuHyUzxQOtGIA2IgtX3WQleFetcQuqOSybYyXHzzgTKqHJM7Pp49f8O0yv+Ga5CS6+Xj8 uCO3Nq/N6Enu9BiSqT2FCrcO+63vBpe8y+bpRCslrmMKe9ooMaotSXGmrtC6JHxN4UaVDbRkvhD4 9ozZd4qJmgJbeskR6iSeLNj5LY/1MtSFhvAnkYYwHNUB4CGHpBWBsVrAnrAHVcvPe0rpQneLTzJ9 m8F/m2XjqzcQzXxcKMXpmxGUxy6J3eMm4YfTY1iqofY+FlF1Wl7XmiZZR00wyyyna8WmFdJpnlX4 jzjBDKpPdx8wesZ+qBK5C/Jj0T6qBF5xGAvrCXuIyY0ZkKjNKEr1+aJZSdeR7RNQ5qwgYIivmpoQ p26vIKoBvcRRyaGOS/apgDjBGoi0M9P5zR3J5E3Zg5rwQDn/VaWzX3gZynedGljtPgzAcanhdpLQ 5L+SUdmrg9tzLchOIYbRJRbc8eT6+B2HMsZPa29v8AxHCivhPCaSohna7Z5jpKBs4JzCkU3vgJGh qM/ZwIZ1k/b/Nfh7RxtcmvcSs2iomVuLRY8mIgzE3phdmuAX+OAN8IBUziAApd6lO1eHrpy37GsE gt7FP29cd607hwkTn5ZW2Jw5yjXMBh1qkhiQNLmwXRH0oHUzVrhPa2x1D9ZGRCgT9a0pwcXRPH3Q TO1Pwn0VkqwD30TuVqWCMF6EW/q1ITpQbFatojA3lm8HXDN9E+DEKyYx7oFt3xVXukyD0hVpGqdt 9TOr1qAnw/DRks5kwtaJC77o6PRkFzA3bOlU5KiqC0+3WS+CRW+/mpKZgmDEbzAHManaFYimo59s ECH4Xc6HjNR2ih3sqTKkrqt6XpBlEnEKzFFLsx1ETpezxTCi37Kq0LwnroIJ80j1Uq++HAYgOrS0 BZk4F9UEJ2Y6aWyikPz9QJTTwcNZEhQgtQy5d+R5dslKgKy/U4jB/KcgYziTsGF39rZZH/fD9/fy 0xUXbUS+8ZFCii3uicdDzFhVQdVKjXjh3CurQsRJ+pmRPy54p4NsD1cJtHLV+F/Jco17Nq5DRxXo gWGviLVkpHygIsRriPxrn6JSLyFsz/pAMdtxleTy5nCePl14YMsOXX+l2bnFE6ZJ+lN8GEpN1gMs 2DY6xnQ9vWCT24SAT4lPlgV5dkPADqCcyGAecSZWcsoBfLyfUHl9gxmg/obhoBKDwzGtZub9mmRG wTmCU3FaiCJx1rmPxG3Qw0p4JmyvHbRQUOWNbyl7E06L5fC+gtySrCrP7wHrJsoc/BpyN6XXHdAA tiQU2SnjwQijTHlvhW3PzfyUZ7q3UkfEdSE4SouMx/x0EG7QmniUlOyXXAr7Qf98vkHeiaVS76Kx wU+M8tu7cHZRRX5V0N0StsLrRsSw2Dcbwu8RU1SuwXZrjRwDisj3J0eQlWpW/J8TP5AgDCU42iEv ryrQdyEn4KozYCCd2YaHsY9UsbdGUvSJNcs5SOk04PilwZB3UEjh38hBsMO6pRqILD7EhP20bZno j4lHdLBC431JqYLM4Np5jnLtWO/brEp1YusvneH78RRINJ2/T1IMlxaL02bkKwLpLuLFV7i/2VjN FfRESX4WZv9iR8f9Bsv3k/jAdW3DLIeYpBUM4l6fhqvlcMUNtAPny7YQ9/enNMt3nn3bXMcGaAIN kF3Oi9IBFlL8JymzNGM4F6BwiOhO+eT5Gl6aDRE8e2TMrPIqhVA9Sl0I5Ts9ADiWmhVQUAiKJPCE nRlxKwXOfvkaJW29MeQUVeMchHUP30d5M8mQivPhDAUVzNBL5OfOYFkqsa39wRQb6b860TCW79Xi LdySeGbInueOm7HcKeFOIrqh4Riszgst9bvwh/0sS270JbOeqmN/HD1BRu0+RIPxL6WQIIvFUxpE rwVngWeU54B6bPa13AbyNm9fFN4/dhoH1dF2NqHgrpPGfEnR2lO4y6dFlU2Jmd3kGVZvKdlkr4dl 1UtNtyLwe3OtOieL1ZD08kWXG1cZAe5M7H/AAAAjwOmCEerD/bF3xNwRuq7Quuc/Q3Tnl6a/a+Kg 0M5uOeAC1wX2W4jUBvt7Q9eVSKloime0O1uYj7JfF2HiPdTYNjOgPMRD+QIFk2X+Oohi6OLlMbfl u/fJhJOi1O5fKEiZj4T44kO4XI/lcpYc+DlRit984iDpnOvewexar7zASrvHOzWhyAcn2vp8qq4u Re0LswWo48B/+Gl0Cot7PkQvG9yS8lY8uy55MBF6KxuZ4vD+kXUm76wjQeYjlTMbWWeTKZiny48M ZL2A1MKAsfj9vrqundJF4izAJ1QtST06b4FBj7/VZuFTEZrHcZMz4Rq4i4yUYhioE1UlhJwDqjU+ eWoSIexmnpKsz1KrsEuMbo4HSg5G8B6pVK1jSpJgFQpakJANsCwfGoQQnqCbdTanB7PyD6xC1RYo GK2kcdtI89gr2FBkyLe0xvs4xByIBEIdOorzlJ6CtqCjtvtNe/NrhWUP1siHfZaBVRFJ9GArtc1R /T7etJ/wupMjcTK6+bi+VP5m9cma8mHFgdXYgwnSMneQBwWwuKXf68pwsFbZ100l1J/86SmcNmzH 9lgPWqdU7egZ3cG0fnrjgq/rBQ3htHbZxakZst0oqef0lVrSUFQVDuB/BJs1TilQnKzOtBZzvZqc BoxWB0XV1Dv5972lKhzZ2NyPYVsLjpMxfIny+aulSolVTwFG0X1Sj9smExgLLOI6dD6xsi7I3Rkf UwyaMjxXspllVRAJb1XTHrcw2ImkYdpdHsPX1vIoehoQx+6nhoo26BJHUwLVCsDbxs9xxKrkQpIS EnEkBpIdDzy1iAqDELF6FGq6Sg+aaQbPmPUjKBe5uMr6tCt2yr/M9seajvpi8HNcsgL5XfHQMKbU uQcx552Rdr5YUWrOH7xYci+/Ra0tN/0b+vLKBnW4WPkgGAkHyxNw8PA5igS8Rzwg/oJGEH/HFPNQ 4oV50hQocrQQZVGmBKcq3eEZF1jyZTO0tLV9zal3ATyGkDaL96zEis6SQ4WY8kEl2LpmPw+lg+t8 H5m4g55MvvOkLnfPGAjDXupeFrE466dOtCw4qxLBNRbsENDj1XFe9Re/9iuntaSVJ+3hpDSheLR/ TbwNfrQDLrkoeOxXtd7Tm42RdSydNsE5VVKO28cg16m7X0rD18lJycnNKT9A+I+kYRRcCWT4b0+H w2h7MkJwBRuk9QAJ/h2NIL3EUVWxeNOCx8ORxFICj58szy2lv45lxaQVxUtXW9QZulrV2oQZxIWs RQpJiDifekRSytDlk/7Ng/RWFHQUPDjTmCF+QeLZQ8Fq0kYhrrQFT3Efh5Dfb5f86M+LShSQi3gx fNYOkm5sPY394WEIKkxpSg7lP/nM5rPJ1ZDYwHgKw0m1B+/zdOLd2rAsPHVBwm5mmuuUrh2h3/My +ZAczG1lBvDeqyHtZNpIYbblvY7CoHP2qWJHdtOyaQBrGASsa4BOLbyN1RG6GVBHVipvX6w5a/5F +rlOqaLO2AzMGwJw3YOXkZsWXULmK5Ld5CjgKVRgtkx4pv4BJH73E2RYp+K1lm8RTBDw1Qovup0R J7TSFi3p+uGsZboDAPcexNuQsyKLnVzNTlhFR2dVnnIUfBBaIoUsUtLk/LDsQ8gI4zWqQhdGcDR5 o4XZhZEkTBs6MtcZSZRVkntvVQRIhV7gnNO3GS6VpaokQu1qjFNEY++Ib00xpXkiRkP9qyBupgb0 XN6WU/fcE4kTIb44A69ZM8mYzuAI3/9lSIGCBUpi78a6OYeazAwrf2cjTWk+7XRQuDbScNwmaD/7 s48K0Nr7FQvNe1+Uttvs7q6uzv01umrOBg4IJ9IQgRagQMJlOnY2dWPSqi5PIM6lL/Uh7CCzcMLt hM9VRd4hAChFlwNkj44IBzJJhUBqR5MIe5wPcEyWWijnmIPjpChehzhKx6hMuT5E6clqik+1BO9G 1GlOh06K8yfeHq4pQCxjPHAJnlsv8ir6fktJiwqiSWz8jqWlXxg66fLw13AhdT+l8GDWgtH37y/P 61Ni5hn3zlNDkey+vEyuG0f6bCKaTGlHMZZ1VBp3vGHcZwmpxIx0t2RNs3t88qN0x7n0NGJN6B3d QFjvegB2BOYvwPlRblQl6aD2OzO2gj1tyELSWo+4S0jbt3NxauDGqFR3JK3+aq4cNh88vGA2f7FC nCqQ3Mt05zZbIzRFbd1F2YM2dSbQE5NedB9oeANiR4/IVYPtGpfmC7CRcIRoOJSvNpJkzHlNOjGF W8hBhVE3QmtHtONRROq1APyCq1voMAyBEpepRxVLIxBIxQ/68HpJD8+2NYYSEJQo336lSAPsEGHg 7mIEPMAwhPpLTjO673nM6QhY7YxjoNY5zTpyrUmI6I0VDQymZwtQD94RwAYtlGuVt/d9UXLUyO51 sUnW+rgZ/+q5SoWHt4gzHPtwBAyHEDd3KjjrNeyohgisKbdlobpYE2Vtcjt93d9T7PkrNHOBbCQp tiOymbxoIL1r9pkmPNAWa+VReekmBhhMILUvYC70H8Dh1brBi4KTmtXnXIO5xkjpAPOsXvZbKhs4 tnvQCCsPYi/OTC4wk+A9RIpyqTigxkWoyObxWU4FQ6JSTLT6XTM0rJHZBmGQ0Js9o8pa9g3xep++ pCAjMaLp7bYTddx8BxSh62TYPJoqvvoYVaAGoKPAEr5tGMNez+P0NKeugmKGal/PIKk8+jMIUSE5 M2jDu6iDQmSxMCTGL3UYrJ9JS1he4v08+Z2ZJXYDBHhiWS7wI/mNT4J89C9lTz/TSlZXc53XrySV L2NcnfHdW1AaiHgbG+eqZbEOKosc1YDcbyr7LgA6B80IqTmm5VA4moqZjW/6MgDHfqOEynR7GwAY pa9svL7rEf0Hey3f95v05BWd6xcaWI7piXmM4Ost3KopANbB3ElXgt/V8IdQOHBAnq+Ka9HaAAZF JNFzWKW3dAakGeEDheV/RrbfvvrrJUzicj+/HN3Eg8OjzQPpeD25Xi/6+TW7o3FmziH3mb0iyMda rBe+r/1/9z7dUwrbN5yorB1lBlekKToeLbAypBK9BXlHibYn8dayIYaOkJZ/P5j9MaRLQvDKcALd jA3rs0c3H/8wcjoUmOPbR8N/SxzH9YD92w/AiwNWIg64p+HCtE/p1G1RX2nlLnSA8EvDbQUYavlV y3dyz65lLcnfLuI4YxgJ6MhNMiPGX1ZQ+qdz6myw8woV/rPYmvi9qaH7YF9zqiwjDSbBO8bhuuvP Vh5ulfudoA8kg0+JfscGbpEwo2aYG9IGznJ3K88fKl1r16Fw8y2pbQaTSBNhh7yScVUnfZlzLv/C zBS6HDTZjD5YiEcfa4vGEsqp0+us/6jWkNOvMkY++LWNEAFwMSQj6jaUAT/KiJ2R6NLJsZwv9QCh FzUA7B/SNAdEOCwc+n1bwpXT7cg7hVL+sXtPBK2zmCJVwDRKQHdfYqLe3ETnbqKgV58VSL8EOhn3 vBDFy04FUu80M5LFHERLQwqMxr953Xl8VVqxALnaZETygtxBjDjjcxNx111e3N7+UJMy2hF4NtJR X44SQIcvgV5hFcvwLFZ+KuWjva9bAdS8IcDxL+gxxLBk0CaKJEjihleOO+uMbGh3rGtFCMerSGVV sgYY7mgjm7Rf5gEzjkxV2oUob5ML7NP5ce+3snTsUqBQgR22rxZdv3fjhUskaLw2SXURDG/Q592o jvZ6HQekQNtnSCI8T4L4bQTck6FsfBkuNRgM5GufS1Jcr0ufiDaWQ02JXI67qhwm8eTPGzMg3FFU gLZwQYjc17yX/ihewiw5Zbc1Uj7EzDPFA5vil9H/UYP/h1WAPBsRDmoKt5hTYHE2IxIBh7EdfSUp Drx5OsMQU0v2qN074kaSPUKI6mLGHkmiuRdatbgmP19Gf7TT9TberrhBFniKSU/4azZ7/caK13Rj yn7H7uEYW54Rgd3VsAh/Ig6ECL9RFV6/GUYUf93D+mV6TThca3NO4iBVc1YbSk2lHpGnX10gUe9/ HPq/Iq6F/5fYlbsGxXytRukF7sEg14FFSIXSLHpWQL+BUWUkpdAmkeJfiO0owFamM7A2WzT0t4X4 2/vzfHqo/Q694xxsxx8NocH7iwxaBl9w7xlSeZsZmJrTGKyhgUZGGBZLaAFy5SYHv4zEdqbkg9Ye YPbGSnJjnI4M0/zfuBEsURWD0SFH0/cce/jewJBgHKNTu7kJx0Doq9TkbVAWiD8noPm3xFiesXxg 5zSvKoS7jnzjmKF1brTn4evrJSsEIuqDth5bhiHRy0GkjCmnhnKgjztFfW7lPoqEmxY2k/cr8wNs JJdEs9dEFIWxZMrLPGX3BNk1keM9nAGFc5t94ozgzz2utR9irC1Lrvp+vwoCL/6if5a8PA5vjPT0 4Xc4ZnqU7zWP5NCB197khlai8ezql6M7A55/d5VbA1D5oOvId8cB/0rtLUY/lMmgb00fRfgduukS FDCWybdUu8Lbu4t33BcaEnFxUJwiDluX8NmJv5HtRdfgGbOTqdKDCKBYlkdryps/Gsn8ewGfSmzN vzNYaKyzPYpmq0g6w3gu08D4r8V+RXh32kfoKgzGQtYvbt9igNHVy9eNzUuBjdxVeGel28ydZhwO Vu9ELtVlnLnp6iSU11rgGR6ayUGSZnPWhgfvEOZTjmhyJjIoWf0qZLTtBH3faXjxsuESJ3YrnJjA 8IJ5lix0Cx5ukSV/tQcinFhiSR/C0xtfuMOC7EM9ae1bVLdsUv8mW1g5zy0w73PhqjEodekcxhpm AU1G5//6QzjAUg31dLxeBQ1ctd94XajmixWF4LIgA/nJKEv6uYlstWQyph9iCHxQWMX1i68AyB5i xn+gFQoIXG+J+adT94DbHy7t29CFNavDWnSJdc3JHlixmyf5nNJupuT1tGQj9/oBIpWe/EcfHfio Juvacmh9Xr5eOOGF58Lgz0y2SNfX13JUUcS0PNFLGmEPT3YFhITrPvEJzwoOG12WYeBNSwT1KbwE NSfS8R0g+F8y3PARq7UPpETc5dKmu1r7ApV3JL1/UNYM/5SKaTXSwWKdRmVAl67JszSjCp/Nj6g8 bbXnrDSYHeYP1MbXdzNBu4AVmWCffJSjc9fr5ZN/gouU9IOAeSOWDqzHw/WRPcYQHFsh2x44ZDug kFh3aXW6O2gvKcNfS9Jt+3yadz45inNS2c1qcpU71tZlaVKwKbQGhwiQvCXiPxL1glfoUd+ceVup 7DVaTag43V8fQWaYL2LRUNKLDSo4jyh03St0OBXH6+muck3VCcC7O4DbNds8hgN9zefr/WO87cpS cw9TEU1PUquDgu7kPvok3PUmTHf3MTz++SLFt7Hodbx6pJWZ92Bkw99ZA+Rk9K3CQGQAS0VZu0uy wZo07a1UqRVBMdyhAwetGIgVMhifa1ELsKYQ1veuigthitUs+7lJtcP6ATPSZnatJMvzsJ4onIKq I7/Dz+uV6svBs3iPAIIqJ5jznTp9+zI16Fwaa64/I4gFyH4ObozTX/2nO3CZ25i6fNMbP1zPiN65 egQuiNnrkydOh0UI4JEQxSqaxxZuxwzCDZCB/rNAz5apl8XUyf7eao06nRUFdTxZ2M6yEhrch7Yh +u1wymoDeGxJh/HDrcFS0KiFTN2RRVdAkuf6r19L34reMINA/lA+95XLvqw6VaShB0ra6nTQhbZd An+GqkrhCH1usAOsdCqT7+sQWiOZPeRoJnhJAKUzyLdqjLmpN8VZXr0mlP9Hh9ot0hX4mU/LxJTr 09VJq8VXa12XFH2LyJoZO5L1/v6ur5HaJ9FpqTPq07GIsFqvsddU4YTJuvyBY9MCb4M/kit//0wO 0sqvEUDJmgZfqtx93AeGBIULGHIgJsp16EHjC+Cnk79qaqHfDMAC47plzaE0fQPM07a3fcI/RxM2 I3yl0LXs6sDTaqwCLp1bsyQaOBK9ukym8lKbKffGPzi5V5vI1MqK+m7xJaP4c+kX1FP7kEgIplH5 vt77JRQc58ranvdTZ8t4KHrN6ZYAiIvLgVaijyoLfGTebhW1qO/LqJFX6IZ4/OiziP6/ID/XvdwL q9n+OoS566yLcLUzCgxU7LGbbmEJ4nKhyl9MfYgImZ653UCccDo8QJbpBq0Fnw38zP3J71R0BWr7 q5mLC8ZdLUhcBUUTePRZC+TmmhIjhYU0hY8oTYUU2MEO2SyJHB1H5NCGtrpuoLPV7Xex/vfVHnZ8 nSjIbbBuO9cS0BFnaSuaG21UJftiXg6t6e8h6Os4ciU2cvzNVmQdz7C/1X/QVb31CcQVqYANVsSx DxGZCOkLx/BKOF1ssnJq8JMuWhX6UukK2Q5bvWiIUmzC7e7fSDxapFW7yiFhXDAWsYgEruIcHONz qoQkPo/+91gKbURDQLCR9jeGtRaZMaqHsoLy2p9lQv5MqpAkcp078pRxRcUSk81aK+D8FlF1A/ZU lAciCVzDUT/Rnx2CdBP19CklKHkB2xE2Acz6dM87EL/ZOngEdz1ZJZRVaB7uRewk4TpMygKV9IDn SMOXIiMg5Xhaip/wPwZvWI57zZmW/OOiPOgN5Qki9y/cq2dCqbyMKW2YB/4TPFwr6zKSsmwL2blm LR1YQj1GhJqLMFpbvVsfL18FrdYTXg+UGaIniap5IzYlE3kaDXVDJSdg++pArTXhUntb2+IUXsyt 3dEKSjbYF7galhPDW08gx1iF7UtvY6+GPxcgk95oJw82QDcxKDxI4HD0ZD0uzm/7ziqlshA3seOW hdm43aOmOxd/2hezM63GCAQyf62E5EY9gPNDaE7jPImsqTxH8X/EESMsLIEbBA6JqWlRJLM1dVGO pQ6I0rbNE1l7hTbAYKqAhAwrynU1FmVtQIyXq2V5vnaYwlfTNzti0bYBOqE7edvZ6oCHsCIYx7Fq EZRRhPLlY/rNI0UoRJP5iMe/PKctv+bjELtasK3u5iLKo/STX7rQ74CwblgMHZA+D7fzv/ZgmAoT Mv9sgwVlXrYIU0BtrqF5l/3c0tCLprJpEje/pqxMI/yfnjQVc/zMNe1BHL9ODAbAUe5dT7ZG+0Xv Vvw6OIrRB85/1bk4RdbHQ2udicL7kxoebUUGQREwI9n4X+T17z0kbJ4E5ZVTuZhkeOBy6wa3GPJG I9naCj+ZtAYxxKHPEmisUs7Ot4MeP6IgjMBf3B2E9HAvqfRJW5DLCasiMgHqO6drZ9Qu2RIGrxgf nJllq+0uffyW/3QnXkFctK7AgBYU1WXz0gc9ZP9sidsJLGPVBpg2wpF9OnL9O3f0Yo40Q0VjskGE KxHX94nXAfYAyGOoxuhMw/oKTIycyGyPCeuH9hccu6tXIPA7riSFYgcykBHTi+6FsPokJhTmozSx r+/MOhkScs+h5YxVsTLvXL2q/hiZd46fntkFoLZ0euMu266oqjoe78i5mZL4RJXob+bSu/qZ1ZyE DdaycXQjyyqxaO04gp5qqN0Ra3/RowPZOyDwtjqJ7ZWyoEP1U10QEKcxwtdNGB2MxPSZH9n5DHRn U/Tbo9pijVjj391Ux/Mm1oSObWp/yaiZAwWS/CpuU7fgVxr/glkrPX+MS69B/WrrRhxxT52cRvz5 XMTnB3cao2+4oRb+dg0XkDBWxd2E/FP5WHpmLyknTqAgD3JSP7ruNulx1tWVGqeEYBljWCdTtuLw mpz5xISpRSM83l5D9CF2h9nqC14hHXwjSSiGEAdibyQ7xDha25FOmXE7SFYbeJ69IuzPaQHi3lvM lT9zhJzQkoF5yW/RQG337n2JocG4caCIIG2xs/QRkONc3eSuRwu2gnrNGDelm9EUQ4qsfsiVuOjx xW+gbITpotOP9Q6zB1ZLFaWpP1//ynSwZP3O240aZQ+SKQnlj1CSXSa9PJQx/4hsrohmruoTjKUR r06xnYi5AqZCC/Y9d2UJp4ul9CjUAq7221+9dZ3tdR3Thg66gg/vtseULpnq/M0Xv+8psJwle7kP CtGic0Mb0lJI5l3Kesr73Le6E5E/TYZj3mLJ7+jWQDya2FHsW4oJnRhFfBPBOfUhNl2SRDA1DU1d EveJ3HFtAtLmeDwmP+JFlnsd+UJHoo5Q3e/POoEWoZqTk7KmyadAl3DhbyC5gmTutyLwWt3XlkR3 eHzPcxeNRYL3pP7q95U+v7Fo6yx2JlYAS+E99xNJjSb4uRQ1vzUp5KQ4wt/7AgBlWPMUf+NeeQP7 z+qJ4hA5OOiuCCM97re6Q1sgRlJ+LgCfnG6vVmCIShHj+y7pmVDf4coJocyyZasF3vOqS7aLFwV1 YRlJTw8DfKupjkfEw5mn0Bcafe9RP3rzib44JsSU5PMJSOBE54XHhXX6aYz6+HQpmZUatltfgia0 30J09ljD7HuS6iyVD8CxmVbAvl0XZhDpWrTrIUwpVtz/hehMFR9ELcu5ffBD2ZMsTyFOQT5EmH6k xKM+AlFRKJ2/aA9Y2lqNVMubew9MlqkVNw7eXereTzqZ25UJ74piNyxs460DB3A0C9cSZsM/sm2Q /TORz/ialjivbQ51sPlh8sZFwqxjy5yE8iuESf0NFCqS7zx28YhjA+MmaOpWqgVh9ttvGJ91mOh+ cuGljqKXq2g7MlxwPSpHIRIWTjfdbxAoYr5lpyFgTJIRe3YQByw7KRXOo9gXtupgER1YDim9XR+T 3xl5zqbRfHQmivQswnmldB6n5BCDzIXGNbkG04xZwwX3RmwcHjG88RHZfzO7Dx5uNdSH53V0jkZ4 f7zAjfeEGAzYiA7P2cIgEHu0KmPwyN/+5eUPgR0pBIsbzk00YXiCbTwuVhK5WKCMTslVygL9ujYO RK0YX1RP554d0MxVq5EdqMBr5R1wfJJWQpP0e5jQHk1RdB2amBNY38QbqwO/OHhiXwGY0duv5jSn rD5dLWOHap5lJ3KJmx1Fc4n0UuziD+ATLLeZQzHxU+uw1rDdvHtZNfMuCrOnkmqJ6JIyKxmcBCWW jO8J4EFgBh4Qf8Ca3RXomv7sD9rglRneqMWgXhhMOZiHExgvobpHHvDMccI0wPezcqOqaTrfKsFT nMycYZW2vgzViufrBhW7mANHVt/imZC/zRRJsEJr5oGHVyF54Ujo7BK1Ji6jqXEVUTDWI4iJ/vM/ pdZkvOF5vriN/NddPPr66LvVbW/v7Tm2chnVx5B7PvYGIT4BtoYIYuHB2vMSza0iChNVdkEd3gij CIYUeHesDC2RHlDgXc9Gl1Fpz5yy6RaCWI/8GFDdXDYZWqRFV8bYk/fwjMoVTRSo9dprd79l9/Cp wsE9wE54WceMzGvO6qZrreCd9QCuWlic4FmPEWQQM+/0pR7fThpKfrev3F6BYcjka6UUefx67qM+ 6kNm4NjF1Xg3UibUs2VF9EsgpA0yTGPtMXYfiOcgyILe+KN6tfRfhpwhzyH9Z1cqI6KKOIVWqH0v KhIfofJMO/xzeKgAvukQxnCzWL+9I93GSvx19V5koTC239tbjW4xn2Hdy3oTGxu219aa5TEi18+C 8xYpwP/qmpj7rcmDPCSUoSmPphlxoMs5s6slQg+0askOYOEtT8vUYjXiPZoZB+5HB06nU677TPeQ D+cdLVDIhnh9qrnRtb8uKnF7Uc5xlsDXyLZbn4IwvbQVgXsiV4l9bSKSGiHoFAEE6DhUD8wHjGIN +PXAXPIensq/tqxdX1RhaG+9m3WobEtIZ4m72waY6vlkYj5nCB7kl7U3SeM2DTRqVTTtMJEFr21T /u+V8zgsbDDzBQka9rjfInS87HfUEE+kdi29CdiNt6TDHzlX8OERfwPtgBADltJgYbC7ioQ9qBtk /GMJB0rqDYnZclBKquTF9T860lz0niX+1mtSQM00OEVVfThAlpgo9WEYSe1G97rymmnwOHqBikRt AGc1pYasmgBcfX6maocYaN6rlXETwlH7BEce+sdpScXEGE3yM7v1NO8tfUjNd2xj77QsTX0zVnaH XwtqNye9/fM1pef1GpsFwQIDqcFGRv5vLhCwZ9qbWRRPN2A/h54Jje1y5t/QjWgL1kaGxqwv4WGt RCVg9aGgD29gI028j0ARs9R9Wx+PxSfP7Pkpnh8fOl51uLCQX5SCTr5mGkd9dm9RTtFTR0wINvgX hpEdhu4qSLfIta14WhoV96Z1FXQCqzWW5TKINpvzD4lD4UX915JHGkKus5kdYHUWdMnx1T7KStgy GgVapCkHZeULwayoYBAELPvmAILx/RpgbphNan2usqk1nqW+TY9H/aMXOXPO3i6/6jZkCETgwuIF NQb9DznnuuNsK8eoq5WaPX+XQBHInA9ANvwsrutYhnF4cTZq79MjoI0aJCiMFbIkYIzCkcNSCIwj blKQQVN7kl7Xe41GRJeQbKNXL2/BvX0p9tf4PRlKEjOmBb8VK5rbYN7wOFA7I+6zULMiJyg3b9R4 l3IvOVDuJFF1g2D1CIV2wBVJsnMgOBjdnGlHKfDFxFup0xyxim4f7mXCD0WjcoB1d0OqgfMpIgPQ I66V6K1Gi9Kavx0xpm8m4WwoP9AGT2wZCCXpn3goSEeAgmyLPJEDu4RtrMTJLYWAh8/7H6SNuTDm aW7x4uswM8TfSj3Jg5o2ELjAoNnrWQtggga1LS2AQKHvUpHEPxqGEj1Uxuc0KdF9k+PLLKoZnfAh 1rdc+0mE6RMAvgCjLwB2M5hJkoq73tVaLmkwoqr7WBlLOdWQYMD7/fALOa2GlvdtRwQJEJZgotjv hG95gA1+UrhqWDFeGV1HZqttZXfgUmgnGLLBPzr+veRY3C/iKozvH91ZUkOcCgcBvJ9/iNXeQHoc tpKwCqeHBxYj+cnE2RDlym+e0HhSyaNMNycxKxAdJs9PMMMozG3Engeqvbjr5CDtwClGX0FUgTln A3EzGFBqtk2y7UzwOmy7DnhfFjoB1QlZEQXuwzqTyL43veNJir9y/QRiHvAxvvUzUqfCeXs1wv/d lrNAG3PQ/vEgAFaZif+k4Pwp039BLIJqYDS0dnFjggBnpBqHFIwVvvFgxzBwybsJBk66ccRB/e1i HYHO8MriNDEr66vBfFhwpdYoiyIOayNGCvpwgv/3NOcowPkJK1wu8StfbqjXv1M85PCLAfGEjIcB gHtdGD+ubUWmdg31mzLq7TyugkWnN/ZNXVxvLmwO/D5WvqNmh6cz4lr5vih9BsR2zmgQs5EUG5tr HblOa4glMX5oTa7G8Nh1QKZyrTwo1UV7J/11GBFd2mgvxWOxkOTx32t92SKcrKfUymvC2JbaN6Y3 FZqqqamzieKRg5y/QvVntyoSxVAz2t/y9VebZkBOqBUHQeMqUJrBxobdgulJdxPjuT/IJ4ETlOH5 ZtBSzMPT/84nSCpdr5TJ640eZ9TTtyanGSOolNa9zmICE2WdgQVj7XBE0Pe1z1bARnh7RfjHZNBD zyNXPhrZ4uF0cnpGTTUtSyPFxSBfBOYyfKrJsk6vk5yltV3edjqzUNRrhbukUHouU+EZyfURTDNB pUyBvx5p2wdKkk7cb7hCY529AhlRAN2PvL8lXLJqXBNm9wEupwq29iJc9tqX5Yn5O/V/CClB0B63 Ap8X0p8P3F2vEljipz1P2BH8hMWY+jXi7NCwjzn1w/53YGbpaJz0uL2ORLBUZMFeVV0yuLJmL/6p aDELj3A+NaNYFmEbuYK0tmFLHyIQeE4MdfsTgHaCcA+mO6i1pVbhDJ+f1rIA6YXXgYJjnfCWGeqQ p0A045wcFc2x1S61DNTcU+WYsI9JGTO2a44mwHsz+OWGBTcm+P7BNXPyxcsjjyMmbnIkbgz46Skf s/zro5Lp9gCzeHYcmkk5NKFHwIRkwJOQotVPddc9lsicpGwzPKk9nJ7Iu2o08z8dwlYcW0Lx+ktQ 6ZsJy3bgqXRyL9Fod/1J7oYT0NemwMCvIA4nKZCzXfQLpJHc8e1LzW1hljAAl04EGYLgt54RRPYY qHsE5hzVWvB6dHksAqdQk/pt+6BVhS2Eh8U0j/XvaNSCIk6gC91Upe2O+LHgTbMm1ng7mOdFoObM vsXfyN4O+kTvnye6bI/tIpRrrdwTNvSWDkUKGWTPJENXcqSvBVUDuPgZF87y8W4P/6W177xIVWad /tmdB0ldVeeDOq2D8wkhd25sdjPUjJVmJ+41Z/F0aCqR/H5FpRLYdQ/jrEI7WtINN2iybqPnyrgJ YQgkAvgZ7nssf84gFJOrq5YCGPjldF5Sg9aAa+/cLnBRqFjnl01zgnL+0F1LrHZlTlIecVJ6hTAV YGupwEIGY7ss4oWx7M0xvaTjRfr/bCEsS10zGyokfYo0gH6NL5d1QzfuQl9oUj5qRv/BKo9iWLaj yDSWEOp61RlvXKsMpoXSGjgblyV8VIEwwcxWxVNe8nBmYSQ2kXXpnOxRejWC2Tgq1PrSA98ZlNQF +ebI0zZtwPKc/o9uaSfgDZZdMFgioTptwDzcKkehRuBusqilhdXnAXgebaeXb02SUC+kKvlGaJRr D5JLl/WzGIv87y60qc0xLfNiU3MqH74dLjuw68RIOcFl8gW89bsrWffxSCO6selqX+0LlWMQaCR5 btRau18MEpcBaK9zWgXDq9V8EnGeacm+w7K/dRKd6k0JEfJF1PCKsjb8gTJNbb5c0bkwdPlml+Nx xb0o55iyvQCxoSM4vH2wkEodYVXtlylBdApkTg9q5NSsllj7tq7chOuqGn10/zxzAN0AXsSUcigp d4Ah/KZJNSp2Lc8f76rhGZjVkiM23Z6sP94CE17xU8My3tKqnZMBVi+LW3y47q1ZzM9uDifMf6AN PT+9GVtL/5o7mafAFELofM5h+h7JfNEEi2DkSuIGUnwZ50NX0s3fv0te+39xjoQWy9EZqH17+xKG 9uaGT4m+3vezZLcAeQ/epXToKRkacgITTqpzB4BsdymipAwRrW92Q+l0edJoTuvMwNtsGUNrlVYw AgwXJmZ/0a3maP952rZo1m7ZmwcDVEP488WLpfiVccFBJjRJpZPS1oXm7JdiyXtFVas0ZlLJbToS uFzhpYVpcK0OwFf6TzbTV/T62ZqW8hejR5VvRQi15erU/RiDm/MQS3CIkcIciqR/sFWmefm83PSD sgUukDtzYWUGtRYNoJw5Z6Ci263PievavvF6tGDbUpiOMMyylo/7Gk4lgRHVt+8n7spPoiuccd9I Lc+iVNsbOUK/rT2cgMYD8NKt1PqmOHTcj5+BprxjNAomv9jJQIixpTGoMeyyFx9DSElmQLS/KJtH C6PDVgEOxzeEy1O+0A750VDLcFamHHYjrtysXgmTfSPHTUj12LuCprzZ5mDdasZymWdhbNf5h5TW 5XaAyS0RGLjZ1YA3NcBQ/RDE0ffQGFoYNVMMWlzG1SXq7RQDQuOrlPjihijrkmhzwwiAms+NuFXS X9wjWDGd43yfVgtpVHfyaq1dQxq4YjlRTXOY6W5V3nHlNnAe89fJ1vXHmbNwCDirBVSo/BEB9k7N 9gKIXT9Ov1Rc3/1W0Qat1iK4gimg1s0LWiOEbTb7o8/BQ5v4IHfNdJkZxeYwT6pTzxj4eiJVzKic OaKZQJ/iSiCTGq/WdnDsS7rg5kkcij9d9xIgejrHuqdh5vMdv8RMHt6NDTxfoyUvCHUX/5xHoh47 4UXyuHhOPxDtMbfTG+H+DJOdnF2RSgV53oisrX7L4G8EQ18r/j8mIg1X8JL/QklBYY1G1JGKkx+L RxzH0dPdc0PnJIHYLki0tbHCdjaw0MNyjJrxecLzjzduWGX/Rd0ft2FUR+t0qBcg2EzwR1MSSZWJ opClvqWBmd/o/Rr2bYyQ8gqFHfAi3a2L+P9OdP78VvGLOxuZmkfZPwwXVanBKqAIZCJSXNXLKiUo y7wWFVWukK6LhZWdjuqLZLIBL2sdZHgedd9YJudmrrdFBOlmD/RTWfQeCI3uD6qzKiXGsmQ1v/Zj ixFv3dJuJF5REEA3jYG4iXMPk5lFZFZg1U6O8rKNRCVZJqXne0ND1NzE6U6lgCJjw1Ryc9WF4Rgr 1FHVww3AUDgELiV8qY9NfFOVgZOGE75YeKxTI+Hb9zT4OFX3XWaky3PDqwUskK6M7fUKny0gg9bm ZWUWhcK/h1hVTDfmNFqizugF2z56/R9sR1iNw7Ysm6J4worclhhTs/2J+ysIAL4fFIaJrAlkBskC /tsBnHM1bXADH0mM0in0DP72gkCNNQxscfxMMKVzqdQYTbNIWpGbF4HLyc9BTiI5thlsvibNCN/9 hCz/kvdYEEP+mzgrhYvqjOP9NGXRUqlolHgYiw+qe5zyjhrFw8smdHrhMSsse83pngv+GIqMGb12 p0+HIntyBZYSqidpEpGNEDLa/9DFwW3Jg0EHWxAln9ivO3LNVoRb4WkZKD9DI4ObSoq9yKGHilXQ pLJGafUcLcVDiRg1pGqYTgJJ+Urh0ZXOwUALCUNmAxYNXHrSi3tJw//KshQ1AsIzMZ15CsKXU/Dv SlbqJD2Is16SHMn9vR/gKsEgAzA+NL8W5SMAnzRpoCLtZpipmva0BEPZRY81X7h+XULrxdv2GtVr 0dUahxg3xXUXim2nkBBXDFS7HNrXv71T0+9ziYOSIkyO0uiwJqFU0iDIUQMzWDb0gWx4ID/RBgFv 1ovmCcQrzsc3/IqaPyS9dsDSIj2Uek1qKi6oKTv34XY0U5hqUbDxT2Yq2CPKrbCOOTLroaPhL198 FGKkEx7lR36sfiMgJLgAb304r0bYFJER8+h2KtoUOgBV7j42a4AHtlQr0jmXDepQOfbkqxgxkj5h Op6gl4d56MSkNpICpXPAcDLSl+2jehdPceoSwSya70y1VbW75r02rNIpHM+puUg7gNQjkJhJ8bT/ ugtfY906lTz7ShbdRD2/uBsc7aFrxYhyjayfuDhEzJ+jvY9XQsEksKndTKhOy9B6Ek6EzMeIdAVg qSYtKsSjiTZrkJTLDmA+MKqTLXcUZFg+Q+xmRkL7lVdVA/wHHKOpxZybS6AKe76b+7nIKvrcP6rp VQd5M3HBp8n3w8lT8mtBABI4u1pCWeWxBzLqUtWWY1t6TrEsD62sLR9cUz7QI7lzMb8dwPIwZLSa BObH1WVFmDGBtsLjifo9DJQFPCg00RATJFkBDEcVANr3MmikcwQdCSBVUEqj8hKsIChNUMwLQTJ4 ZPm0g0xsoLvSFKu/Unr8x5oHnTsrEnvRVGVKAemMGJUmxgGyq4Y6tk9GhK0lX8a6PwMiz5l+l5kU Devo8LVZ2ojg2DgEkYb3EcX4/o6H3wJO4pL8ZN5yi9rsCIuGHlcMcRWACpxn8GVbIaXKCNFztHgC /oCwKbGz/r9crseNiGCDkdsrfFEhhkAyvpfjvimsyWHiljov1Tp2mDGyXBACbj0mxa4QDnqh+tBr OY1twL7qQfdE3bropxoOpjyhyjebzUvIU80wzo16I93hst5avWJPEgi0ofj8Vvcv3An6ewCck+he NM0EyYuQI4jEzRnl2q2azAppy1KVUg7i/dOARpYpbsRIG9g6QbiF0xid/iigpzU7OK77eG8QIZox +znM033zRtjZKfwDAUz9krRL2T0KV8r1KzDyZI0FNHfLdctspNmdcAHCugzaUD9I+Ki9Tl/C8AGx NBjkrQZ7pSRvO5QYFhfvO3GeDidINF3uVVh3nhD0C1ABoBWSs3QX1XhaMSXPdXXiD52Rq/4QYRZ3 afvBkyM4CkwDVoDiJKqeOo4hCzW//tMegFj+ovduh3NRICZa/JF5V2t+UCmTyCrgivw+dwSldIEf SiVM4nfQjSmPxB8yy1Fd3XnZE3j/+YKJti2yh3UDCibeUgePxYK3z2/nUrW6BZ4KDTlrEvrVhb88 JY4ogYgfXXcPgdcEMonMBCuzGHxEPAmWu0nOYzFbPzetNKXRHnKM2qLtscRRnq6ME/c48jIBOIhE UtuP0e3FhxQVNFF2LvA+d7AD6K5X92C4kKuPe+0DmNvIJaNPJx5dcb9nEAYLQ2+knLVdvpg81qHV zZghAw6KQU7kPlL64PWNiN5qrN/nTEfmOs2/H0hdcH97Jl9Fy4Kb6VGJ6pNi1GvvfLb8U8J10fqu SAcxMQEVCllHz02GuzRgafTrR+RSf+S+Z8gt+PfBJww56QhLotPhfPZ5mRN5LGBD0BoNrXnhf7fk iyFSzLklgFhEvMgb2NjzAC2ng60AZbyq68oHoLEvY1AbDWqi/U7fGUfiv+m159LY4gVz7FslFB/l /W3XX0TSLiwAuV44P/tykbT19YcO/Zs6+G/rdPtsaubWSGHu2abXT1SR1yRHcKdWQhOIRFSgVxRx BbNqhMcbAHq4kH+p7l4lHnfRAeyAYcIW+GS+V9VuhhvU2AtKyDkSHPV40asxLXGX+n0ezShGD40b y2dtmSzKnClrTybxl8jlNB0Wb7NlMoh08+ucrnpEy9v2OtDA/CZQQuqX9CMyHWyFZ+Onn0wP+MPp D/apoDiyXK8AJ5JFVDEpr2ay0YTU7PmAVqIIoKq90XIg0a83kvNCzsWDCrxnMX265dslGNPK34CW bzhPs8RcPhxQqQW2k8JqaKoD8kq9ddguRBxfA04UtMdj6ioAJjkeP2/DWvCUP4FzvcjIbV9D7ziO Xzg0kkEP0k2kN/+I9fF0N4/2LKJdl0JGdcoDAUWPkIHutiQLALX4iK0kNcTHqiyiMR0Cij+f8tQ9 PqOqO3m4GY0jR3q4fUh0y5PIhD6y3A2o0jpuln23XE7cW5rTYeCL9CCVFVI5sQ+dYyUcW8OM5DGL T4L9BMYv38VwdhrEC6FRyTmoj/KZcdCW4g0A0oZwRhDysvcLJMZWBHqsLigIGbFTUtKg2PyZ/k6j XRRzjv54g/TstGTp+NY6Xhq+d8wbSG68cgpbGPAzyfSgJxXrIu2u5jrU1hK9qWc+KOxuqDM5VTG/ SDOu6c3JywZ4K9/ilUyOCVzpLdwRDYB41XM7J0E2t6/PA9YtsxxcyW8K8iSzmbZKncpxHsQO4z8h wJomd/ogQbDr2pcI4kYYNjkM/heYz5OhBjuMLMybYfAWoAoPQV5MdtymszGWwoVZPaIOC89Cvo7h lk2AMYXEAukKVBWFASY2oYjjL1IiU2xqOJ4uXdDYFIQQFlicLm+PUVAKaJS+67f/LnMTrTqXGXS4 WfhbFeiOQpPjBPzHvxfbNOranopgw9bhp0QV/84jZac/b+d0XquG4+FKeIRZdcYtPKjV05xCjzco dO2ZadxRGAC9iTDXB3MhxO1Ei1hoHpWRZLMjMj/SISvKz9QWaZQrt4qphwuWQzEdHyCQ0meKNjTt 6i+YQuzCzrPLy+BkMeYhsfflzY9M58Ma+j1lR0dzHiOf59lmZ9D2ca50yAGa0SmwY0Uav5xfBHOi yRf2vPG4MzKLrHsPTc5RCcLi7oBS0SGByH9BRqY6g9NPi0KOBa6gANAREVKGQMNzuauCqT03qnEG Aw9wInV6SLagYtHAVYo+ul+7awwBwXZa/NXBqoK+H5ZbgV19QOBxhpOOD5FkohbgvEzLekYsh71I 77Ac+pa/Xc3ZGkWfEPcqtO1n+Qt/5ZXC0nQoStpmIh4N94NjUyquJZVoWvbwc73SnnXbSJePXRrG QM/kEmeFBrrdm8EwLA4VhUQidPsxja+6v1ft1/rqO67V9BZUvlGfHtrehWU6g+/ImDEpGCGWsl2t nqiwRRfuJxCaGG1nUW3mEBlOh63gxpp5qlY1e31W+NCPBg8390BJ2D6TT5NJO0CmDpUrv1gDdrLn i7aEGgv9pLZcLxl0gZB1rbHj/5hFqsN1p3kiLQq9gYm4gFvsHrM6QWMjy4CBOa5xkPR9sS2/BxtF eSj5Wubx/hENvDpYixFTUmV+qeTkwFmiopEkANdL7uVoLoy4jOO6ZhLU7KSRY2O0cssh9QtKDy1A S7GLmGfmCr3BSMWD2mUt09xEKdu8pSfSmnTW1cx0ojDKxlvpq5f79EvTicgOe6wj1eCGXP0l1guL h3xJ8Un5LJKpkEQMao/CgnGWhVudsrV3y+nsCoy1P1O5gLOVpKx9bVET5XKEQCzQLkyl2yVEDoPG gaPVSs1MlzBdpKXrmpaSXpJDIjDnZaeyKjFC0bOj57OJEPqa4E9GK1Z9gJKfx7/uh8S9aDyOzYtB +IOjb2N1WD1ca5q9ny2yx+7zeMZwc2r7x1sAW0Y9VmGupnKHIPdSAFD5cdHcoh1Zd/HOwCqI8U9G rRHDVTzIMcaZHHI78iSPfnO7ip3Pv+U3gxJJsoJ6hlBDpclwEniKyd4VPAQ8yindj7WSkex7rAw+ qUoeSJc5m6/ZKMRr6nKf59S48Q2WL3jV5rexDp7pDQaAsxnw+D4VgwQdzLmx4LrJWEzVrT80VFUm 96t8fZO5ljIj16mdWn7U2X1JjxrdPYyA23/dGP7/6Qb5UeJ16iYXAsMi5HVXOIEPfsUE4W/8DFMO SXE4KLoOl66ADea6h9HCbCsaY6iBThJRPwPOpkUZnTx6EAY6to6xJCh8J8WX9gJqkwqoWTdFds8B y6ztSp9gLCyLLk5mghyJg3lusGrv5/LZ1Wh1By1kcb/mg20Nr1pQkAZ4r8JFElwLLs58YFuKKQDV DL6QitiTn//RAQ8t72kDzCuDiAgQk/Y9iSm0hJ3ADID7EtX3BhDBLsZsVq/kbBI6YySK6pt+p0qX KgVGKAPO9tUMczIbn/BxaSSkJ9Z8AB/ENq1ndHldrirNlNIqJc46aPGV9TauexEyAv51FCxQ27r9 wtcnpi8SDPRAvCPjN7UrKS8yloh7hcjde05kgMcVoHqa8WhiRP2ulmEuGxE21gruclUp9BIHwGP0 XhLJbKcThkIfLhlGBsUKOqL5xfjKL0aFyfccUeL/I2fBUlOHKLp7NmEJsGXS7D1OQ6FQWLns2US5 NvyEcckqqNX3NefwSa5Bidf6tOmKgR1yzRNpEoZ/BWwA294iLdv6b/0hBGj7x6qXyea35OpcEV/r dwtq2/pasMA6XCoIuYJfAVNKCOwkzYXddG04nPftHZICIhi6ATQSTySjyFC9aQADZHvSVlerojBI OuuI9CvEr6LOsPCKOjys1vP7Nn5RRqoqFd2Y34NDt7vrS/OH4YtXfsv7Zc1XZVKoZ2u8iiDRCTb5 9YGN0AVdt+gGjiNl7J1t7EoVCLcAGiktdnfpxJVl0VpWZbCz/50Z4LcMpUsVHzOBr7IrFjMLL2Nm 9lTntj9P4giwA6XChkeVSmYsgs2GBikLXb0MBL2hfxpu2MbTZxanDOqyxUIDPJ90qVrYJrZqAlKC 9Ocm8iH1vOhr6PmyvlTaUbNqSiqLGYOCMLaE6tpJSVTO+zrwIIJ8Z9U5tukRRIXVzkMPDBfJ6ORL nUCsy1B1nrtG2Br+KUSRnl2kKozVaWwmfzolssN82dNv2LYSj1vS1W8Nhk8LQUI6gFbufGgOslJc ueS2YM3OetUxMcTD1zVZXc3obeiOScOFxP8QpzVnFMwWnsRlFUIj1k4tAmFYaesY+9t9wYA0x6fP /sU0ldNJ0WxVxDw6VxBYw0KhluTJHYUP6j29pmB7+CdXEN6FkBbaeiZ8+V9p72XogLg5GjPhO51K w3+3UrTEMoyYd6eI2Vpk1EtfTn7A5HY1p9RyLPpiT5XwKIdor072ZluqBxqJ5WWjUMPMMjIwxkZn dsVNeSsTK6Wm0AF1DA6pk3dLsX9dkPtkkoTAB9AmoFm+lNFBYO1Ypjd/BgJBo5IG3fEPleHnT9MG EoCysvi1kN2/H4m3LcHNZx3GlhcOsO/PXp8MTA0YEMwCPjJxsv6zGPRRF9OrM9gQ14WAnaCCVJ65 Gq5OhfN1KAVOTTt0benH8SrFDJXmCPbNZm8c/QUcevkoRjByNZpmQBezCgUsRStA3ReRtsMVIX0X tmZRN7JGdwCoahcKUigbf8vcuEMTCVMKTRYqI6NoPxr2UM7CjiNvs0GjVJxXIIOQicmFne7CXyoi uRilLpIzicYgfEnTLgvBJxCwya/OSpB0rxQAYXY0YF6ycbDaFb8NVh9sajCgc/++QX+vjgO/Gg0u QXb2pNn2Qqb3OYQz43ssYXHLuP5QiL1C6VIDJvGPPFd1p1aVerUcCKCUveD7nBO9XRzA/X5+Gje9 LLaMxyoO1iVkz01oijer4rVzWfUvJ1FXQ+7BVWn3fiv2ZgRILA5CYrES56pfblR3q/25Gij9gT8S H/uLBkZPqlJ9HWi4uF361GYQJqkGCxf1w5AU6CeZ9ZcivDcRSZYBQ9bb0eSoyuEmANMuTrIgd00k FEpIyMaKoZkN+4LoM4/fgYkLGOLQef8CWhOcSnZSu3plmm2vbf7K23dBdPfis8no7eiKiC7nMYuj UgHNf5NXNzNNbgTP1UMgLVa6q8BHeNgYCAX3sDWF1Gr4jedFa6XdSnvvS9q/DQzkA7J3mnEMv9an y+VwStrBNZX/ZmWh+Mmv3eOuhjVQS3AJANySYtNCfx1+4aEGmFaRW8TW77JZMJfF41Y0Vek6D2+2 aYGod1i9YG+qwrT7IapZHkM4Ro6/SeDhfst+ThUxM+wXar1FbFDGWCXNBHSfhC1ST/hq4Bth6+rr 4rVwAq4U+bWQkX8uV8xJie5xEVqf6GzybUP/LB2yTocSqF7qAxo1GfmpUK6ycG5rVQhndeefTApH dZ7Br4navjEpBJGphC4rpJxtDClnUMk8g1NbY+O/mf9/6dUENfxVI73T7S08uwRMQhe4wF5MMOmE 3E0Zkq+FUe3HSiB9Rka9b8TvWm2t36Tskm/1jfFNpE4MQt2IqiBKCoIlQz/Y7/c2eU7IIVr+7BjQ eHi2qveEofRE47Bioy/KGyno21uiRVchTi/ekICpWDUQEL0oHFE49aVuH8C4YhoEEHicDWcuNsq9 yda7LNCfiKU85w6AeoOtz69u89xicwf7delD6Qyi77JwKKS3iyVtwPDg1JZG3V8zwTxCCkVRA3tr +9dhL9m/r4QkPXlmtzQ/B4xrcfALEbTShrBxxHoYopTzjNwNhYdGkpHMlwKO9h/0OTJ2aELlg3W7 sqpVIdbgEA+dKhfoitgk5uHwHH6lbJaK3pSF5Li0Q2GTlfvpDV4n60++eYLzQS38QTGfFsB74NCD 21PNTyAj7AeX+IU/NIAM8ei6PmV0MFBTUupTOdoSKmqV6cRJboLfLAZ8k97wcHBOJeLjdhlVovu0 pRaysAxy4xdr/HUaGR2255sWd4mi0eIJmV3jY/8lppQvQ/lgXI00AxDMdm1LXhuHbGYw3ovB/8lF aY3FaPZcUB1+bAS6bwu+yfoEfeXhOgqxnM1kcmTBtcbEuMNfO0o8eSvlV/TkLGXT3hvJidmWmPy0 fNQ5Jg3W2A8EMoz/a6iZg2GwYWczhIau6scpfL4QhCLkdloKThrbPYRJGqx5TVyO4u7/9Zo6elMv v81tak5RE7jCUiEGBY3GRk1m6dsLJhl7lYoMW7aGRFqQGuX1swl/eKHDtfXQuvN55Ajn+y2rAdb9 LoCJ3j5fhVw27YMKKoasdPMJ3J9lFlTy8STYzfCRkh9K4N4e/8gA6w0HGHgkfdLnaM+O0oTtBXAC y2PoG6IPZRYogX7cAkQVUYogp7TvIGbEEMF0r0/Vh2Ncm0gIzZV5OX3ccwoXcYaWPjLiL4lJkKij YdU6ndPc6ukycIPEUqdo4JqsXgv2U+qQy316JtzQF8hNA/TmpRUOsBKd/+LXfqbnPj3iovacvw54 x040NgVfw2EaU4hURx3KqIRsYEmVkXlhb0TQpooh8HgMns+wEOCFYC0rytnErYrbMtg+9+p/GkF0 Dc4cLkSi2BFxX6KfL2vSBTBKLdO8R9mWeYPL+WSZNwW/kqGIuKDQ6OWKvzvlvTHXc/KMkPwrGXMW VXZ4FPqog3wCkllneA7yR/7AGDF3vwRoHpzCctK+jqoaZDFuwFBWTUnEfsHT1AeZZcwx5LK8UIqw MsyIzpU0qtXkyT/C4M13XMgMdEqCJ/WhjzqBCJCYrTmj+83YH1WHZQdMf+778ooY8OjizmLHUyJP XdHeof4AYwcWrhahU+lMVLAfL58d4uob7YxIfaqsuxNzcJVG3UGWwlwAPAJ2ikCw6ZGRmeXx0Ci6 qcmxYQ8AFwr6IHW8B1laBzRv+FNIFmRm/o1YJ3BbcyfTEkRcl9BC9abo1YD/VNJcWD1sHTBZ2TA3 lKIUBmYRIY1y3mVcT6edtUnLi2/840kWYzJDcv+1BvAg4SHlBPqooQDzIDQst5KxqgABZegy8/NJ LWMlm99SVM8ZRa0W/55AAXr06PPXutP81UuRHkgtCYei2PI1eMp8jzM29C2WvIX67MfDuD2Fd4kG uU12IYdp+HFn4BUWmhF3LJPjrh3rSPVtFLivPBtXIKArDDMzjd32HpwX1QmJXwK69rhO1IHCdxqJ seaWnuMedlDbxj6x3Z/oqVAb6O+JuFwfgD1LoQelO2JXJIlgh2rpJkHNE56BLQ6w4MHkgoWRYlya 6E83JXSUBRde12N7Cj43lDdCBoGGBlt6lf78uZ07szl1tRlbUsoxvZnBG0P1pBnRzXuuilCYgKBr KALMPiDW/mgcsGQVM41VNtzLA/Kn/uEUSV5+BYoYNn88R4EL43Bkzn53XdjaTUvvhF1Eu5Hei3Ze QkR4tyT0Q7/dAnhNXXkRX+cPGfoefEp/7O+KFW3RzdiINRpVpTlck1+qpwZqhxgsz5ZOxnCStTyO cOfqjHcsyOQ3pFDI12YfY96wr3XDmZEhI5Q2vhAchuhAv3rOCA4cVIw3Da8YDVMRa1qCGQDnLVcf p3xsgmKbQOEFRCF1eW2tvgyBvP0AM9Id5PbwMJoOcTemxbSRAzmjB4wB9HwwwFrhH8H5YYmAc/ZX ZQvMvQ+FIqo1yTVsF2MWlLanoLLgA/sNh8H7cutNC3eU1Nbbadg7XAR4ufmEDh4u32If++IOdesQ o3zRwcTO1zjGh7FpW+0PHf95LpqNntzj0/XXkdRzFr/eGPrutKLdhsSw/5nnh6xEoLzxXuW5eae3 Yf4FWpRt7lGBEPtgHAAq73qTrDRHqqX4Zy3YDmzdFDypJjK7pu9chj1xZi5WYT//TFCrwh5xVvWF HsPAXAZBYbpV3xS+VCZXtTsVIFn3aQ9EgzBSn15VxeKgYGrbUaTYJLnhnnhE1OdTbEgifzy4e0kt IKPzQhTzVoyNMOvzUKkcpaABlj7BREIiligv7qFj7g7hsCnnr+4ZbEZxp9eZaEYfyR53nLW6FPTe aQdOoAXuu5P/M49iI3z2rz8tvl9GXI7CFLxYKEUzl6XI4WietjoE/gt51zgNEoyRrfvbaj/eUf1K R5vRPiP+AqjhFQYddWQ6fVSxDIIahFspIOyeXXdCjYonf5368NrgopvRNnuvfvtK9F1rtcYGgCTi DSQlynmAuDygTKVDv04eLVKwibvguAwJS9f+GNMUQxsQDkUuK0v3oGd4J6pqc8YkeaGUMEt2kY+A lTnyKOmLToSUuMD53VS1jP8auyEU6aJsTIaCT6a/MUlNfSU5dyQHtcycjWKdZq1JQFduQ+XDt8vt qcyT1qYOH/kpR39JMLYUt2w/FxX2rA57UgkE+hT6RIes9n5EZLBitkum7M1yTeXZfaJldleKqLz0 J9SK0z4RywMi8BXrbu6dzo7ceXJyaf/AOOLkfycQQdZmaUdxOqwVzKwkqGFdDOTbyQDnLjqu++p1 OgcVI6ZiNm2xNyd2vj2qJw4U91WccaflU/0PEK5R0z3P+TI0aodBR6stYoX98+9NgimLJVaNg4wM zThUpam7KqooAI4dxsd7AL2MumSlY7A378bziAJ9jOohQjdnFHPVLiaNqOBPTo7c16UHEbBHjZJj NlpkTrTj+Xuwiwjpputrw/SdqSuMOBWgA9+Q8VLHHobWQCqa48YYByq2VrhUKOOWntNbYt+dcx1/ 8GiVEPGQMtvlwN5gBX3mpym3y4JOsMDKq8618dsrrHuAuj9ZjFkmMBCtDS6M3tRWaEY1clZc762I uq7ZqqmC/wSZlB19AbmQDqyaG1WbvW2NcYteCpSJLwul4pFuLM/3KcVWDWA7EUslJBweYIopMRWn 0dsRJnIZBtodgDtU3w0JoP2+U8LnU1JbBI1YhA0EDlRpEL7vAJksZNh6VrU/PF5Qdo2RNM4jr8OS ChT/M20rq85RMKTqGuzlJVGlX+7DXmcnTRayRexBZiXUcrhXEL1PkkfQiBHsf5f87yeDxqIcZydF KTuMuLIA2KgsD9pj0FEiX6wkKolq8MqG9xvanjP5MOypJ6rbGPCM4zMKzXYm14WWMwkzdx9CmCi9 bu1bxwXbZBRDpAsIjLbjeEl4uYyliK6Nviln7ivy02Bkdm2XqIgRPkary4YWfs6HfJEyfhKgB1SD nQ9BGR4xzaNX9JDgIrz46ddAB5yk9LumUCJhNLm+W/oUhgJiOt9FN3kSwuQCHc1nNpWkgxjO+IJj 7ro/YpmReHVVkFsnjH9NrfYjag0qKvfKVqOGMui/ymGuD8EfbWYWha0TbLg1dRpRLpSDgGFcm5mU 8lXufU8F0fmxOX9SYxRP0UvmuCF+//LE42egBOZsJXhRW9OtJa01vYxQkz6RxfF8bffAp+SFcSOZ jqU5N9BSYVEAAOj7eTosetHSBMOXjePsk2kOTV7wG22XxWnIA7AXI+4lNYghaU7GDiJFpmjyPL2Y SUoarcot5gTYgDkXKHf0RVdrDhAG2cx7rFMxSr+a+lEMCjvt4mXqahAb32226E6N9IEUlF2+a+SZ xNVG6CAR/+MEeINY61dlVvtsqLT3pCrSPKHuCPHKEeDF3EfPYysrY092yZqgWm0aouL0/h1LSwxq 9j37M8+5KFoPEwp4vc0XC6GWnD9y4UHC6JaiD8YvwojyzfAGTm4KyfnEGjp2BvjKoIobbXsRGlR9 b6P4MSWLtmSx2MEgA3fSXyMV0uRtDjrUV9jrXviDK1XukegFtKIMgmougtpTDMjuUPSRZ/KT5rKL 1nuKbDlLdDbAVKMP6bYPK+1nrmskQBHwAzkEgRatC6d2zzqrtS4nRcYvs5sd1ylxxJ1DEHwd8IAn flb+ugm7Ju7SRr1SZ4Ff8MHTfmdMv+ETgA60j5X/DeG766/d+oQqSQBcVymfcb89+OpbIYXwfnMO noz42dvMvEzfFrkcOvb3EnaXDoha/n1NOIWEnZsicIwPa5dDu33OoY0tRW0n/M3TCGp3VfdC3fuI W156MtoMBnnQ9hEfcothwJTFpPFUV5NWiHHsHb4lqF30lKaAuz4gQKyzX+3fKqcrYO5SdwgSFPZ0 24rWPv16uEYW2qKZaAp8aAV7Ou1v+O6hoGbTvF7fKT94L4ryGTqla0RvmeVzJVVDZTF5t+HvM5w/ TmWXsIs+JtOXRIgiAMFRbrvPp+uuE93ndTiGCoFkcy54uruVNbnrShw1Ur3WWARPsr3yxP6klUUu MTQILVkWCzNvpWEzhD68/OKDBIrGC3drC9nycry1mNlW3c1emj2aOVH3s7eU063d97HEvW+h3+7l FEk1QWoPPeEhfNe54Q7cNr6UeuI7HnTMVOoTBPEDBGPWSCVfJzmJ6g21zSlN/DkaMuqSgKHPDAoO MCXiLsuTcr68655oR7oTht4Cn6A80h5lpdDCF4EW/kFS4PlT5tjRNNLJW1TstRIV5mZwNpPTSgAV +UZbd/WsIiRuaMKLdtdADiM5IiutS7mXDsKyXp1s+lRok7uwMXVx9dDdJmQYu/+hfTt8PLktFA+p p/Y5/2L1ZEUfjoqYiuNgdSzm2F2CY8uPBpXAyJgoU8ogH/oadTx6rlwzIKm4tBx4Db8ceaqreWsP nqC7FO0O9GPghZS8RXg21WiMgiA04skMMLAaggSPn4XcM3yIgIwOUMkBO6WvBYXYqoF31ch+WB3+ 7yIkN/hw0EvoJ5YbyDMzXtKBdtwwmRs5lbJwyrzhxckUhD3kIKA737W4tWVn6BX7Zkhde+0PMM+3 NHoUpkyhwK5r7mg2k+0V6nWEjEV3a7FivEEH/Z41nkYTmGeEkR6lF++RufRGCeg2rU4lONX35Ets KK21zjmucoqJRsUD7nW18+394EuTy987ZpqaTsAq6gizR3c7SpPoYyImssaSnMeb/9KyKj8hM5N2 rwtqRo40y/qbyo0gUBb795FIuW5h5aAdOmiMIC+oSP5lRUI5FnCnc5kaLTeMblVZB09MTSQhn+vW xt3CLZC+nxGWUwbQEVAUB7EQ1CgLpPlgSRXMCOdilWoJ5Fp6nncsVud0BkSvNxJwqfUFD5B46q/v dvUlBWhxXoRkaL2bJjhLsLDrpj5txCeqO7M9F1d0IisyuYvg4sSq9LHkvFMxFxI6JvmQWlrrfouG Vi99zGneWZ9Mp6BcYpWVUUsgq+YyjPzl8e/vaLSj5syiOmXhOImqgBokmxjXr7iKnP0He57E2PHn 9rEsjgwoLyB+0LXNXCCdbY2FkW+j0caEpOmBD7qdW5Rb378RmYVxRvglIMa3YE7FpAviED2A2oh8 P4xa0QKNoh8KiWLE+PzE2LWjTJG+o7iP4/VVbA60EmCBvQFOFUuhx+L2/6mjlegF7lc5CTUULjg5 tv/jd437+cm5SSxFf5CDfW0n6yjTwpnkubR32Moz+QSw4aEIfYn7k7PMgIb3t8VmHmOxaemXK6kX i7JBS4sGKFlzjGP7luoo3SvRbvo4ktpnye/OD0XR7DPb9ogeEua3YYiYgCA74o75IKuEfoMCCRcb /WuZDfc8popsPRWwNy8gDznewieS3t+T3glivfVIuKGn8+TcIOhC5k4z3hGOUIhaRohpCpc7k2SC LdR++ahrAaDnp4nrv8fehUpYGdxBxraQ324JBo4dMc9UnrHHZ5SCc0I2D9Dhns2QBhIvb7vgKEo+ IcnvVeI0GcGm+yPrQIfNefLvIeQiWMZYopCEKT03VFq57zdApxRb+VtZOLXRoAQGlWifuuMjPNr8 yA1mmk2hTA1Mbw1l7CsDdOwDcRfhWfs7SQ02nvpkW23gxkp7RUgsNrA/d3VIx8krk48EfLKlYSy5 b0iNG6iOHbXA80WWyky89JQMNti6JrnOyIajGiBGCLqZAZQUPI3mPfd58xy/dngdduuLD73qfdlR KGSrqptd8oqfenIjeSI2P+jyk+xXNahPu+rJJw+kiSWm18UdJx2l67d31VGUSlnuATHji29et4IA bRlvI2XiVDRSPpERhXaPRgYE5Ju6MJ1uKeYKjS1gW0SJ4qFdJzjOlJEZn32k5l51vDE+2utgA9lr EONEkbKK7FyuYjpo3qiKyCFnkoK+zc89r8C1Vhg5oPgiE5jZfp0YeJ0FJBfirWn2sugf0D0UbbrW 4fFIuHBck1n3t8ZhiyNg/zSPNyV5CmFl7S5dXtBhzFHa/uegxoHbF/W9gpkg8vIdqKX9ke5f5h1p YuHkIKPRMQBx+k0ZLSMqqkZznJyd8d6V0v7ElMG2DNrTDe2zgkTWlojQ9gysuNrzO5GaDREeiZyC wUVgpBVga33HP2bosI30yJ7/nCjbrPOxRLqmPlz9xjmE2Lr0n79/yCg8I/IVNGbSZuJMzWHSCI42 50zTmDYEBSnHoGLm/+xFvX/YcVBx6wnDKtBmQ9LBNG4m8La4tIvoQxIABDgfyJfljE1KhSL3Bfxu cj8bEpb+LUSfqQKzOLY7pAlZLRE/cAOVQGz8GW0fRu3Thk0T9rLSWvn0EJUU7EBMG8zP7g2MIee5 0Y8fp0q5YPDHqnhvGo/PXghxVYtWU55VM4LHUU2yLwmi2H0wdpWh3kmt/cXfcKTe7KRNTRgA6NFY uNqLpLZzlFLbf+/hhccVaj6y4mw7PO1kWGzH1gP9ku1d+/ZHwZ/FxH309crmVFkDqj1DNv/3+kjV jV/PREKs0y8UZ4vIubZnOHT820Ol8i9GCGG24m/ZkhTZDV0twV7pfrreWXraXWPutcI8+vQSz3iN 0m6ktg5PNMCRuGJtV6WOuKUM6w+Fm6kg0TH28iZLEnlytCdfUjRvxrZNT5/LoGTre3ZtSlp9/NVH YF6U1hlFJ50b7xYP5mBjTUy+iURIuvytVPPQcx1dO5El6ukO9EXHaAPAnJxp/lF2nyPagXGJabez 2Nk24R4M46e1xudgdg39pEjTLSRXQr5WGMYHg469EGQcbqVCcE6fG4i8juhKTa5tJ5eJprfN3Hu2 jO3LO6iy8emnYn2MNznuSeQJwsMOeJXpM03HuC1FA8z3OdJO+I5JVmPQfC52/xhFu+FQR67F9brF i7ZRGzQOYKSK5tv9wX4Yu/oofPQD2a22Y23c9W8m/tZ7vFhvivs0Cy04k+trlfe1EdLn/trUPXfv 8yiKtJZSiLdfqOvI/CbktNadpJlbmWdY90QiAxPxqe2kXAz0REIcyaFTt2R99mJC7TzSmqgDLTsq +1OHsRQbWEH0s7OkJC23rRXwlspDHXUry994UsiEp3F4T+3ruGSGN4c0lPgX0KENE/jGo2VCnwRi M/RXpACytdcwCRFbR4kaTW0Jn6TMAa3QjBxXdrOUxsK0ojinwd4ifi3hmMQS69vzlxLyKXCsgm9p smFhmTybbMgtVQVuFnlUfyJViPVNt90CDtRkGiLScZWuXJjoNJ7w9ZyIvSJw428ixEVn3SDvQ/8n stN1g2owCvZgSPR8NnXdLaEZfLViL8ZEDc5Ji1Rpsk1BbLnRd5IYEntrXROnc8AEDY2YWLmamIgO MFW8bSy96TwAMtBlNJSyuh8W23MZrnUcBnad8l5TWcRtydbCzJuXEQ9PzsU2bv/yAutpcgfqFsFg Mjj0mlEu7l1yiDbF/PuTd53d+m5jgwRnOF+mesTWsMYSoFdCV3sdx3Dx1p2OvQOaLp6a0VCcwTsP ebAI4vMF8pz252tNaYd1QRENdtLNQnVR/U1+BJIoxCkR8epTZ0YT9mpKXb+g53R1Ay4aCB5h0mSR Q1rMQ0IYJuZ3Tmhsm/H+vj/u8ZknO609Fjn/nsER3Wrv61CaI302c8OR7al1HTrtFTNSkOhLfCqk GOm/kFqT/AKDcgiMQgkmg5cCALl4Ax3thStkfK3krRpZxQs5ivhRVpt6IgszoEUkKHL3OC0xyYAf 8YaA9dVDAWiRB49Qjij+TiOOnBfR4C1sHp+MJKOuAHJCuPxEMZ/cMHUDH3skAPeBYPTRXthssa4q 1MnABOM0HbOZ5yfDH/DN53JSGrwTidDiJ2ujdGig6RrWO6u04n0DbRPOv0ZBzbEy8ILbYywYZ+yK n5a821dUIjLYSpz5wmOflYPMyAXSl0BjlxnGlIcpqTrJA4yX/dMuRAPgz9NUPulyNRUOKolLRI3L nAWatyeMA6pgBIunN8aCvJDHQsHBnEnHSw/lOVjTLAVazI8fgHCiX99+SLwqM6R6xd+Um2lR8PA0 epMPrRIdt6G1lwSeAjm+FP3ZRbMoyHOmKa0m9MFmlJ35+aEDipmXIMakM113x3hZ0rKMCP9960Fa RZbZUCAnzAli8NWNlpZ7QTwtgVx1UUD6oDSwJIzA4jkkKz66hcUA0MBzhwrA6gQ/0wxZTNuqepas 5HCMwgu/F3dcGisKdCQdwlsrJNrTcsO7ik6xuBwnysrrLS8hMZT1mJDzkC+xSUxgkezYKeUNZgXJ /xgNqdQibY98UQtYBhJepVsAtYSdSTqMpswF0Vmx+sOENEyE6j20TbFegwazWU6OZbPncLQe+JTH HnbIyWaFxx/pUHLo7Y6Vb123RJ1GUJZTLDmK04ylgcN1NMQsYeRT+IDNYksKicQxZXGRYj22QQ4J 1Juw95AQA78i3UqhrGhA1oo01nGRu5OtLOw8uAuc5GBbn/u5PFDlrSg4wWelBdEstRsCg6UiPrDh fsuGHn4MpnOkwb2bxjqQ5z1RqIgRnz/KGS5/qD4eCdBOkGCSZMC8t6XzrsR0Pr28B0akXBZXKNuU tMRBrLQyVadsEY/F2wz0raNnR5eQSTagek9fdjB2FRTMCv7Opgzsk3fc6iA6lL9/uEYFYRtSdZKU JPxVF0lNbm7pb3QEM49jVhGzvspjzxBTH80L12JOhHYGkV8KfjE2ClMhbLBEEFc/marS0W/2HcfG aKAL9Ns7//RlzvgPutPPpJLfpaAckvQ60Rfmn+Im+UCdVykkFn/trrUVxmFm9Gn98vZYqleR0uxb XESXhxWtfbGJ0+vWt+D9pI5BOpsBHfFSYpiayqsQ/PZPRd1vFLtJZV++mV8Yb90/zfxOWCiXXKiw QjTfHiD/eqpeJtxVPts/Cow++JedeaG4OsgUIE9Ql61lq1HjMQkjNcsERV5i1rlPk/k8a6s7O1bb nf+I0nLKOT2iFmDcnYoQhM/XXnnASTXEp7gnOhw7c6q9vb4mriZAGorPhs/TUrIIMedYno1Jp+CP 9/J6pW5Ll5BUtkOULa85wM3joDriAcDFtLlJq0zR+DSy6vQzi59axBU01+4f/hGV1pITeIzg1YMS PTlHdpIglrPXKVPG661nOGTx7tDxV7MNRo9/5QRKx2JePFqx886o9GoLbTaXuXX9lQP/v4XOEzh0 +4iHJosrNXTzm5zMUlh6/0wDHad4E1AB4o9K/P7BBN/0772Wfv0Rs/4rKnVP49RFtWAbpy+Ql+/E /SYWO5nV2blgHzm8Js6rgksgG2bC4pq1bh96+sI+CXfaDmGuXbBCwSy7LfcZz9b1PV+npOT/FMfv Zblp0OyWhBpluZTEls4bAYyG4B7tc4PMDgS1NMklA5XsbwRti3C0uMcuMABTPy5KxdKT7LPE+Iw4 utwzh7rQWWY5fxn9jZF76m3t3WbMqtr3xsEYCb8STTKJgYkcHsm+fRVoNrvp2mnDebAIZeSwSD1i 5mIRF4NFRFv5j6uL4VzQsyqfLCWQOHMArqrHNH70DmPWDyf8FJnQ+zlT0I37wpDGYUqFt6EXEB0E 6nwVB/uVSMjE4KllY3OCu7DV3pKyhf5kwvWlQ6gIVOKHNfugU47rCU0ZoS9MQ3fFHYAyiOTBcrc6 FfCFOwKnqK/apIdyIvU6CCGfU4O7yJpv9ZGmK1CmD37lPJk8YnbwSzBIWyIdB/eIAkoxZTP88nhL AvGv+BU3KSpy1Eix8mUgGsMwpMxocCOFllavb7p/SSJyjoIeRDyXvE0WU/4tvf+u88IKSC+exL88 RvulRCpri7VIirr188WvE/msp6FnpsFSi+WymwpYGyx80iipvkcYZCGTV1Jif6CG9/OlpSOsXo9t jVkL0XFtzy/n5WyQARKwQbtz+VpgpQ5gea/RNjdVslTyAyR4/d8s/OX2AjgXiqHBdfjid5Kzd3G4 juJ2gsgVfhNRu3qfn2EShuhwS0atoyrB0q3SZ+XaCLDS2QlvJC7tH13aJD8en52TqjJUy+n1KmTA bPnKJgGhkkSGKz/M3uAHGDaNBk7cgIi+Ff3pSlRY5TZOlYRqs8YI87vnEX2LzSP+i4U2Qkd3Vd6Y 8MGyh/AT95DBJyV0zqYZnS/vk7w9YYHGZBORGPEt89YGf/ux4U5mGTc2Drl2QrerAT41cNVmzHA6 E+4pL/heNsKoIUAShdw3OdQmjdQJN/nmpS+TQiGplSd2kJ6XYxoulPVRWhk8Vny1FnwZFlVDXAoC aX6dd1Hz4Td2+3e8K+kaWv4KdoTjO4xhXArqrQn1J+8qBjlEu+6xDiW6Eo4wlBha5XbxIlDYAj4O kALVKq01bUTjNSYAme9VGgLFHaLhsuUB2FijmrCnf3+nlr7Yx4tY9kyhM05jRyKhtILCCrcazkzU SLnzu3zXBtw8+hM46FnN7eTZdlu54f5vucmoRr7NTRJkyUXq6/+XkrM5jiqcKhpjsIKsaDnfnZ+m Rkto9zUwnxfW1CoZ8OnlKj8/vsLeYfGJ2jShymxAR1cbnF+Vdu8ROHsH4KjvjI2B4pFBp4WCrJpg CcoOuekZGBvkXuQbXzj0csUrIKEmLuDbTp0gQxJ153Iqmma6oemrwoFyJ4Yy2lnNa8YcG4j8ymVy v3LBhLt6AsLcXV1e+N74BjHuqo5tTClAlz33KUtS95yZbshTetGvhyTBGot1dtRHtLrIL6avygPv VxQWAluZE5/c8INXZQI565xPmOdtZoPWyJazLESjc89diwe4+nk3B7KSmq1BGB6EjcjGUVl044sj 7Wtkb5OMat4q+s2lciuC7zh/zs5vhEI7TKR4hhecaBz+YSWXub+04OGIjayHAIykZWwOcvr9LzrM RP+UdKOsmEE9cNc64UckDH9+dpsKeBFiIGemVQhZtNFD6Cly4ilrOYAfjXMC2b3pBoCpQgsQTtSc YC6JxRhfrjPHACxDhXby5reVMzowpH6rkiBl09rZbYwnGxNuhwGfJdw7CLbu4NsjSjFwuizYhVPO 69dNk/FqZb84SSvH94IsT2gZgdY7eiYfFqurYBJnD4G8i8OIgkPa4c+E0aIQfEeueH9D4NN0vMrz +XOM1StYbYAEah+m54MAtgA3DFTyY+pPXVZWsIkRttT5C1J50xo8oeXtojwLKwslUtqcf1Rz15oJ wf+cRL/GTeoiehjyrhP6VEJJ7EuS5x+fKhrLKoHzym+kNEd9jP9a7ivM2I+x1TvUCf6yzvFxaczV ToKxnxhLtNMWjLWNj2EOvBR1ahB+Te9G20UCAK4wMgi+4rpVtisSWGbkpqD6/3jzvXYuX3EKhX0M ckH11sD+CzND9BBBaVf0RD260rvNmCu0Jp3mLclXBtUAFNy9RpSJwAOd5oLDaG7zngp7fOkZ+iHT Zy+Ul4TzdTlK3y+EFWVczkYBpbM0bEPj5XcQaacFrWx34UK8+EB/JIZWSHb0WpjTEu4lLjzAOigc wv1Gmq/KxvKaQ81exfIkgXhBVKOtJZTFMQv2W1c3lOgrhbwzLarT+nh7HXYEy1mXu0u/q2Th9xF2 mB3cxpCOmbpZBmmSyz0PZJkDijAGPd5WMJ933HOiUDLsblvFbh5gmQZz/zdFb7bW3OIuw9kfVnDe 5nEGi5NNzUXcqt/MO80IIGr6R3wGD9iqZY9dwbZtVVByNtbnsGTdS3C0svynaAZy1eeZUZL2Dfq+ gdIJXVIArfoV2WwnmGCSwCmME+L/KMY87tj/JhrOhoK1oDfhcuFQ1VwGlxJzwfnquwDt6/GxHLqI m1nCTubTUtbocAy+7jDu5r/AnqcPqCFHaHjQIEL1pm2Fx7dQUijNoPiZc0Gy2eM1hO3dugwrGCVb +VHKWtpLE6NvUdj9JYP1CsmXE/LyugN/kFsCsCR+M6oU0hIE+p6LRV2VwhDiUa/oX2U7g90h0XJe RUHN+akE7Xc4q0wWng/sBbxqby4WsJRbQlWnFQvE7XnJRJrfTxUpq0A778pSSNRFEfEcg4PE/fLJ VRmEm+/tcmRs+bW0wHEzLHeDLPvvE91UiwtBrWWpNuccTFnmwI94HRRjbUgQNaEOB2FX6NguiF5D PSqL05oo28pUMFa4CEozs9tkeuyhTlR79hNDvyk/ordJ0oh3kNzQfQFaVNvA5iqMWmeymzYttPAI jFYwYidmc+Qyl+XHapcqSsUNUfPf8JwwnsabPDnvR1Um21RPRfhbGAWyeTdEPCTkguWEY83sJ689 2oK08zT7uebA77pbH0g7WNn9h5Ygn2jbBKFOskjp2BQMEOoO7X1IRCYoDqU2Jue7vtdh5IRVajQB +x6Vl7/4vGOmpuJk5pWnF7ou00DZWGT5zm2Ar1P1vHhabx1iW76nGFNbRW8NBwlHxudSaVqxsir6 4sC6mWLOZPxJVGdvNdKlqnBXMp2MPwq+FosIn8zFu2QHOW71aWBifenVsylA5RsK/flj/GYh9egG dOgQRyIMSKuAlpicN1ZIZWiCH6YZyMIn8ieAhMZJ3O68/jHHtvn5u3PKtA7sYva320g/WLhLZGTJ m2Bq9JFeGgaOakQbYqXNllMijOrL7S92yGR5+DxIMfCnrAIqMDq56Sd9eqfd5bAcCwRG1PzMlNob oVm6D333YOr4XEHSNRUjp3lTRkgcFVFy3lztN7k8+u7+k0g+Ya63GR56TOHRrd3/9iIhT25BZb+w Y+HJbBXb1lA/31GNerGW750LzonDdl7C+LgOA+eaYynm8e0Z+HeRsixeyL3FxdWpUOy3qw9rMyzN 8L7QKj3uVuH8GXZvywHdkyjLL8zfEOMQp+7g9498zeicb66WLsRR9FemW7h7hIInsWAUiemS/Mrd tHxOjW0i7VW7Qr8ylbM6vL0NDRbHOTdu2Qmj5Tt4i0N8Tr+htVKWlNW/9HMUNENJ+BdH6MsPvmIh s8Pm9FPjXiYQn30Othgbau4W/B0a+PBLmS/Jm4U4ilKx7nEzxAf/eKLWGcGWZAjFTVbQpmKIAcYp xSUndcJITdGXNanSiTBaP9nsTE2XsUnDoczLIlJi8N5h5Yn6DBykyms7Z/kIxilHQdHHDX56nKQN K31IDM6an7rYHBCvBss5mx82D/iihOf8uEZnivtpMALT7FIGqq8rkNZBNn5C1smltPJXG/iGXpkB nebr71Vg7GSV7xer8y6qUQ3IFA6GIGiTpW7tS19adGLlZcLqMBMPcYc1b12/TgL+bfFTydHdevzo nft1vyjPSkwlF9YW8a0O/Gkjg2cgDr9r1VYfpy0zpQw67vnRDHqjlxciiILayYeDgjDVYqRtkbdu lxh5hlApGfFishyGOFGGi0DNeDrhjXokf9TtPPR2BZzoIeKzOy+fULyObHtZEAkWwCT9pZRwafK8 WMhvUrc1HxG/C/lEQ2Q2TCYN+68/SsvTeJR/fVqaMRXb3LbN51EnTNuszM9twHzpTX64WrXmcnfk /0FI43M1M8w60cOoC/dsVqtI3SVDwKPcq4rSw/VOzdIhfqIZ4HE0BI2rlUd+Ue3/vP8NYfsX/hC6 OWv84lVR6cWD/iAyDdx4OhJRuI7Xu6I/E1ceNd7YauWjqfuVKaX6JxnCpqDTQL7ZQXse5fkfxd+P fwneUGqOsg5ThqmZBwT6BSeTVSvnZiYynDnBZiWEIMaZSBKFdbvIn0EPtPjRldSxZ6fQlhr9q1yt GW+p8I31mF0wt56CdBTo/3g9tVbf0RkLQkksRAJAXU7ZUiaLxrvbPfaqyGrBHTOOEVh5BFDpMxjw TwRLdzet/ORUfpDQF6QSedZTrd6xHq+IPBFgF6DCqEn5JhL5wr22Q0Xtu53x0w76UaNfHrdF4qZs QvGnx4N4waLPM4vgHwOIBZio59Zoc4NS7Kt013BY17dIwwEpcLrza8WQUhJsqEvofhFiOtiUlBPS hsn8BAp7KvzjMGJ0WvNgMR5UbQioX1qynI4FRzfTsR0TcA4YNWh/NbqhXR5kfnWrHMb9zO3O0VVi bPvERBd+Y+EFsIL/fQyWsq1LfD1BaiSRko/24qnw0k4IMzADAXf3SR5G7HsUvuTPr1KNz+OFdWPi JZB9oE9/JUvW35rvX9VzDj5Mtea0hzLN4pMWlFKyNICGe0shB4jbxzDxw4y5yIF7eYoy4PMq2ANo c5VvbK3WFk7HzPlVkCkIqcEu91vQRrBLlfq3WNYv/MFgyYKEmi4F5XiZi5kgSh+B0Wzl8gwKifiw ePQH1aakfi9ztBc2OG89s4C8kCGmdFw6RP/FttDTryZ0MAxXv1TwsOoi29zcSzWE39LZauu/NywG +L/DFAqvyHe9aCpXeOf2+5FsG7IWoOWGozz2RzpXDNC3hgQHM1Km+CZuk29SKZwC+gN4qzz09zHL p6cW+h4SWEb51rAGVaF/51aASHS7yKbh7noxG67a4UcBuyDvyxWwvOALDGziVNhVzQYMVc8C/Pdb 11PSahZ7h0AbDNUVLhyspSQxXnKyEFHn9hX1VEdtzcOG3X8YJ2NyG0HYkUn8vPeAP4EVMpAx9HAV xMePVGrhzyVwCsSJC5H50pKIzagvB7h2Ec9VLUfqVJ8uAttv5UIyxLvkD4N7jkLP+SknqBPNoNAl xGjjbVRvH+uCwhSQ2tnJf0hYWZp+EavtfwmIExaLGSIty7miqrRsorr2F/X6csa7E/lb73GMdpr1 Czvqxw+m1SvoBy5A8SoeZTmevUSAePfX6gpN20zqDe7Jbkq8SaqAmtZYMV9uOkqYcJ8yKckp3/GT yxdIiTaSSlReSfpm2hyXu36ibvfUaAR4TK1LEA3EIpaTSUQjsAP7kEaGSA4tVbslQeltNc2hOufC a88et76s9D9Cp+9pepKOZuHUO6GGhxTtHvQVd2Pb/ahg6VwqITUGvta6kZSy9FZ2OQWdCULLF6Rq x448GujnsuNC3XF/yPkZhc36ae3e7i122Yw4xz+GBwTtscgWkWG/+92VaycKE0tDG477sJqAJ0da 009DQJf5jK0YAjs75HRZ2/WeHGDT5irwCAmw4h5EeU7LBCtRO8iEb1gTnWzfUSchgwoRI4G5q3Uv 6YVYxBpV2iet005dbjaqoOuNxc9zbFv0MkVTjj8YPmkCzqyzgvNI6kSwXP0GcecKoQAeayzxr9je qEqi5XK36VyEtqcmxAw6CNf1x1oFShr9j1YND3i6L6sfBNDINwABj0MQNcC4T8OwKIWlod+dz9EH b7Nf1i2u1vLFNRoxIWCfiAzgd+hBz87BpVI0xj+lzW2IE4sCgNBjf03BzhLzAWoqm3i4B1dqcxjE yo8FJQEXy2v29mkABMEwOq5wVK63/m9EQquVCXGi0v/+APWg1a3laNNjB6M4gmnuz8aToP12CiwH HoktlLmhvAXp1xiqd08mj8nda2VEGQM5/LM+e0HHZbkFUUuizdL4Y01eVO47LW66WfvAcQq63CZJ k9ynUFaSNMAonYyTeQw6wpHin+qVRKc9N4IWqvfS0OkHPVW9Ul5rWn9MWPNK9cIhrBmqcNKxYNDy 7a1rRPzxpUuUR2suBoVbMiUgPaW9vangZ3CBwOVUnunQquQXXM4VlsTVlCTtmQ0Sx5d7F0caapgk rly4vfEwAPqjtpSpIpN2fvHt+rlfIxo3mm4tYeshOwhHVWMNUfzjW0L90LYppogYXAbqatd3Bznf Tbg3/LQC9/Gjo/4NBTD+OMwXDivjCQfKDnaH8SO1150u9fp7n8c8wbYDcCzdUvQy6puVaBel2tyL EG9mKrRCnRoMadtFO7Bdun+5vpNibeamS41bjpBe7kIFmZpA5euWAQDlOLqpzw03bQJ+tTXknCi3 PbUw9mgFNhr+J1JcBK7w19DcUwvT6W2V4sl5Jh0YcranaRF7GGMzqJAaxgaCFmbXG2GMgCAbKTgA ok1sEWPclgMG/HOtYubmT7wGD2ebCPSjby3I7Z39xuXCpeCNkdJm1DFnfUn480nEkE67vBFZu/hW 6SyFAdXzIMDPpourYD3dyvbvmzEP18HYqyPPQbkVM1aihg2eaou9SZnG8nPinwBDPu/8mzZHRCm9 ncYdEsBbZgh5hVAisEAZ3yu2AU4FVVRD/gwe92fV1J9VoNVjv8pWN5rlhnIloCksBV4neQyQN8M/ scEh0weOscftAcHEQ0+taI04vZ4VfK3pUnGvHyM3jh/jc8950uL5cL+hjb/Etk+op3h5xL1Fo7v8 F/ifKHOdLqQbGLNQgpnRyhbgbRXfvmNQZKsrIa+nK61jupO16YumtZgDvWbEfPAMenP2kaSEMSqd 73hBCMc0WTgtmjGA8sDfb/MR5nG8/pFR44ff2HDqEndQqEb9OfLBvYJQRoaI9sQBqnPExJXnZREl oK67Lg+dDX+YmVNi5/JGuzwUDL06qOCUaKil8WbrvOrQMpJjwT9t3d1JPgwpY33Lh65dxDbnuthD ih/r8/gohw+ZHhceFdLGY5b9HmTHtU5caWf2GkBjN3aoaLhSJ84uehnktu/yc5GYHXfAi7x3TM3k KZxaLbMkF1+k6UZGh6xcyc9uWnYesPFWgqq04HaQVuImU9ansKqsSavvZdE1qLrWBHcQSwnmT8JO 47jqlkR643iTVetks9k/jWn6cNi3ZcKTNd8jrICviCxWGyMraXv6zY+KO6/XjMRmiW4QnWwI59nf s0gBogi/0ApOngRjHkWt4WndCxkTEfUn/EoO3gKxPCo4hviKbVPlgPeh4zwDN4zy/mL9rM1PnvG5 qMh08Yyo2hEMIbOHF6yzOIUGdnwivLkG+0tiWXjOtnL3KT6oaSrJjkDcToZkYOIWOINdZFxKmzE1 HSjtOVAKsTzELNrAkmB7TpNWmrkuNktNj1bk1Cb6yw2iFWlP9YspLiyu+VM3hYpcqmWh8BG07TlG /HH03Y6HXC7o2et5k3JLuWyGBF2/obYb6gHZhy9FQFnfgcOGBNVQO5rZOOsUNNl9GVJP/ww50JPg OvxvUOJBYPsCV9qkmmrzH7/18LxCAlqwpBVv8dzEF9DicZjaDX2/o0HR0dojbU2lxPMSgHpFcfXa eL7HxB5ri4pib1oMZa1r1d+MPTTKMNAP017uuB2Ih9+Sp5tD7hi95I9mkZ14Wt0SCFBqHiJCrWx8 hElEqOnyum2a/q0PNtplFSu8x/ZQBrv/zvRdKwv59Zv/7W38OOhj0b11s1QfyDsnVsPZxAXN63ow ScaWFhDB77rHGC1os4/AmAayYTCa2Cu6vzq7fzCS3elBB1VY6Bqn/x3xpHoRZlbxrJbJXUpav/+J hm8cXtlT0pQjqrsopTM095gw+dDUMa3hrOO0S+PMWsLtgMvfw13PuY7Dh+1QqUhzClMetBEUqk2s RYzJm/mHFGfkL72iagTmV8apvl/Hpw7xxXU+XJM/iOvHuYM67LwxAGFkBiZz5CCAwLf8Lh6nPD9L IJPt+u2jNHCj2MjQPrvTYBEN+YxFd+CVf+BMJ/OoQZm9PAsRS/1JJpibVxEEAv3TpWL+G62DvLzk k7cQH0MsvYUrIwQhn+WgddJnJ8X/8foyoLlqOgdK/N8zI5UsV/i8uXReEmO4mteFK2SGZqlYR0C2 EmQJ4YtlsfJlTeVQQc1M+1CvKrwn2jVBwhnF9Fk3Uu7rWXNioDJd3mTPwqTnGJg2gHydxHQ1DKNj 3WXEZXHVgivQGqDqJH8/YI1H9skkJhNUhydrKMye42rywQgU8f1/aS7ynSHM9yHm9I9SGnfuuKWi 8VPT+AurUkjGii+EWgSTntRh/DfMZT3CXIYhkU8QwuC3uKdjL2Pfxx1sd7203Ls650eWtMVJt5+f /Bn8+BJXwW+T/k/2SREl0rh4IiZmR7KQXY3es70TuvnGICLq1p/ryvpUI6QuMZENzIsGAtttAwIv EG/mGcJ6dH0lfCXlpjD0BXQvJFixBuEKq4FNIOTWV02AE0/ABJZWV5jFsYT09Box8JB7LmnkdMFa wbtdDO/zYVAV5EDIppsFN6eDVi6OZ/ObZuPMT6BDijMfj33y8DU0Xj20126+ZnlNuXH2ncS/zixZ XFmcJtOBqJSCmTCI7oEylsDWQST5xP+EN6rcepBey0vNLnnMSeuZMqN7qJbHpCXukynlaYVF3Jw3 e66EnVv2b2JJGUKJlRv/RraqK3Yls2cRIHNjIuLH1iY7zrd4yhXXPuuDmiPzG3nYmxejlrT/0Uew 2xtiRQIMwkvZcuTsuX5v6CJ3DWsxkuJJIrlA+LbC7eujMH6Y4j2eA+yzkhEMxhZVM0UU56gVYfGl l+QhJeD69Jqod7VFzkhxo30dqFImPJUGWUYOvWUwT4M6a0UToZdxoBFGU9DmAstnchVNAfDnKqRe 3z9Flzc0lbwjVEhi7UtwGJ0CaWmyw7nRPfdiPmLi8sp06ME9IGs1EcHDp0NdzRQ8s1AW+r2L9lmA NwZ9hCryXvqS06GH9uwzMBtEEDUyXL4bNx/krCxyn9epHyP0ixjQUQByDkLTxjQHNeRugzwNg5LG lOw8d6G8caQkCLnfO7KG/AiCm74mjYzZ71v/S2kLkUS3XNitxk7XAtddFreakU0vs9I4/+P3vz70 5jpeNE8qv0Erbqvuw6rcdAKeKIpyM46y+y6b/d6ie6BAc6eJug45xsGqgarctumeSgfUUqZBBl/w Uca4p1gdpLX22oq/orxZ66VlOorxtxzXMkY8RouQEwKqBmPgg13O7a5NLhbOasFYrZGtynGpA3XZ AG2xbyi52DyxRsWL61cUGGwkIGRGTSx3y4YSAdilSVyb5qPKOJMq0bO+He6MX86r4HiqWnVYNP9N y0hjhsJ2xhzqOTgYduCM0Ss7xdoZEK9vH6W11Ae9BCEYRUt92BvOvFP95V9NlOGP8D43g+K9rCJD MFbCFOxNTmpwNcyEW7zaDp25IdyaxVaT9bwksae2zbLcL0nbzXQlzBnRUqUdIScvgB2zub/HsicO nXtewAFx3MGitp+VuqtCB8HwAq1A7FQZvpW8WTXTsk/wPL8vdpi6WRtXo0B/G1pXWlZn3o6q5B1g ZYCInouf0m65vbOWZPSkTCnuTV5uEQ7UE3btBCPM6MCnzypx7uIUqEBGzjo7O8P2u09ahCRotety pVnu2MS70lrAGcOYLlg3oNzxPOjpyn59jWnCUaMtR886g/7V4VXjSZT/WTSPNjH7pCNM1dViRpLV 5Ich8ZiZktns0AAH+7QARtOalatTTyxMBvOJ1O8rl7PQOIphxsyIOyia6G3pRYE8z/M+p0RA1T3E m11MCVNqwv3Vg4rrPz1atCBDZUp85y5gCgWi2Nq1Co+idUXdL5IAsk2QEtnVQtENg2cpfzpewgak RpKVa9blf6ayBjVxg14/OuZLcSYSBVEv595tmwQKj8bSwwoB/hMEVs6CNLgOsamkaFJ9On1XKQjf Ay2P6fN2GOfurIB+208yovCq9ra2nk5aPgdHNaP0sApYvRF2vDcNoBuV5AitxOpqMdzDlMXc26gf zKVBvFOcTwf2PtbRQhH0Tw8jB5Q7HqSqz+CvVD3TPyYweE3navVHQzBKHCACPzFGnt4vlF0Ealk/ sUchZS4MQvHo/D/R6BEXQrKrkynNUVRxEv+eiweLjjq33zmr5olOMUSjmeKYF2nkQb20WnZCdlbi eRocEijsuWYIqTVuj0ZQdqsFT3RKOBoQ6CKNBLK6F0+QY3Ak6NJsT0mDW9rWL1LejSsC/s6nuxQH LLWtCcE7MXBitx+UuxxrFdqU+HN809jZmZcph78whnS67Y1mjTtri/ZWus6vhUmrnDrk280V/9Gw ZanrTR4KzaGFHab1xYkYUPV47/k7dGUJ9vhgHXcHyIRLAerOfxU6r7D5BOZpJEdHPtg+9v5uFjCb l1jrDVeWTsUySA8hg0i+pqXNU4T4oLvwPIkYfBIREbKjDX9IXMww0n/gbL9Adfnst/g0PKzRHb2f aufnn5b7giiSOrHPmXxLXrG/USHKJcNeSwH92bGa8n1QoZxaSmBjLZYj1gSY0U/N7lmBmpqUpmMI eqi3TQi+HRatwvQyoqFhAQQq1cbpHLcJjS8AHVH+lqEbUqDfEZ/0QUU0DIE6b3CMCeAv8+o6iWaR j/1oQ6PHtH9hK9DaJYYO3L+WMpncMLxGAtIFuWN/4XrsXIo4vo2UJNenD6CE8JftFR8cOeFO2Vxj qDyS5r8HI5YFQNB1td2x/ieUMhqm45qr6MVhXIfML5YSaKc4ic28ILfK5cy6JdXqIfmJp3v6jVe/ MTu76cle6Ggdod2DAYRnx+DU1tEjhKOr/2m2EcVB0oVEahLW1/+Hxfxo9bwNqKsbifcaJ2OwmoaL +Vj/eF7FwB7Vc5cV+XuthTxRehyl+dLzcFarg3rNS0a3Qsfeku7F+n8IDIaKUim6HP4nPKAc28gF T5JU19rg7o439dB+WcVA7PJy3UpO8h2hAkzmXWqA3kdip1YTpSyYL5knTkIuSlODTYj18g80CxOr yhD1bdKnckfS4sUli8tlukOXLcrfUbsBBu25JwYRMQuF7GkZKCCc8C54ojvls8rYzJwh5lkT2aT/ a1fsrpHl9789rFXPQhx69ghrmdnqEjHv+qqJQWjEumQvir6DrnoHXZjcDIlkJz87TNo16rVXpC2J CKccGiJLyDTVJKsgAd/HibW85VD6Ls6WrX6thoJf8KQ7SbxzahTCwSLG5QWLszDH6jIB7pSwNAzR stv+wMMHGNfiuq+bfYVwkXZAPA8EjuehZ7Z1BnvzkKKU329/zzNGQVD1AbibHdUlWSrJUWCdnjc7 YlRdQxP0g24wd8u3V6/P/103GkdVnOeCpQ55KqkcIfrsLbHvNu4LaVx/xcGb/ODiLpmAyJbODlT6 AonPdODtFwGIUkZ3AYlyRH9TXIHSKslnhZrfNmAwZDUk5ofuRSeDchbn5UBpiw5AbyB4ttmj5P0i NbuRxGYS66+CayH3XaB3b5ZjwRXayfZWGwuC2UCafcn35QhXMJs3XibdGtaIDXkNeGy7w1iQM1bY guvhSGbXgFmNP0LwbeC8sXqe+62es6l/gJqpAmykurocBewEVVMaAj206XoGSemEYvm1/SQb9tay 2a8YGF6NaWmkYMhjiKNPmq9hXiIulFdTz/mxe8C1Wl+yKmoOFvwBplgZwi2Scgu4/kSmIYqRyVpM vJ6mIQbaRxtLoKcIz1HZj94ZNKThrB+HDwjMR6Vj3oxVFCQ5e7Jde0ULW0pUXmpeO8ioZePQUf1G ZwFszZvhCPi4kdcQEOImFvbjHtzK0k8MS5qlUnIyeb++idPgadqhzyQCJtkjMORA+6JbEl7uBTaU rFM7INLNL1KMKDJpUEzWSjJop8XCOsCUIkwEZKBQLpomgtghrpt5UnbNpeUb+EewPvXFLnzzwn5b vslsoBgsnH/M6gUQe4seezEE0SEGEUMqpuMeHeR0ZPYPh6zfHyoUJJdKQqRaegVsqVnU3La5adt0 b3LMs+vRv29rjqfTKFcPMYQZptOCJPzKQkGsmmqxH88jdUUrKnqa5dCS/TlHij7ZWGt40uldOLrz hkUeoxfyUxDUnnyOOves5yo2swtXxraHUOky9gWk1LO9yU8r/fvdqkK0S92t1X3d3wE8AxezrYlT f+XfynhqL9mrFmKHhba7VFQ1RKER5O50G1SkXrr/kskE9dkIT3HQ1BGG/5wYU2hGpsyDp+A1jOe5 9p//dZtXNzZI7uwdtNVJVipkW5Gr73wUAkx6goasgz/CD/FZGfWc6LJ14W9D9ROIRrlvgahcjigH srDQWNHxUNl58Fyj2K+0y4V3hzfCLtkPgZvrJdnb1eJO6ZiYR1NU8IjJw3Tn0MuF3aghalkaZgmU AGf8L9MDQtD5gpVt1wCm0ffx1UEAXY0u8opCgjPskifSoQ6GlM3yEqaAclmywvaHHVIznNzTCTho oCRa8PaBKpiZTDdWo/Bbxp+HznZ7NYg44udDxJ0l/ocV8MzE0MSlXtUwgWX8HZY6SmmEkvSJ1Qwl /DOfELKQaCilBpa0OlnJPWsXljA3c5cZ1mOcNh4/geIYaRVa7nkc5X+PnMRFflsEWfUeqX2EwpDW xFu0Gs5NNWehGDN0I0UlMJhg5jjYJq3icA/fmGMpTosEoFemA8mdF2FaPQ330YRegnQ9d/JUAb26 a0lAPotlHsazP0tq7FbCCnSqgyAUq79J3hhbTy63oi5pmWssHcfeGuiCHl68sZhI6YZu4ldj0dM6 Avn3QII0kLRju/X8esL70NV0HYBnoOCC28le3xyX83t4saRTPC+jMyDf6z9y+sS9EweNgWvtvjah mvxXsKN/O4dNLY2ESTllWwfUXHmB/yRVQKibKatCqaXErPuUVeiAhmJVw3GIS2KUcjhYm7knnN1t WnV+SjgnjnZk7SO1xutqICI/Qg56ikA0upse223wndfmK6xfIVUMVVDC34Cfl4yecjsiQCgqAkhY VQiNYMNwvrO/QTnDVA0Utas0gtI+yd/iX6j94If7e6eOPXddoSifQbSD8dh45HCJzYy8yxOZxhQb DMYHw0VFeY49s48cMtAzn3mgvD4D+sUn/6mK7/W0BV9vUPDQmsvK92g6Sp43Ti8tzndPvNGlzQsW AIKiuuPMTkr51jpvH7tBMTBqEdRDuXD5UuZvU0U2GxAd52BpYNtcdh8pdg+FlIxMCw70tTBWdjD2 ANy+w4FbHRqpY4Xve4oAEjDIy7VI3jVM7VGe9wbBg1gX7uIxdMXIAjWseXzc3k82HhCB4LRM1CRg 7BvHbfhyOzejJrRBhbqVcctv1LIfivOzza7JI/WmlW0CCMMq8m5C7PR0GDTRBGeW+YTFZkg6sL4I aRRFrQw6qWbrm3jjzAkXndoTndWm60kLV1MENqXX24peHDOIXYQM9rXTYtnF2zNetHsrcc7grQF7 hNg5iYX61pXa4LWj/HIZCMfVGApxyT1frMzMJsSGSAcDeA/jVe5kaVUE4WJa5zlBPFDsXtw0YKjU ixL1E0GgdcI+ahiTTsN0wkkQ5lvV1kLYLt7Y3xmj8meqyS3GesCPurM1CpoIXLpddeshv9n+jlrV qiB/FYNodrH2ZwSKeMdeFDa4sMdrIeS5T2HS199TrSxWPOF7xSLzDaHpNp+QDfxoLiOs/TBdOnQ+ C+O4YwCvEJDhfkf/ApiqHyAFSR60/Y/GfIQbXYAqwDbP5Htgxt2AocfljOBSEUa9N+FwbnfgTbja Id/NlYbY2+yl96Fa2uQykBcB6SbZd51DJGpTPPhvZhXyxnUTvul7c4S4wFjwBUhKz5DpxFbvICW3 QGqMucnJkW8ELt5gR2U44L744a630yXEkaaB/P9tzeM520KnOx1xMPmXOih69w5Kyjz6gfHFBlGq Ry5WlWtY4edmjkkbYl2m3HeAv7l9rr0hv+6Zb+nFhqIjc4u+mDw7BuV7enp7q2ACQOJZdNeNCLOF l3gHl48hZV3rjkMtMnZBgczLe0769PFZ6t/Ay1aL04EbcKiY4Hj9k9fV4oLWaYg5NsAI2vuxRLgB R7bW0ozGa9gMiPme9rxnoCEzguB1Rlp15WDc6llnmx4q1fljnLldU/HtV8wgAy8+vy74raqFkP9Z MI8AmDGkCNni0ZC+mEnbE5mQ9v4a9PCeEC1uIZBtl7PjdcsX6nYd43NkhGXaroOv1O3UbPlHA/A9 kA93BI/wHc6MfrLmAhxGZm7sfgaHMAtxwvSw6QjtjzuuL6TPoHqHdEUWmYVlZXwXqVjlFz2Yt33z 7t9Vt7j4B8JUEc932MnfTBLNP+0HGx+VnVRXVfoK+vhtdJa+8Y7voYqLh2wNoZ8Uz7NbgaAnmRwQ 4lFBWjGw7rQ1Mp1L/z+d29GSUd+wl1nIcfy59bv2u26Lqqq7eDp/KKyzHFEMZXXbcJzI/mOdgs3v oH/Sh+Hi/d/8SpIbOBrf95jnCFmItNHyYiZPY4Sy75JBw6I4V/3DzEgjpY4+CfrD50FJF0/Fes5K xLt2pHKU260ex+507O2Klg2XzySOGQY0fNhjUUGYDV4A7vjyjzRHCRQJ53CALqE2EyU3DmjOsWJz nnBAbOX7hm46iYPoICe8Tb5Fz76dvfg7FYtZ21SrOAAHu4i7LjZdZwanejRVLwvwCF1J0nD04k19 9B36Ij6y5j0y+awl5f0446XGxemSpflKjO/RK8KfEUaP3JoHFEgmtbwGnLLe6W4wRt3VAj/TykxF oHDBuuXZ5AHUUMcXb0VjQJPcc5mVlbamcijOeVNkXpA+7Gxm87Kq+ucZYx2fB6jAgAvsZ4hNfmXt QsHVoYSn7IQMUDWYbDVrSUG8R6SXW7ZokDO15EBORZ+8CnwxC3IQiy54t88+oesaxizeSPqUg2TA fQh/SfHbA4g4nFqM0UgBv3x7+eitAuUdVuZ/7yvCD1u+LvFLa9rcgVpNV8j6BOJJGa2pszw0tZCV heh5PyO4RKSGCABJeQo56KaPy/wA0SKwrwjzUl9zpz2tEzpypWdI5C9tG8ZFGyZ9jj6F6Fwov0Zd GBvuS7l8+MahxvcK/DXz63FIonQq5XaGGlJjjlcibVgo7/p0LR4qICAqfA8q1CZErRd6vEtwdyHv 3BJ90z0LqREeXioknkp80XwPmczZaB/gOkE9nh4GnM+VExnNxbIyc7JkZqWz+5HvNKvIyIsKgAzy iw4p5NtQblaSV481v2FvzInugUEU6xV1Cr89TY5H1kjnY3vVhsrigU08yQThvWc8Du1bwsTqMR62 GNRnxYkCoRUdG3Fyn+DtiS9DDFRpX4KdqxGqjeBoQ+0QjlAR+06KPXezOtYmtIwMaLTySuTWgL0M q9DMDNv85Ch/1eacGr4DEnRHUEvvr4zTQubeE6VTe1Qq46LbF4j0QMcXqq+mwHSp/ybM2r+ydpdt kT+vdew/UcTJMWi9jfmZ6eIKd+Dy8E7Qobi+2GUseAmrNXfakLbFih5f9dqhfYtCVEdwpplOubKd r67hPBeuWrFzsUM9/q9WzDuaS2LsFaTbF1MKlgK7SRBoSt2FtUW/XqbZphx9egffVOZm0BbQRY73 faw0doBXZkSyqacGGOcJQWTFMi/DOJutgNPWQ+f/LFCiiU5WzQmYufqhyssIWTdkXme9PBwFYWwV GSNkCoREN0Pt4DCbAKcksVoDVQ6PVNm8zigtJI3fKXZ5TG++aVxKQmr8TKhhP6594uU57VEh/a3C fAj3dethhe5cQQXLgL0CADpD/fZyVEfwsOP0BwOMqePL/1bcRK//7bBayV9C2NZw0MdIMDg2kqKy xJlk+vDdTjfHF8sKzP8E3T1n6TjVwZAhQi6OnngUQrmo0zTqwh29+dAs0AC0cwmXZyvEhxqVo3yV uRai7APK8mA59/JzbvocHLlt7cCZXZTfYpmaSMqD05v0lsRx9han6qb2MedySMA+YtSM5DMpUioR G6ZNrx+TWPrrnHnb3zNp8ktWs4W2vkjsVl2g0cxLA9N/jccGin3vYfjahgmiLU32cZ7hpvYcT78o gYpbzZtAQqzeWYFX3XLkhNGOMR5vdYR6KiwHkDa8rPgGbrhMeYyX2rwTJsbUH+JK37O6F+humDsl ub3UsGbpTJ+s+J0ZoJHr2fqGrfW8vI/2wZ7797q0ECxD/VyggcW8ORNbHQV5Q0UaOww+W3VCmskm 9pXG5I0FkTzpLRx85TYa3z5le6JtCk5UUZa0XDebLUNFDXi+8ED/GMPuBRrhzMB8BA+WQ7Ciiob0 VS/wNCiYgcWt2UlM+m390VSUofmk0uKB+Rj+aGpaLGILHpaOL6dd0fXgRsj2jSYgmfh9wS8SzPTg MWfK9e3oNeQAshh0Vw2hvrGBPAqxsoY0vNrsPhZK9Pzi41UpO+4YhUw3LxwdnKOy6eE3SoGt9oHM GAeGq+u/GzYYCF/NIYL5UqBzWY5r2ba8ATEXLXvZJDB6QMhWh402JoBLqbmTOs/NNah1jPadsyUq gFTx6HjTHSIsPH4wn0SC6AMwj0hvVC9HDRbaLOlJRB/6WRkba5UH7RkZpgGkG/AqM33/LN22jRbw Sl6700QmqL05yXjcbbyn3EgZtPBc+3OLPv5pHDXO1BHhQNoDOZqAtfAmS4AdIP+Y4wyr8KA8dHKk BkX4xtLHybq4Mag/LV/y92eootnAxqdXqnpp2YccVIqRGHzi+txuJNcn9MvYla5x7p2+lV0OJD9W Px8SKKhSbquPELETW4WWf7oL3ZFuM7gd7eScB/2ZpjrdIzFyHc60VHAPBWAcpr1AoEinFSWrtu1b dSf1f50E28vC6rgitlBGtftAvEWaefRpPB0L3qeNVD2aoOX6gd3KccOk8+ggYTEBFwTQRSqbzzhW baztSRzRxl9of2RHwgIuc/X4QKk6pXoZf9qIcCxi8W8uPKoLD2MyELa8euNrvW6aYE7VU08Npq9x CqLmQzuYoe7VyG/JUjMVZ2zoD0Am1ifS0GwfogVK3qzHsroQotIJ2b/GDCl13d0lhDyXcfIukAnp r75BhjlXnRQ/E/4zmWnak1HmYEGSvVJZYopcZ1CU9fxptKlegSbmM1evTI0qBizGPKz058BxaeCw OQjPVCWi421vaYnYNOw0RHr5aCbF6GxWKi74ZbHzL+Oh3eZr/OKlzKaXKlHdiHxegcgnU+5z+3vN 0t+Pv5QPSzKT99GqukLBBGvYmnPQQzcCwsP3YfAtmV+sLrtRLtni3czMNfheTNcmvEtXXexvNk/d ERPkq0byWRMdJvB1jW48XP6zGtm9izG62GKV2yHxrokw/OLi6al5VVwt3aaHqqvWDoNfhzqdNJ93 y25wd6LwHKynH7ak8dQD1iG+nssUJ3efgGcesR+4fxW/+u76eCnUM4i/dk+DtBRueTQIJfHieRyZ 6A18/G4PFW/eGeGFf9ei1G64d0veCqSs5C+qow3mMeYqtRZFh7joePRhKcAfjyPU2Fz12sQ8iauz JXkadA9hjWvHWczKjoV2CRP4bnHfSgyXBLMfPFFmvXELJOHen4yerAubQeGYa1JTxm8/VTquMmjW Hh7FprDCx29XyEbxtv+T2POmxUoU5aJdllc9ncE9IAcPTmgwF7tRzhVcEZ1B62WnPfLjvE/l5mJM yY1OS5tyYbqW+Y4CtcXQehJvToN5WPjYTaNBCfUodvsOwBA5fdDKpELir4G2hXlyUia0A7ad+Xt6 HYhbL8iv0q+hNnrwN6UDhNm/NMdjkzagUTSLDH3CAc/iXHyp1amMwLo/EZp9wRfztzT2GOFObstg iPt0YLmSM71xmZt4DKPS0s1n9fgSGrCnduMrU4dMrs2msloD6OkF0QyZmNDgNm7gjekbbzNF4kcU dw1NNig2CfqY0NbSByRR0KT9k32gg6pHznUmUp/RWjOsHo+rrjZiee+XLH2mu0dkH7d+kaezF9iz DPI4rgGt2G4WTKjAHJHt1rttj5Z7GoksRsgfo3XplUBH8/H72D6wP58nVgZmCW9RruZ7ALwrn3hL iyU009PlBNcLwh3uIe2944BCxBRM3sbcPQVTeZRh94pY/Fw7S3hkSoEY9VhybbIXqGJQCpwI7Qm7 TJIL2UvXldoFtmiyTn9UqSOaP2fBxZl67EUTm1EoqUqMsXcWNGQYwNWUVznzO/CQtUqyKcf+Hdv/ TKM0xPBXMcvnyDjIeqQ/jmJy2xoVq/3YdEpPI7dKCuRiBstUFuljp1r+W/iGKvS0BF/uwYch7tIL 8OiA4XoUdLsrlw0wY05FCqHJlJ4vq9CQpNHdaQZSfTyQzFfePMBpl7x2iYJGOE4a6rwbpTPJbawA 8WouAmTIy4bdF3CuT/lWJ//5QocGe6y0uRxhxRZ1ws7HicoZNw2xlHlMdJsAOyWm/zHBBCBXaGwg arLT6v4QbSxyFmyWDDIgPbleP3OdKaILxzywmceZARa89CSGrlR8AUlQHDTgyE1ed6WYuqEKcq5A KjObORxABjcAwL6ZIxzHxAsu3sE2y6nZMeJ7sKnXhV1air48+g6xXETAgiLfEdUeu4K2lFC5f2sG J1s39L0WwQJamBwlp77LCv3EeVCEo1Mkwk4B6rVTg1mew+q+gGvZph4eLr3/cmcEq+llFYVEIDtb I0ismkgIOQyzcVdHa9ce4Y2DVP6cXLKmatNXDQDSQyTlYwBt0MalJQaBemhx7klI6fEtpk3E48kP BW5d+v8Kydyahd9rtAnob5W7iECMbFo68xY84aQWCeeIYCXsC7FO5YE1nGMZpZRKbNDWWiFWd6Ru W70rgOjwRwJMVduKuBtNjsSAxAvuBbk7ee9Y8WJ4IHf/DsKFx+sB8BGbl241B3xkcJaYzAONUh3I gHa2glNiGp39uz2HmpTeKCcROzP75xD9fWLtNwVK8NGZ6WAzWopwqjOoUvM3UfUBjkjHRdPr2noR mNt0aU0MJ1fA5t2gnAbHcM3Q7GCzQh7TZhPDTCVT/Ck3QKgU1sKkeAOVJAzvTsoWWYEVItQYxfi5 W5cxg3SDosQEkwrRxMCJjjDOR7CI1Jr5NNwX0E9891sv0dMaMpS4lEd8AYzxsR3bNgWnorEkaq0V U/82cT51l6sUewSm/kS9zcnZ98iPuZl6q3Cts//9Ry0TJI9aMGs7nQiAWXSpGpnScc0nzaqcNJqr kz7yU7net4Yq0BRjMLK+/SUwzEtj5q2xefaWgEYoi6DykixcGN4N4HblX1R71efn46/Um4Z8Ei65 cExUZwRVxTIYAT+VJBasKIRs541HYix6G4/ksuR+n71RLZ9wlFuyGhVQHhi64aeBkJfaIHHNkzM3 YinooaGktv9X35BJD/rzlfrG4HO+LblRTCb1fRpSi11qiEIJCL7RVSWAyyyN3KLKCoUjyLmCpiJV zpdZsD93fa+7duMn2vmuawVtnN5aHUVJE5A75KX4MzucoBQOaVkMaBGTjzBGCiX3Fydvja4vFRxb nsXn8fsphfepfcmuGOjAVUKc1Z1NUIpvDy5951CVaJbDN05Ev0hcMwv5T4+WM1ec4tKPDKlXAKLY m8mvQlUfJtPyW+Ao0rkKxM5QDSO+YqCUoPZqjInSBDg6mVo8RgivMJC0XZVA9pvepz4eCS573//j uvxbkbLS4VuikiNH9g2QvMCU8mzXj4L0T5vYHdc+/leI7qLCkGsJNjdIz5UJvUscPxq0UBW8iqFJ xKacS3W4083g21Nw/flfY1Whw/B6uHLpRMyDagqe/ifr89ev7+Gtz0BBRXLvQQ5OAPrV1n9ufaf/ j2UARfxDAvChnon9XiCSyd7s29KM2JwJzgyClKHJts0ihUs2x388oA9lKpKTTlMbmN0CSDBDvWZB OIhBqgkn5iLlyvvEWIY9sMdCJoN6WMF/IqTvP0Hbz3vgYYYHnjJqYU4bJyTDZz6E7xHGSsCH5HV8 zw5eF9gi/uByZW0upNj7P8grLoJq8WeWzL+b6/0S+D8FI/duyrCWnsH9luxvh7Z0no2I4VOxHnDN YdOGsJoJkCpCDIX8E0PS72/YyEEVEB58u7isIWhncvM4k3rwAS/DtpjI9e/f8B2O7dao3gWwXizD sDTk0afFS8V8a6kIzuoRtUYOaqQW7/M7IyhgOuweTI+U2BjXHtRMMQ4QHhq9DsuCCHt06klMDZ/r feKihvRlrel1M5At661nbTXzSn+IUQ4iB/cUtzIbbZ2yy6nAAI1jjZav5f7RtPW0Zo8k6XlxpOS9 0mDTijne7GK765nvC8/JDVmyR/nqp1YeAgdOPF6kF6Mwp2+i3oYmz+HjBGLtbotwrDGKkZwGPi9g tij6TMIKP/5BUJDtSaMzakQ8AAaXXDcezaBezM5XlsvCH6yVG1e6xRHNG0Ax5ho15XLmPYncayaZ nRKO73yWLWIAkjKaPHM2gtzY/Ihi6IzY+yxchFVpN2Fr3WLkrA3Bz9hT8JBVGDZI/SjbYMwjXGPG 3fwODjP7HyhBBNZJdYxjSdLERI+fAWrB5bLH7SziOXTbvIgFUsfG/uZ2xQC2JI8EV253/ROvjp0V Orwd3NUSCWdyUjBFk9TAfSNG1u+QJWhOc6vyPt2qdk4JY8hMiqFJ09YtcUhHWwxza3ysyjkIl9Zu 5pPx3RaZU7IRZJiTbMvTzCw8MlbU26/K0bKzjVRZGX34N/9gTXCs1LkKATHejP8WDyd73wR/zWgr oF7rqgw4bUwlEq3bbpr0k9nEwVXEKpwd4XIm2qR/pIYFGXPnF16x0fcRtuHC9D71JkeuQS9gJO/x uQ0vixUxa//AQWNQhv2nltaOO0O5YxF+13iS/Byl6GYm4NNAQ0lT73ktTlvhPvTmTRcYfWhotgec 9P9tzxQwhIDh/gqPgPLNQQ+ERzMYekHt2P1TJr1izPwUVeVEk42mmUBs/W/G07mYY8PO/CY5rEJt P7bIddZ2PtCbRKCKPT8D7I0AgZ0Pfi1Fc8mN7IX3xmu0UH4wr4YEiRMpQIEu+GD3g7OvDsmAPX5l A8bAstxUueFVC32sQHkK3J89ytQXn0QTQb7U6qow95DBkent1E6LGi5b74WZq2o4m74au412D3Kr NIqMbHE5YgN4jrIJVZ5GCtsLEh8jXA6FZpe5bHwdURZNNXuu5hCna0yLMBaZebq1ffh9UBVupisX kkFdPj21ZU5KAuRWK+FS6RBtkN0htn001uR8xUE/MvDVSE9tJ7dYQd1RN2YXYvb9zNubXstBfB7u yn2Bu1uhS9A5nEY13wOUJSjqMa4zw4nz1vcvpXRv1GXpl8JVjv+P9HMUCb9d+Rd+jRK/NhKcHGCP uwtcVTu+isS2a0uyxXafI84/ayeEaL/qh/toDkJY+9pCHLqXkzpyWpQs7NmBM1iRJxdzGT91uH/b jDPL0zk3ZX+Ip5/QUL3Nsu6a5amSRr+TDBMc2LyLZTmTAl/tS4c7dTA1F+3f/IVqWaaAsKKAtWSm nJemQZb98UIjIN5b0o1SuVjJ4mz/tzkdfEq6FJMkc/dGhTor5n0YG/3xgvq0GsC8N7qRZ6FTenv3 2Q2EY1ooFCpCRQTwmoDem9kn1P0i1Y7LiyMH8Ik4Jj+AkLYdcq+MZM6vlQ88DfIj5iY1KuVUZYMX 53aaXQskY8yhjEB4kbTwnOM5zeucuCShoFxQ0UDo/cJxYYtw9qTLFhLWo/FBmqCTKKyxNfUsZShH b1oAMtBQyqVM58OwqFjI0M6hCsRsmZILftFtjVID1XsSpnCrlgFhsN6pLt5JIOyWgGCEJvVFzpst aRMV7/s2ORdRXF+MV+Z74yFJq8wdx3N11eQmzgSG6MOz2hxExAd4rSWPoqv6jqdPgDex6YYtrakI KMy+DOL3ZQGya4b0Y6bIu4vGyDr32uBPJBN9Y47vRNF/OYgn1Bro9QNjFLUjYBajWaGBj276QUpL jUvNEvgZW/71ABbsrZCYr90II2hK5Dd//Z/9+Wlav8ibtK/tjBeYp8pJGrr3CFmiLgK8pzAeey+G NLD3OqFaJ6h8S8mEmoD7zIr9sXnx3o+RWSrX3Ph2W2SZolXgbArjbQTj2w1zFwfElw84j/HARcOS Wxmfu0OBRSv+wvLdLbLv0JteJrWDxc0oFZmiIt5MiMEomWUfhptVxFvwnbTRo4tzo9wAGtNHCy01 Fdfj7LdY/4dma7kRVvWRK+vkjPcEw8Ei97WWzTNLbQMg9unyUOoQdV1nwS+0ljV5MQYhR79fskvG 7QsGLjtecl/d63iM6ZwP/1mppehoDTpy+EgldkfSjxuFCwliRcVaGfJ/Y1GU7RsD9h5NAg1FjTzR Y0jiqVpstd3KaGLyg/vfod/LYEOdIcemrF39ugh6TIr/GBDpB+23FqlhbXNlcN0fZEwHN65T/mpf rflqBH07qSQfcCH7C9kZaAOrUzOkhQtvcidaceM8AZRzOC9e2wGhWI9Ff4HMY1FEnwwdFL88MiAf V1pxkl1OV8+dFYvHkM458BX7uttvSqOnsV1zhvfQiQiTeBNCNLOVbNy81jlVir38mYBZhr9rS8xI ZFeZMgcqFBpuF67j+mnRhy1xiEicfaMINK8L1fK2+28hi9QZJc6Gs2AY8Ui5olnAoBZoBdb2XbLm rW1EO2qjDAAquL1zpZpF+kylLjtNNdQ3r9C8O5jP+ohSPZu9fAGgVUfoEzzT+lE/yowTUlAvenk8 bqDK5PaZKKeZYoWGkjGmgbhBn8k9H3+0vo7n5+89feFH8WIrrSWqROnLHhuY2PU3uoo88zaFUUbi VTycW++gry0j6f0HPS2SzagSGq4CE43CuaQ66yafsByNnFU2jUEKPiq8ZZz9aSIzVdXLXaXg8ePV 7UK/3tk/n01if1CNSqCNcqThBU6wGPv8gKQquqeATy9SeONAVog2no1Sq2IAL2/ATAgWoHClFCFr qHzBUbbHxZexogEY1P6UJkaZ/7nHo+gxLyJ52iNBbQ88ANr3h4ya4V+vNVsChWk8afZqOYXCkp5V N1IKos4CZFWTsghuNXn0AV56x6sqsSY+e7LovmdPLBJllqyTXtjQQ4hXv0b2bRzHnrGuGcMZF+Bm TGjD8jzorvnsEb9Psy3tQOYffVRRQJJPQJYf3ke3eZWDtd242zRv6ZMSnnFliHKpPJOdUAaw5PIv WN88jveQ+zmSzMkqktz2u+U9EtfnU3qzNAURwMUSQ0lX3/g7ZEF8lQluhtdF/7LTNzaubhA06g3H 02UEyhBozJnhecsGpWwwelRxa393M9adK4Zajn8uvdd4JS4VXyx26szoJtFR0nDpyEwbF/antV0G X8BTtnxZg8Ckbm4L58tQTA3GCHYutll9IZfZaePu+5Pa7BnMZyMr8vQ6TueFB4r1CzpSxHMUL895 0b6OJZPGQeEo3PPADeto8GHcemhTGMlW+T/J8ixChwDRD2qLhEjaRSERFEfPZb+eUj+U35oaXlmg ka/9wHgk0kuyqFrEVHRvdxWiURYzfYjpFOcCafQ5WszX4QsUOtbhx0xCN9sv1qOn0s21xKlC8bVl hjssxrVOsysc66TBs/Y790whVjAEVl2eu0tLn9MCtlgrJHyMsM5AgfyfmjILNgO2oOoO7QPcLmWA R3GT0mtP6pVzE4kgkDjfAQ68KOUj9OkuaFF6jIQm2qgpape01PV0rMpmYtHBFZeunBRv0XScXya/ jLMBCEOo2znV6cxlyQkXKods12I6wNrw5uBy8f4MEQ0Nx05q8HMJ6VzjhRY3Qq+zc1A/WPbk2f00 BboqM0W6MTzPqoWxrEkNW9Fgi3ceF8lqWGaT5qgnAyKD8+wDKR1mUAIw2PkhsE3iLENEkLvliR1z e8fHDSRpZ82UjUudV8NL/v1bH416BtnMsuQ26N79LadWBzKNYj+IHqOFri0LdmQFKvGrnn1bvslA 9Z/DPpt3ji1Y9Ix/FBLC6bshDCxHHnex/OiHLLX8CQESYY1WcQedx0u21Z91nuorUc4B31qDKUkh h63qDEsqP78EkwVjGqGJUTbVY/sL25k7+PfXG/qc9MLVu+QHvtSGiJAOOO6be2RUkZZMQnewWFk1 WYFikYw4ga1o4EUkPoopZQAP+C9skR+tCCcGFZkkyd+RtcRWA35PFpKY3/MuA3JeB6Ad02q5iHJk duqQSDfELuo2l3N786ak/vttg/oTHqHc29iYpE4xohh2C0GCl5m50ufGXM1tMgXBQ774rT5aEE9b tsPxYQ1SJlwZ1cChf9f7QpDQcqWu/MErRfG4Lam1ZBGubG6puwYPXiw+IL0KH+xg7b/utydaAsAJ ACl3dinfkqpebn/uJuOAX/91+dVlmE7ulMzfjshkQ86QsPbEoq2+HZJmi9e5jO3zJhIn/gP4iREG zMPrzxPzRTGPCz1I8A2hC7wNOPCtaR7krYFJ4RgRf+N+GGc7g//cRlzVaWDVnzazWkD/dfcc6UwA f1FIbfMBCEQ5PW/ry31/Zpu4rfmPz9OcNJHXwCs00ZjaAOUNPCICbuDnUNXdRjOob7C/WLxCuq5Z PDNj3TlVTWqlHgZ5g+tFgws9E6jqBNhZYWSlcpHkFbZ+zfEWnmd/3Bd+knkitHAXzSlzrzZfxZSF C6pdsbSvcUCLFd4hEE6LTAOO9VlcE86jQa5xV4MkKZwQvuLrUffaMgYA1M6YoKa9m3dRUb+nivzk xMwDzN2NG8kxcqN0kK/WvSiHOfs3EaibTFn0ipKrRtuD1bFW1Ql818fww2KSJv66D9w4Fy7jHigE reueXeNgS9MrSOBteSDtWtNbUFQHMBJrdxVxHN1R2W32KORaXnAAx/fnxtIS+USwsfPc5IMOeEsv lMK6y+b2NRyVFeAuWVHr2ljwLsp8K7vVkhNKak4pRL4N4Ehis5ShtP+1+Y2AFHh2gRFKPgoruDyZ Cg7jaEG3n+i84Z0OCEOMr+z9+Sm7JzLMbUB0LcdZLAKt7hcqg47SjbP1C6Oaj2HP6XY524yjGhfc UakNkkSofyoVOsRRNHhzj2qw3dGbDmJqgyUTSnzj8oi34Sj8xz0zXaDyQCAep59xPIrh3VG4bHIk tdnU5K9/9u/va7i07HlApaxQxnC6oDvV/M884hJ1nJgpDTtx07bdOZLDNveg7AQBW2q/olD+/6I5 fD8zAIYC/mtC2NF/GmkTutGDy8R3yGRftD/FI/3v/Iwn6y6MTd0m38qhlg4d96z0IEqU31Y2ISWV Q/A4XRG/8JHOiF8EAfJ3JTJz8f9iInVmTJyCZUQyrBARtAPShQbu1th0DyPnQQwFsZwe6cuJpcut e3/M4OuCnXkPeOhiOCmN6j8P6Ip6nXKRq6jqVGTH8DzvfZvXjs4CrC0ppNNM8VyL+5Szo2sU4Vx9 w5/7JtxTBVE4HHWe2cnhCXYUeBLjrWXJOZLQhc9Xf1Y6LUQuatpLcXtvZdnjUPvU711hy8FLgieg co3oVMfCT4+kPRF5oCj+0QQKmiNELdjffAJ14e4HyUqEhV5eViKOBLt3xM43bb45h2y+PzlEOBjf jvSDzl4EqaQegy89wPe8z+ALTZYcmxK+BeQPLLVlsgYN2vXO3yD/jM7VVzw9Tfm7fX9A+99cUgZW bAwWhpL9h6Jc0f5+2g5O419q/N2ikrrmP+yPFb0JpnBT4TzYIUuSl0GIuNQvChGpQOmnLluCn4uc B9kKq0uFPBqUssezlvqnqamtjFFrvf/WtFOlyZx0YCJAXSJhi9S+66MO82uFCCi6Qbci+Y2ow3BL 0Wc2L3a+37oKcZ3mRkdyxiMhaKMpHJmhyDCCe37DTO9c6oTXzn7wgvvDsjIBERg9UNZx2Iatp97i sL1DdgeoqZ+psV8Y9VJQseWV4d2FEgQbXTT2ZJ8KGCEY4TEp+JNFiz/hnxQbVij0fw9eb1bFaZbd v0YsG2T1iwwLFP9AO2TI3x3hSALnU0/kDpj+Z7UFhdtQYV9mjp8NOd2g/KSu4ieS1mnGQPUdFyZQ OtRiJF77xY2F1rUC7dHG05NVa0MHGJOm1xGRrUgeOzCwuljYCeSFEBEuoWQ9w0TW+60YtVOvRqZQ /D0wE0/XF1q8QPMHJttPyYjVDhIl7ztOYw9+3snvCc7b3vjjnT7KFEUUMuMr6dVQqrtzSs0qIjDg GMr3hqnvQPZujwtJceWw4gblqmIr8uXMuXhNzbvzr+tlr55rjpjeVbYgE7FrKB8YMKOPwWMNHi8X 5VVhncoXGC6aGZa6kX1yA5EN/drT/uy6mYFYPap9cXbxAYOl5cIUSnzp+sPNHG9Xbum22wewc4q6 pPGCZUOTooeZEc0wqdUZT3NZQVwKBH6Yz4Hm5UXUrHa5Ed1v+DHyRZ3kDsBPkl67kpITp4Xk5/ht FjBbQL64sPY2IfY6kBO8Ugb2HhMqoEieoXY3OpvZgL8Z3D9sQc9DiLS3hVrTmQT21tcobSvrqZec lccQ5ys4+TnQ1jByFWtOPmUOsSOd9vts6OFbg4GaWAvuPwfCAB89gLbVyTbfvitp2ONx8J6qhhTY 0YVSv+BL8hpWHqpHHDnIfYyuWJJn2yCtCaQ4siX+hhtMqyZMERMTA1obWkO76oyCZB1+WoKWrXHu BmtizPcAcRqtJV0a26weS7p/cXE9iBavXJRDWaJOgyBpwyhR670cuV1aP6hlkeXRlFG+yRYrOFWI 8ktu4xY91lxJWJnKDQAAYhV/TJc84FJxJ9GW5JZ1uLOEViIOB+NxS3z6DUAyga+UV95++vR5p3BN KX3LVflft7UFs9waf3xvCD2YzVv2SmfPeiEzb9CVJ6BI72r2GZC8h9ID5WwDXRF1p4H/y1R/ppA8 Flp7Kbdh5xE/3yIak7VKgHGRlJFmwg85ETZk6nwkWNy4q0BqThXu5bUQIRwR9SQijjv++GYBE5g6 8AG9TOUuuQDgvY/OaoG8vqwRNmgA3ZFAgogbmJdEhX2drfNZM9kHBoyIjHYKpXgUdbOf2sD7j3LZ QDucdQI9qCng4MEHKn1mY0vmwbbAM4EYpHhTnFT2VUy+H5zPyUzrvyF0Mfc2wVXmXvLCGzyT52JR 1HMOJgx2PQv2rX7o5z7Pbhk3yYeZ80c+RAr0oxFYxKBK6Jev/6+NuXaKjkcBoZm9koyLudQiXS3e bWzNin2CnUZeRVAg32A9FtcWSAXcYvInh4r8eiLBuN0yzQ/2qk8q8TfFrMOQz5NGTi6T/KV9HOJ+ HDP+8UsSCHV1kYfXdxbnI7R2nDzsZjjT9DsvNUjfwNIosrTVbNwo2B60Q944RoVoocqhcKeyj4xs YVezvPbDy4Cwveq26QM/zROBBRK7Yfw/VLzOTVf7uhnN+HIxAbwhUiype/Cv7DOvRiV/06xJA9W9 XBzkn3POjZ+9liiJikNw4HB1DJ+fPduV3l2dW1pi3J+qE4oUkzMAdbh07kpvdBDGtjg4UlsLa/l2 tcq2E22nu1qnQV+kpHZUmfN4a7JPV6aXbWG+1s4Hl1m0RDZh+4gcxwXkHvhNFCcbN3rm+ru/vTq3 /gvbhqSRidYFSY6iCWBA+c/smqNSj+gJoNf4joOIaAKAUQdRyWrEoCas01H6cWgaoWI0ojdHuvt8 mSSjCJF6vCMFe0e3niSI0Mj0jHeqJR+sFBBWiVyzdyJmam1eP1Kca1q12UOSNcFfSGuazVyoCqzi ZdBJXv0C0GoK9LLjlXcCo/RWYUTFPTXr9PErU862kiC5Jun5KVqSttuacqugMjf3mMZEeod1ejH7 xaWnv8UA53feg/130kP7TK+38PmlXvBumJQIK6CGQt9T8wOya8EqRO89fGvdzeAkUquXwJUtPeK9 JF4ca0pzbrgl0HDdGgHRJBaWlSmgQ8eH29g0npIADuhbpO6camsahibpo6uwNNk3PnO+7sXKr/DJ JAGp/QC4bFfG9F4XtfLuwZSo6f6w8l3M4kpQiVwqTSdiYtOFwJMK+X9Xgczf77D5w1kKsJ5KD2y0 19aZqp8V42WP/sQtOJ/DL5bYoQGT96y2iMQqSjXapvXCLj9ZSzQH5I3ecaFyPWbdVYno7L6yzrsM BFHlr73QeZzv0b4jjAE2VYApCCs/bLE0/XjaidJG1bl07JehHsQUc6y8naP7NJnS7Uk0q1b4b0pf QR+LTj0DnJleM/OGON8WjHDRxfjLciZ3K4leUyrD/16i/jhScVhexpwKPGVkMdH4UIfpdAs4kuRt w5zXumlNnTX5Lbd8pYJiJGqnXcsFsk/K8+Ks8UDhZg7AIyIzs6yds49ivsURdxMz7p2gCnjldm4a jPBBpB6xlzUBXZ9zrUcSu4CX1buYsfRtk+Y//qlj5ElXKO/ik3tVDfkldjM4hWmXW0K1L7mS3YZp B929V4IVNOH2Vq3ygy0ZW7GRsVq8V9+qcrqn8d4UfOm7o/KxUy1p/g3CrHxQVozsK7VQul4iycuq 09qeP8aDI1hulrBP/NnWwdLxGTOQFt18xT/RpaPj/ZArhdQHJSPzTqprRMzJ5hOmv8iMP1dQwF+8 9PzVB1PdlTD93/krhLK5WdvLeMiM2AnYLYA7i/7IjinapMymXdJLbdwlQZagphYnz/+2qG3M+tML lI823YqTPtbFAmG7IfyobOEy2KudAneo+NU8EwPkr07INwM5cV/45vPI4ABhlfvALD5iU+v4mX2X HdBxxbUtGtkSeVH0M0LPTcMHtUt4BjRtLrA0JAWmU/MT/3x/B4O76tCQlCfa4cALKvP/Cz6xVOd0 6G++0wy3aHLhlNcf81USWcqtN7ZXYLKyydSHqq6ym7Pzd61W8LQOCoSlJ29ocm6vo8lb7THYOdR2 3NSfk588KVI/uwhV7ncJQFHI+Lvla73eidQY1vv4BflkuJjtOAcPhB4/pBw+88ZJo3hrphRJJ0GO i48AIWiNFKW5bt7OXtT3/FWpyDqLx6pc5hiNqEID7RuKemgq7vzLVH67w59P58Ce9g22NA72zscz u8UckZPl5sK96hQhvTZ47H92b1VmMZbXLSKoUAUlL/5FLkpo+7P2eoHZk9peOwO0l+hYfhsc+zjK Mzm3ymrRPvyoLDkJQNxjXjJe3AJNOCTB4v34sV08ej4SOJ0/1p8zsv7tN/t7j3+YKLPwFa86NyyR Us4Gxn+K9xfO582CgwKyMpdbWXmSqJIVaegZooiUzvLT627w9LDXj2Lz1pGSiT6KZYxQhG63U1FR zQ2iJRZcbSvaU1OS4kQcsXMWt/8GoVxxtw3lyrn+xcLU/NZ5zRNpUob6T66oCGXc+rwbFB8OOtDt JWza9IyEnARG0zTx1tUBrVmiU3bsfCCYTer2jPxl5v2E8gSMNtqSN0cc5axAIBpnZsiumiX2IEfh HVXrLPBmPQIom0HYvXJyq1lLdtJ+R57dxKu4eVMovBG2a8RJKqc4BFm205Fhd+Vp4zsj6jj5dkWo tQtvpJuSLFpOegU/6nTsy5Q/EccKbSbImVKuFyhdcKAkQH3dFXpsmHGFkXoJDyCyqs9uX9qpvSZD a6TZLd0gh+HMdIoDASdBDKuqSiHshF7NbJx/8hONLjJ1D0T7yLe5e2cjxcyG/Oj9ubJnT4ArE4Tc ERCIJV4MvfZLsZhuZTrOLpW0LuJJ3W1lwNcK8oxjltDsp4syyhTip7hGHNXT1CDUMPMRHXMLI68U arN9WTwTcXFQTh/zbwnyQloWWCY82hLT4WdLOrwqxpLcl7F8Bi6WnW7En89dcomvYxrHczGZSQMx JhCWjiwUtvdKRFP038Bx2z73eEyVtMs8tQvoPl4bQJSUIwtNDlh7qxlxTn7gMxGZfeR1Y4KabJya NXc2WIcNAsfa9YaMeQtPtfF+2V+8WfoHppCuvUpCailqlWYA3ciUSY2MwdNpLwt6pcvXYbnHyP+J NY7z7t2sYylJEq4kKbffGQrmJi6dYfux39SqEOXXlhg+G4bFkhVDOopQKCOlZwms5Pmbgdg4RTOw BTXzxrchKtxDImd1Vfd7ka66O5kqb0YT8mO0ysCW9IX0LEXL/2z1SeR04b5vga44UptgjBLFOjVu Cdiml8OSUaCrULs6TGITp+FOFjSZEKNnWrXSxHuObJfc54lMtx4fXC+VvjEb6yFSnigSeKvo3FJT Wmwwg6wzY8hAsYa+Yugcx9ueWIpwEC3IZWkXxCG4MmiuBNqfhx4IfkFS2v9ymvkOO+I16GpwAc4c NqkVZI6BBB3fmUiGsnTLXhcS1tj0uYgzs1h0XYDXUrGXGmo1zdNp6ncasms0uMXhU7+n0xQC01Lb 65gAmT5d1EGkdJGODgoRo2gsQdhaKJ6JiKvwrFNrWU9ZDSyGQCuNekuJfPdKbH1ujBDa/63q3CS+ g0lLqC69ccKxs49O6cNLp4HYU0Z2m52/jXRemvmHkTB8Q5Fgz/wwHlmvZYr3iz4WxK9IIRxNQIPw x0uqrdmWigzsQLymwXu9YNs9wB/Lb+3khH9bAm6CEw9yJ+ldneQl4NhaTQXhL1SsUj4uZdqARrDR jOdgbWeomchdD9t49oPELmYrqzABPCKnSVZWviOe+TxIrbVjGNz10weeHxrdvx31nGbR4PuVt8Tj 0G4rJJ4RRiLTvCmwUTpzkemxvBshSMnazQ6YMLbZJ84WlMqUGfWyUOJF8DTEoKvdZXXpPbgFOt92 hvSHYXVIxARTUyM9oE/sO25CPsY4LeCCfw8ZLPgZh7rxTTzmPXDq7Mt9bdeeUnpSNfmTgwLjMid6 EkP/00N9RvshvmVpSvB2HHHgQPGO/1r+7VQqw0cTHyfp0BXx/qfNY2tUImT6KuuJRWUFqRNIJ15Y M2t1I+c4RTTsVpu01YHQoQOCaIUtiMuGqb+0NUGfjMFdh+In2mTWAYVxkMy7AXfebkpTsp6Fnx6e N69JWrQ1Lo+Drhf8H2KUVTY7p8NJUh8JXUBdPctQ/2tUVmrmexZYrUf51Etc/HcHNZOd+xXG/0cq g/Ew8B3wR+/FXFK3lzB9RA9poP2CQ/B1WSfLSFJPwQZYB7NaTYPFULJotahCASlgdgTSQrrT5m+j OQXGvnh9SsIXMGSbGs27c1XA+VJU/bJX+hkzKkWHfN2VtTG1SFxhDIO5uEQYwrcBjdJ45+u6K8iX 2xViWxF6p8yMiSVbRFQ5tEIcrFe4yT7YGs4V9238brlXmv8t3a3/PptoIJxiBuCpNyVYTqYKC/Y4 YKk8EdeOm+HVgMnom4UmuJtPhgbYRtoEVo+l6pfShmZT/osqe966kh97eglth6R7X4efrD4DNMwL wvOrx7r3Pc0QBTUvd6VVM8cH4MbM86AXQD9fyimbv9nw9tHXEPMV1pQYv7VNNt8fIaabdfHtjG6l c0ZyWDu1PP0DvIrBalZHwoGUeYlRYiZgJVejFNOOI/sr36PjQ9RVT0RgPidTRfeUTKYIejetGNz9 kXJO6IbRTerhct7oF0YHCOq0QwxD0YXWbrh49g4dJnkwlwB5HtINno/sdG7XI9SSRH+zLIlYYWkI FJcL7cqQrHbiHzuNzNTsChwn+B+P59VRkWjMKp/vtDlgaHQUVAFyppEXjAjlrau50npvQxyOseM9 ZnLah4oMGdv1Qm5PuP3bJ0wzFi5nYP/Bqc8y/TEVfaXEVJVJBkqab/zmqJYfVZGGXBuFeGsIsGsI KmxrkiiuRQ6WzSpGD/a4L1Npl5x88aHVG08WLDJ294+HayenlAqYTPu1S7ViCVzq8Rlg1KDM6S0F 5yT8x8J8a0zfiQTel+K9x2SzayoyAzf5nLsGGoa/xQjsvXT4DH5A9GiVNMOVsgVV64CYzdb6+mhJ 6/YkQtJ7iWsohlMY/iIbkGjha413Xtdncnp8OqGrejUaHeExkWQU6WDKObgMMPWa9TEFKf03fX3I QOpAJxnPN53XsXhGwNMeaKbeAq2M2a5ul3MVDcrA+JWLGyaRDS7WDqCuPcHAsQ1ccKS3Q4hK9JlO ukgiRTkIVSPPulgLU7nicARQpWWFI+IR79V7xjl0ivPuMIYicCKG6zbn4VKbPTAyftsn4HNieE4H AAhOdm32chF2ejSEeIl7fgiE0Jn7LfaE1n5Xsj7vO+UtV5fL3CXUuAIsG7mmfPEuHv46BgiD2Cm+ f74MWKhbJDqXXz1axZVKncO5Pqa7RlzFcAK9UquVDJ6t6YJMV52kIbwDAM4qKmzUlPG0J0hJjpVz fCCqUcVg1VAWzHl8uGq8ehwNnlJFnfvTeSbrlITHkK6p6lZRJZU2AkUWoVUMmsNzOPiwAM7/4GbT 2WC7XSGtzOKh9iMZUiLRcUg/WU9tesiwrJyKQS9KhbsX7CI1GR+brPR0Qs45taOahZfkOAmCvg4v NhCzXJ5NWD+biH74OyLciyf3vZ58RAjGGEqfR+7HHOYVoP4eak2km/1LW1UJlKRS1k3dppKBemvM zpiSVOmjBKcbFKZ5n6lRaFcpQ34n/3CCk4+a9F8U2fFaag57mskpFn5AU6U4rDa/60d3d4jNegUE REji4FWoqGTlwTE8oyCt8Y/FsZKUDfOLtmAG/p9Jisw37lYJmmeKvV+RRICY/fzxj3fR2H5NhRTQ JMX75fcghBO3gq8OO3US/T8YCHW2ejVMMaJv8oBDJM97OeSuU9+J+oKrCZ4cd6u3cDQwinTrxVKL HxsXGopdgqI0jQYbbpJSZxTv2JnLFf8hfA/opj0szPy73RSq0Dr0BvyroW/mNSWyWQgKv7s/ihu0 TyymFq6Alo2Xlv+8iE4gEMmYxUFZ1shMNKemq3cEtxbk/u92A8+jXwVov9oX79RhYmpQ6p6gKMNH KY+Y1mGnqp1R4dxJXytXXSwtwJjmZd9BIwC+z4F89A35+077A5JBK+JItVVVTEWerEDC3JI3Nipa nqbnV+slIP/rAK1i0jr3XPJG4dES0dC7ONQyfmczb8PKrda1NSJbMdRidiNGQFKdSsDupVZ4gT83 r3kmiqDYtZcj9DsAAkhxDLGyhhUNPDWT+yWYWAKIgwuDp3dtNS9gw6BT/E0B5zKm5OerxHMUddVt FaowqRliZ9H+t/QRAGNzwECMEwk0hhWd5zR5RlZZPEBQSurnkqxObCYFapmmN1lAraODiQR4p/Z6 j+NRY5OqDsM4R/bKuiFDhdTTu6JUdhdyHhR6qBllq4jIw7srumcBjXURc03wyXeL4NmGfleLl+P7 LxGRTX1upjg8Py3W3OTwflQzZs1ZI3F4H9hSxknXCaywio/c7l/BeV7jUg09OObGXmUOJ2LR6soa y54OFh1UhReqBC65K5eWXt68a01lEqYsCUJg+uVtQR7tHC3rED6wj4pOFMYJIgdqJsWq0nsKsuYB egeuuHcR/Hagk00RPJEFDKoLMn9ZCQoACa5vStK4ieZnAuCSkbKoODQCjMsYqCPyD8dgfY3avxaN 9xI6/gBZBCoHEjE6VjPkXWcVlTuCGhJfoC+tmdaKXsDZaxuaZooAJNDJ7De493i9DwrJ0yNNmVJT ObLf4uuBjTyR+WktbMwnA4Uz8B5SZtF9A+8o0chYtuWsmqBAmkQPzpgIHQYM4rpQRhJ2hr43s19n EzJ85M6YouMmVA6k+y608euViZQBns1ujtgm0UF+XCA0KK0eEwnqzs4F7+qkI8iFmE2XjiSRRZJ1 p6+FG/SRchRxwqaUfDd4pdRZ1aJKUynv8lMbLjGWafPRrlvltWfS3GWeNag0a94Y/tlKUNpq/Shv qpiqK72bd/kN6Yf2ANC+blg9h8PhgVUkem0XpWfp4pkeVHlK9pNP2QcYOk27EjSzywkGTtMTGsDU P7Fv9Bw3L5YWE8KXryIfpHRe3cEivvC2D2eI3YJGgxoNg+z4b5aPyhIJ3sYH2zuZBVuZxbfPF7nz 4zNmhsslkDzwD4ghFRgHRlfhMNhVXwv8JWd3FuZhGCMDMxc8f9kfHMsfiTTwzFxR1fKiAdlhu6bi L9oy09E708Ft2efSso9pSo/nrBEtyoni9q/dGAtb3dAV6r9E0SxB5N2Olr51K1nFFSXdZC3c54h8 erIhSfHBWlCt6vdaBIa0RwE6Le6MQMJjA+UJ9H40XWhmHd02eggWR1IoCYuZpL7J3qJi0i3xu6Dt aDKSiQxHf0KPBTHmtWzkFyhUpQcjMXZEXjm3XmhBQ+FPObmkLHOpbjKtnOdZKI1Kwc2t+unf1NE2 xdZEIWe7/a34X0TokW6+gcDq33B6GGNBsT+x2CZ1JDEE1hwbF/hn9i63yjlpaXoXEL6iIIHOgmER q0T+XppAx6anyRnyiU/ti3tEVR7TAM9uv1iTxyr0DSVhR9hiBpCsbGcfsnhYg14Vx6AW3apgeluV zaITFvxXc7QYNEn5ZMMZGKQtdss7y7yIz7uXM6lPMW0eCtkGdMjb8ebSJaFf3hxS/pgXk8FZGfG5 cpCtPNniYww1UhO5Bu/KlETXmGS6wQkxLbsUojMWNZXl1wDlu5XXSwq7tDLWNa2WoTFYdhvjTZaA 9kPNj+HBi5dYp8kr2d/jlqYMcsNeOljf7uoEftkfwRbsH+sflUBu8H7Bk3GQGMBoklVWddM65UUR n4teVaFE1+FUJUptYzh37KWy+QsxCYbPrLQEGtEQm4XmhgI6aAkVP9uCW1ZrjzCo6JmbCqfVAYmC NislAWE8sFUEQFZcpyyxtizj6IRxOK5Kl9rJECnNtA2jSjNOjVXfgjAH3WKCH8JmqJ31ulGA2rk/ cQA/q1FnxnrqPmTqEXWZcaEkdSzPP/C77qukgESUMGRdgHJLlJpWNV5NWs9v+XiEYIUcWYDwV6i+ Ap9tV12u3/cYUhn0mFgZQPkh5uEY9U2V3E4e5+sXISQ5QvIiSfwoPfWfI8axV8RcPZjUktviBYkh ClnqMOAhq1m5AzBCCcnOrXfMlMNXS1lw//y3RNG6rd0gqM7BnuoYCJ34ytoL1pA4nU6GhTeRLmiJ 1TxGNypzPISZSnfknikVN1a2lT4S00xuHudstTHgq9BeD+98GhdTLZ2bayMSAAwHFXjrBNIWjmcT FupJi8q3MnOPaUrnjtTSVNK3lj+uOC0qA243z/yMXiM6nDIeauKYYKObusN9dyp913mIPdBd7Z6s c3P3+Su+r8ZcQmnEiVEVdAoj9YzIOiqi2qcB3GvWZhXRQWmwQHPaN/U+tdA0hYVeYiQoxmZzJsS5 gijwmz7zLCcY1UNRzKDzjxzBiXOaty2UZ0+8pzVEJ4dNIHyGinxWu+9As/dI4viV+6Qm55hRi8cR mCKW8U3FBcWEXPJXkKVlY08ErXRmpGtvGHMWM4kb4E5R7VROu6gBfH4dKKX90jivwL4n6tRNBgSJ jBJieFdpdmixWH7EJicfyVBY63V8D6yZ6tzu2b/AqYnxVOTAON/IMeXBrAqs40F2x57BYV1BIkfZ GL2ERWLd9aHAH341uPQBJhNIrKlCwJdPbasVJy/7z+haLcNvcGW2NwDv1Zol+RoWjCtdJO6LMvVX BhxGdNkgc6Dn10VXsnyFoDdRkvQoK9WPmsvzL5u3JCZDt0jKDk+AwciNqX1Jryaa+4KMaL5/Wezh VjcUriT+hbyUqrmlf9aQrymCtrvbrrq+Zvvb60ovYxqGGeyHCfF8MrC/G37m4AXWHxkQfZzC1h1K XPy78k2gPuzTFpgKoidTYUmRGz834B+D/DvTmzUcipSwpLFyX0Omx95Z3rPZfCq6jfYoBPvxJcgc K3UjebAPlbuIt1TWAY2OowxCM0IXAzTzDiDQuZaPY/z6qMZPieneIXGryOIZUTh31YozDFClu8Pq BiXwDVcINEG5aTdjNb3moKo7ElfOQz0495v5HLj875CPRoFUUwk0Y5Egg41VCPNmdiPD2+h3p/vW DcqTY5qV/klHk8V5ejILkhmYeNIgndrXaQRfRVwEppwaTZLVhYtX2hcI1ATV0tMM20mJiCPcUpWY fiJdH0ee4SGoU5gIudnFn8P4oz36InVrzDgvka+zcBp6gb/VEWKidJ5zDJ2niA9qv7SY46cvCaht 50Ke6ItW9r56KJqBYCXMA0ImrT8Ti8NcwBej7SF7HfY3vBWYaZvzdx1ONVoVZvojaBJcOOZv4T0P oMmDUsiS0xCKrB7YIZr9un3jBtzUU7tKm+6xr347NjvULrqRuHYFRjxjXCjmqiAi9OCaWQYjdgSf yztMK/+5dXYk81E3J92Z4DsqW+F6Ndcsrk7k1XCxmBhZtJy5P6lSrOXJHqjWYTy1Ez9/u9TDhupI 7QNruEr4G0Ns+IlW1gCZEPGwLpFr57EH1NkrDqXk0OPrLjl8yNV3mztn91jFISscdsa+HhyrOwyF D2WMknxDLYi5gLcN40LlrDcvS2l7SWbf0KOX8/+oOLw0lOL7dy4Hu5gSW9FJvYFBjWx520lVlqBk kXDCfQrzT90qPF40BXf4bnLbTtG2B0jtr/8iqmHOYyOqXhCl/rwxT7HjP2TqzOg6NhGfkZqUhcU3 hwcmRUxlgXJVdZUquHeC5wV3OXcKjn1A1lVaiRgh2wb1HzyESrZ0Uu87RnzyCT+lytVtKRWg5o4C P+KyFEMz1nX71rPcYJc6dNCrObw19Py1ycCgvGAreB4hSymnJ73cdePPoUnNAEroW3A8t/ZjEJ63 ICIdPrUkpKjfazFjTmN/fInIg/x98nCnzOvSETDx+S2m6fNOi4QqdxUDIG5WvNjCLZkuCHMp+GIY unJt0VUlxwqm96zf7dDNKwdoqeh5uTjWdf8WfHXBHh6UfFmYfuivU0SqveAKltK54NSulnuTPN44 vZNpsA40eUFt7Y5v/sAXQ+pZRd8YMh+aa61UnQ/7UY2pxWxDX1JJgzAbgXRgrsJBVf0SSbQ6GFt4 1GWMZG+JS3Us6IH011uRtB55MUUqGgqdtLWn3YNxZL8GOMd9irqKSUPEygD9IDm+X4NB0dgnJgsv Maf+iU+XcAohmeDP6QO59Jd0Iuts9sv9VDof7+FXgu9yWXml+/Lok7ZDp+qMPldLloB5JXj47C15 6fDkhsHz7jzmIFby2VAytCvNJ2JhHAbneIa4jN1yBPxoZsM4ooxgGE2KifyQtM2ByhcH1m/er9+2 aTzzzdV1tcCB8wNE+k8CuVkOfEjJ18IIjNazkHz5ooelyqVGvrhFxKZMmdtvNNHgEfCddDyjoNpE u0ZYjUA8+h3Gg5QgTz+fnUfWC82I2BPR5CFeg39SzAzHf8Pq9XGyuLP3lfEqYz1lk3jNAz43KCPF IhQt1nP9kwwu+hfH+12xam16IjRAPGSPcuXV/0kDTcAyNLoGq9HzEDOU2Py9mChhzcF0gAPxYI3P 1RZgU5q/jMv+0H2Jq0AeJErLOxuOo8E6EbBHZOvBun7wAoPT45oaHDcLoQ1EN2k/MVL3pGE6UBUQ khC8JA6FhdPGmca9/7T/E+steOX+tJospig+Na1x5AcmhQeNONVciNs5+ayhO5AHYZo8gYMExo4A AsD3IpH+cME0CEi2pS1RVdtiB9AUTrUpFb5+cZfZCJH/Mk5eoGfweSGUJSheDUa9xxG1N4QavjL2 wTF6Qg6F0U+3RqANu6f+69TBV8DlUCCRHVOJsHxKtbSC3d5SIfdtyUgAEA349yK45oSibxJB8+No 0ycr57G1r90nhchHZ8egObnQlwdB20zY7faXilXPxWEWsioj5uOmI1pVzeXCx9JJV8PUKVgKoldT cyFSiJ8Du/Y1YZ8VaB5tSOj1rTHCE4EaknBThMvOWrIBC/vndgMxHQ98Nv3e5RWciBs5jOK+8oF9 Kz2Q62XYkIngt9gZd9oYdWOPyx9pXTBXW+uTc3GlL2G91gce5THnnmJ72r0Pf0SZpjX9VgqC8glh ZdHt33JNjQBrH9VKIfnYV6vDZ+ZjC56VMhkHRUIIop694fab42ntBW+xkdAXvW3vCo/uTsuJ1cWv bi/0U/CRM7meXPLXHCCCKRbQ8jTAYLEuxjWFXeR2lFt4z8ZETWAoMaaoyoQ2Hy2U4My5tvprJ7fW +yy9iD9n22BTRCJ5LUyLj3VB+7V/qBUqAjiA0g8na0QlSGbNd1niihdZ+IDDe7OpSQFGqwuQ7Ma5 mrvv9AGQGi0UKAgVKTv5Zun9n5oRuitPl3o+u1lGZzFIM58DkcE05Zz8b59olk3arn7f47CujOWi 5yvRNTTh8qJNUmdOsU/dRQ2XCOERpXa7brJUwmBS2tV25fVwTdMm5XGUCELVddlYED0+d30FTus9 VX93DuKlhks9iBv4NcRCe+X5KWLnqUnK7lqkxgjObWeLONFnnKANVnkxNWQi8Y+H73jfwVWyE40C iwcs49q2mAeQ+6yvF8+QLzhf17cF8yENRsJHTehN6cXHqhh4j6iZaS9vyjOWMxVf/IgNmjJz+6KW YeOxGB+ji5lNRIgTEA+Ar5JWBtZhvoHf7gPTkHI1B+cOIOmTaNuAGOgC2bSn03xYeplDBJ7IBQ6m ewWb9HCJWTUEWgkQS8EaVVTW8Xq7D9XvGVoQbwOaOjtGYVX5ugrZS6Y8GEezNV7VPgnKk+xTD1Ap ysT5F1FIV/JggGFwiU4cIWJuMoYEZwiYFhp3VeTfVGcRqjtYyICCtuSSEW5fiwx8kt2IdCRSWU79 FFBPayjxF1vLvburN+arCfeDzaA+CX+LRrAvzA81WRdi9A/6M3LV8d7SnDfFtzn4l0TI+q1YKmGG syZr6EQge2u2YS5FNsSISkYC6VBM1hqIriWwAGMLNoSjTpw1xjBjXX54038w8N73bhpzDrmR09p+ XR2iO05eKYwvRbjVM8FbeRWFYslALfCU8B7nFvzLjagl2Sncz5v/+UlwC1XE5FjrR7zyKZdH16CJ 3KNlVLE9zisYSf1vojkBgCl9xuwJ6N8NA4ZTknValuNsBMMoz79G/8ZktJW+nEMrXja32cF3kIEW S9FN88l77K9JS5HqiYyUFPKMYCun6PhtnEAQN0Qzc4mqUGtncblF1/t7yAT7JwdvQ+QL4NRQ48jC LuSqQo3N9zK8Qo511VYqttBzRSQCp2ME5gG7oLV25xt05STomnM9Y94MWHOFDvfNdsFzXuFhZ50a 2cLlZQe9b2V5l4UgjoTLJyAMcwfznFBIE0SKYqbej+K6P3nVht5GMMoer3jYq91czABICJKKexai 4FlQaG2kdxT6jQ2dOHN97IkcjPh/aLBxlUayL8QqqQsvhmP42d9LQ7bRbZdWf2NhlIx0XJmnRJrD Qy+MkNQ93/QFvms6j9LctUzmSdvkWeHPQLGzCC6oXz7AoAZxfMbGZRNwsIJxoU5P/4B5i81rOSm7 Nc437FvmCKkJtuPmyyWyPLN9DVUFYzuYNgYg8awRkuDOzPzWr6UrR9KnbZIyE/6BnZOBE4T42pds VHh8bslkWgV8gkSnyp5/AZl1VXWjuwpVkL+luLunKNYqgUQQh9PRSog9882prLcrUgPodK/yQBnz mMPI3jN4wJLcu8kygxcUpFzgtanneBHo2PWYHZn3Tm7ZmNWoku8JuIWlpQHm4/E4JPDtkkyTMsR0 7gWmq7N2atN73k3FaiJtyR3r/29g8DC6AvwG26M5S9ZgHUIsJp6OEPNF84cnBGFVQON/cO445Nzz ewHmBqrl2dLM6D8bisVvO1E35LN52GVAjt0XmAvTsJFgWEMPmlMRVw7seRyuyfjd9Ofdx8IZCcBY JftDBBXNLBDFIcRaZbT51QIqDTxP8MrIc1Lj5toNxxBspIHvyb8kwBWvbDH7XdOAo26FNZTvSaAy JvdjoL48UYrWWy/fKVDaudKTOShM1k8SH3Jlzk9uQFgkVesqISzfbyCxlGLLapz4VN0r4NZVmqCf RXK4iC3GsE9++KNeDUHDy1QMD330IBr//lMDedzMcQgwPmVWhYHcJKr5Z8JyzknN4kAPbkxCrDqZ 8Zp5hmcpjlT69Mj546qWkaH8oeaPl/3hWCU8so+j3zx7toMgll+H5NKU+b3Zv51wZthSsqGonzw2 iTLwvw+jD06pWGJTUQaPpaPY//nD2u5l3C5ESUBpmAXyKna0/iZ70b8/ZPyJkMP1LpvcWV4Gvjkt MIhnJnBEqM9VFiOzVOEQs7UEgJsBCjH2ai/jdgauaQKp8SMuVFT0OR+hZmQvehkx/97KkHcgrn52 tKiBjf1fSzguIJXQFZy+jsnawz5ohKBP/4sAYkf4JOi5VXySNNE57vDEBbJAZFs6bJ97waD1H1BU 5pPFNhFT2RFSogch0KzxV+t1itlnLhqFeGLGcKFKiUEZXohgE3WJIVrQ+/2GQue+g16uuf98+70N lcFz7bOB0sY1+EtfVuTvH+wmatD9+fOhIJrOshtdL4pNOhdCB5pM5chnLNgLnowp5ZV17WoJMYNn OtFBWweiRcyG2orbwhh5ZL7spcOfSWW+IufPTg9Ac+DOmBLHp+TBzjwKbU/WzH/r6uyCvZ/FycGq UDZDLpNWrg3l+jl7RTgaz4WXDiDfDkmObQvyjTWgevdFiYo7xeyHtQ8muLw/wTZe3tLZ8xkv/yS+ 56ZQiTxNPwI2ptX2m4r83XsSTiLEAVe2Im8tMhIJGbxiUGg10qSOpfx6sC5NSYlopAl9tgs9o1jU YQo9B/NAoiUVk0v5lwje8GjDFE0Q87e4saEcggchkIYHoyf99I/3mp+p/NiKx/kpoh4HB71BDrj0 5j3GDnBneT4Z45g/ACmMkD4dzrqRitESWH8SY9EtWDgOaeXk7xYgksNChbrZgsWW5ihHnsJiJ56N W00Iy2VeXgxDG8Re0B4DvFuIc4uurosGNbhBSOG76MwJYGfaWwTPJjvnI9xcj1OE10PFKQ9XVtkh qQ25iy74Vb2udakALvrdm01Dfyyktv0mAM7u3ycOjIpHUaoKUnFUMiwj+tD10wMIVxiNmynQHvgt x+LnGf4Y6YrivAF0DTNA+ZmXyID8XkFThaI0RnY2hnr7UljXFctGlOzSt8BqrgqLU3PaaFvWBNne 4N1hxymBUnWMcLBCWCg5vxSL/F+TPjYNPyVUp+2HIz5SYWug8GmJx4HIaacMwSi39PPqCvFjJbcJ shQ6U0WDGT5cCtrl7lXd5/nhnECraG2GjmGvfw+wLsE5SeN0I2NEcutPxzfGaD1RKUdBxDMMIN2Z 3rlQ/Vu9TIgzlSjGvqUwJxRe0rZ94XbhRcUxvbmNGhGczZk5adDF9GtVQQVcN/U2WKfUvajLK3fL Zlwo1T72Syg7BqEkLC1Czx9oQwl/TO/ZIkxAe+ZLWQO0CcwbBnMfWUk6ut1Mw3y66xKsnzJIi+Ia kzM1fLfUQqFjcyVDF35toVZKUQCO62YOPO25h4M7rhTlpBLoAFNndoNWQuOp8Xd7smsdcFYrjmAz lHeLdlZYy4DxlLtFesIur9qij1DwxvfHUdR9E0Jjfs+NcPToVEWdoMnCo+AwEemayqSZy2EteHnf AQWJjqeIYHMeUhF40PidQPYoTqm0zW2OVL3+DevrZmQutyKHPSkFBzm4EC9i7arJpSSkA8HJjEV2 4rjSVzpgxNTaxU/HaaLGNQ49AXP/yqvmAVqFfyfl2kCn/+NIU8cQtpJqwOZ1v5f49HOHMDaarISo SEQkm3uTv3hPT3UPsNscxrpO3m7IjKWxCvDRNaH4L6ZmIfVbWb3jRkZ9mb4/F+bBSE+QOIjTjW4D Wk5KRDxpSmdd5G+vm4JocQ9F3Cpkfet3gz0pv3laOU6/6eR33bl49dJBhqvwTzvIZYlx9kB79kFD QWJ5itnlQZEGena0rhzG1nrM+Oj3m3GRwIWTvvZCB/mVQl3/9mR8wQiTUwwjRJ8QKlWa4l0VM9+N b5DNwlZYvGidePcRco9c+umAx1IkAtPQCz505BxLvP4/Fuk0ZvHIFJ70BX7dPPnc7UDlG1y3Pb1b ldpvL7I9zYGEEgwSqUp2ZBbmjQTuIjQmVhz+xkY8jjOkmOOOaWk1nqeJV2emYe536tPKD1bq+chX jgCcYMhTpzI4dWcW9paKlrumkwsi2xzQtnRViKjJ9+6+w92qTQhPG8CGSH3I1wvd8nWbVKM4jaQD t3LEpFrqP2ziabRLVT+X7ThIviOn38fy+PhDe2Rq5K3O+JSWeCQBD6eTsmPzb7nvbdiEAeqiUMIW GJd2QKsBw+3DMeVTEzpbcvfkgar77Mm3vmLqVn9YZJ6Of8qMIiPgUW+G+3SRyO6pPbrBRUlZ4upC iztoz6aME1H7MxC1yB+vgTL7dgB7/tJiS5ek2Q354wDtD6S5p2bjbKh8wThDQNQx7L3vx4UxXJRF C+l17fy4rFyR8dE4xvOXzG89S8njFjMehUo/JEBePlkOktivXrzTBBOH+58PA0aA+hPzYs388rGy beXUSbZQT8okGWI13yU0WptVGY4x6TDr0SLF0IpOeUtkiNuU0aVcxWOF0VCw49ChQc2vpxs68Q/J s5++4HpaIpSjpwuqYjGtm4bl2xwL4WPhzpOizeRjDrK4l0ZZGgBHi3QliJ5LznD0nsFlsKkX87lp Sx18vFy3xVzQItkvaBZt39/pvL+AjZy7xmN6EFAmfXLjsnAkj81zsnZu2HyFTEeb85CMbVQ2+Jxh 37xCrTdonwpMMCgXveIwBoIf0O2iA2R2pwn9WE7C9E6iP25z+IbmCw62u78+LWl5bFOHzZ2cjYIY 5mYnvYW7MEXqYDOJFIjtjZd2tB32p1zsUIMuUo0M3FLFZm0HKApXmb2xT7ws3vScEIhUKyj6lIi7 BcWOgOb0D6pD1qnr/0/E56gRsFvmdZ1Eio+MNbcr+OaA71EFUeYJcvZs7imyz/yhS653QcQoIk8P ywkEyVblqNYReOVI3N0JSW8yiZt173uQgn5cEtDHJpoxmyHF8Id9WFyhG1lOQv0wPENknxwzQfLN uwFex3Xu+JNzRvNx+hPkkKNh8H+H6vmyBAEvRAOtw32jlV9lxegO9jheVK3j6ap2UnXUVCKSHzyZ MOIpp1a9gWwrDAfoNgEzGoLZu2YCCik1sSwortj3yly93RgK07IUgBBqVA5HTQMQNk4yLSz8oySg yr8X3VnsGDO77xMcGHgge81jLZVzoMcBoq9iqQJJJcTUo7vTdWkzv6qqX5AE6U2WWiovWBk+iWrz 52urRBvw31LuGh+lsmlMPY8chgXL3gMUI6vX9MX6YTgbyuSfyWfhZsvQPbx6mqqVy6TMC8SLMPpR gvT+T+yb1iP8wVVU7xOQGaLyw1bRZNJ2A6Wz1PyH8JyG7ks6sVrJnuTYRTnecdZTguLeOEzBFpn3 VsdTXNsDaSeAr5HzNyZk6MfK/cQ9gsVLbrKxZ3Sau+Wmf9fXax0kK3GEcwWSlKxgIBuN8jxhn1nz VM9bSdq4WXsi6N+zf4z+Llu8s0AGqRAnvAO3nA1EAOnvdi8mRVKhjPTm1aeOm91WZ9ItzDmX51Mw am618RnID4jiIeDgpa9/B1Sg1kJNNLSuogFBguKEQdX3VV/Jt10qu84u/p54BVay84UCdThWeXoG ViglgXFCG1Jam4KuCozGoHpgaRkQnsXPyQ0jd3kaCyc16yZ6JBIbLco3HyVpcsbovH7LdTrmbXLH XCKg2SbbsyggZSJZcyWGe5dwFaN5sd1xge68k47y4+iCKt5D9deri+IIFNuh9hu/6UdkPMpwmiYr o+mHOWJAFip0pmlbgGBiYGV8vt/uP3orZ5PZuIZHPu+uC/Nvm8qgsH0hqCi2fftLqXFb37E7j/i/ TNepqFMCFMsBAN+iVrnX8c4t8kDCY+2Y09tEXspg28U1ZoJy9jynhPE/ffSqFaBmg5nWOs2jHyGh i23HqL5iBAw9dOr9GgDyvbhVOVtjf16uueAAvONcYIG0Y7X3pxiw73CALpO55B98gIMh/WDFHaNU AgeBCASmcWkuKFhWyiO5BNMVekY/e2sf4cwGNThDsBBDl2ZHdE8fyeCcrVSrgiUnVAljp+CU0Vqx Ok6j44mmjW6/Fb0dB+D7UmvtUdbSHDJtWr9D8INC8grWOnUwTvvuIQIsJvrtvRXs4Vq1Skx9bGIK 8Pcrhm6t8Ii2lazWRO/5gfEulE2aQSOcleE4enbIgJ36veGKN9QJysewt9RldG+/Ib2PeS64gUFC s9VgRL7niKkSc+bkJ6HYnx4bmdQKK5jwJAdhSkJ0ftZiNeFmi8w+SBMVDoBgQx9b04fQYUk/XR7C 0Y9geujPO8DZmS1uYXuyNkOZ/gX/iyuK0pvxCgl+sGyElkbILjmVHCfkYUDUoDPVNiSY3IFlZK1M 1mWKX412Oww8TSNMqS8YNrSYFyttQ3Z1sBqJbZefU6meW4b4RUqfNyKT9qpgzC7++eVsHenchQTk eqmujzs+ySPtyoIzbR4LGpiQW3edZ1XIpDCqwC9fBGTQu/PmGrop4bOJ+ew5XVC+hva1BbXxTWUA 1UjqFsOgiU1eCK2NHjhbyjs2eJhDhpc8hG3r9eESkB9AAA4eeq4y7C0TTEtErcx7p1iF53h9nW5e AO/NpNJjm5QxC7r2vqgHrrEhKfK/fCmbfCrPxDOvBEJxoxK4C81CUI8SAhuRCRXLB04JSPk7fqwa OUQb9gfV7XjQl+3+sI3AQDXcDf3qKeJ6Cq6LzHxa7e5yjtTZ6ZW3oVDp4LAIsA9u5SP5/IvVn/P1 WNvac2aQAhPG5+wbTPeKWOiSKQpmLJHA7FqPRbOnDOjSLy34DPa3F/E74l2HzBlloNn0WT56t0rZ xuShqpCURRspL6tqv1gZmf0plhotMh0nXqH98hgF8CBF7+YOCQ/F2e9J+vqBuA3wlXI3K5UYXwpe 6L3DprqE8aJq1yTp5ba8NdhWJbxq+KRH+8Y6LFNC3euMa/sQnwEAEF9HdblwcKmohdTusXvG6sdy AH6xsomJWcBuCwRHHGYBXY0lLwLGG9Spt2VkbdD47ib77/PlI+zeXyM+hPbu+NKtoUom94Ma34pl gu4dG9Zg3uwSoOCVbziJkQpRfSRzeFihNNJbE20lj7p4O3w1snUUjUoEv/J5greqnf6rfmhCT5fp aOACXCXKeCp9eWxBX/KyQZhAL55f9b66/lgBnMU+QOEVToLjq+V1X/AmaKmG/PHo5vidY0ZRz6Zg /M6qPSfBJ0mP62ln1j0JydOnGIR/sS/mZzaDaoeyTG30fsyEmVLip4kofdXUxkWlSKjxZv/BwdVL 9ovHXhxBVMgGLu+PUjYCRORLOjagmZtI6AaiK/MZGwya8lP7Ief5N9lJ4z0T0rzPDTj244G3rONd 7MmWIelxy4yI36AGdrVHme6O+X4nPcSEIRicf9aDGuDKsidEMhiXP0pVXSv9uzWq0w4W4JQ1dMkt NQcF5I0TMyBXMdKmKPJeyt7md1Wnx6OBNCk89AdfPFOpcXqLmCXCfjtynd1UzivrBijWq98RCEKH 47xmREB1UTCkjf1GSns7wcqTfDth9TJrsLth/djMGtWiPav2oycv3PCRhbzpZZ9IkqdlILTEJHps 062Wcu96fR5E5bjxulVf8tYYowyJAcK626C8t3f9p5lRiiRNRvSHT/3JSxOe5QYTI97ZAQ8hOiVs PzMdY7CWM/0LMwfrpDrzgWMOmlghTrrWeuhpcfuQE0N1zCC0rrHyNNJ8oRsG/xOPhTFv4laKx1FK BvqQLbAZOqFvQt02gVe507/7G8ojsnzdGA6FclXPRZ3axEQwGp+M6tIkhxTe5m9mreDaeeUkkPlp Wkcdsl08hMkQd37Tf9ckeeyEZfX92O25lGpHT2KeI1Ih+jcjFXJEPD+fhqO8T79ydKPZxTA/Jyt0 LLKtxTFLFKTXyZ1GtG3q8gphmydJNFxVn9RcLufRGeEvRnWoIvuSwQNPYkmjruRhwZpD9wPO2lbe zVSzlvWipDHKiFkYCdZBAYllDEsTpvPXLWTX3BE4Fa72m5ynJMG9M+xhtu+GcofpTZ/O3hji47nj Uh4LLBtJVL+4g8fo+OXprCXnVbgpThIzAykSbL63rVhbQc0BRD/UJB2LfwJk8z+igRgRw1THWE3Q OsWDRScZnK6KqQz5jBf4NfQaC8yb4Lo8F3bvonCLMMZ2E5HETkGwQBUF/PQRNfovAfoVpLMXl7XK ZZWS5K6C+b7VJknzCW50QNW+PipBMHEdsOii48WUB4Cs+87iHG66Hr3tQ3ujG4bsKbkeXorKfk0l 3tecvUjMsrgIBQpfuVMBtdZS6RjHqqXj2QyDqhc7tkPbGITwJJc2oL0eij4GOjT4JYBJIE5sUMU0 wkCTWzGpj3mxrfYD3fuQKc6DCZGo61tU6MeMCGoTVf3OVNyCq0TFIzAmYbL7ynkO+SzNNmI3v6ZZ 3Wjj75ioXL7Ju+GaFVZNhuAN3wdDs/CH0yuDgNm3um276YJgiLEL/Qpt9Z+HhmhyFVC1jgY4uIYB Nv3fFyIXEcP6CdNmMkJGJwEqZ7oZxoNhtzXkWOx6ca2kZsA8wbE7U+fndz6d/AInwtgxfZVPUfYX 66pBlJaaWUP9SN0WN7RprGuthxQZZ4OB1Sq/EJ4kRptrHT6d96OtUXXenylGz15b0OpHovMc79jD vqwEEvkNs/KHu2J9SUIo9z2wXvRA+ShQsyhi9E6AUgwk+XWc6lu3cvG+w/dEkAs8Wrvs+ekgExjl EKlkMcvvcOmJvENpqd1NXtrYEWvDYtb/hPA0FJ77SFz3zOZ6Cw9c1MbA6kSNlpUwizvaTNNN736l DsHm2F6gvkm6y6J099Ix0e/CfJUl4877dT+C3LddYqHvT2bFQjrccVx7Mmxa4GRyLI6+NunWqsip 6a53hTGmGvqQxVvsxWKCxSPnFXX2wGOMUIqeogyRdHkdj/q5+30Pc1h5SnStAXWP0wlX9FZAZrZU QW8wiysf9MgGGcIkjGKvo4pnfQykDAohW3utUz7MVReMiNi5+puGUJ30CopDsBxzJo9dp2ntIzfK MxvKX4v3AJtvFSBR3S9GduOH5woZ083TSbAl2RtzNpXFyj6m8AzxL8qUAoR18RQ/kHhCtro2XRQJ 1PrORKMobo1wc6DqD2AE+yB6ktpYruJmFTC45Y+650DXrNCJ9PPjkeV6tTi8eVW3AIUntpdOBwN9 hgxa+aPCrGBB2mkhVs4ArR29bKipZ2XRlbfyEGZfOfH/Jd+rM+Py9SXADDpU73PXbbYxJ/FGLV/o zcw2rQlV7QE0MzuTZE8vSH8Tiay9RZyRU1U1xssKuQy1Hjf7pGN2/uk/HAHoTH7yFpty+EqnnX6C 1GwLn8lft6M4SVSKPRK09sktSG/6kq+a61YdsTv/ZnJuLhaSNCPf1zKwoPxiUSauduC9TAQehWL+ 0cYfdC1LWpBzfGWVGJ5k8xGJo3vM7KvqZtT8yJzBmawQbIcEOKriBCp0SNlNedj7sSeFh8wG3ODP s5SrfGKlrExSPUrVw30bjiMg0KsFCD/KsWjPt5MfvRJtUw5BEMRCFWaTrd2ifeg+Q8hx62tRU/FU AuSzpJfIxL9kQjIYW/RPq+wuH6AXmO6c8wS86eBTTKdNXBCqeF1YQ4ILeUh7zOYGQwa5Yy/xm3mi 3xTVqyCDHbDS3T7L+8yVeSXfo3CQpkOWSUxqmqDY4+uMnmzRINC6RPNtySx7vW1CLbcG/FC2PhjP rDYl9yMjvoSVoSuiIIA6pQLE+T6+RAKXglmIHm5rSOwG57xNhF+NGLlazBoSdZW97AX9fUijwJwx tXT5Mtz4xMDlAIqIgl8HBGJ/bTERwMum/6gveRdLvqQUdZ9Tiavpg2b3KIt72u9eKIB1KnXDobc/ ORBhSn3MWyg1pbfLrG+mFoIJML1VX/sB24B55MlPOnMDKN44T/xH/2zrS7sDQIqXbZ0HQ5IcL9Nb agL6JNPs4ie1efNNvb4FmPahUlPqcSmr27suv6ERQXb/MHGzP4Rvo+ujioxLboHDA3+J1YsM/Y1+ S47W45s1Zqo+hyHyOmoAnc1XQfkVHJ6Hv8AzVqJ5ZXlZ39/YaGtxG4uGLt0hBWfAadZASrv8Ae71 z5NRmjtWoyGPOLUvAiO0LHn7NK7T6+1fhFk9EhCO4VDBdsZmUltOaSjQHzUXBC5WS+5PyFEdi/xS sUhuboAkvcxrdaPxOHRdA+AZBZ3tNJDhGkbQeKWy9ob11CK83vmu+uUnZCxc2FnF2xOEh2Amf0Gx 0QlX9xTxFpVvICz3dHlAPZigBo8vMEUf5oZefp/InWeszxldCVfTFFcQnSr9py6EMTMELM18p8hd fS/O03u9CWyqFYBr417UcMNaj7IXjITiOj7XZDUq2iLpUWux2+vW7cMfcnLOS7YdtrSza36FCa1l o8n7olYWM+pmgcAcRGhKSln+PA/h6NHMlOc97Lo3WH4ZNECQoppmPZcpBNUKuHZjGpf7u+Xmb60G 1nCJDAynJ22PNS8o+296egVkERFjTVgOk7HPkL3y6tqofV3ip9mjqF8BFJSYGZkpzujU+gkuLlJR Rx1cz3vHKtPWso952vG+L40xjfnT7Asu9OyYWc6NLUnN1Av6BGfLqUW1H3J+yYKiQbCP6wjtIEq+ 3SxhQaxN8Ip1Hnz1Bpl/U2WtW7bJ8DcLsilBiaYRpj1gdeLIQS1y2vDK9P9pGllsDcm3aXqHVzNh NgkYxkdoMEPXLahrifbmG5hDlMmNwMkaf5OWZ3h2NqwI+04BrrE1rh7UiQ7DRI1aZ8HLrhcrAng1 P5OmvjG2DfVI2dDIblUOX1dGKKu5ndacO7JzjbRt+K5cccCMFogrTp3tWCF1IPnMw6AjGKtzeuO8 XIhx+gIjNaX4XuiQm6l8awYq5FzJzRWclrGJmiKO5eTKxeovXmzY3s5LmfPK0d/UZstlLWBloO0g FR9TDvgDCtt/EblgmjZMHI0n9ZCjPjOzyWGVjLAUPgJU2y79hB7ZihBgF856R/znbe+3LRkp6n9U EkvNTkVSWr14aLfZcpcTCOETEubzrZO7QYtbA2gNi1buTMkaSesbT/3AmZTWwCNFBpxc87wvTCy7 Q1IrOJj08EXr32gMb/7zqdXaUdJzsrIuT2/IEqmsJqzsIyE2QXLvbweLNzGRbF8R8FFQ69AZLTow pt6X1xho7kCUtDsFNFbB3zhhHNT4ozuVWlirtuE6yJ+esDx1OIO+/A9ggcleddOHp93CzAg6XC6i LB0QYO9uRZPmtPXoosqEUHNLZ5MIIizbnUEBt1yW8PANw6wE/o5EOfdieMBIxFh6fb5g/dxsxl/N mRMsjrWv4ghshBgP/GVdESXTMhwRRHsgVx/IP4W78hGsIXxAJX0s7PuEgycMaHrULYIEQ0tT2ulC xEDQwrjfFR8Xh24PvFpO8Noysv1mtCJoMirPPiMiNJ8D6JKkBTrFZ5sQkL6/up6JKYuEfZU7I2wW IhkUmmCxffxXeCxNWNMpBw8K88Tovip1Gjs9wQzhU0pyXm/JT5s53KJQm0eObLofljZHzUSjDO1h LbCCaGNOsgi4VxOBup8aJkx1ducqvdePZJdeiVlFrCHFe1PmUI1VAKUSzPG+Xf+bVWK+sihf09oK dg39AaWegwu5+xCY2W3KygTMZFgTdMf6R6UwETGCBF1ZxESJJ/qsEAuAb3750li/cWSKxe1Z3DDM FCMIzI/xRKC0vdudnANY+d0plPNw7D6r18/9kcnlHqP7fjJJTwhfgTDMB5iql4jI8nDIL8Sqhc9v 4kM7cD+A6B++fM3o56Uj+ptl683X6oC9pANedmODniQcSsfj8pz93kcPR9fHpLaQHlxa19KV8WHf TGValYABWaiZjcyFoNGmvmCkFnLEjh/zZAA4g5DzU8yo+zMN4zsRH4g/qWht43Ja9IdqaLCh2oxE 27E98DuojMw3r7Jry5IHrUkCo4s4Udqtj1iQdGPJmp31ct2vq7sKQPr//PN63Zkyd/iGXEqDmq1x KtZV721017UsWdWqIXU9rd/9zACJ49VQ59mkZ1XdJgWATX41W6nkOCq1oHBjOtS2eyoqhITgw6C5 50l0ZYfPpQkbssU/Y3t5lL7fOZsyZCEb4/TnOJ3qqZNIc3wFC9B7R89c9MxeDBt7+j6MF2E0aw92 Ou14nmPkYHt+nkfsfQioJYP89ja4SvGf/dR5dF9eexPC2Z87qpNMySqxNlToNW98fcP0JEq9xIBx vyIgZ+MmXFzPgDZKS1Yk1Vxl47/UXQuwxFiqzIUDrJEl5VNHJ9olOXxOS23tJVu70YYDFGLVeUnd Jjw919HMRMTmY9gtcSbdO6Ll6WRq7VD81tRI/AFxhPQ+4LQd8vnnRSW1+83Cs9ja8LZRHuuPMTDy 7zMW3OZVBnr10pwuxye3mcgpYiz7KxgSX9EDlUQ5QAlmqxSBBYGRJGKB/uy2ayupcFjrXQjRdmDJ kEHF6I+meoQahY7qtz/AHe4vICSWndUPUZgsCW8jMts5QgNoi1QjFQUT2G5oHkfy5v6l0ct7X3SC FnQs3MOyydHS7xmhyJJkHZbsmpau3CZPtq9GpZpLWzoYN1EI6Er2MsfXo66F/uAwVHeKbnhvfXW8 D1ejNpDGfK5mAB1hEyO5lSwO4ITowRVkrx+gI+co3VDmVKtvPYreeyCwjHlom4FzDpcyGaKiPzkh fvnA87k1taglOxWLUahP/Rsta376Th+GYmmrCIGfc4kNlOJ97YOPoHc23H3V15sPRTv8c8K1ULLO Ibu469IUOiAzL0ZHthxBYwGr9Zv//OsRqPuSVre1/0zA1BHDllpVtEvFczu97kGMFRiyVpYjVF5y 8P9i6o4WrYWq3asKAQYnzOG5kDiCeTatfAsppBbIXHd6D+5qVcmSzh6KF2iLSUDvhOSyT5eGPrU4 4n+/I/3PTzFO4xkO9wFfX95PDsDKZuld7T3TaPyYFwkW+Ua+6GlKRsvcSZNFqOGSWDNfty5rJJtj 60+Ad6MKR5QNko2oJ+p+Jxbn9BqWUm+kdYoaSsoRGRPP7NY0MxKLfLansZl+ps97W40PjGolni+5 dHCSsOvsux12LzCoWe575qYYNwpiFG5/iSRBA0ybWRAw+CuuEGfm2+RHShIrmQvE20KXltva7kHD ga41qZhCx3ToZZQ1sU+omVhHNSHPafwuDJq9JqkoMAWIPkmbML/JkRwgcLAoAIN+yTqNsLmBtBnC Nn0AXvzN4aKlRT80sHSkv5nvZmuxEqnVPsH8euCnlJS43LBUliK9N7O0RzlrbJwmMr40l2yZQPgr 0M/q0Gkive+QWVMC/ToW5j79sLEhuDa1b9tyg7ifbzBvrvfrJOBQFkY+rB1ro1bSa9h2hxwn4JZP XeE32gR9Y8YV+hKn6NuP8H2r5LrGt+1RWSlgwl+4Y1yZTBJM8X9mxP2k321zagDd2vJX2JuV9Q7I nBGxf3qGDvmSIq8IbYjWW7vw20t+PAdH7QS8ku/ifNHN8lfxw1tvCfUE6L2RcoevwKdwQee8rIrF oBfIwYnaXpkGlKH9hpfdjhbnlsmIfX/d3C1JyDBgAmcTXaZeUVdGeABeVac/yWvS6BM1Z1bjlfDW M5stt3SR3wgqkp2+rEH++KYeotIgUpy7g+kbqY28hbS2+m66zUXE4drg/fcYxNu0mWVJLyZLULW/ p7u5HUqrOJOJp+BZL4PhNke3cxKwiReFnNefTOEq/3F8ZU1qwp4svJdKnr4xopus8P/H0tomWufY W3hz9bTb+PP1khSMWIVhgWuFVjpKrEgZVdJtARUxuf3XiKWr4J/cGam0Utwj78YEjVWAJGFQM+/P kq+Wo2mgxQI8tiA5PJwluLjg3ITAKRwK3z4peU+SVIjCZsY1pI5SiePWAFIO7BODTDJ3nlaDBjOk 7eEFTYJ8go1fXeCgQcQs92umO13dcw93iE1217QjvpN7hpYim1D3K/rf+KxYQ/rGv3JY9GPqa3wu quoG2xRsU29PHeNwUqdpCUTna5l9s4L3RXPjVaPJvUZEWCaYsnG0czQ0WJM9fy0xH+3yCXK7aCx4 GAKb65veesdOIq9EAVRBJydsMwn27YBoQshYMZEM/YYGMsZeYLoNqv5bFrIVkEcoTuSuJvKqLFAk yE9zNVYEHm50vDSYQK8Dzx8B6sAYFAEfiG1rqiyemw97qf/045FM/ksQCkazofmRjMP5KD3NLEe1 6zAZsZ51W2MJK9AsRn9PTr/P1c0zAXLjT1Ws0EHMHkmDijZC+6dgDeTBkZ/OVHcuoHjHWXSjHMNQ urPD90Hn7HFFsNsq7TETKS8FpbLArY9aHVEPrTVKgplRt8qleb5eczgKQ2UWxB2LZGYL6RYINXtW YqeUytY3p5AlC1sc6ShMFH6UkjzbIFjNhzp5vKPJ3UTvsLD5ctjG/qLnJGg3aarKOyhID5iXggVV RkuYmImno2Zoc4sdJgUwIR1JbPtVXdEDKOHl3medHlt62jAZET95RfTeRZJPWGY2dN2IahbFzRIB n9r+B6unjtm1965EcDN5DHFAD5SzEeyqiFoxdhVQQjqCamkBDXB2xt758AZZ/vZb44Ssus/8vHtq vtrNvuNZCcDTAJGa3x3T+MNLC934E0HOuXgu9OXEPgkbECv9x4hVEBkBESyn2KWeg1Nqf3NDOTQS b+95EASQ8dN8rsDISSD0eTohxs3Ar1orM/VCGq3K07BiRMoxyPfC1ky00lioa4D/RjLYCFhWGc+Q q85bQe4vhZAoid4SlZ2DlCJytFeouPvMEmINARWh2/4Q3+7PGCeEoN4/aEF0qEhO0PevxOcHM2bt eFQNcK1s9fAfMfvWs5wE4hFhVL3y15fc6CNziLj2Diw9j+m98qrdEefMH06f45te7ohxVF4yG3Z8 2Rw3BviHbHl+AHH6roafTkFCtcglClieRZoSXKhTh51wtng4fpFLY40VCfA+UXpE3nhCKbdzwIMZ oIN2RFdhWrVgaGE9mGHRW6b9VmXeuQwfi7QlcxbF2x351VeLrDQcqXEKOOS1aA+GbKHFsm/yjAef EeibjAv1TFTRok7J5AV9kZ+Yz/tbsxBOf9iHmC8X6K8nh4aa8ixCyNo1Em1s+EU+9Zh5JlIgVoLW XuEnMlJKTcn0s5CO/c7u43qvBXfIZ7vv1Xfryt4sKKuOEgCwmguEz5xP3leeduJlDgRHWzzIo5aN sg4Dn+bYJYxgB56kLDInFH6e5UmDm4U1A+HDSdkcSHnIYd49CrdCqOOm0OqTO1XJFZKd9IWqXVzu PVaKVrbBIl/Q2OepATsO0xK6wg9ZQPus1KYiSGNsxZwf764N1pIQRqdo03qQU3tAPjZ/Bng/KN6f fSWikcyAOJORrPixu35HGepPKFcQD7z2Tu8gLQiRuU8zWpBrEYFmgtRKohcAokpYgQKpfEmH71ju 4EmLbBRlhTEgLy1UQL3jkGwGsVw9CCUQdDX/YeZHU99FlGavcjx+3C+9VT3DcsQw9Z3g7MCf9cUP n18rIcIifOxRDd7qsqC887JQ0r5fvF+6bHSPTq80js+Mrbd8Jzt57CaB+5F902yufv1Edog2A/A5 AtRbSmhextPnXXOHP04GDR/OgWHGboQJFhC4pEzTCB7Vg7Wbv4EuHOm/jeBslXQ7YqNhZ6vyMqDl IDzhq+2sDNPtgaqFd1ibs06Bsj+mESB9IWq5TN6JtoHqi/L/gPpkfD6m0Ha4RObP1FdeVbWVK3MF 1m+goUOZfT1CD/HDEXlP0ZsgwaXTxwQsxYuLQxWP2TUe0pCO9Y0UAAZ2Jgb6/MsfEBMr4han5fSR p+4no9FL/E6c/0RNriu8ZmD+uZP1d93U7zxiUPi034kO4lIQxmlSFkhDpaHSPaXQaTC0MzyZjT3l sqz+vmLcrik293tuUb/sC+ZGBxEl2WMJDF+JI/NK3mkB4iS+LI+CJ9bnh8r5cIZSwUe0+J1Dlr7V rnahBRcYt7e/jz575Z814+PbMu56o1bX3XvcGu70X88VxRIhdqyz7rO7Vm5a9odg/U26H9Mz7rYU cgrLukI7v0slmO1T8rS3Q1EhxK/GbcuGSu2pi7SnoFSvrDccy04bYsByAGnFUMDIghZ0evmQpQuh UA23g+TCxaFXQ0cVci985C2wdz9GhyVjQonZIRZ/kXDCSyASspLwGAMjUkjOUI4FxusoLs5TsuRn cJqDC5FXXuXuQyq5v0dI8zfLYfZWjmk9Xhc1RM2Sr1LtKbdQkPe5+0ruRVaVAHkV9Admsc69aVeI nvs99CADhOlf0ZnqOROyesCEjXMBUnnn8xyZYo+JTlYogRZBrYqQWlqf7L1zh1fCrs9i2mxNNPB0 DgvayyXkCKK8dA7XfIyztXmMebgYu+LBe5I81AQH4wB5bG67T4CUtFbRUvPHml5qi9Ub/x/WPsna XbCgtRhRfRr50DRCCgRYG7MR+HhNVwcTHYmnX9Fjkxnh9UvY8b6y0H7RlI/fNCPbhH5EK5v3dxZO pDYz/zVhHsmXlmZVz+yuCPMbCTpAEkx74YiXORIVIxBdkFgMrtTIxtRyl9Pc3JgQSHZ/N1x++fi8 PYGG2+HmJDZefLC0Q02luvUb9LRr9u7qUHpGCTW6jOFpq8naSMpH2bjC/U7NFy/TAN89l5MIxIqi 6pUfHOBQu3GkDlQ3z1zFqufGeJ/+xFD+EyBGwy57M1p8pWKTyWLMqL2JzdVJtKQUWG6WhNUbR92E 1QAxS2OKNXw9IhqRn+b5MPFml4XqubKaTt+yePZ2i7LgJp/6cEXu3hsczfFT9fhqHiV9P5T7Xu21 N68thlyF8Vcrg7FfRmfKrjTLQPjdKCrmQpuFpHo9PQFNqMSzBqS5Eici3b0EFcXNTCsHvmaY5BkC uiVGd58pzVt9tmkNwvBUhLYJ8I0aF2CmdRmlM94YtNFZ4OdVbehFNgMqUVSVmQeoSBGGJAsIOjHq JWgEMyuaCNAOC6IfVBQcoEe1XX37x7sPLEnzoRWFaM2OBw6qAERd0lQrlFb4ncPGDVRvA9Uit6yW 3EqqsZzOHBBwKb2nbTlWT4xjokUK119tE2CF+nsiS0LlVC3OFTgWmOkuIOyvaloaLnB02C+VA/7e x+pwRGNsRqgjmYvr9TFV0k4loyFYggDvq3hw8KH3w79d5WumtuY4AlA0Dm9DnqUmnj1Sa28OuCQs 5/HXJzjeO7RWNP+iXht8P/wqHww+V/T8n6rfp9k7XY4hxSo98WJbY89YHxBDvFxQhd5LZ7mbGHA4 ao5fiibT/meijumr/mPZlmBOhclP1ISzIan4p15s5u28H8HTsft0gTfJuW85P7EoaZg6wCNNpqMf 4rCrFpC5t4X6w8fYuxPpSmcjlGbcNis1xOGHm/dwdFwOMACghpIcn0IW/uz+wQA5IHj4gU/gnFjd Lstn8cnYumh+HUQd8exXqRHYZNIFLoAL8NdTKhHpFrK2Zb2CopFiw5l82TQKrZUIcTX1WWr52GUR 867LjZazXsKg2YyV/mt2WDmWXTJbTcRhTXjFvhF6/aGzkZvQ7s4DrigOmD4iXIR/iBdYlhR546tQ j8xhG4qbV9XkreA3A4VASHocRzGuUkWlIiDpSSbg54bvzhPCs8yZvKRaKN2jwRJH1MwVV/UrdNJP 1k3oP/K9S7jGbdDT/KNKiFIWHjQEXuKNVn9NrRBs0kTFUxmIvNdEkFgFheWpp4DrCuMI85EJEGYC CaECcsMlzvM1XFj80WG3DES2/NZVHUeeiRT1vjZbjeHY3WhaDsal32imnLPmAnQSuRYOzR+sydZa ungt6R/P+MRKV+5ruZrN6Eq/64PTQ8Eu4JS08grxBwSCAnxHP7EiDiVuzDKGWeCKC96otg+mmNMb LrcERmOq95ZSv59vaR9LvTj91hSajFllygTiqK5X4g1ycIvgonBFK1DtWuNX55maXV1E/O0p3SiN Hk5cM1igA8zXIqN6t2L5x1pQjhMGqcSlVqIJNlI6Y5zuW4VtWlfolPMJAljBtvoHTAI7pV6yvMPa uEDSKLMiB4LOdOtPwaj+sGfpqvDQgZoOQMx8kR+63jrMfisUeofFhOMLv58YFHo0EoMrT/D6XX4D GOXFGs2sSXxh96FDHrbXvDSNTne4j6GJNCtTpKj1sNFgdDFBpfX3mBTisDzG7MCEx0FkQyOumpwo 3Yi5fefJb8FCg9llmAK3CIib5vYhetjhnwMZOvDRcPZLIWYO+eAN3Y7cwGQYPyXRcxiOWyDqA1IY CJFGB0na8EiYCn6goaNHQ1rzMqpA7xYcHbqoFwD4reVZfS0KHdY2Kan9d2Uux0IsXJP/lopaeMm6 hybboErs0z/yl75SIv1EEhk41aYSCbGm2FOpBnBA9oDZlwkA39LbKWYBQj8szjvlo5IVJyqGsan8 W3JvXWQM7xbnTUvBVvMUelx7BMZBs2VT1pMruEVdnm9T6qGtYdufjXeI9HfiV4d/jlA/LRHSuoUi oBaBGhYdaaTnMs5EDR1IfcYHpjOBg8UH9IPFM3hXO3Zhfv7OvqR/A4qWJW1uCiFKmyPZFLohk71M M1srV57r8D7kiTRvFgBSHI6jOZpAtLNcbIH/YP27qKeOiALjn1FnzGIQ2DJ4IWbeHCifpz51onU2 tl2UQEP6XXrsBSWFErg+nKmbtcfq5ZNdGNLmPdk9jmFrkAlgxVrKhy2w2lcp6qXFqZUu+EvEsdj0 FijiIzgcTavlWY91nCIcj/H/UqG3FdOAZ6c9cNK5Q62NtWv64AAYdaYfkgetMiqYI+NGmVVouxZd TASrvjpt156nw1CxqsIWHU5z1Dq2TakyC8G7/dObhtUc2ckOIapBPavGER6hEb8O85uauR/Olmyb NLuJued56Y0w546o6mlYtULfPFqZM/kOmB8vcungL4JBC67NuPE1XJjmt3Sp7wx7P8YyH3v2+pwN mZeSD2aMkfvG7ITn78qRWXe/8FedS2tUkBuwNHFCaXOqbjIxa5sfwioiDrVVEZMviH0DzarvS8+4 Gr3y4HZTMZBhNzuv6+OSu/1eCoGU/FElWpvdXTh7zaC12i+U1VUREQELq6yPuSWZNcUrkf9YNNT/ 0mTl6p7r3Bb7spP2JnpD2IoHejW3gsulSsbp4c5OPyriYTpqR1ceLVMEOKN/dw7+qGR1IIiWQIEZ wKbqp5TpMXRfH5uk6X6soCBcRObIKNadzRZXsmN0QacepWl9OApAZhDF1AMdbIECyEftOW+LACKV 1CX9LVuLMIiRNSNWLf9U77gXGGKW1xZGTFONicLA+hXnKx+dBjYielNoT2EXsHYcVSywl6k6ZnmJ CwHEJVdeqp2Fsm+ZuARLiExOVimK6sWaDoytzJ7XWRjCv6rY8HoJ6EUYbS+HlnvYbWOsSG/wD8o0 2KpF+H1IFZVEKr1dt22ZMu/rUrcgDeZqRI3vRc5nW1Oq9zzfJ/pFLHiDbqj/bM1XAJMuBS6SWYw+ K76s5aSpBJUCMBSRz6/RTJ/rbt43SuuHdpDFAHonLOWx5f9NniIX02AtbPZJZj0ylRdoFQnGuWeH fgc3lkSCvX9AH/K1G9Z/h+WUNM5N+Zc9eBMS5Z18fRsLBv5ZR+DTZpzIGMweXv/TtHZWiFsITpnV ZlgTxWTV+yvUPg3VDZjfnQqdTQBiBOctPmAwdikIIPVPnRGkd0yGu8wnjw9O/86yhBIzFrpDv+AW gU2CleHdhWoiIBIgYuszPWZ51EiNLGxtLDoj7PBpUrIskabhs6Z2wOBjwOFtpMovtt1osOVTi5au I2XuZriYy2241HBDT7pGuWWDSRQXrV0OfyaxF5m6Zbb5zRaeY/yIGVRnk34IQ1EW9MCBx0QQ10/8 odcMiSqmYH8ztAggnRtz9CZoDrL0dCe+jfS50VZkrP8BXahbwEKYTjbK7JguYDQO5ZuNsSWu0GSy Qd2gNeKObAxiDgmcTrUy6nsfpYLSfUk/LI52r+PuEF9tAMEf+BjEcKMmV4F7Z0ClRo7ycUrqmoPL 6eSx+IhoM3sZjUG83ySDW3RUPOg4lLYm1Kd3bsSCFoQ3XN/N8vQKks+u6zQi03I8qLWXLFiY42Gc ZE/3v79gFlSPM9gIXhBqlryMASSdshkT8xdgbI+D1oqz/RMOSjrlc2SUSkBd5W67oO33bNSNXomI 6jwvDuhWfjnnoK/P7F1LoFCq01Oie7bQuGDPKcH8B4cykmt8jEBSilA6aKkpVrPjsR1Jkn+2lczR HxERwLMW7v4gnH8BTyg9GxZ0PwNcni0fS2WxeDUSWdKhSgyfBbCaYU2KKglj859A6dq801ZmM5ak k9/Db70aB/2e3tfVzSQ5QLM3EJbFnrhthmbkCzK844EHcZr7jhStbnfV8l4xk8lTKg916b6MA+Wq 7er/d03mL4ZlUbB9AqLDoRajGGIZA//Mj+tQXnx7c9ciVmyNhNMexF2yfeq2sD9BgVTCcZj0j7Vi EOMmERtIR2Y0HwsTrvM81078bbH/rBoUGMLv6ZSsteVZMa0aTm+400EU035ds/o8hHlCYlrgjOOh cG+KG9vIw7S8zdTR8kmrwCFEiSjl/yVpvcwZB+0DCtVAEN097hiFpfTxIo99MeYIYjYLVCtNWOEI Ag6Jwdcr9c3CDurJOh01ECPGLLjMdBjwwQ3FI45YYOEBaD96yY6nJbWV/hP6gsAwV3sbPhQZTpgl 53SBHD8tLFg8gNqnqC3wx7npoz1YOEsS7yXIvOEnMqiMm23KnMzcBqmVDRpbo27t3mJdpXWyak9D lPxW3DLvh3c5ngV0G1ea6XBylOfqC+OpLR0c7dv0o5wPHsOk6qJjUcaPlpOhRnrlYGyJG3nh3yMi aYnVbMXk9kS6VvHznVbc476Aip/+2DwmoZvfL22nnBvXAZtTCYoDkzqR9WPU2PbqOUy7BKJsAZ94 WMxzug2zPTDuDf3D/RFeuP3drCUBZQbId4FT9HgU2xsSnhiKAeUO9OMMU3lxjgz8JoM7Qh6nvV+Y RwKK9i/jeGZM40iIe0LVhO0Gadvx9ui6+QHcepnjrpNegqWZ+ZOzQ3zIn9/sSzakFj+ehXt4osZx LHUqk6jr62MCL/gpV995CUFwmBLq3QOenImKi6k5+GQ2Rstnq+lWMsYmF9NPQSN28mzbDecd68D3 /Wu3FJKGepe6xWH7paaACWjx/wN7swKb47wA4TwO0vGxrUeML/owVJldAY7pqxIaOMPmH2HnUejs W2RVP573yahxev1On3/1yX1VrzsNJufKuRjt3YFzUvm8MSlNgnSHroUhG0/LBOQplwGfCsuOfeAu o9l86lEKe0ts0O+IO6rknnQpM0vLoGxHpFrZzStukTCtuGiZ6HgLfwdzaDW7PHLwXlXR9ZEo2HAy 1zSUJ8yA14c0BSGlYJctJtbJJauwD8R9wspmx0JhPuTkzYKUKrmaXm1PkuEAM2BM4/IRj66lBfez v1wwjP+VbWC4lX36lX2Nbd8lrixAWHhwQsESkE5WhgkAYzZ7jIA1j6gAVi1N0wSkb0B0XjjKPgyX j7RJRf17fSBG6PGZVkBUJHkf7BhJI2ROj0SJgCngKt9aBqV4cFd4o3oqRDcEUjMifrLbn0EXw09w +PQGQMh8q1T0v/0pceymMdAwt49m+GrdgBFr+TIAE/sgAFfxkq6p3Q3bfqQa8jLD/6k31i+G9FbP AosTTbb0FUHnfbm8oXS8sXUPNLZiDpsGV4cDbg/I8BOkdajEJV2RCs9UL7cGOGPnrehcKyuacmWH NZGA1cQOsx3qDJO9F6gi435hd3oEEWh8E5dMPQ3qiK4Hcu5EPqlsJM6+3hv5P1vpa1wpb1yawZgy nRdZ04dPct0y/p5/AsQNrdCBTNDjNZZ3442v7uNwdZU+qWOg1KYDBADINsz8mJkqXQTAIIs7lE2f h91vZg61UGgv+mQowzmujfdFh6oh0zioy1MlfcmzPhzEboSX1mXewciPAuC/kcdfQIqRmbWWf4Mc GYas9jAMRe18qUwD37purd/AXc1ttLShnZoa7J0B/xuSQBGfuyHZelZx86VpPjGDxtjYoICyLT/1 JIgdPogs1wr4ewG4m9rMkYIdvNeKyWYCYFvvI53CsWr1hPWt13Isoz920802iuylEaJqI55cpYf+ LBhOVg+LovEMfc/Yb6C+PQF/f+muDkClNt2d5H4L+cGVCerhP62MD6dTpH5fbVx/qjSPeDHIF8HJ KQClEMTiIJNOenkeuIX/FDQA97GN+6VZcVdNOfgmSu89hML0LiUOFS72DNTtsvZxCm9aLuvXYYNb e3tth52I68Z6a7y3G7ahRjt/Y1H8EtpCBIEZ8LGpbuIzVDcveEAEAZhl2DVofZpG52/xK3tuzKqz FJNfgHmIQQoVoQ06qbbOClwlUT3bBPthTPmx0aej7axH8I6iagAPe/oJN9Q3KRVdugaf7hfD9dp3 x8J0nszZwKRsIma+h0syVN9N8cIK9y/9RfCSJF4+EUUDYhwW2Oj/AODkEaXvZA72jmImK1Gu0Qqa k3gXSWoxgMfIaV1v0BdylNAOifJDcbonyxvFEppi1rlFR7u6eJKnJmWCEPGzHQoKVBPAapR1Emdk 3GidpET3oq2015odk9sb47rg6EBtWVP6K0ZWf24wKl6ExdgxZfmyJKQbVxCCO3Fxmef+ZwvtiQdC 7fQOoaYZ9a8sWyD4xCUDGEdbSd2cwqctE5BBQvqFc0ZsJvKwO40L96CmKysHxW/O+3/QMdVeQpl1 jbxYPTiNT5SO1EEYiRy08wxHHv50bvlv7mlT3P3i/j6ug2WSpmMeSQ8xdEPwxXCa8wD56VREZwH2 YeoLs3mjEfT0t4u6g0r3ClkbjxlT3sp+m7uNGW28wnBUet2+fsO5f+Q1A9TAj9HQq5tzqL15HMcy XPbcWZiTbo72GyA6e25+pXqcJTdP3VzasepdBTKihO8Sp4PurD+Ay01YFu3Mq1ppTqCczCYIe9+X aLHtJGBZZJDu/ltRFFN9l4t5bYi/S7qaCq7xUv+hYa07G5JOdFzB89PLCKzE7qpswmFXYEZpjdxS LD8CA74vNz8AfhG0bmbIZLn75SsXuHbo8a5Ibnxf6PORdFxNIw/PpshbN0MMIAl+IdMNrGg8t7lM oa5fP2AiRxmCDn+nEVfwfyF7+AaVGBShnL0uS1kc/G6K4dxVFTGC3+Y7sVX6WnapPWeCBlmHULSJ zcOuoRjGlQKC5qJTMeK0WTmUwy89dXMdP2sLC0KyjR8p71gbVTycnQbjY13I2corRFvbLD9VKqGS xSqGYAacRmxZHhvEELR0LUC3oMIsw24idJIj/y4YP1XT9WSiaIDttQHK/R/vHkItrLS2zsznvNHS 6hAZdGDXKUegT4sZxBPOlbRy7KaGsQ56C9kwx7qITBlllDOchgkNy+3ua6O6fxVsD0y0C5L0Kdt/ B94zcHX5KTDqN3QrtanTpgpT0D3rstYAYgn3YrURx4uSIIpN20WnRL7XSoY4Eb9JTGVoTN0KJBse tG/u2LKUlPlP4iuQ7apFC56gjeJX5RjpyIFf1EMgqUIj8er+kzyEpCpOp3uKiK3ii+NjmC6V1QRf gnmiJDVsrZrSIowGWOsOCmvSdgIMSYr9hjzpyKPDSDqyCD/xn/RC/otRM/K0V/YE0BQEAwVzuTVh 0NwxgjFZIh/hbS50GTGunQyF9/YLDdpJSoSXnsOVRITLlLf3ZTRckZ0z/J6TP+c7UBC23CRI2mL2 okMREs/60OV6sc544VLPkoyihq/0OLx8OdadN+EpxNCpH5XM+iT7caRPKNXNfXXKto9uqhCGyC23 CEUs023f1ec9/56iAbJqRjoGJpIxiQC3oYdpQzaF59C1dFXPhBXEZF2bePy3M7t9d8yNJFRzDKQl 7Z5c+rWKC7UIe0XH+N8iTn+rcJuRzVFmghmLuJDArAhc5C0eWd3qFFte6kLJl6sD8sK4rYsfA5sd k8ApvBQzx2P4l4ySt8aup1EA5VeevZvSFJCshK/3O/lDzOCZk7cGms+i2e22j3DL17p+m96PRoXv yBhJ8Oo7FWbt5q0dJbnY7N/ue9l1nRjqGIGtyH21II6OSDVkTKmFtHjD5nH81NbzrR0nZMJ/wiPa SKAHqhZVB78UvHIUmd7n8AqZi8icUIU8XxWrE9jiPFu8+DjCwiy15kpeA1hYJfdIfZgzhycdAexW pfC1kd5iXmN66dvUsG6GCVehJ3vHbDgWMsfNz5Mog7b0op4/NTnQD9C3sBPlo0R/Yfct0kbyG6qB 1+IicjD6FaeL82C0Ea3GoC8twXxLb2hngp9Yi28UqWVUvnMM7lcrWK/2vcWpIizhV8+u4yZ05d6c hJEqguTCkT8NodntCAUS9UHuAKIOAd0tfB68zfgHQDFh0m/G/KD/fOeop4ygRq0Bw446BZXuCKzW p6rATpk1jpWhv+/mz1OZm0smwOOZVw5vmvo8I6zsyiXLxxcs2x60OvYyODet84BfzvfUTtCU+QC1 pjqaVfLOiW0MHaGOTRuMPFEXVPtYV2VmWlp9mFCIGs2/CfxR/lCFoql3MiWJbw2gyVa/KhV2fFXT SAIYqsZeUwAE5Hj+2qMF0MSLvkKjbjYMKbwEBIiaQob2RFbDHQGnA/e58oCK7VSOSIlxJdRY3rS7 Waa5ncyTDsYJ26S6pF2hBR7BEUTSv8uElCp3AtGJoLlqQMHuFNN9PnzioJVfgE2kuqFaaMGdOQ7K KfpYsH+CRyjKRBdSVfz224Pv8V7pYUMbZvlexsC5XVQGk1a1f0r9lJSXj8lBryXiWzYYSgj8yw4D 97J98hRRcjCIr2co6u14Oru6JbC57n1qqKbUnI4nzCJtGug/9f0tXbsS2OFOcOEIMtx+w/5YMK3r 1kWODPV9s5Jv5xpJ9f6hYuue+UxFsUD4Lmrkh0iY0U3q/qtnlHEHfnxx/o4U5NVVcZmiZMopcGh4 gTC/rqvT/n9Pcg+KiwOBSboGsgQO1IbQPWi7ATQh/2axmy+yphXghoWBzSkRsbl/5x93NIba+uRd SmGjWCfbdWjPkoUMvsnCMqCdmEkVjJ7s+heX5w36CeDr4fAyAEg3sL+7/GABVA/Ml+Xvjxz7kVmF wvLkn3IoxvXceJUCLdNedlFDDI0f0pt9lVAfBwWRR8+urRLFDi/1rj22zr0224esbfyTt3MQTxZz jCpjo1HU6dOYHxDqueIVp5mOMfOL3UaaIuckukeEnP8bLA49Mpp/QM80mzzNyRMGnWpupsJAEjAV Swu6e2LeS9uGAo1IQ021B9QzPF+4s0GSKYQqhzp/AN4XEDFitLoL0QvXqFaXSCYkj6pIWNrsx/98 /HuolTpoVt1xjgMEpkZOWWesXRIvRK+uQ8EgTrF8RXyktNMeKq+gv4Q5Uhi+qlcgnRRxDQBIGMhj hgAqvVCSwWEy4BxkPUvj8KOxsoiht63S6//8O3nwXL51hS3BbPyP+NlEM58Lp1q+WDJe/g0a0BcR SIguT2Z6nAblox5gV+H9aCKJabYLmw5mbCOMninRY7iHRqSaxJlmW8nBGBCtWTva+r8dezyYBwYM 3xavhOIdI9abXf3G4eYBx42mYb3GNGEOzKa6RpNA9T0tfwhgtlfhY2Kw3JAPMDy6WIc60l8kN8Zm L9id5zNccs/bk+EJa0BHdUrkbP/ucyjyCbJO8+mp7+aGxfXgtyyYKipxiN2UKnYk3KNHCOuUwGWP eWRP5KYgm7CyaBDAtSEhRvkHB/h8h7BGui8oAvudY3P7+ImQyC83HbpCp9i+zFxmOKDiPLGl06kQ 4hFjPDbi7bwJzUietoLfaZVvIgocUUcNoxzy8lxuEVbrF/gcc300eCWE0xFNvjBEENrkuav/+WE+ uXViLkCBclMLfLYtfKvg86fi5rjW5ulA0bpzw+JOFFn0g9ciNH7mMVonCR8T30/SRM8Lci1h8qJW 7u/AJ2X3qqlStv2IMOxIFhi7FmjMW1C/8rgnod2MxfWOdvzpqSNT8qLC84+ub6+bSt6Cf9bBnOn/ Lp5bnkhB3afY3aZt73/3uIT3m8oNwIowFwtwrHgtXgmDE6d6bvUCE4cYFWZPtLU/n0yIqOjoBc8Y 0yzuPu+mlj/dY5vOL42PEpgpL2BPRFyylLETmdEMuzQrc6ETTRUsnMGvCfwX38lklZhsUIoI5N6i mImBPZeGHfjYV14B/jZ4+H5R3V6sinxEK1V8ERE2B73K9HfAltUiVYqKRNymeCxSXp1jwtyYHA0U RVhWBjQuZdF1/ZukgOnIlHfB0NS742WvYugs6kHlNxna+z4K17GInQtew0gxlSmLQW+J2F21AhPL im5ZGMyeS9JMV1xS/RkuiSM0iKhX3FKc/WSl87O7yFUInGlJR2h6SvD+QFz1L5B18XnkXfaxmkA3 9s05KLHX4naR3kfyw137yFma5tqPp5mVtX05AJYqGL88doLvy8KwlTP1IYjNt7NRkAf0XVmxH18H y5IkYg9u+bMmRam1yrcOLakN0evUvfG1yjHc+BCfwSCjU/6XysQdamPD08CrAT5j77YBzBRxZRZ+ NQzutt2y6tdy9c7b22lHtNzcJ6j0Ei5fdUns17/0REX7RYdG7ye8rckTHn45T2BS8jDUuqbvHG4E grprYJ/F546FP4Jxm3w7nbAJutF8m7XN/XX85ZqUGwBGKk+uzQ2/6bw0s/imGLEXuvS7p+3tdXWq fwBgNqMoJvUiA1bRtdnY43SE3sFcSxCuc0qLkdE3tq0hv3M+8nzz74Favj0VaIqJP2ld8rujGTIA VtcUca/bAKYMZIqi72DpfDmxtDtlyg78do3YXwQywQ1deQHR5lhBWhvu2oL48trHRn99UqKrtaWC Wi9Frf5PRKzxC3fWIgdnQVCz9sWAiztJcIXoT3iWeiRnChXxsZLshjv1SEaKGkZrnRKQmNVeIMkW JcKN2tip1EovLArzrHRnpjeqV6Jggqr7oPPyeCy+L/rmrY0th4looY/9f5rGNRbNm/5QyM2pblPW SA93BG7d1our9YZfro/0zrZqPBMJuvE9BD1VB4B9f4+HD7jgbZblPSqYScGJLIL0SnQUglwr+rT6 UfGmXj3GKasjyOMfgbAp3kECovHxCNCUKB5rpuOLHOe8KFat9SLLoSNbEd8d0nx1ic8xsNm9YZsu Dggzhhuc0WR0JewZBXHcUMwSD79SmsbecvUHQeGJf4XMdSi0xkvGejHywgaql5XvWserwcFhoEev QLkkU95Jh150Yc1ktsEy/d0P7fgvMFkAbSizPjyLMQEk6Pj6/AALUXeR35DGRJLB6qD9MxQduN99 JSNizCdpxWQ74nng1MoD7zvu4UhRoO1C6BTLIYuKkWjDaO5vxvkhTe05vgwNu9lWcDuEqO1nGv1b tjmJ4PVC9Ew1L7fvgzpykaT6Y6rxJH2V/RbT3mYam0OpRoxrvV8GAifXq80Q2eMvswv2SKjolYIJ O4IkUe5Z70uFa3v0hJPF8pIyu7QGLTwylHNpGAcFo5yxiS4kjd/XmDEWOA1oW3scOlEmvyYazStm oH/bfoIDB+lAvPbJ6TGake8gKKKnC8rPh4sKUwkUBa82AN+BlMKtvdiyUNLQKvaJdApn3+DkGnId Ft5Egc0zGFbk1ANn9it6ssjnqjS6iFwhf3BYm1YtM8cTVAZyiq405tG2Lf8e52VZDTWF95pzuDS/ Ebtw3ftE9j/c+QVnkOQ9MqELzQzCfgn/iHBnoWwyhczOAisaGogPKTpUsQwtGI8HPY/elVBFyS61 AIonliieEZSx5kXruNn+OKmyTf6fQ+1kYjra8Jbb+4UKx2+gDA78zRWaYpFYp3eSA7VqB+IgGApE SSWBNpwsLyflqvypnB61LmiA33dQm192VDAgsRgP5sfP15K98oLOxJ7hHY8rnAphqrBcjugh8Vj2 eUh0YB4sZjIX10Xdb6rHmJssrdtVKM3lNFA26QzwZn8vk1LyebtAZnU3Fyy1x2R2NKjhaP5Upnti 0/tCBfj1xPfdx8FLT6JqBiwQxCFj9O2gIWDBAvi/6t4oEvlgMIe4r71jjBwRJYdSMsOSXDjYX7uS wZsxZ4xBW/kbibP+JJsQ0S3ycPMs8dYyqYF1J9xhV1pBkmfrA8bAcrad5PYCQv8Y5GfzGmfK5Jim 0UJGVeYM0Xb0nus+yqN8k/WYGqnRLApX5HSWj0IQt3w1Xfo32S42+D7+JeFw2uo9lEWengmvgocW +nWXMRdY7Zz6KCxxFo2Fn7nNNgYRBgCxSzGj7XUDed5Rkvh754TffQ6WfrcBRO1SLk469Zrwx0TE hVlp7lUoneYFrqlOVRwNNuYogaLm3f7K/S7/SiFYphjlHGyBpYqfZcOZIigI0Cpc1rZT6YfWydkN PH3TMginiQ4gSGjEDOnv7JxF1+FcnPpNEgatq1uTR2gnlOKtayNgXmvVEjyxLHBChADSAj8V0qEx EDX1oyXtWmkiL8783nb9xobnPsh3iqAr6mXpvX4iaqrmdONYX5zdOhpNSj8Wp+GAYNUjs+qVsGKP c13p0g973Ckcvgcs60SO40c/V2BxnEmrWFQhlUq19UhEpdSPjFLRt8RxE3oUMS1l3JenJ/s0RVjN 7+ketjfrFyjsp0grb3z1lNxp5cdk12yZwkBWdyuYyvXs8V4CmphfPvpP7cIpsgmSgXsvSyDmQhBe +RjWollPK93q7VIQSF9A60DOLTUym4pUkJUPAxfBpDtcc/qIkxDAQ+PbHxL5MpNIgpZGXzkrekJn tPAwpkUDhYbNRBjSUwAsFmOgViRZpTedVETMwcmP1QR3myojRwle6iAVdo9CSGrQefLfTnph2vDH B5YXf9tfBNbcdn6Pz5X417PV1Tu6DL8d7vRalzHhGt/LBGeZqMxvkxAb1x8CWrMfExqVcNWUuBAl gEIl8wuEoeDfgubE+5myWRuJHhz9JY7aJQNDSBiI7tV28eVu/3vCOY79a9qnO5njrpw4hNmp2FTh TTHnWdWq0vK4Z52JKjQI5vvr+Zelrz7eYveBsIHa9/VmqMD/c5TU18JQBhofcUwXHWQkqyHmhqhK 93I5m5SkH5Cl89OIUQY9+z3TOX6FRLYq3JSrCeyt4DGTMiJBmxaZrVB6Pk0rGKWuEqcWnwD9rlWT c5nndCS1lSVQ/92scdRnOpSFQF2zB1KbV6KpzCuaWLQOmLiKdJjpemg2lFTBnPlrGBpYqFJtEi6S wI2kVPDgyH9p0JRebx0EIPOt9l2l++lTSvR0DRLLOpUDjtrvJybAayy8qNL99Dr/Sfbr/RW6z0TM WbJsjS5F+zHoOoiu/6QjhurfFMboGInvj8uzv+7b4GQCL1saZHDu+QAUEt2CwrDcJLHQ3VawvZU9 UqTFVRp7Aw5pDxAfYcUanOcRFdIhAwKZVEe8mGcxicbS4GGB9LIcEUCp3cBtImeLpx7lDP0FAeAA CoEH484bg/Je3PJNfbhDm6qCJtvbt0JbvQwpcRk+kji4NDfaWZ7q4dMXofVUPPn0c0mQz5lp8lNA BCAgCIdCnass0IkBi4jPx+vDTBKbAN24mDRtN3Zdm0+IKiUK17fhFYIzbeOj9gsIgvJ8bFVvKEQw CCiFaHNi7nMDQ+nMVXJ9V2ZEqhCE/9lo+hcpis2Vv2zswQKEYUgZlX7eli9xrpqEiS8VgxMmpTRL jAglU/AUaBJdjAstfMwiz7TknDhTZihOx8p9kAnCaAjeJ3SbCz08aQzOcq7vx4U6vujKRlxCmoyD pimCVDs9QVR/dGCc3wQjhWuPxaTG2V91aXgo5WwJZJ2/1Riv1kXM0u5ZxesQmgOfX4Nh8/iZR9TE pp0NX9VZ3schMLdIHEHNGtDna3AnZB7R5l0hhAUUoFSXOUaf1Rw6dNzZZiWdXmfH9YcI1c9hWzYL /L28SzixwFg/R48bwTUn92wNOT4YiWXWHasSNTYFNcVlyHsfmBaFntDSgb8ZX2F45+Ed6PmpWHdq /S6OaZVLGMg378ag3cPbiuMWm//BQ4TNBJaVWlo7cD5BYR2muB+8gtAhyaL9wqHKGfbQycyJeW7F 65ZrycFaSG9XzQ1njbDwRdjW67OMS6M5JIfJ/hD6jB5tq0Z+OV5nqNaAg+sYd7jPxxmJFjyjMRRB xO6NdInk/Uw6/3aQezK8J7byYSLh98HT+uVaYdBwJXO1jUObFE3+WDalHYfIB8+H7ZdIME0J/5+G /1vYeHDFFBX282GxH2/AZZ3vqRFseBGs77y18coIBE4+jDwNV5Lh3uBt2sjir27mZ822f+iGvCmg Xp9DOKaO1Wopqk8YB5IJghJRYgy2XL30gu6eVuQNqG2Q2JmmqU5nH+b/5YS+2GOWtEQWfNHNyaX0 qTmwQvFNUzatnNpqgTEqkvlbwARd7GRNpnxp88+ptAroOkImu/Az3/zRkKWKK25PFiR4BGfD97sy k54uj4rxzxY2Ql2AM8bcRxbbg9iBeYKcqt3AvRYMxrgbxQHnjjTLiIXcAMnoC0l5TuLy1NBcRVU2 obEN9pVQAU8KnH1rD1wDpWBURZVK4njOB0L/jzrrUwMbqswf7Xh+3jfZiVw+LMIxWytPf0A4ZjhA WeYDKxUPYNTKWyWZ22g3aB2YV/G/bX0Hj2FeM8Gm8NW/QaIBE7psOVMBGZH9zbaKug/9SRvB3Pn1 g7nt21BKdHEhK0YMmQR9VLrYiZU9ZX2ZYxDpIEOmkSHc02VbN3bO01/lU0tA2ccwjAX7TrZlUO07 2ONHCoS2zb1sr0y72kgZuexznyXeTmiatSH4fydfvYcjJk39deHiL75x12A3vLSZxXS7QpKI1YPZ ldYnGNr0p1Axc05VRDlKaUtv5VQZep/Q5AoVwurP+0vtpvNDHLCcxWuM5CRGGhxrftEt6w+q2cOS ZaoucDFcbDQ1ZXsaFOkTXNhC7BoJqKywKHCJMbGiqmYTq62iQeZBCypBX6OWgTBYNdrM8o9HdeWk UbarKOwMW/IUCVg0PbQlKNHiURMSeL9LGf9kKQ/chAynKjVZrIN30dgLIorffz4cYqJ0H2q9c64z pL4u7WiMUZKfA5zN3YSrqSFWrXS+NWwvmP3oPoMZM6nWSiTAMOAgaZWJuaGaoGCFg3gkJkXOc4Z8 A3zjNn07BgwEh+kbiRrNk3x/4hha/HSz9s7zRw6mYFKOXXYpOc5riTREl1NqSP6RjsAQEo9e6lZd GM/tGre8K7xXizeBKC/8vTbdlZgeVgwMqTOApY/TmkIS1bfrQIGWQjgZ8jgEamSC2ykB6iEHkU+h PgytTKuw9PbmlzZrEe1KgHdvyXzfWm6XAiM38EF6HE033O0DGeeWfvdOEDwrdke58nWclj34cbnT C2ms5cGD0KN8CElQZylTjxnIzx0CYB6ZWR+30UsICDkzBIYVmnFk8FmM9+53g1GUywL4qW4le54N F3e/7F4Swz6h6FlKKmLxCb0cIsf3qiOaOJe7hBYitgX6kHVEt7VJ62YeByMDKCstvpom0XHsVBMj NkeHyCI/FZfg1kzDTBtVZ8OJecUF3GLcmxZ9bYBLHyx7XympUjhQoc3Y6QrMBqZILJkINTsBvspb PPaAXDULtWW+9yagaQUMFclvThJHraNn6xhev/hU7WaACR5ZLaPn+kkgHhdVqvPNUYRw6dd3mTDe SRCTMmMRXCQMCv2oNjjeK1EOKiyP0fQ3c31aJ2TArtY68U+GZM/waWT1ZhahVD73q6oHd2oJF4Rt FERSAVg6ahE9Hy2mmnPH8CI3C1iKb5Wyv1lyZ9Y8oA2AlShMAoIcZkcupXdcH9Lmj89TTPwDVzYK +1nxPSJjvc0G1/tWt10XDWQlmUWIEewvTDE2e4ZXjVQKILV8ir4YHw6T6Yba59ghbB0r/nICWZNg 47tozVMexCxoHPD9a7uJNYXbEeKa+4QJ45SKCm4Nv9GjaXH5ld1VQ0tbYPCFaRc1XpnWZNP8wTsy X7PICOG+yEYJzWp9h4V5knOT+Btz2MmT6EXoVVZKERJNJFAHC0wBEHN6tXJ0l6AVBpuNxmHrk2YV 5/GFu61y7i5OTiOVwqas1tsU85NYfyCy8xXmDiuWHXS6VfOzcm/GgDfMaDdOSktKv2lEm37WNpSh AuzH2gfSerboDJef0cZNLKk44Qx5cRH7PDPuA3Cpi0Qf8fhZ82VETd0dLRoHQaV6UpVc0n8XFBKw FZmjM04YHgnngj8Dj4ZDfq0qe7QFFSK+jWwKmkD1eKHMSJ22w6ru93KnmG6DAPLKpWCAqPJq2Mx2 2RaerjoS929P+iG5sM0Q6dEhKf2q/x3oNO2K5vz/FhkndPnItuxDd2/eifHHyvP9s5hGYr3pFtsR rLNHnFudtSn6PPh3sC81eULNuCPUMgDj6VOMdeER6WUVMeHHTRv69Cb3YvJ/h2sG0PuMj8rXPgp1 oIBUlq9THI9VoO1N5A3ArS0t2oPbM7DoNU7fznkNfTLR5mL1wZ2EsHsEkyAjX42+uOZVv2iuZcTO ZEcz0xl2p+6Gtv9Ck6RZ0LxGVBEAE8DI/uC28Tk3h5IwS/iOkQD+GzJBHMr8ZVWBeh76aptSH77x 2/g8TdBi7D4zjgQA7RP6DMkRDC7zxot51HaT6do/nYwDAMwB0iV921j0hUfaCnjr4yjQYY0nkftn OMneQ6zr4wUC7Cd0zfYuVDo/kzc/QZZVjgOwqjkG+B3ePTY8XSyYItUosiaCOpuMPbIwYwVU8ri0 Eu2hAjAqGBTQrcxXVss7A7txmvxd7SE5NJTJ+lm9TvhJIbF3h00363JuHhK16GktYJdGq3VJBVJM TrN+eByNB04ze08wVQrAcwr0z1PpnN0Y+5bTbyL4LcraBoOFo7MOdP6TqdLi/0eDWQnTzARVAc9/ LUnx/bb8dDrCmsy1SGu9i/2jg+7FAhAd0rgOKUS1psuUHiQruKCUBx/DPxHcR/M+RXOe9UkzFvGO OS0TTeDR840e7t3XvcbQdHT1SORgVWNJu6xL68p1RT55Ws8sCOMVuoCx9tPKpZCZCeh/LndXfvtC aB0beZ8hOOFRUlPMb26ApF95iFGFvtIHi+zdu4aXTNOuSsBL8BZzxPlbRzo1dotB4weVzXWLyxTV tF+alC868jycLJgFMNIEQiYOv4v5hYh88yD9VT2lUcag2o/0TcJPz1wjTytOQEIvHkikhoHyAi5N otMP8ZlYtgg9FliJPEV1VmJ2GhGBc1SipRe6o+q9I/1oexYAwB7WTj3HQLtMDlhHc+GP+0kNfLUW LYB5xQSCPDdHiwpEG1ErroGXfb05mQyrwJn6B4wcUjPXRDj5AilvZSsx8aFMFN4rlB31C9t7KCeR ZfFf3HdA+NMdS4PMxDrbNqmUjxaKfUe+bne0gUcjpmAMZ7+iEH9SK9oDIfw2G4PMkCyfEnPuy14X Wu351hLT5erN7Nx+YysYbYsW8EiTcHOE81abiONixbdBVCB8mWuVfK9MrPx2EsB1nomqOCq8koqm MnhVKlsqfZqljTeVulnYsRgHeMC7KxwcEt62jMgEy80/F/VEchcJ7O2QwTih330QLomdDyji3JHV gIpyhwjY0Vf/BR/h5iIWfU+ZP2BMY5J9hPTcwaJKubTun7xnoZw06krk6AtgaTM1itRopp1+FQM0 Z+w71oT2Ie79pLMif/G1/mQXoFfThGUbSs0vmyDEnIdB4VdEogqvCYPUnvMAYaxPaoxRPZh/Hh2e lDwEM9ILBFv+gEhbmmOlVkQ3STBUedWw5bsXr3Qx/Ip8RSydEhKljW86oBxgFcm32CO1zZs3AAp5 g8iAznr+Fh2fWQw6oo5VjPZw4XbSiKRr8zL4moBVImIjc7/5zph3qPhkgxvKZ2NQ5yF0h0Dk2tHN 4REoKxM6FsYAH6Iz9HSUFPVg7LDzmsTbubGiU6vto2LzUi8nFEWHKeysV1WUsrtJ1RDFBXCXUrbz igD02bQBMF7phZr6JM2EFb7I/bYs7T47VXAzHpgIJw9BN2i37DAzxNDEz4b8Xt56o8HTZUYwYHXL RjLSk6rnDGcw18cLPH1Ffz4uTroW1aTRgPE86KrfQjTTwuN1YHfnGtZrnGMjSUIKXeB9JgBUjL8C Cd5xKrMQotPJWHMac0x6R4nAJCB+jx3bk7Sm/hrPfRHNFyo5jjxPNQZ1jthim6uTjMCDwnmA1WuJ upLfvwGwXXDKihuRwzUSXE1ksyrYq+du/SZDQ8STl6Oxbl4wZjDBR0dgzNSg6v0mM/RE+ji+mt+C Jdw6IBG7ywAW4sXJSan/pATgwJaN98zXe4u5RgkrRRUPjq5VYMEscVkYO5C3dkLGM9ffgKVZJC+j PXF/HTsrBhxxjMBOEtbFPpwvOMLgw1FSdMMFdkwrFDTkYdQ5kJvWtZWVthpLssrT0icBHSbgxr4r pb3wX6G+lo6Ij0EvyRGTGF5pIZy9ruuHEJg0HclspDOZdd6n1X3AxGPBoipOkr6zaAWJXEaMZmre 6yrWEWHdFuQoCKJufq2sIFqjm0FxkGPlkZHpDeF+hQhxdvoNgBkfWU2ae2pEpUwn2RFeEhM4xz/R SLDx95FQkNqMhVjv16O+N+fDqIubfIyHuNU5OL0slCEowVJ/G+GXAgf9FaFAeinfJRMl+jTYq5pu WtROMUgHvdTzE1RGh/r26Mvfi0v/rRRdrV8TIP+AY+lV+AmgMSLq0kBbhwOWcu+miEx/gT4kSu97 gS3vv/7ZLZAK3kmLSinw795kQopVGIqu6SU/fImm616JCZKu7GIfsV7MnwFnrCRbfBWPdT8gvmTj znoYA+HOgQfzcqOuN+XUN64/L4eVqr9eBCCIfedLC0mESYduJ5n2B8m9L+6QRarnz18ptBeADAg8 xmVVaQc0ntLGiAPiBRX7Lnu1q6tco9SqYzBV54TBuD+Hx6A+LCFouhsCeE92U/egRXVeTX4q3pzC IqT1cWnh9zGS5iMHxqO8YBtEXWzcn6yLqKmW+8MABWcxbM6cV7T33D+tMs+MoILPrC2HitJoYjYr E9QBcttZ7lxCpAEgIwv44zSqAR2BSteUXKUu0V+yofNlXx6iiBIhQfItCyKElBsBiNY5QyRztujh 5aPNJtFCnyHvHDXgELy4n4BN+8rm4JLPv2pHb3FUtXt+5Uyde+ecs8OshA8GkcPyCTOTi7zPkhYE Y+KHYx3w0HvTH4f3isDsfTY5hZcCBGb/rbx8kdo+LmAUJb82qtVR3EpQ+5SsKbi3/jjM7NaIRTKG r197wFS+lpEi6ReTYm6ibqQvt1jDgRrMyZGbH8YnZhnUFgAyI8OC1ym8LhaBXyIixEZk+wPvjSJF 2rNOmlixj6Hpap2x8VMea4K7bYUUyBWJMwGh+f3JIEnOLwIhWnaTLSuf7nj1+vlWGeVcGKeLfHvm p8zr/V5IO03Wu7JYIKU1f/DXfPoAXSonqn2kkvyjQWuAWjsxpgPQ7x2+LNeDHufhEkm686XuEZg0 TlaM8rLVo5IsfE8K8K/YRkXxdaMsHHCkN54Db3TEqEWjzPdP6ynNOrgOWiPXUVvIGi4hfDtD7PYQ HWwkhcZX67jvHtfPJutTMu/btP0MSqJVHMylsO37OhpgRs8dXplT7hMp6BH3buF9ZyM9bK3WYUY6 spR5qzHeqK33eu30Zww8SgwYj63hKByC/+pRVSYX6syECmDEWyOqcnhL+1epjmaD2DWFz50JotLj pgUYj0ZxhVx3M+F92btwi965A+IIsOPK7ZGE0nLBuHTq23ah39DDe+GUA3wYDasnFWKYBtMiVvfH znM8he9++pBNGcqzrIK0agf47oshriUCyzn9KBjh07FY1kKqSTUtk5jIz82gNu1w1RBdPanKhkef 4Te6Uj3jaz7FMxaAbPrZtElnqbl1DABmXYKWr7qkIdwg3xVetc9cMlgkMJWUSWdcH54XNHLbTem4 +i1f6DxfO82YxJH2pxuIA1lf4kuA8707h8/pGBYQBoLk2pO+dwrgblPG7CPU6BrbnTvLuh2kf2z6 aTg6rq9viyeKs9hBQrRRde3GtjoJzdBcDbZd6KZn/NQV8LEFuAWRoFKXTxsF5OS3lWhtcqgkEJjs wWEmHQPR4mgYTj59ms722LO9MNamaYOIaVVVHbvX764BTekDt5m9t1QX0qeZGF34MPFzWtkoyALA ajeSqFdVwbFgzpxBJHMN2Va2iTSeluoZmJC9KYckKtQFet7eCCavyFgE0mWLhpdK6qefZGMQ5bZw nhnwk5SUFDieoqBXHySKlqLlitjE46KTDQqcdZ92Kxg5xw0uCdVIvV9JqBS8wifON69+2QmloHWJ fHRbaMKhuXM4x9izpVdjU53272olrdo8OHdglkRiP50ZmXCtK2f2ld8AxSKZfjZssJGWsVKy3yZJ GoKKKwvrLYDz0690LGx0JjvGsIY91rAzlPi/vBPQLKQikcezE0DOPb2XpSdGELTZ99HBaShsEEQa qURABkXu+2i1mf5D/uy8gPdYpjjQNDZOxGfAqR3XWHnGxbjtsDLvW3jz+6IWYt2etazqeF2SE8Zo qfzJV2vdWbt9/MIQ+7molgbwglXyRR8U4xbzqvwFIex43sVUzhFWtUvGzyd8hb5QkJSoYLCR74LY IUyEIlK1j4gywh2uwK7XwsCmxLFw0kpq/k8IoQvL55wfdCxocuwp57XobtxWlBJm7WK0kazvBFdY YG621ZeUwe8Z+2xjcm0sxA2OVtPjptuLKyINPGLz9g+8VOI7EZ+q04Kod/PT0y8v2+Daj2El+K8w LgsO4dKvRmU6CsyRB2Yv2sHyxMmSSsKiO8KE6ktH+Tkfz4WHTIHhG+PP2U/xcR/NIFM+hKxIiuoE +ptT+GS52cNp76fMoXKt4CNERfEzEyFqSIApXcHfDnFUkWFdf03xCRi35RHPphBO7NSn+9Vq1Knd 3qn6k+6KVLTR6AFRPISKz98ncgMuz8ONiKQ2OqhDKFT9oOrY93UuC6/igADj `protect end_protected
apache-2.0
LabVIEW-Power-Electronic-Control/Scale-And-Limit
dev/Core/AIScale/I16ToSGL_convert/xbip_dsp48_multadd_v3_0_1/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
1
73491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EQDqRTFU2v0Yr4ayqnCPWtZtOvmwqvkP0Xi9isxy2JtVyIKS9L7Wvrrkjz2Vu63BA55BfHAKE5x5 Pb0s5EPqQg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OifBT6nHozgZcDQWxGkfvVvQ+jUft0Pli2Dww9olhkPpIC0ivjVW/s7JR+L+P8WMJWv5lLBYUO8o IUtJDeIGjm9xpDxku707rwzpukUbcH0v6tLSaFP/8WA0uG5uaM0OlJik1KcNpf4GnhWdWrljuLtM /Xw/fmPusBCAjypI7W8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q/HCqeI7pjLZP7dTRc9Wsm0ELyKVRBTbBIQceFsa7XBrwSB/Hxn+c9ZemJdK3ZQqnTgalYuqvGzT rgezwqTc8fC0IfJykmk+kJ7Tt1HAD2DU8plht5HEfgDVlW5NYt0S3EMFjihMwfFjRhF5Y23oRq10 ipBrbE4rlQ+tx6yRDbm/BTbIycVZYZWY1+5eTN2a0ZzlBJkL/MUGtaar97hacQaH8EnTtMrB6hxe R8qCgPnes/+Eas1kurVGcpZW7nGEIBHgz9x8A3Fu5+gXZxTz008tRVrD08TwMaArg3Y0yUxxr9FC 5GsyZ5fS8fCECjX6zWuv/hbEbAYwMw5FsbVNLw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qUbKCxMXw/nnIulYkN5pe93HmQQ5N3ma/VsYjdHh6IzsScZTOE91XnA/FIXOznDfdlDJ7l56wCrD OV1RIEQjOyYByz/RfMl8YpwiQzF4Adq3BP97T3g2FgZyywAaw2p+pP3NJxGHTUf1PPSaJQB52pVV j8YlkVnGzaHwXMVAkuQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Fd3OnEDpF37ORSCftR3VqfnsY5rDUuZleCcIBB3zorEz5/eeLtCPdzFI7aHMmcin75j4X8b5NBmg pLcIB4yDkzRxSB0GZVzH6dGQ6To8T2UW860zTu6AzG8W2MAVgJ//Q4wrelF68iPpuCeM+JSgRG20 OtFkOHqBaOeJW0uxYxh3SLZFPi+4zJHZaH2s8uz6e34hLVoCCocd3xqdV4SaH9ohsctwglEkjAws eUFgVHofyA0obqa19+4glTSKH000l5y5Y/FBdNidKD9OaAbSu6KYQe2iKAQF+rG+I8i1ORu4ME1Q UG+FTLWF3eQptYgGPO1l+wDJ6LNKgIdZWc712w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YqBAcMlmStICdrufAXi53kftO4qBclLx/B/0rL3TZvNv+N6RXZSOQF3NcRIeIoKDOUEsasbr3Q1F 4R9e8bPfliUoKsPERwqTQNShWSXBHMJHZl42+yT1D49+x7ALuyegtFJvE5i4MeAGXLtu5E1jdDye MhratXvJnnhtLO6ix7Hu9jJ6pCV8hlfKB6UxVkgy1ELdJuw5K/B4ddltYde0eJnwyZE0ApH5HSc2 oIHfQAgbMIFf7h6+0OlFYVf+yYPvfwQoRFvJ/5WsLcyEIzzYs+gRLoYx0qhh+kwL4nOQk348TWpz lKi9OSHc+sAGQLf46joESuhuInipfrkgBi+Sbw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block Yatxwr91DdPkx4SMx9Ly0yryWHzlRgNwS1BoDI+GTB0MFIDMVkrtOqbyZcn6+OWckNN2Aat/Dwsx wsMfhduWop6a2/Do/9q/UkvP3C2mLl6+owI70Gl9nZBoZfdonboIPxdx3CEsflmmWyM46AVyRad5 OhWv47ssY1CYw4+W77UAoTdR98GDZDYtb6S0yi3LQx3m0fx+MsA/qrDAjhZrX1q1eSz+euI3tEHM 2oznIM9xi4hT+e9OX1zRqVjcn1Dc18IpX2A1DSTe+msmbsbfzNHJnow2ZHcT2d0/BdVMaWCcEN5y /1j2fC9I2c4nMiGFdj/QJZhGkE6R0FA5AJyXg9KJnadT3TVXALuX0ZWqKAqgvo/UdQlHt/9oVjlN JVavtymQF2XAai3GFYTYE1w5J09riPjtrYe4XY3Rl0E9OrCv8mRxNSZeLLjzsdnphiMPuzNYmu+K gq/KBvuvMHJeTKOrnUtEQ2XJoOLlkbSMauzD8RIPHCKoNqC65Wz7ZIfZS/5wHaHRLOEnombowXNT vtTF8By/U5VIh48A+pfuPESHuRxWc0cZIhuhRQzzW+OxGQ1DgfiP8hWlHK9Dy8dFc2DBq8BUnXit y8nLrWh9gF+mLb5NhxMETJPWGy5VQCmL3GKp902H2k25UA03yr981FGvtJCPkJ/e162mcbuaxN9j kpCB72wCvuUGIOoRpmhr2lrCAu4U435Sz4XmvN8kgs/BoW1H/XXDtoEFkBOjYAqp/Dcc+Qt5ymW5 JNkyhl8bxjNNURNm4NrBYxxZDyh6OXiI3DEvtMPuh/Df0zOFOX/AI1++/LgS823h9NhNLp86Zfnk oxxLWMb03HhaWhW9yr9AzuT4mOrQ77xgFshXC1sBxrrk8dXhZYCux/QDmNPXejRrV0G9GRO0ZBFb 7eHAQ69bb4LqDLfA63opCy6cNEsTFrUSd7xj5WVNMsvLWHj7VTpPX1Tko56Npm8rTpdfzvBDNELJ Hz8o8l/kzVB6YA1g8SEfJ3t1g5I6jDeMiAjcDSohzqVRx7ny+BYJWInDnC23qJecQcPMMeqnBqBx UakQ9Uz5CmT8dUVptN+qpPzflwynFGPtCntHNMcrO9iqo5HE7JucLL58gUIMMTS0xRoJbV5fvHBn 8xyrzb+WAm/+22p2hDCavmIwBCDKVE8VAsnQTiuIXV7JYwX2lKk1RIATiW5AvlQMSe5GvZBOC9wV Oo7F/Sb4VdZTXNAzf/t4dP4dBMVlfUmfxlNiEgnCjsAtyP5Ou6Zjf4BzJ59USljwLOoCFus1IQQL htPISeu1LJXrwuX2nq8RaCgN34RH5GBFldRr1nUIG4s1bZETgg2p5UeSfg/IWi+Y/0YXIsFXL67R 4juzYbAc8BjerkH9zISIHervJajx99OUKcmQWYU0ooHRNZZBOnj9EGZTbEigAMU2I7rs+T8Tqt0K 4E0F9aFvadMLQ8M6IGt957MXOYpO790Xyse8EHQsG7doyZ1gLkpTbVNZb7KYfDhtNlxWcxRdqbeh Dl5SJz570rkewS03isA2V4naDnsnJ2mADWYROWbtbll3jEfWdM9ViI9bh6gUv+GljICDR7R/yX5V fSaPim+rt/QkZ3TIuEUtdzlfMmCbYjoo6IcxnyPJeIJs2h9y6P1MU8NsfXXhQDO6qV+/xLgXnfKZ 57HnR2+VSfz6wcS9vb2GNgX0145TwjydQFqeR5jweiKCmtBrE+9rUQcz9c/Lf+ZTtIA6Gu42K+ig QbtxrUHsgcDdMTVuGVYPWesbEIB/Ab1p+WHVkTwo0Ht9sAgYgsakULfNNTh54M/s14b5gLq9reYb ZuibZ6dRZ+f8Y3HGG8tCZXSJg0L4Iz6f6EDep4X7esjQrSaOxtJHSgUC+9F8bd7dPA8851ZhghQ1 ekeVZ1jvdx+pxreAgRY38Zsd97JdJsBwn4x0Wj264SB0Cep2Fc97TnQNzyoItPzosWl2fpWoM3hr cbeuGbk59OD1/90vCV7Qoiau24McEHcmVWX/cK4VIQ5oVdjOfJYkpE++LM15M6PVMSsRAVouhU3W I4SCbbcdTJpntSlD3RbySFytvZz6RLMv4c1n5QgkpxS+FqQD3zXTg7GX8/lRJulszPvyUFABA61P MIFibGXkf20fS/jWW2TbSsKiAN2ejKg34vEh6z6VQrjCVKeTT6FVTbS2nrR1frB4bb57V1SuDz3G OnqP0QSk8mkMTwWya7OWm+8XsXDto1Yg7IHf/ezCOgp65G9X2pa1l87z1VqcQVOG4idwgYC6LR5R ROLi/iwCWVZdx2BF0UI53T/HkH3ggJU1CdIgf2LtukHQ8XLy5SXBSs2n98LeG2zNK38atFy336hF muFzsva5PikK1bh0hUufVopNhWFJtv7egYkqLXB2FKR8gbQaVCzQYNzpp51M++qV4tCo/zmc5ay7 y6Iv8J761lTjWfR0O8qKPPRdIJICu5eMmlJybNVAY1EeLybuTm4//rZLjdarWZmw3lvq4w8/Om1A iJSM9rjMVZBusAmw5anBipgPRXhr4GLwtbAlB+ARqUMcVKUZxAJFbwgoruXpAlbCjM0tr4Ltweuf EBBjFBSpJXHCQvq+GOCvtnCJp9LB37v8kGsrL990HKO5aucSEcikcQxqh7BXh2HnIw6ZkopcZTgV cr2/zELegkuYHzKmEMg/pte6qwClEU46OhnPWKdMa6TBiPKum6NX/aXLFeK4LJIhJ04kBtdb+OSV +YaGCivn3LVo7bOSammD+fFnbAMz9LlmFfn4CUw18BviOs+avr1Hy1uhL67JKYLyO3ZF8sC3wzb7 oktg0NlZTtJYjWyRqhcEGsot1RzE8GbwW7prqJ9XxZhnRj4Zte1PmEuijr0dyvbiXGkZGSo0oLgq YzCNRrtDNhhos6zKvJ4CPqeBDhg81Jaf3fOsNTRYdY6PpPFAXgBF3P0dYJ+DDbMUYFu9GXYNJO7r jk/SqR8hCgxr336soRDWlmwXN1gsISB7MtjyFvoxaTYZqGGpapQcrUNIX0jV0kpNhNqSxBVGdbmV fz9CFVcPMFqMuohWxUKgmtGTN+FPYAJYPhkopPUId7j6Csz49qGDLNZdrbPC1Oa1bTGi+8qFttqz jJxWBFHPnc7NOFI2DPIYMitZwp5xxyFnqBivDwfCpWAaUlG7o4CEOSm/YKDpi+ks2ZLkl4iYwQ5g ALTRiUD4em8XdFnB9NxuzoYLO48RMzg6UF6VHONceF75u8JpZJ4Ga2CRjmoBM0jN9Cu6st1fbpcy b9D09s/0Spsj0fMhozPHTajpVN3F6QPUl92QwauFJSjAZw6Tkrq6Uzm4mtijSn+mX9t8U5zDAype UVTH6ysLdg7dE98bQ74RhTZhpcg5sytKkBdl35oWVe7zKymuLjtz1N73TpFkJkAW0WsRuLpx25Id 6rc5SW1o9aVkMtdnr6nofkGyboUBGYVQY6pnwmsrOz7Ts/vCMCIk795zMJuej/1bGTs3lxbkTahf xarB+hWPi00EyKWV8kiaHxq0ULqt2UAAgElMo2jc9RR40ChNEM0PxakVVj7nejL2gQ8s53PO7aGR NcnUddz7+dHVWjvEaqII75SeD5iSoTb50Sr1bYiIU8S+j4fMBSpe5/un7ATLmFw1RbWMs9lkpyiJ rsdj93+C6MJjDisdJrB6zgZNGbVFoNAhrVUUDX/WwY3npqAHLZZSsMWeFlTkoXdDo6UHHMcWLOw7 kUxTLV9ggBtQYfm4m82wr0PD3CoisQwytvjkjsHXLR73V0QdSREVHibb6+cSRJnU2cV3iT7ZBMy8 CK6IqZCyng/pnZAhdF4mpVESZ2x5bLSSgRxdbvSmTjDmWahBpqUtVVeNxLbEMWVhHq5g6S7LBPx1 Hh2ECD5qr+ZIVdTxI6ypwPSveMplIV7eS9c9TaV8SRF18FzAOiNhvXNak88b1eTc4hpV+YYj4Hxx mTtdLDyDJl24N98PdYWr0gZxSg8e11hHvfc1OPjjUAV5Nx213yls4ofNom2BTgKTuzuD48HN9aLp VQ6cyFSD8/adpoRJxB7WMgSKhfEBhj+mkDJQjRthi8QQG6PGzy83O8mTku0G7pBtJYsvUZYDuU+Z ooUzDm2JwFtOo54MMIQkvDR8ymPVTpia+TG+PeOdvLl5iECxhDqsnh2V02gkenq2sixaVIEkdr3m l470AGubroL+aQYKQhvWhmBquJJgxbbq9e6oL/Qxda7eVscFfuZw4BITDr/Y74DWw6itPWhUETGu JbzPGXBnxddBm6I3RRG9dsZtdw/DBXUd4bma92ffs7GU+FD5EY3HyKCY8vt433ZSy7aR+m3FaTi0 VdCbL14TpSFoq/VQm2Pn/USu4R9dsm8HdNbcAp5mdXp/EKBIjiBwsaBsGk/UbHiYlRBiJMfPUrCY Xq1lXJYnwma3LnqVALLN+8v58lc4G63/EoW4LdMr8q0jr9ZaX4C7ziKn/Cbtwod1YOGmYBZGwYM3 vtID4QQdq83EPx6h05P8IPfr9yEcshZ35idch7P3IQEvz/u093AeGqdQQ+DiTMn7pfesHranK6Ty aviD2eheRrIF9SmQnYSNchX5zD7gASKntCMbEGj7H1wPmsYlxonX4oqAUPktpnrhnXHaCzQOwUVu x3jPzBs+q8wVfR/jYWBh52KmYIQ3pP2nqQkvphhlESKhV0FwUTNbcU+zVMUAwlHaUKk7NRx0icZY GAVxZDY8z+qON11A53v0UWIKl4N3VFm40LnmlkUzmdjtyl5Vq8HoqCa8VKlf3vS42qpNmeBDlNp0 NQgaHYNVrNef+FXne0yBxJ8dDEdnOvb1G9SXpPHJmCRXKkmxBCDdFWSAhwqq7KsQ0a9W4FI8qRuP r16aOvct9VzAmfzUs6lIYPQNkw4drODwZHk2kk+o/UaJ9T0Y2+nqupgiX/sR39dRjLMbtBbSLuV6 iq07xXBa/NIaIoMMYoRocgdCoYLQXV853qC5UPAKNkIbWtem/C30P9s5ec2huSRHVfCa2oO+eGo0 tpUH6QdAYsShSrtNyX9/y0I3IwMvDTqWjraOGbnHO8WxpUS3kvYuLKCaYxQjCN/0oFVCaOHzkQBg LkK548+QmKrlW6S3jPlkiV3kiVeGc7racGCbgXhJeLbHLtENF4HcNh7QT04bOjY/TpdnLbXRayHY TE69DyvoNVWkPUFHMSk1C9kix/U0ZK2As//WQLsOFIdxRS0JKKCO+Zvng9I41/t3MM7Kz8bCm963 Zz58Sl4iuZrsSkglNzj5bAAvulyTxmOg4jKkOXwXexw/TnW0uo+z/95ulLJlOeQMTS987zZVLZvH gwrDReBg0XPo9fary4S6L6+RcRwBNP9OMsy3gNaeOLkpslCHwt9qaS2t4CCZap0IjpSV+w3x5dS+ H8SnS5+iUi0xMyFLdE1gQqarXSl5ZFNh71xgjoTU31KjSgCmES2p7LTNwa1UX+Ygwpbq2TpjmrH7 h6wV2CtIWvxQ+efNXfR9Z6l+s51ohTvwzBm0Yn8cfDy7hC+g/LxOSgN1Km5ZDJCCn8zhDQf9n9kI 9YPDE17ruVu73b7cUbqLJJ7Y/vqDasoqq30RSRHEmMcap2gPlVMEYUYXEEW9B5THycOnlox+AYEb UIHzwexY1l6GgZyRvyBQYY84D/62+kMMPGcgcdjYLZyqceRN4tN+6L1LIJnZkCf6u7KHyWyYETKD bVJhZLYOOdq0NoXL3uKuyv0/jU7MbN8akCzFW90ePvzpWA9yMfgdYdEpHx5NKsiDTDvKq0R3YnZb b6GO5TpdNhFgsRm9lu6e761QUN67fh0zkZc+a8cOJ8/eWHHLHKucsi6O3XBo063lYPsw9xqv9Y2w 2n7cwTnJL7X94YnVarOy2iB70rXPnGni4rDJO7AB5c7BpFKPY6zs7CywZ4gyGjlWXyPsXuTH2M27 KAnPa7WDhPiWL1qPs8mddwtIboY1DvFFEdTdSOEQiPYQikT6lH9XM84c1H7uxG0t22CiaMV+tFvA sFLVODl3unlzEnQdI2eSPGKt/fG9iZASyNlMvDTHSDTbOkTj/p/Fyaho/no+AfTAcSl3hBsM9w6H C9cO3VcLhET9zopDdMcCEYZMtP9oCuHEysTibvTn3hCM457u6XXWfXHrOMXbcljohoe2/jqQdSZE CFodglfM9C90jtm3lPI4pc8GU+v0EmA5IYyu4PHCNN6Ha19wCErYrndva6PvjjD5mMgGhOcQ1Iij Ha/56N3mffEL8tm7GLDHUsfNsKFidH+FPMJ5MAQn+B+mr8pD/7Tp9od8fmkrcBxEcBWvFdAaI9RA YjUbnTOlLnQzZvsJCwQ5wEAqged9RThElqJ81HcOvu99KM7f8QOCyDNSZ7FCCNsiV3Ygdmot92qJ A+A2vgPl/TlO1pIy1kw7vF5SKHAo0tTauoHesWzQKHXc2T9avfsQJWe2JVXr6oUcJ/BcI1vGrV9x r2ifyJugqEyf8yEBffP03n6tBc3RWKrNYi7vzGF7l0RvpZlw98KvbjUI8oiibFBKS5t3q4RGrg3U 6t85yOTW/oLbuQfNLI1V+R93Xbvn9E2dVABreSKCqE6gCEOY5nNngOF/+MafX9Zi4UAoX5vh2BBt dkdWERE1HYuYEFUI5sfZkHFFaGBG3F3tRBPfCIU73Ez9KdVq8sO4wW1j8+oMTS6KsnnRQSDajx+8 D4Uky16U3NrqU7EGScbvdTkAoKNZznWUyeVhpm7HvMFG9j1ZFrC4+lw20qdFmb3GXpjZceiOf+H+ fT9O07iCYG6vCmZHLzsgeug5Da1CZOBAUtGXA8G9c7WhxLKO8FGemvPae1jerxdSwbF71w/9dI4/ N7oxiVNvBhTkxw4S3jnw30EZl7yd+rn1KqlEOPmVobllkYHLmrWo1gDJF+XZ5OjPwbDg9NzQKJOQ 6wRwVV9SoCBM35+2CP9V0u3VnzZcpL2jdUr3Wx7OthP0dnNLCyNqCNt/H3nvbRmcpzisEnjXzrsF hBuhYzjCOsJ4JRt7dPmJwUnMhrUnYGqpGtJ3wYhTVVTqwPZ6s/2fRaZdznIMQ+MF1DHHdrkXMEj5 mg0OzxRsdXxENd0ViIAVb43d90DfLqsicv5TPrmhkMve3yYz/30dSl/30vtl9QIRKIPOWnK7O205 hCB9Srr7OSSxTcxee0lWEKzDwHES/SLB46t0BAxbNVcYcnRxWooENWJiq1F23n8a1WDZ6E4Sc88p JVWwzgiDJ09Hq0NF/fuGQWDFIiQw2s+bQQuzhC2L2cdfKRDkaLC7JRnPXZjqeQLZT3PIcrNXvp1U HMLQCB+oHdR6/ZKkljfnNxl09gjJ6+8We/fgbARtf+KxAOxH5THd0V9HHj5u0n8Q7cwyqlbXNEgr 3hgdkxU6Cwv1xAQXuWjzpC7jJeIm/XXGVAaEGXJzuC3MxwVTU0eR7EoqVVOf6vyoYEGr3QzkejDY zHUYCmaVyHt6CtiSuUmnwxWN6MD+/YqKWzgqmD7p3pXFz0QooLX/S5InoRYBla5Atb5soC43xW8i Ouilz1qwrk15Ir/PJhG3aW+Spzcmz/eMJEdPDK5ovc6HLDOtzSJjyBzrDSWfgOn6zbLC9i7caOQG DilVWIFfkdxDLpnWQCNPvSSbX/vw4B5Uok79RrKrZkP1vByU+OVwKVrE2Qk7Fr3FrWVJMFuSXPxN Fy7xzxo8dheR1xF2BtTI2RFioPFZnV7hUfjVw4ag75TmTTB0vmuzKaWh4cvVUq+vjTpusj3ymjQk 5mbfJpMhvUlUXZiMPZMgSAC/PJG9cgM1fAO+9rtVvg4clgyolpIll2+wo4+DJ0S8J5Gfz0L93QXi OCSe/HWyFE9i7q3GQgCO6za1E2r6VCFWCf9IZ6/r7GLdILfWfcxwjPlYgEac5keYFh13F2a37B3l TDJr0xORfir90poa5MxNI0t/4/Da/Ng8AOvUwiCyK4PNGM7ys3D2KlRA5n0wWt6aCjb2L582j28Z Qo+XsGeOOoKzCjtOCB2PiavTjLePAO6bMmQO42NE9WPg12LeMcLkaqERrJbKsTEyyXA3vvtsC1SM Qw2Mtbxd9lePivuOgRmKmAi1YjzSv3tRbkEmjeftrWd2V5wfapACMxVCphh9TQRDuTNuVDMy8aVB 0AppQL9ejN9tBIu5n/zyC52JZwcwfs3f3ZWUhumDH4YaiEIuNCnAXzEEdLWDRzfIAAlKT/qhmkBT FpXgw3wqgGMfybPbjngPH2C2IEyF4NdSzKiioeDUeKMTxPF/XlxhHrhP5pIHsmwiD462e+e79UM9 nMkoJQswC70mR/NA+XjNLhcVDUnKRPOu7i42BR7/5oH0KgcGCqUpMFpnX8PgTDSLSUQaY00CgRZ2 B/EzcTCm40tu+7THQLbIgYVGxutZOgVVRAba2A0R1KMQvmqlShu52R7Fa+u3/ZMNPrLzkReZcpRL 6t7DHTRXnyq7amaYkHeJwsmneTtaLuUqyQ6nY3xF5ZbLcbpihjPMQ0mooNNKE0vL2GXXd7IlSX/o lDKVoGs5E+5G4pN2VoclZR51lP6Uibge58G/UwoYLPgtgOdOxaSYA6YkF4PZrl5ds83Wdj20x5V+ H0UL/3L5fewLbaPzhU5R9n7vow554K8PVc3zsNKlMetrZ4aDYRTBHuAZ044+eYgOChqdjTzS9g0u GraKijEZn5S4mqUfHEjVgaXtSrj7Y6cTkSUGIOwNOGMhrTwHZfXx7dQcxF2YxYR/8DoUCj0FB8gI +eX7vVqFfyKoQ0kjGREZLzBMPaPY09DVYeCCjmgI6OYIHmLSXPAC1x1ziVZOGgFXBIX1ucI5U+wR bzgIPBVqqyBfQT3Nptk3eiQyI3LVu5A4njZv6zwb8kBSAdaP9xlEddQYxrpb/VntIWDwkOTHHpHZ DExUDEITOyKmdtMvkvclBr4HKO7Nul3ONMBLLyP9aohu8vqtf3I39CfPPZTuj/KVudbkCW7Co5Vr U1F9ECC1+5k1L8Cih3mHZGFQbEePHZTdwqmLECiYexrZaNVl+oDSkj5m1fbj4mIyHDH+pYF37xJD K4Y5sxAof+2rnyyqDnKke/ZcDfZiT5wXQh2t96Et71z4mFAM+pZyDgN3+LZAvdwTrwv1DOrgsb9H zYWxRfyhoIOCmA3P0c8MPahFpY2PLNeKEfPRl3fnjEal2GrqwkKie3NRbsDJMn9V6uyhbXL6nSU4 EyBd+Lfop1KvYBK3d8T7FUXwUzK0/nP7OPbYh/SUxmjDtFw/FJ0nTph/KlrWYbl/aNFnDK47FAz4 /uSE46IcQD7dQ5GGG43jLWkSZ98GZNiWyyQGRsqXvtWqh3x+AyUnayAOWRdVSV0gXlqkBcdVPRc/ CboW2SZNsXsbbUCExnrJ/fM371QAejLgW0L64OCBkOHAX6ZhZmF8CAKbrlkGcWlVPkMYx9Om1/g1 hPyKx+kjUFrKr8zwg4a1FS3aP5ks1vYTGCA575o1Lv8n6qCnnUN2cWY0S7gzhjA7ITPgwQWb85eS 2kqGCzlG6gHdyo6ta/y+LnRp50ROqYhAkwuxwYmYSTuD+03iRncu5YMY4tmmcGTbRHpOAbI0eXfA tWr1RUIBkAoJzRgBiHQfFlitYs3LBFl69XAtqXvSR0tiELIbr6BK93JuZ4GOwAypmWJcVGTp12Yv MCwCW9tEY66G365uTD5qo/8ss/fypyVociMOYzyWh2bKYgGM29r0g8CHQZFb09r2AHN9QSak6CK2 vBq1TtjfjV56f+J0y4mbPNcVB/7fVnT3b/yqp0D9htNecJMYb8dIfsbO0N917dnBCoT+4WZ1Zb0V nW3YwAYO47qQ3SwCxzrflBcxSw+Kx1qKh//xE9cc06Lvpcy0/O4m8b420JhbZSE6UTZoIoYzvBFe auvNS75k9q6NQeavajvvx3vAeisykz7e6fnSVBEv0uWktoIxjX0hwahukYW+lzDQkBrApLToXFhQ vnGA4W8IC7NIksr4DplXQbqIRfU6DjkKUQioVwuEJQdp/8OqyTrqloRoqJsYS9/VqNdDLhOHRD+9 KP1lm8qn5fKsMKTiJ2AIRTDdQrNJl/7mjflAT5K5pk1pfeR2e1fwEQz7eeY8KlhaC38KSqTij8bY t3RaCVOg39a8uwM2wgyU8L9pNWqKcVPcKeI3/GsMjMtQ3DqFLycP81u7hOcFRf9MfBZZmMtXbUPU a426OnYsKFYKk2DZjupdn2lt0QeRI4wUoYO/VmZ5isGTeyQVb89X+sKR4o+zkXXqRuF7ftp7RCNt ZVdogOI0GZ5HcjoKnWVBfK8mUgfNdeFa7Zq1430IdHiww8HIYepI8bxIoTJihUetnBzHSOv2YoDO 9Uhu2Rf2hw3eoP4P4DBAwX/TZQ6idtN0Q7q2202IrKNfHXZoj3TTZ41a7YHVC6wq6vhkzbA1W1aG 7NAWv5hSYNmJPwROQuV2ojy8GyaJ3B3afn2uVmaVG5+GLREvh6TmxRcFgbD4d+nbatdiSHzhL0Jg SNe4pWx2xsnDk5GO7hiAlzJFrusvQWVopjAZTaHXOL/oXYIu+u7OHMtGgfQOOx49cLASdynQVtcQ pC1Uh3zdVWnVvdxBgeqSG9vahdVC8lxASbx3H3I+vsPHLIPvVlr+qnFoj8hmf5bbA0hkxS3tB5kJ geqoHp4Xk+HNGWrrA2Gb9FQGzfDzPLbG/hgDzz/tQnJDpA21QeJjD6UxJqH2jBJsoQNcorCkYbAE spPiaVQH+UTPHyEV8xNFuVgyFcfSFrmIQcJ1kVvC5k301WA/RxYTjW9AgMaUzdJIPKxZy23yUBp1 O+TSQIbBnkfGyw5siM//+GG7v9YY8VPBecGj8S2O4h6q96NxakDfedRgHXWjXt02rYQ8fbUVjWRp fr3B5+fWYlvxhyP+T2YJyrF8oKAfUOImXmB+OBkBYXPQaa/uc6TF46c455N0iD1/Essjk5guvgMA VGlcQ7ovsQNo2fCHFvqzTLh/o92gtfMunU26N6exu+5XcZFS0hgYnG1F8FXo3/QYAJ1CeRursocA dp0mJ/9PD6Y04L3bfNlGy52klFhnk3Z0ssGGB+4qjoRhSv8Gij+PIYi9RhduoHwDr2lsnqL2s18M 8LwzWKX4oWXNAPp8CCIijjB1sZH2bqBMM9YhWLyt+OiJwPBEy0u7SeA7CRhSJ3G4TYQoA0V4NkIw ElgZNKzMtYMT5nJ2nvSymmprmno46a1ZVnfyK1bxLeZuwDKiWm/A0Aq+nR87L3iixdHMFcE0bdx0 GxCaCArjPDbRHariZkS2WpyvPX2jhPt1aZAsOio52ayNSmQO3qAZIgD4/F/6HpoVc6h9dz3nIE1l 3dHK2gKmNxl0wnGMIthoDu2Q6kpZ5hbJTH4cdXZXOwi9+Kjr54GJwsUAjUMb26WzJ5gb5niM2M9W NBtgxHaDrKP5Kzzc1T/pZD9XfKQ0YpKJkhWzZ6SfD10HawZsR4RfdnB0pPlO86IEXe1Heae3JDZp a5n7GfCVyIaWMS/ZVSja7RmLcFOtq8LEjaTOsWg32vlPg7lPwaRlgJiIv5OfLZDoXaBS177QxLL9 Xl9UHSE6+RadDJbIbLiHdWsdCHA+mf4jdcLE1g5CLVWwotP9inY37VxYQgrotDBrf7DmUhCGJz1K wAFOrfnHE/hsXUHv3Es3PU7rpGYC78QO3fd8+AATHgsm3qxX0Sz43gTuxneG3xJdGxUUukfYy6vc m2vXykMKSkIzRbHLMwjQz3qpWxxfJYSt2QlMJExPUP9tGYWiIyoogBXo3bSEFPa94/UmkPlpvERR WMvuwrB1VhYfxRgar/qoeyB+Faf+Mdqb1NaxuToQVyGB5UmTkNObB7EFKmInnm6kuytEc0fWlkFb 50AxHZGCM/eCLt2znhog2OcXBqQANq5Kbdb2tgQRTfYQzwWEKZzJbUszln1D0cos5ej2o4B5pcvo 8Z3ILnfpsUEG7fpUUAQSyuSVo0mgHsmeaFc1UgxYWgTYFLx3XNler3KFpoAvb0KktMxy1u3Ug4wI 17pFLqLcl9BhTNu44VJkH8W5B2fH4+XJxqlzBfeBaovdTZ/Wyrh22VNvD734WcZNgJotnbLHsxkJ 0TbXpkn1NA9f5J1/XsPg6oo3rEGcjQ8sC4p/MIoNJ3InGf3bMFRrorIWod1sSKjlUD9cGkoNTh8c i5vo+KrBBfUIA+Go8fB9uMBy0fwS1wHPaljEx8e1Ei/dPAoM34ShLowTm88pRQZPXiilQJ88rdwr jOWExB9oHR1XEs1I3qw/Tw72FlLYg7U4kfe+3IdiB8Njqvw9iCh3rsV/Vx8tURdajKPzfLXb7j8z bGdz22q/Aa0C9iVSUQaD1CjdZGc0qFPaVMjE3ypwYv8wEMUkhCdPVWrGnHTHp8m5M4sJmw5E/QiG RQva0JsD1sTqouXp/4rgImYRRFBYeUzZ7vycQMU+5JY1YgwTt8utjaVmG+Bci/yNn8x2Y3tfOuA2 +EsqpK96wkn/8xuAq7woDv2j5L3f9b3MJFp8d4CzFEvFVfweC/Qb6+T8pGOuYDO7JgKj8lDjNVY4 Brcg2ZLGNho2VWHDhDJ6rnB7hw4woXjfDyaMDGXlTQ4Wcyr45iML6WNMUhmK6yZ1K9s6kVI2LkjE FraSpQ/QQq99atWQarVD0XU9FX3Jv8+vlwHvLki4oHeabIlMr3YLXbR3T5ppQe0sd6dWn6vo7du2 288hd/x7N1diKluQZuoOrRqHBLNEBRTlQHtmZZPOB/3UYIMmALFjcfaFDVjhGDvokzSoX6bj0lTQ QK5LTgB0Fh94XtTR2MbCBTuZLKvrQx6jxL51PlG1FxfZIdGkbvxdbd3hfdIQpVG/kWPb5nbyiiaQ uj/Kko9nLL95FW0TvtGwHqrtCTLu9C+MvF/rdDHKDVBC+tWEvFSnDScHvoeuIoojXO7RaUG0oEbh r8ZWJV8HQgKcZQhqrqEtbR6RKEQG6D81uX7NXzEUbx2TzS2ka5avbovFvUPV26uLrYPihVLh9Ra/ Djsu/2lpimWl6RwHwg36dhe2hflJ3vhm2J33tacX74i+HELx1344V3A3rhr9GGtaYANGy6/3eJvs r7xcZHEkTPCNMid5FiuC1q8Tb6pM/edereS/nS12euM3+bJFN7/YHT4gLpzrm91pu27FlTibZLme BgIFAsVlWQQDd4JhE4fYI3XRjpid2nDlwb6i4nCYvRIqno/wGYtZjbn2Q+xchaUNPfheD6e+6HmK hh35kXMO0ICMrmyN2B+YUtyVEvss2PaMeKumBSgmzuC1gbsTcV1agDEpEkUJCXRT4KYnM25YD4h8 tCKSaROWfuHUE5olbSVRi2rtjuZnj/b6fSdR5i8+cut2ZLC63GggLAb5BzEy5elA/sIVg8W8251y XcK05YIVmcsawVSkQHKuZ6WjvO4Dml7T2C3g13U4qIgRLfHtfAMY/XbY8MksKa2x5goWp073HqIn TYz8ZD2TQl82+GFaXAZ5iUibbzV83uhzcgM13H5mPLDCeBNkGIIAOYVVQILf2VQ6mtRPE2vwR5D0 ESxbFQim8kCHL/9X/oNTBg6mekS9UQDYRT7XN+mD02Fldm3p9YkhSsgoZeicQ3PxxhKmOM7cUU77 Uu3yFBaG7vzbKl7ybIlgnnDgbh/3d9mdBpgNPgJR8AAeUXXoxn44pUjJLh4itN6XXXOlq14G3P0O XN7qftEmMO/48qvQq8IuYzpR+1/8V8OY+4NMN0whCU10kP3QG8zs7tHQH1wEO0tRGUAHpaL38mp+ BrPhpcrKRJ3lW79aEmhK5VE2S8u/s6pWdVdHxIPIezhtZCclXNhz9vl52tvuFH8mNp/rsjB9IFyg M9gNUKnViEhs27j+/K8MM9bOUNwMbhZgKqZ0svMteKihLd8eL+pNX7xmPC5x5+pOusHBLKChrrWl E0+KopnIQYhRvP68om65S3MTdUdZ1nwHOykRPMkbib4r1g8a5mt4v39y5MKZZ5W0w9kjpmNTSosx jsYa2xte0cjM0xRIGS5EJ1IIrFItXqwaEompokIYvWbwV0kuhTUHmY5mxwO8sekW61ESz7UwfAd1 2KO7MHormGH2+YjjA2qDpKkLwXhBnMfecMkUEc2jZSTca1YIP+BptAgcWGyCJTxmqDAjreJexris 9ljBbGUsoEhsgE3Xah39su6URiR0vPO5/n2prTt8VetFkdy1wN35i/l7jVlP38bSyBdsxr5rc75h WIg9k9jwIJvxErfJYzHa2L68ynYZvwpR8TJ7MFU0zj3kde1CnCJCqLdfVF8Bw/w/HpTjT8sXbPA1 fHw0Ayt+9exad3j/Kywwi0NkeDKIDSIJi9Z9lXUiagk1o9W9gf81hP2hp+krr0fDIT2z8eIXttJ8 U5q6zo7zHt5ZO1xxGu/VrWOwMZgWklnhsS2ZPjCIdgTYNUXAqgJxtqg+FoU2ywDlz4hHteroC1Od 1tn39toIleej18JWpP1CHz5embk8Exsci0cp3HSu1ScLXGpXz7EzYBDns1nhxrRzWhXfS8BKyRs5 1u8jtxxUGIu3WfqCdeIg1sIUvFNBUr30x7bxt8m76+AFJi4k/2/qbgJkGOCnMtEsq8MKvRydjR5E nESmO93zEPTTtzKZ2MLkKsqV8ty6uJf1zhIGu3V9omB5aK4gzY0xxDg0+HikSVD+DEsEn+HAw9hA RlHz38oyFfZehOYalHDNEVMQ/kep2XPbrOyEk07bkmEFxjryq4Fb7Wa4P+7R3EzGAsK3osGiJAHq yWdCMMtna8ZvnbUiCFf0Coeabt8cIoUk7qLaMuSzSn5dbcOQhe8kQvTVGL+sZEdYu9srVe8WjmYI dPQzWTjhq4dLrMrkqmdgMfG5+4v/tCflA1a7wHuePN1wy+kvfG2bG2m77KJIm2ZHjBtyQ0eMPXmf PxsPVQOSDNa+nf5hw/RJ2FTbSU3UFhgwxRGtLUw57N7dkLlA6RXBqM59SpfVWAcV42d0oyqvjSvg dMYJkO043NsrhVfuM18Tqs0jAg8gx6TuR2cCR3copxvSk7IXC+OHbSFCk6GuDN92xdA2mjF8VXla YR4m4AkOyZ9Csgze4Elj85qCGUO4x2AnUzNgmdbLbV+ZCGD5R/gaE8TAYfgSXOP0qBHCzfgNVq/F 2uL66q76Zwehhco0KvJEaFtNmE8mYQqTCrrvZ1ElIN1u1FdVLLU8ZcQ2wmmwi5Bwgiqu0YmS8+9k mi2hd8VAZnjHEfkHWv5JJKoF9ACnwnItenI1hWxDpWZTb8I53qs7o7MTFoAAQ/NyxJG7LJyxNcln ocDPtAtVVl80hEwH8yfpPWRJAc6/6/dXv+rSRQLWRGivILXl39YblI/chtQHf2yP+owGyCAmZQ++ TMlm2zanSjvvUpNclfqE0n+XEGT67ADo0nzu/E+tOYRqCfGbm0AmBR4c3aKLASrDJjgZOU2VKrL+ x6s5B+n8THYy13GQMLqBDMuGVfXF81ZReDaYHPDQ23utpxUu41MBuwWMZvTIYca2ihygXYorBfEb /q/q5EPK22h1LHh015CIw73zArwcxa2lzPBU6uDPsr3yw2JBAVQbgixexzJJmadSmQIflUUV2AQW dXbndszqeYnMitGdCLSBlsyJ7CeC3aehZDVpd5VPl65YtcXwfaU/KC4z48+X4dmiDEQDGxFFYKQV MQl8GNCnbsp8X+1lBpPQWMBkjq8sPSJ3rCkpN/civlxmklGUMuo19ExqdeHO797xSbSaiSWIQf54 9dOnB5tZKycDmanZG+bsjmlEZXLZQzBTyM5Q0fu3jJ97iaP60CQjISSF6wG/DvZiOqHbs5B0Jbki 35DKsaQMIQfHqD8DXcQaARwgDZuPs858y73UAZ2/iXfIG1iXsKMt4I2WpvBRNepxgtg+x3WSpYJG Ny0PRnAmgA7asAKAPcrQEFWT3Jk3Ixm7v/fPA8OEjyWWsaiTUxcwjWYR0v4N0G7uhMM5CLFRqvBh NqO6KszWVevWeMnDzCGGEq/w7Q046Y5W6CqEOsk0CrpLud25wxpCKpK7gd5ghUe89uoYFJ6aNP8x s6aFAODeE/VBGekpibs4Sm3+jttuGrBatucbtylOkcG1KHqJ+ZImFuc4AKiVFK8cCSapJqeq9b33 Y5bCYnU0Fp67A95WPTRJsIZdb7iLhpGFd7bjYHFUSW+Vkqs0gM4DKsqSq2NgAhVbPMWFj6qIGtu1 t/NmVAx+DhO55uUyRxpg7Yb7IIWDzxYayCQP8khvMwMp6++VqZTfENUgoGLl66d45FLQdIzJzdGJ zMF81omBjPKUISJk6pfd/PvndZbFPQM9RES9ito2QQihbY6mjsYtWJMKuQmRBba0Q3llPVI3iUul ErL4/8THH8oJpB5ioXaP/wHgAw7laJ9h5nu13RIwtlDSbF6egkGqZUquDK9wNqzshPnq5BQ7w5PT U+4eDW3p7HpW2WyzAZuhL5DFgaGxqMd7pt7REdxOmfLJoVP9c4m7Gx1s6AJOn+W9tVPaahMW4jOL 9L+ZHpCRDL86gq+lqqsxB5hRpL3iGQIqdkkfqwfKFRQD+fyvQ2ew2Vc4xHvx3+KGmYuPN5g/UZBT tjA0mA38ZZynuIhTBRegye059R7u4Pfs8QMmQ/zdcF8g/NJRC0E2iOQWkajZwFetRE2GOrWYwJRr ORTRcqyucywJlOKg3V3gC7CYAXlGrD7EoylFC6Z6aAnc6GcpOTof0kM37N/SZTuu8ghLPBKNZNYt PMeBGyKKf8zyuFJyPxqGv9qiDo/d/ioMNzXu0sMIeNHxeuwQzGvrocJHmx/Gj68PPckWONbOqN4f OSJKa15zwQ2Qd6Lkem6FIvRwWi1bP8eYhiBciccad7pMtOVmq/PGRHvvc4JYdCw0mkF9ne0FFZif GNPRM+vM6M+rOmI2QLLVGEkt11QegkEPEVkoxOJAMSCjBc+E/6mo5pQF3wri/LnmyaeBTidDdKno l8XnP6i1P0iL6WhUfmOOlX3zzH6q+whTY+RRDD8paoJV+Fl7qmGv7Td7NIJg5gg9lH5bzlBabLs4 TvRP4dtvQhwluSmRKtVqCoEGgXFogdXlPnDhAVGV9LdcArhwatzm+225GIw0+ETpaSM3A+X+tCzI UgKlwtCwxXZR/cbkorkS/SLPhTl8E3Ob7pgWi48uJMk5rB1aGS4MsMCCvBE+YT2s80XZuOt9Uz4i Jo4pz/R+9lDIh7tVHAuABCW4yO0Ir3d6j876Fwm2AAzDD2T7GTZM6gKuPBhdi3KMGC3b6st7bwZm 24H7Ns418nd31ET9h9H6PfaPpUML6hw7UwvaIOtYBFUqF/qf4DkoLhziU5b8Tta1mLlgIjQa9iQX Ck84uYnoOAlhyDMOiXUWCr+qd4u062A92iekGUzddxi0c0UY1C2zUL/Y2+iKpUBOZvFLF7dplDjJ 5YPWVHPY9JY9g244+Sr0B3Mn/VRpf8rovdTlQ80tw2kE8vNR7lAtEVHm4fG393sLdb6gzarRVSTp rai6GUbsdOv9ckZWCGTUP1JYNdzQnLZpeJG2netKgLn9x6EZ77Os07HXQ+A3n+w9Tqt4ABkTqKfT GVhxrfWv39Pn/7u5E/bfnAdxvgHOls67wzZDOSWGQsFZjjg241+YRHp3ItfjqrrPESiLLvLgC9N+ ewWhvB5f8R/DCHgWGef9zfzS3PH4cySDQ7Nm32spoUoJAqjsoO/npJWe1MkH+uWpTr1+U5nM7JDI YtNnTuXr+hpwHo/XpEisJT1D6Ph/+xXu31Yqd/AhzRUvvCQ+gKzQQMDti4b+grBLgUHqI8kD8dkU RlX263Cqw3fPyAdhaWMjTkz0+lUo8eRDSHpfYwScC/ullWELPf8AEZs3Zlrr4oNyL21EgO/h1xIj 3IYKnKmBJhxfI76hj6SNE2T5tcgO2MTyF2yaBEZDRQ4DWZ5FjwbePTtJ1ju2TjQ/SrzOrSUizIq9 kRZyq8eA4Q3/s5+PS9Zpegk0H+yRbgqDY5ZuUEdI5Y/vS6vwhdyC4S6XqsQAc1uIy5SE3bA+dt+Q Pb4QhzBfysOW5gwODM3gJ91EeVTOmb6Ebi5rXPcUDoXnVMRSJRIPxr5SqdPMJQXMt0UDtNzWXVDl 0O0mRP7mJcWJ+kJm+/IBu8Zr1i6p65EHN58gv1m9uUvjepfabGISl93kNclHTp0AZOOb8gLDkVgG dVRIxdCcQdkH6JRhmByd/ofLbJKzrUPm/xEYmyymMp0brOsW/V8q0ChnJVr2yFvmU+j8qqzWL/84 OqQmr4vyHKMQ3ynCyGAb/e8FiifJwYz4y0zFXAajqucPkFaBCk7q2KKINi8yRA8Y19cyVGZ7Ee5p +8UxZoCwxy/GSZ6qOjzF+MrMz4lqfkFpVWMPAetuAlS1ZIPz/NcFTuIoTi6EjQy84OoaqMq7gjBK 3PbMWXDsb0goeQvMVTBQWLjTtB5eIfTiiXiaiJbrQ4bBRuf4Tphr2F/t/nkj1ItXTpJcEDBkf+OS GJklgcvnuRExKp+iEaR247J1d8pOfvyWp3dGMpAEO6ntcdN7VEWs17znO/JmHDvBmskOHclu99Rs WWjgOq1nideHPiPuLccTesoBmXREfHRY0gZF//Z6v+EtcWDColEsaNXxguRi1cn57Wz5EVMFisou oJpRZcMu99O/QMwgPo/naFqCZsTfgGCvHg5BpRYy1KLZPkHEQzJR2XOeKqMjUxDzho4st1UlM+y+ iPAste7bm7pxj7C/dj1tbqf5atW1WGnPZalB/NtQajNYTyx3wYNAk5KQyXfz9YVDe83i4me6tVDq tGeKYKd4/KIUKtjzcohi0wjizwSf1uHbu8b1zU4l3JFLjYpbMS4O75AHFnFfhNTXirKh7/opvBfH H3WABnl+r7a9ZyKQom41mwphu/WNezAQAiU86qcEQs1BMNIUw9GSoxY290JyRJnr8KZ89dLPlAB6 Ykd6dKJoyqNyVby+MI1RK3v6l7hhLHjCyk7Jmpj3Mpa6v5z8OMR3yftm3lhxgy8ujGSpnqJXHONz NZqL132cH4zR5wvXKX3VT/OMFsUIhfA8RVbRo3BbOFm61Gpznt0gY2hBH/fU6KvxmwRFhai00rXy kdtkeuktKghMogYBAP25MXvQvZ/RXOdcMhGKqib9TxVHQJpl4s3g6Vj9mSrIZFuheNNELyrujZ3v GTHiD7I9WbnyD6+O962DujjI7xF+KJUHcf7oNQ8a0id52NuRY9huUeGQwxFi1VLA1XQK22fkGElz zst+MlhyVRzywgsWFk/X7M0LkmagMX/ZDyKy0YwNySabVxkanTtBkgcJ8JyTfc6nPg0IGH1YORfg JfEuQMLO6thrfjyGb4I4JmA0QXtXrjpHLwRnL3ZqaTkUwk8LsS9vKL7SvGGlTUMKIOuD68SA54Cc qO6A08rMw6GSTrxK5NZyChFDuHlEQY6Da1/upY6qmCwgn6eDDuwkqa3IoaovSVaRItRvI88inpyt vBw8BBh2dqwDk6bqmD7gpF7+u+ZBsKlpZnfDmJpQSRRCIaOdevzAtuvoae1drGjZiPOMcpsZxeWg /dRIsU/cvFhA9DW9WET69KaM/xy1EJWzVmsu0VBbuyrbvSJNU1Oh6UVVyq0F/zt41gHSjVP0xYin dSWjQNCl4h//9vR4+u/wemnO6VQR7/Si4aIFQpSRyTJumpGIOgweRsmL+LdGaUiGKyRlxQ9X3vUD lrYqCEevl0KORrsR+Ox6zTmxNlro5IOrWLwfedXy1ubOiDXc6jweFzc8ny67zXmK4xDCauzdqHot H6hIenUVBU7J63WbDPb24VPggYL1ycbgvJBLy5hUIGOjeTpAkS35FXNgQw2kIhIwAJlmFBppy7le ftcI0ALmcjKZ9SuswPwpJRzE3+UXciVVgPbqLkUPVDf0eX4iOK5iDvGYXrl7ZSc0fg1CDt4hRTgN 4MmcIoEmIZt7e9L6v6kxC0ccYec55grzLNCY8VIVuQeuw/LWAtRATXNO5MoYdEj8pxY52Eb6qIvb jy0BvESQBux0ajEkmuD7urNhAvdroH0MsHjN9CD3ILZ9SSu51TqRx07VH9nF3ZhDUGYCZ+/Qs9cx ZkTYS6GwmIV9qN25A6B31VydogLBpcoG7FeintKvKoI1XQc7LZv5kIV6NmM2Ubvfv3wbBSu7M/nC 6UXxu3kVYvK96QOlrohMefPFaCf75ETWmfzL3sGOFoBicebosB6dQzwEb73yNFczDHpxa74iQl4P B9ZsVmpRTXkyeHRHz27uJpD9xowBhuIn/RNHjjTrKGQC8n/45f6fBsHxynraTdfUvWafqLGedYRF LRJz3X46YxTSmbV9bbbqyYyHOswU5w9ZpdzUIc98Nc1B9WbL/+LMxI16UasUS5uZ/ivIYgohqULQ 6d1pHcuXTIMtm4jGwC7kLzr8TOmqg/DZlL49IDt6m/mn87EkOBZF6aHdQtInXNtpamnf/7E1t269 UkZpOICIfrIug1w1Hr5hp17XqC6R4bsjzNIArc6Gn+IiiC5DwqtsJwVW/sEZz14PhpJPCzwO5IEg 2t3bdFY4efzd+9ngflSrtdJaIcAh1UhPWBKZoBgP1P4yeoib2Ed5femcTZ1LEM8l9yZtO8wpNCmM 4B1QqKUU5Hy9357bV8Vi48HJF5S5g010PqbtqqTMDNv3fFbcWG7vFxRgheoiDvElh3Ce0QfWKWdh DpJMyAvQngmi/37nAcA2t5Z16jyWCCo299UZAF0s7m5oEVP9MMtroFvN7OkPIK1VaU90AsVJVJQa EKQfxz0o/HFM9fT3tpON0nL8b6pimER5qe9okTvXtAZrPOG3U+diczZZYL2kVKUAvpancDDwMMWJ gfL0rukP1Zb+jRvZzGkHi/8qrSu0yV9bFXYXgi62BLAEtdo2Vf4a+30ifFQbxsw+7+aHCvYTH/dx rArP0IqYO860JRTXOp2mvuwPoRdGxLsDfToF5UNmdvByAvkoVMxWfO8QXqoeQzExuIiagOpSer6l YI8Ur85E7r8Gi8JCHMN38TlKzYNZYiBPucM2+HYBPlYIXDUVP0WyJz0oe3U3ajIa+08EJJExBjKA K7qnnhe1vlGcGgscLfjxtqZteeNCIkoKeERw3NrnFP0Lgu4+VTCbum39N9eWwbDvCkj/Csi2S6k9 C6p5FN/6gNwZpuKfmf2NzovC5G36lByv+1W+HLs0uTOrVl3hv+qV3ymZEikmm+8gd/hCrLt5nwEQ d7+Dweouw2BzemIpGOZCsOjiO4CSIyBUBNRk7qip/uywSzUpmYw0ZjKsAJF5icVEup0Gv6MXmVQA qNJnAsew3OkxRb0oDlu6+aA0Ld1gT5tIRTSx4tKznmGs4bTrpbhtIPC3ntfWwM6km7cIc1qQA07X Mgvqk3qXcSL6UbDOWWNCpEpRl1AsEPvDmuEy4sRLLvVNIqGiNz0aW/f/kuT80uaW/J9Ktnn3k1/D pmPtYM5UHZEuBmrmeKJctGrbniOYGxQ6aIA1/VZ0sPzF5qSrLPSHInvrmgobmGTZ9QGD07yNQ6h6 MZbckzub8d/DHMTYE+BLiplP1+enVmeAOy6FrTunBUrXIecJAeGD4P2oeYHH6qKwbhKtyZU3EOgd EAtAiN6oXfpDDf/RB+0glQzAusYz7lLZVUw2ViEFARzyyqhFf/EsKoHJE8i/I8oY0dvUr5LU5rjy qT1tw8rUGqMS+svylS6aClS2UiiKU6lV8sT5tdhZ1vOI1aNHQz2/2pUduGixOF4YQQ2ZNaaaJQca WVRMXylRzn2Ey3D5SbCOEHdbmIE+3nZemE1Zxc787bNOBQeX1D45Sw9U/lwT02BL37F16TcyEMmh GJmdOK8oou9+4yhukBoPTFxbe7o0FYLk2dME93K1b7bzTfwwPMDs6vfKJCovhwTztsP92FFEkE+l 6l45Bipw7Phz+j+4G3MO53TD6nQF6Aq8/oXvFa/fsbztX0kJX0krGE56b08J8z0oWZvToXXs/+bu TCuP29J2d0ZfJNWmXQDhrOqlNZhSHKyvXMaL+xxnc8QaGmVnYT05qdLkgbJNmRJXZGnNNfI+xcwu hGfrNOMsjBRwzrxe1YD7S4ySF65rNML7/pfGzvrmYHj21T1CsELXfQYzqOrVGHRB+ROKTGAgHvfN omw9Oq0IXZHznfEYZKzqKuAcqlPrSaPjycXcB0Xop8XTXK2QH9wuYuyVWW7ns6ahg6wxhA6xiDLB doF6qN4D7gMb0hY34VgnfsFn8I3ZR8/RSw4JJ+RMu0kB2hEt796JbeQZHtYTpqgwP8ZQX9fG5ojP 6Yng5t7RLeFuXk6JJqzSOeqbOSNdETxh3/slEH7P9ITGGI6uvHX/IQcmcT5t1xuGPqydcDU7JT8t P9OgClQuMKxMrS6ia5ZuIOHdubHtwV++fS8vl4TLD6NXaKCNuXVNM9gju2wvN7nfsZ/mya8v+YTY dJsrs+BzkL5vO8aPEV0wsr5EhBuhuP3BPlxU7HxADmQWrmYkPZ6l+tj9stqLzTwVMfJwdC8UppT1 O69/7bY30eIysnz32LrJd+z6C7AHI+Q2Z0Mf3pcHuyiBtXwhslGyekDwfyKGc2R6IqPD/9PL+nBv 7rJ2880EDZDGFFFDDG0XZMZRGtjkVyF+EJQltc046dk66dy4ZdFpclels/nc/FLSHfZ7NexbeRC+ awQUzX0sTgox8LQfVaGLsHZs0JdU1YzczcipLgRsUHOm9KxdE56v9z8GWXe6cs0XFTFtRBDsUtlW +aq6AwNugFTJ6LecIHOUz6Z9vA9UQy7i276HJfAUyl73CVDgQo3UaO0GWQ7JEYyk1lSd4sz22j7j GGsDHdF4KQ9oYBPXGrT2mI7x6Iz7GSwtVwW5oJ+UPZGIOvbZMYN0b4ppQ/Zn2uVmdUB1Kvj1cNxX KUFwVOWB7AhuK87fNE4XrubVdULQkdW14Qge5bAvFo4qOSiDIwlNKVsaof4/Pqs7iHmeCAdCyy5u cptOHDXIlxaZTlJGrVnVsrvQ9Rusko88z8n7gb7GtfbOTl49xMJcirS9bohe1VA2VnH73irnquXU TSxemLvqgRJkU39aokRM9eRsJdxiG9KmOBE+1/HgDnLzc1Tgi4tJQLYMydA4AqfLfJLSUxg7jFLB UDK9wIGDKWiaMpbsuqYaZ/D0FdBd0EllEppQ2LJAMtjfjFTB9jZ5HjVgyadrSBKlEcY4fcLP0Mai osq7aU/4A0sHUK4mU6nxvWgz/2MOTofY3b/KiYkztLzAY/1qQoXZ4Cb864y9heEzcDFln/TEeo6o 0RQ0QgxEeROGT3ihlTyKFaFY7Qf5GYH9y7GsXEqOeFFSlcaMqp0U8lceVIqQTZ41Yl8XO7Pjn/qy z3YNOlADNdqpABoMNLXkDpJ7KaiVhLLVJIoKuUGsPfxhY/p6Q73E57Mt2xDyHibJ+nOUkXu7DTkJ ED6N0JYfMgHO7UJsz3MDS4QpCjsm3lgQz0pMfVLSsvl1wTxPprMJO1sY3fDmL0jxTLtQL8n2Po7g /6TmkRFMUIx7I9LmNduajfnqg8y2IV25tXfoGnEmrFGmGjBkOMRXXx0TxL1wb+0wIzUS40083Hlw OWcSQ5X9Uzs2Ji/TnL9jppf84+kvibPFIquNbfAYn8hE1OHfsY7OB2DvoVMCA+g93BCHJ7lMULEr 0MJR7PN1bfgheysLJPe3lzLZZWk8RMjPT300sto6fB2ubFue0J/c+5E/i3AegGFeaJ40lZawvHjO gbNkqDxAoLLQcFiaj46DXbTK/5+wMvGTOx6XpF/Oyxzgt7HF31nncLXHHCF3Ucr4H7oL9cSsKGQj 652ntZ/LpoNCFxG4DpcrYNm8oCelmmYVI9NjnfLQ8Kf4FfgPYWaF5S1YiOz9oy2FE1nxgGNwUqdW P28crIdhq+6NmHGeUTgsa8ZQAfGwGRlb46IixCdM+CtndNGgM2XnJSW2ym5vPfQXH1L1wlXFuGN+ 9QrT+qp/2QmqpnejqWwf3JY9ICHCWEDrrrbfMJQosja3py7oLwCvHFdrgSlhpNIOFgEDzrqgxtZE 1ioC9Bl96ONKLVckpRRdpk1e0c0e1gAjCssT1ON4rUip5RjQ9W1CqwwJrSyzp07BBEcCvkmLJk9I tzIlVrj8J3MNChlSf5MxE2QVXrMuulBzILPMQ+0/j/rYe3aF5ltSurGZEldGI7as4aYjmRxfugEJ rtfqyhisAT71DN0LyR1NoAnR0OZeg5Rx86tYeUbbSiBY7uiOxrP9oubTLHpLJWny4Ix/c7gWqPxN 9ShsiYmszBlhyfv7tGTjF0EjK8+JyBgdC4qjRNhtnnG/72plTR9mwhOGi5wnHz9EDzV9Poik4Zlx PrzmO+NNZ1trk/WM0Jro8xBUTlpREbvmQwRJvhflMIxr/pL5bZyu36GVcNZAsE82zfgPw/G/hmPZ LW8gEheLSDTXwlp5GoHNk4bPOYXC8O5nqr/0b2vQQ3xRixEsxaVlJa5eQCHJrfwAVIwk/6tBfoy3 nFaTzyuTKEUxcl4GepTqZEzlQQIF0p90VI7IUywlkLn0/GeEr45EdOQG6Y8ap6ueIcbgi2gVVk5h MVF07i3vn63m8DeM9+wzwnONN8QiC5guSqaJXnhCzaHF6m8qMcVf6P6Wyj3URc2D3aFV01g0Hj51 1gLMc2hB/GZAHaguF/DzX07jtCEzgC55W/2e6yc+TEKt3PbLsJHwZaMZY016wIlheM0xWeLoLt+0 r8VclwNz6Q5/e039fMOJWTinOEGdTWqTLAv+trO8xpwfEluyqO/aQ2lEujMHef20GT7fBTJztMSf LRyMjMipSYMlvuF6WJQQxiMbKUaJSkriJCOtNnZkkWLNAxQYl7YhSayyG9+FI7oZ4ZaMsFAclN+i zfPdR2YEX1dbFZ9YId2aFE+iiP34xIzgi/9KeSc6rrfUsl8rSeLYPgjNZRAaJPwhkx2HD30KwZn4 vCZ4NoAdcB0wgOcwaRB7Q8OJwt6p3G1vTKNoMUHcFiKbut4ogeA26CucI7pgRYlXpzuOHq0SNJJs 777I1Hf+m9imJ5RresLZeRPZAk9EIbXetgBfK7N/3mDiMbwQ+bTHJh/wvt8IIgsHr0aDPPckGMGB +q+xxlrl1z/GnLdkp5vVo6BNY90od+8CasFb97x32vQ86nTSN2M0kCAN0DkCSw9/glhBAeOwtG9v xQMca8mo1JbVvge8bYrhnGSrefviyrKKCHbFb+CVadf0e6meoYLUIlbGucvpey3KNeTmxuHQBDip hKGtgTRJ7xD+WyFuTKTgLsUl+wovoei2y02CQ4n0xGemYTLAHc2t0x0TLokzhf6zA76hXv4ehL6C Eef3g4al1gclNzxpwlFTIzGTMIWf3CqOpPNOEwCDlAayu5gB9Z7/VajAw5aiMgL5bfTxZ+l+OdCm RkouTjI8RX6jBvnIYVStYWIzO93kKjPrU9KV/9S+w9M40KeUr6Ga8AMS6QCjPLfVR3ivN9sC7l/8 2KvhbK5tWfe7PULIjoaSf/ES+TrH6mlOYodv7ndBdZVait/RBFfcz3WsxzB6bV41LUiQ0anjcR56 UUImmVAjRpphc6LR8iWXli95uRlMWnZharP+9Ocbt9PW8TaY0o8bJuIF33KT/bRSF82WGAvfg6fc zJdKP3qhuwu0fIhQsyrPkmZQvu6hZkzFV0SlUgNtSt8pNU0uJafvHwLpA0OjaIhxURLIl9upjEki D3ZvMi/YJbmXyVkXiEe4/MOO4qGeBNcDw49zAscK6klYsudAgbqWeW2CqW3mxV/A1LzJWptAw7X8 mzklYFW0GFC+7CaovZfC1REYwoynN01O4no8p00YNWs0hTeK1lpmm4LZxVY54qy1WBbQBbMDV7wa khEnSaCYcwicglqYPK9i2vd6JzZs2f2hXqZJv5hnG1pGRguXJdj31s6HN4eQd+rbRriNw3yJ6io5 lSuov96ZHMI2Z1E/35NKoDI2UQmaroSd6AHaQXGdPRsp++Dnwd5FcnMFcVA2jljsR8zoV9cpOmJ4 3TQ3pV7T2Kj7gM41n/ZcAc2YWHWdecslJbwEKDZOQw/y+hvXVJJFCKbQeelaSa6wkplPLqIezAJT pqfpLenQFT9nkdDZ9c3zsDrHjp/FpeGYs/3tkxjf28w6SJGrvgyCoG1N7+BjL5UMNRLuFTnECAkE DcpJLBm2vedpnEl4BLmBFu6SdltFfRPiE+GsLPjKAjaItpS6r/RwrtwhW4eAIJoTqHvLqUpN9OQ6 iBLxAPuj+iR3FmykRKxHbXeH9tInCkX6pdWTrs7XH78DgdEm4QLCXd3An90DShac5Ld/otZHwXR3 j2Lzy4UV8RbtjaeTiBDNlbLyBQWK+g60620brEJHP7vMKWIlWiSHcVqT5jGqdaWqUA5ywVHUqnj8 o+8nNsqYqE/xh1aAIeKizDCmYVNL+ccP01I9DjmompGMlHNn6B+mUqgqYdeOZWDwcsCj/wYVx1fL lYi+jR1XfkoMyvg5lotCbWzCbPhOZ262Yqgt2PhcUKcBCWjn/48VqquC02TUzz4MW+viLTIUKauC bTnmSyxjFPyFGfZCQdG7Ob0QgwIiLWz3VGnWcnCuN5RVhKuPaFGYC7kXRwQ1jnM4nJoS/MNkHoLQ jryRP9GvaZ5xLwDyJ0SxcX6V+9Qhk7977sXw9Guk5+ifW8kKB8wixxREH5QC2xT4H8GcXRCoBDl9 /DBrli3jDwizEe1rK7LWDuUks4+A+NIR51t6ojNcT88GClSqpqZNL2pPcnKrntkz5HyqraBhDvKF wzRN5rcR8YT5X8Oxw306C5DjChxD6kIlgrc5g5odieG6TC6tc0ZJFhmIV4kfKPYPEW8wa8VTuTxF nOUD3R60dnU9oq+lRGJ+OARSxbvnUjM6btZmlQX0psoGOPcqrdyu5tWFJLbsIT7S83Tk7I9uMogu AmVjyKt/AkWFSJieXU8++8yZ57b0XfUnCnVDg2NIv+H/L9L2Z0he9V9vJVFbwScl86i9sAdme5oE LJbsFIBJdukPMy/+MwypIktXtdxm2gXO6NPj1AToF0m063bQ61/QnANgYdeyOniO+P/GQcD/D29u vyEq6VhTjjaHk/diVv5MjEB8Hr+2VaoTmC4AA3IjwI8fFFvbXAyekLnGtgxDBOH6QsSCNEU205mJ 6S+6setWyW6jPsS5zGySQge+nfN+wQ/aQtmk4988Yg//HZyNiK5fsHQC1aHSwDmDgJc2NKArMcGg rnOe6Pv0DYlCzM11EILnaESszOWhFhS/NHti+HX4ANsMnFZkTCnw3icNopshwQ0yT2mjWjdWJYk5 2WbXVkqDaZ2HaZ4lzs5X6ZdtGCDOD2Y3HKUbeF1igYzhoSmRiint0PeqemggyEIjVOLf8jk9lCoj iiFsrXu4rbP+3kvbpYpgtsRSM9gRm7iTG83jPXYdJPETl82q0L3MxuwCiQNX3ZkcTZWusuICZ7qj +eWiJtzPGswf37LZNMSbq4z7p4Kp8isKYUI4wg8NSnUlHusxq7dRsopKbzB0oH3tfTA4qxSDcIv1 MH5E5N6MxGTWxbOHBQLsKBoXhmoKq6TH97iHeJhr1N8r2EgtVBwcYbGY7ItLgqqErPjiNYNnEue3 ecYwn+5GGnk0wLVayXE6zeME8MJxhjC9SzkrGul0GteWpqqYPygVpzBqYaq+vH+qDpxQb+n+CY97 qmPVuvYIRKILR20dn+nJWpU7Nb3rDwCg0O+7/aJZor5BGvWe6RCf4IOBSh6BDejMuK8HzqpaxwAW 35uVeqieBCXYv8Na8gByu/MvJfsFIamjSTFAR9ErkijcJ75CnoBJ5YF+6v9v5YGAIMzMSvrTHyWD JdDxm8B6ZPyrsUbW1gscoFwWc4j+mz/RB9+FATeJlIiLNxaa1MdnxYeOYNhSrJ4SMgMR+Av+igk0 IzBZzx6Yo4hzGofaWKLqT5kavgouDhb+lUAMy8StQrc6JM39Ebdo3tecx2fLpJeJBr221jmI9CwS xSZbuoGEY/PHmKkuc2rI3jylDFG+1eFGJq2NYaKd/acnPo/I3Ru5qJg9SzWoAYr8gKsQiRvYvAQs HOhQdmALtTW9rtyeqg/MF/kcqiggB7Ifm24/gEoRXb7Mw+ufziWGkGOCk1rMZbD0XJORfAbfV27S VQSpWxWjNVpjHtZZ1tebHorfQtUBR6LopcVuScP7OGla6WbAekQC6DMyc6EU5kZoQnN0qDpRwAKB yNMTlR9qCOK0vJMiBhNXABcOC/jqOgJDJ7oDK07CmgL2mmfBtCa5BwOnlvNW6LVqbM8+ATZb6GKe YiEp1imTqFI7j6CNhKdsVRrD3ffWAF8VpUa6Qkzs2/VHFTEOlIxvCtmmlj0lE5C5V2K+KKf6+9Tn aqCEbE4JLhQ+k3ii/S8Cr6ufuMwOjjQcJ5v5tYHPa9L3irk/Hd+q7q5B/DlUPuk4pWHDBIKTDdTy fQI6ckU2L5NPbyZvP09wK0/Bp9c8yWgbtBd7iIE1+lUsPOXHKjqF3pBmCKNn5Dvf4IRhwV2+P33j /b0+80N9SwnkHItMwYwJLO7zKIOGlDORBxdSc2IfkKGWMr4qvHkZ/YPthpz3lYupJ6Z6APmyrwmY 1laR8va1aWphe8LuMaMn8BRNYM4akzXdEk6a3Jn9EOLB99H9Sg/NxtiSLTVtN/AjqvfFpt16dG4A pDA5KkxjVpc9GpZ8Fh2zixRG+esOIhJRoL29bQkLivPuJssp5LuuBPK4fuusJV1MkIoITGZzndAO rY3m7W2RqI3+auvKqE6AVpfDW7cGMUgbYGC5LWS8d6MsQHP6ASCZetVfkVoaTLmwTyUyL5wotM4H 7yrAdXjoBEZ6sk/gY0cutOt9tS2hAhsbdRs/SlQCaX8SUwDcaq1qgVISVEGpdJyirkJXjdQjz8yM 0Y8bDku0GEGZENr5ijAmJKT7r44ivpOgWfYfqpkwc8lqBp4u4GlS37dqCzojCi56Pn1xCcRCTRtL I1b4cQVptIfepLUlTRJkODkwKpGP1wrF3q9gE5SJPUpDGUl4w55gPJjOccxt1ls4/ZgLgSzxinF1 lneYB5VfYQY0Mnrl0SUYl8KDRRB8yJNbtnZypMSdBP5UrVCxOvAT1ib4YbYnFG2wlZS8LRI7OX7X fabI5fkyCfxzwvnMjEpqyT1WJmvkz5gbmzbekWwU0KQ4kIzijxct6DEurHI/LoidPkSfY36nDUgc xoskrJ326tNtY4x5RTJt2LhzPmf0bZ8nXgUFY+N6zNPnrFc0DZSvTdgm16vU1EPXHdvuSsDZUmFS bG/HU9++BgwI0fon9Zxuh26RwdpC7yHl9T6DBZ4Lve7jdsxboliU9NX6t7jKdT0wySR/1Y6rIr82 fcISlWHrM8ISgbBhVhRfEzwnlfmS5LWzo6STvwm0qwgPQqp21eykWvj5lfOHuC8G8Ukb4v5oeRuF Oy3KrLQd2HXdA9l6MJrz4dNafhnP7wNR3s7RDt7q4WseNl2YW0TI6yrbdg8keMP34Hv7TMC0VVBj B5mM9AtpeMdURFcQBeACXwD6cpGeXm89T2NMzaK+HIBA8h9aymH248c38Y62nL/+TkVE1inAOFvP MpZEhM7ypPRaELgVFxvjCENZF33Xz2r7qUF9YxzFE2hkLUmc8HqAmOxX/CuWJI70y/pk7H4K0WG6 Qm63MAPSZ4XxW0kdnqrnBAe6Z5Og92vA8H3TwLJPVpdzR4KlsndTafxdOD/jqvHbYgS99xwcYcZK nu3AfUvOERktbc7C9E2lhpsdmnc9z8LyYXfbwXWZHYAWCcvpaPxbKNT6kEGLTSqBbBtCHCshwLTa EClvddRcQF/k9Cu+3cr178zrxi/BT87YZl12yySLER63PgDgiO/gq6FvqTpoLqejS8OU7NI4L0NC HqUf5yqGzD8ApNuJ4pJxowJz95XWAhJ16fif6w49aZEDBUVAafYI3nkz6ZmaW31NQzjPbgk0iwa8 JlDxQ1Q/jL7AMoi8GaYskQAdze6aTlEnKtchRswidOPKGESXpxZSQRMOBkqU5JqueyvkffFPWEhN 3SLenJBagYwbgZTazxh4uuKFX/345UdvByz+/rQy7IcVGZWuIEgNdlasvyLQmc81BYasEV+VcCb8 du/1Of2m4lyTmKuVkEnWFvONOAZWiA9ZZEcPjxZLWMnnpHO6QUkhjw6TQKdV0F7YVth7KtKiwcnB jJTz+iGIN5a+kQbzqLOj97MLgEp1JDeVLnVx4pvh4peJccKz7TCLGO+9rKkJguiDMc65Pol6Hd2R zfEclqx8TAeGvSAI9Mug4VGq8lz6ZnqHIF4huOwUG1AVz03MNsoFkYCmxRvtzz7HW6uxi0jRuZtY JivM9d7aQqraxN5RF6FzM1u23lJMsJCXDXCfm31zR6ms9XXlvtZm8B2HVUbB79G0ijvZhbrTJqZB XEeZ+x7hm68Iyf3pOU2vYnrOvaaz57Q9exkQl74EqjsvWyydiVkVIrey77fhYZb7rwV8UWVjEeGZ VViHU16fdnKTw0sdFGLFqLnADfBAB11ZguBLbB50BT+Zhb+ENTytFgvuOXXclcLZTICOZ/5fjlhU IQVewx/+4YxfYsMwHQtmeFYJDR872o1dtP8MSaO9Hnq9CeppsSxkaIalBAU7z+mfJ9bEaUsOMRJ+ rmnRSeZhRmhiltxi7/aWKyyBI2a8cFRKN/ji6EJ7mjBK18cdn9dxnIH9ZKal1/YjLTaiymjpQYLC ooohRXbOzSb0TR4EGwdSlNxea0OVB975BmMTHoS/1dBCDQZuI7975y8Z+9ANpB1I+zVIUJ3Pdz9x 387WhWZ9Y+QYSl1ovxWODmt9ySqZbsNg7vihLUngYN6dWY9NoGdG5DAMnfVYWzkv4PWU36vVro0Y 69B4gvAEvDj7Wo/7eddT7d3XY1JrTvxuONN7T3iMaYtStGvn8B0rsCEYVk/AGnDq6ngSGUFLlsxr nDdPOHtUZKpeUubm/DcsO1ipS7arbNbdZSNZnDNsUhwmRusRKkBCcqbPRbUtYkbqlJdjvipl+nCi o6mCV5yYkx304rxWjnXWzNCf2Gb+ajbWBdRFYfRyaC7OlCKNnlr8WOqEwghyUZLH9b1YSE3noYHi LUXqC9XWGsdqwYeCUx7ohYnXfTmdDHX5Sccs2HJ04m7j6opVRpZpObtvltMiIykLQhRsiDDsrakN DSqFyce1p2XJbFw6o2T2/NV9BzabY37LRZV1qMgPKOEfj5Lb97Zx0SqdrzunshyTsqwgM9UXSNS7 JG4rxJS9iVcKo+sTeMetockMQr/7oqVHnaCCaiuj8qFH68grZ5dF1px2PCFKx+Xh677dNz8kOSdO CMBy2V6G9TRIKwvI3LLUUxhnCE+Z9Qzh8XhjPJNFlte+baWMEbQ7tVJGIbNff7a4sSDyJzZj4y1D Mc4eCPryxvXYmF2QzQ6ZLNQPf+ICfRpL0x0awKQWjItnptKYHDQJGkHkP2J9AaskkvzjihfGy3Pf pGwrs7bd8Bcc1Vt840NbokSuZUwmCWnfLKC1/1ptRIdu4aywfLAabaXjW0sJqbt/E8RcvDnR9XYn UdvHYFlEr8ik0xoxyWmaA3ZUaPFCSYCP6k1OUCNXNizrNgSVSzq/rM1iOxpI90s45cznWTY0rNj+ o6OH0G7N58HlVnjShSw86fSEIjrKdQu3gkOFdI+9fgzJvTXdpgSvIK7D1qQX1jX15o9ghh6WVUhO DYvKmzZvx9U8i1QRKMgOmwCZdNyN74gkqCwHpZKpLY3S7KftuH6HdYSMrzo8jphK28nok4A/wzQk 2tv8N5XLYyPh8c7BU9Ib5MpmJG0wa3P9WKYWL51+jN4pUd6G/kW7+0BvV82jev/HC8afQVlWEpLi 7zNYnFRZHO8f/2Nnyr4PWTKM1w9mKSNyNDyxYURQKfuitOZj5FC8st2VYJnOJ6XqPV3GhAnhTYFy 4gl2BregoxXYWzIcvwITvDX7XOXY1gh/UZ9fUrOlrZhrG6DIl8pX0pQPlJEfbK+3RAhzuz+SlpHU s1UvvZaAsRlPPiVKOpVnM3Zg5tm8iMqWLxzTZrcVDQtSDvq0FR8l+ee1MkZVOLEUKT4MZijxb16V roD49k/rut1DQf4+Ah0xBOCecYZTtkIYZBaYPkrqF2O2HhDcC6ERbQI8yMmhTOXOmlbCCPyOGY1+ oiX5kUSmhlIC5YPHvk8w8ePMzKxXm1kXzfdX3SmtkhhtVzBJtylyoz57bEiWyIs9tlNGVCV9KZs5 Y02mcDBLcYJT4jJckvksmkA95jiXTjPnFJM2393ZFtHKSqmMm/wcnFIp6L/RNTmhwDOPY5fKnAQe 2XRFt0TsXAJucigchlvL/xHfIXQgXEifOsX2tsxSoRwi2DO1kv7fjQycqV16bwJElOznCtSM8AkL dthiWyiatFB5uK9XGeeTyxe7Zt8IG2/RjjpJ8V1pGtHuGZrow+6GSDoXkH951rguPJhMCgvjsM/r kL5cY/36N0een8VUcPmJlOs61zcKxdmI492sKLIZF9/wKkhTkWnoL56Eke7MsCbV4U9sniGP+25v OqKPNpKBJDZYeTbct4A7mTUlJ0qQu/1EKtR/f1D4+kEghO0hcsHXd4L2SPyH89QeKsgK5Dt8+dZe 3GSOIByTfumTVu3NCVfnpftfOWmDvTAw2q7dyI8YRrqUn39zK07rBB1ytIue26rRD4M8e7mnJISV XLUjCsj6Oo0Vf+WZ9MvVpyiX5IuygVZWBrXbGhSgI75JHHP13gs2fEkVPSf+kaoATKV9Br/1YYVM i/6oB7Cr0GqjP2SeiFO3wB0iDbptKERtx5ec7CCRkb6/cySZV67l8Jfy0D8gEJWb9RPgRSPpasCm Mi0H6SZRG01i464bkFCtaz77tGxkQg9KYGW67lHRZMeGenx1i4qirtrVLTmv/0yKIdZZUzyibHEG 6fMbm/4WgWjD08R0vRWtlnkI6mYrdOK05eDKnh06fQhoxr+ofvrxATmkqsBPcdZ4i/twd9svLvkW klYD3fRr0lRJ+xRDaYMKY3HcF0Cfao1z1uMfk4RZnd5woEl/9V41IPKoQ8dJmfVDq26zh1oEb19X IETn01W3bklGcc2+3dsrZ7Oc5D86jmxQFSqKc34TfXytNvsh9pekURnAn8T0K+E2KjxfuV9zKml2 u07Mcck3Deoox7LvDt6pE75ZzBAnYK1uaYu+LtIygQIuYHQmAo5249iXSGx5uNVRnFngRuQSdCY4 Kqh4AseVak6QuviaDV0lvL+f2tGEs8rS4Q6dZpZBVP/xB7mkP5Yj64JmMGF4/pcCXMHfmv5qT9qN lArKV6K2ugySweqoIvpKGjRsj6t2zPbfQuFG5Htdm9Xr/Vm70H4aOf8Myphx991HUpmYG2v0dh3s jM6cxdmqSW8iu9bpEAFeMk2nRgaKh3ejWQo3eRWYWPSqAlRIZnNVxXzBJlHBt9tN1homVBYG2nOA WuLkyApn79t/cfc6dzptydnufRBuDa/5hGAib8MHm4uKYC5h0CmXPHuMYcEk+Bok96t5A7R4XPTH slv8jwVUgxqfaIkWn60cALzlxho1af//Xkw/uf6BGW/iDHTDst1urfo2koSjI1JP6ugUnCNjG1zF 99P6z5FKyh5gZWwL/athFTvFiY+eixonewzzEbHxsPz1ONJA0yW92nhqVxVzJ6p6vDiuowI61hUy DSYmzapfMJRRVRw/mMDlRWj4ovQrAyvYhOkzwFjXkny5f9/dTtV86lpwVTjEBrKpC5jeEyQeXi/c tePhPVMdRWU2yxED40Cggkf6yl0rw4LFaiCi5kIGZolfq5V+8FJ4Uxs9BxKJ5RYKuwY1ALJ0Pc7+ voe2KoOM2XBg0w0G/ltqVkwvqnFr4M+mtPuvuS7ega5uPk/kzEvkolCoXWR5COSGs6UT+PHXr6I4 Dzgdud9rw1z45x/4QHFst9dfniYypSQ3yNbwswNp5wuWTfkQr+nFvSH0TgaQe3Dh9qY2xepHAh6X 4iJm9AycuGr0lftTs7zjbq4Sx5kZM6lOIv6sL/Xe5lEAwNrkcZSBozFjSBXdIX+ZMxc9qHKG8CAr B9i8BAxeTBf0lJqClxKNyDw2Q4rDG8l/JxjJO+rot8FyE2uYjGcg+P8etT30w0zTn5E6a9AiJZGi mnFUJA3RpKq/xctwgbeqzhvjspugETDLnFP6Rh7eEh23P8zPaTRZSJDlb2teTi1bouYs4k32iAAx iy9AEndWZ7as7FbBU2ZbJk5EjEPXw46EPFDj8DzlnDL+3irj+/PwLUh6rpLLeQEPPw4OPnPD1n1n pjvULfiv1dfIpXBhrBZhATBsTXqw4zWWzOAt/lgrov/LpeIXHdh4v0dQP7t1ZhkcI+kFnPYwzUMx l/3fzHHwIluWTegTv43/tRv/cs5sJU1T5E3CtKs3r7bREe730E4TAyRPVj1iixhCqAQE9hlNecqe Nc9/w8D7uM3RAObXq98Db7ShYfMM5Nc0IcPjjagDrIU+kpF2YlTHMh+bK0mcKbuH4futfffFWBfU yW2ebkLF1a7htSKz9FJE83eRNU1Clykj2H2PFAwkEpnXDk5K4qIgKwHjYHiyyocAA6pisNMDGTGO XT2Y2ty1tnyny/zQu2e+zhzuwfwG+RdLQpI/aQ+8CWEjWxjZrxy9Hy9Kc9zrbF45MRXvTiVu46WT elwcjRIaEx/PL8lszWSSToes3oYEsPi9nfODOKmUiOmSnMc8Fc+hUjColAso/S9EaWpwv96CNK9r ev7Y8HjjzJ7grieFfjntPwMLPFn8gbOtVpbwIy1KOxVtX7NmnT0O0eY05zCjGdQYKhSlYmXk5jYN WEm+Q+vPwWf491J576RopZT+bXh8JbCkCyTaGG90Lv6AgFUCMztbCJhlPhHkep8tfJ6XkYI90Rh/ xDHgOLMayvtyqBf2gKJB98V2yqBaiENo/2uNXX1tyQNriox58tgaCbN6FbtgIKBglteWPX9uR7GY IXmPbitsHE6/emuybRyg6d09wb0Am+G0SUcDDaMWg77ll5G9Dv3dGCx/pThfi7PaO+iQe0BTLzCt uysxHQkihF9hJJaJOU+09udf9GzkdmIi1seC7A9/Dnb9yB6lfEL6U6k7aid2NHPqcpX1I5eIH45N kBWLwaD16hBoTtIGon/4VOFZNCRkbaZcZeTu+bcJq1LyZBzzGJiQoaHPUVgslMBSSlXl7PaF9Kj0 6hdyGeuAPdGs70MROu650djjDklvaHuCKH+S5Cp1y9rKpCW3YJve72QsQVwaCB5p3br2rxScd2CL H+zhEfU67H3iu1pERvPbPLKqC/IEroitomVPxgzZvgW2nRTL/oDkwBJ4gbyGl1u7wyXbPI3rDBIG CxWva7KENE0Q1WMAK36rqrADRm01n/G9nWLzXCP3f8VxzN+UAVPTCpMIiuOvhLPu6AxW0pz19S2i YGTL5uNhHGl2fbAoY004z3UoDB4JO/Pyt9bGAokk1XNkhVk0gDLImqQX0qP+QrxtrW15RoLhMbiz CVKbiPp/CGbsXVmpifW+XLOeXibNQnpNWH+Z1BTcgKTDHPHrxoy34gYEbpsutq3x/XzgdGdLhrDN 8EeDZ88nKAQGVseX7evtAv8GZgPo7UQxhbuOhTgyrPCt82fqcX2AMt3xi8C6GLGoSsoS9ow7CWRM Dg2AmgnerPLRmDvl3p4+0luYr6wXhEfG36IhVStaWGo0CvIBGzL8I9tQ6vdrW4wiE86ahtApDolB 7S2TbCmiuTiKfh1Pt+sWpeorRw9PSV3pRuDgLko85SMtKWqtUmxbNaKRgLRVD6WtWsMxeBkonZPC 3q44qG3zy/WGUTQGYoQskl3jztSX420ohXsc5LqGP6R+fTQrdlvtC2IEVH71l6Zu86VEBsyL1oIn FkBm8ol3BNxiQMhWG/tkx44Qi06mNXfBxzz/okHe/lA+XobGl/2baM2pIQc2JtefFWsoCQgYH+Tq tfx7WEryT0tkK6ESsCvgEleVFNGwdRYs8ZHuNcJUtx/FN84IuKphh7ZxO3kbM+mv2JEEJSD2/Wz4 plwYaMWrv47MmG3Vr9ws/M9x8AXCu2L1xMBrkSLfUvITPMLdh7vrllo1gRO9YDgdRen1pdG9i3rl oDSZsQSgwIqDTnudyuoQZAxOaLZC+3yQuFP8GBQrXLm5qu99bLP+GtgE3csfVm4Z2mXNhHSnnzsN jzZOOuRFOObZw1ixutf3XHLPwctwNMMBrthdQScS6jC165N9iDNyM0+AyGlymDGXwx7+wnVqifmQ bJ8AU49Lk8m9TLDStA6/hDRIXrWiJPNzJPxXQ7Qn/NEMfIUvTsSet9jsqAH12vsukgt10baWltxH Osc9J3jbuGrcd4WXFgfboOn86mk1RHsrROCKkBB0AwJJt2HzA7OT8dVZUk+6enTEdLZapttYfUC5 AN+pR9+43YtOqA3Jx42GC4ILxZ2GRtN2XcCxFUIRN8JBQGYdPyVCX1pyBqPD932zVkterm/3zHYD DO7l2jGi1p5Y7icq46nGQxLXI3xbPOBg3TgvrP7vD7vAAyf862IddEW5Zwf7oXW+01Fh7aRPF7ud 8WGvbbwkfz66oUCigxbEVBLXUzVxZsmqEiVtYhH1AJNY/hqBeMAlSonCFaY3ZLX20+z0HDe9PuUM SZFZ897h4AX2sMTVsCI+rOYFcRaCSOayY2xVcGlGd5flGVjGMjyqFB1H52tQYxXNgWlTNziqdz7K +fwkZMLZDH0Im4Dnx9y/3Q/GB3lRHd1ffOuhl0LfCyuhCXNGTd06b4TPdRkxml/eRv5wf9PIXL1r biO3hYa8/oOEJjWb/kfoPh3xtwHFlp/B6C0ceLsvbADsCZ5OeaveUiNsN44aEyhGXIkE3SqksaTK udDmlN9KHAC5Smg5s2Z2+7lU+YA8sGSILIigI/QmJzD5KaqRRY4p7hBtwAqVXeWhZCmECuqlZz4L N2DarXekC6HvjznOe3s2krFGmdg5kBBFPt1zXNACjudOYAGU3tiTlZzFPeC5EFNkJlhsOahDlv6B U4KuDDk4LJ044oBD/YWkdMYuWAxtTCrFDbrHllKuBnpjqFfrtcflzeUBXU+bChn+8trSbqXJrO4N jbJ0LWiX3Hcvdt9LASyB21V0QOT9vB3tP5qtHc+vbQs1l4ng6EScPKRe3aOaZ9TR9ReoFvOFXCTT cUFp1I7v1/oeXDvTLuWdia0zRZHMMggDIhXl1x97RYv7DLZO3SbF6aiQstD9E2iArGh6nRZPel7w oNYZXeKqaFhFbYMWt5vXI/OKMykes5CuHJQkqOp47gX/Py9IZzI/fp/37AavQb3vPlqO8aDIcyRl y7lu1BK2Du5DOetmL0tOvZ563y+humsRukEXQkZwCiIRwkq/IjNBc7cmvGtF0lXCr7IiPpLszSSw 4yt0sR8ZUYDRZD4XIWGyyqUTLvpogPpOiWIyat3+ypa5XIwOHIYvswdNVFqR4xKfzOjfi6go3DRI c0iq7heH7SdBxWUfU5pG5afyLy0+pi3aczZcgW7pTxzayQA4BsJufZi09KadmppH6ZRbb0Te+nsp UEkAk1FwAkIvcwBy8slXhCCQsnuZ3Ete0dsG5jM8mKS7ta0mfttQR7ykdZ3o4BVFteuUedE5qcqH kmIAeqaPDQNYofEHpmpHbYiwdvEvgBSFuFcNAqFBZjTJI7IYkhH/KrIB/W5gqtW03Xr1VobiGG7Y 6ykMv8Vg8O6yRw8PxWLvlM0StLMqjOrh/zOgX5KNiOmENbq17gNDVYiMIjmg+g2I17KN3GS/mImw jYcZ2Z6RdMRm1Ma6sUGmo7+vH53OJZcmA+B0MVtn6Wxs0vXI2oswzl/tIeyvJnMMW9giH/YXsg5R LYeZLFzSNg/PWbSPjqpmxp7OqM3GvlvAAH3HgolzaMSUA9QPc9mW3mobxBeCDJ4MXNzgcazYX7b4 umw9lXye2GSOIFe8g6SsxvxPOZI/PfYslYubYRR7ed8ouvZPkItM7PTyJRN1vhVrjLiHY095PMDp 4nz9GOfIgCjvkIX7Oaus2VJhY+fLdnjkO/lB4D1qfb4S3ckJjB11yz+gdVG4QtmKH/BCwVXz7lIU rVB2A1J7dN/IoJseIETfWDVf0Roms1Qem3I24PKYpW0o6agNl7yEA5BBQnLxruEYBrjCy5FW5aAX t2RQG0RKXTAnPIsi9bWVNS/ToexZyVqA+pS2dyjSyg0DAz0nQX+/PG/BnC3k8irjgcgiF08dDgiT 71FBkCsplJ/M+/MuNc6Tl+Qb99edJ5xfGPsRkZy/wWfMVRdRqqCJIE5buOvsQkKCwb55d5bsNVtg 3LM54j1EOiwluuJfRaELm07VZ1uTNhPD/U/Y2AJC0cV2zHXkw6Luex+KvvlU+c1UkfURUnajrMy3 QID8QDq4Rs3wkH0C/EkXvRzLfETSrWxGZ7kTCrFP1+bQ7tEXFF2Zqvb06J0W7lXuBaSz8QI5wx1w vDml2bV35UR5o86brai/ipKPVy5xK6Jos9/adzNFXW9lg/b1xuj5xFOMv249KR2sEvy9uvRBMO3z Ma0+t9vDBG5usqNeV9JvGxaMOk+/ufD3dkWLYKYsmc7Mdjuk/sOE8UX/AhLjOmvW5vpWBa/YEsrO /DtCcdlsNHNuxLYJt65Mytqu5CgCIhlW9vKIw5VUSp2m6fA7RGFBh65ErlAdXF9ud0/Ta6iKXm4b njlQhi0T/PcX+OpJcHIm4Zvl/4U7CclHbW05aus/ECiHwMCUvfGAhTzfJp7L84wfOJpIRVInxuMc 9cNoNQxJmrq1TcFi6EPPTCbKaclp2Sf9/tm62/gBP6D31MdxS+9j8pnM+EB83YwDaAuLO5YvR6as 0gLOgnNNy4oCAPlzAyb2QboLJdk2MRDuvlbA5nyBDCbIt3dTy4Zll00RFUO0Y8Uzt+ePfiuRPiV0 gVJ2nF7rBSYr3QUHXb9X8gb3hrcZqOTuHlERK7pXbdWnViND5md8TJNlngT5HS4r5QAO2yCdTW8s U7gozN796oULNxVTzduS2UTXMt+L5Hfp8pDUjZlknwSAvuY5KBFjsuoRmh94D7LCxHXxjGI9Zqvk EgK3aMRu1013ibzOC5IOy4drOS66313fs3Lo+dtzPgMnQ47lmLbnOYPEeTQSM0fpiAbf8jB8ZtrG exEe9wlXRxlvtdg+Ny6K3XJQlJ+LOONVRlWsMEX8xRPchzUdfdu7M80vpGyn6vTACZjQnu9D5e0P 7W45/OOI6xP/L0NRfmm6K6fe1u8xS1b+ECj0crFNvkvTLbqYWn8DlwD5zuNyAHixbNPhAAHZNIE7 aa4f+Ym2BUDoP8CIVn7VxpUUaeGP7+r4kYQTSks+ETzn4AKJOEh8+GPSc0oCiYkRCOeQ+kvHrZqw l3yhfONCQbv0yEHRGww6PGwv8SSv5LphRsLFZEeFkrKjqouUfVUVBBvwbGn+TnoPHnth4E0gI25R mFphXIBBJkSFBR8UsKmzYkde8ak32yXf1afkOaf/sNELryFIqzp7OLTaKOb6+Q4D9bwxbZ5xfxAP EGgyJW5V8E0EfqyzxAla8ikwFwPRa9iLDJ05lFmZuUHJiSc1EJ0qBYNmNF6CqLUdQjBBmWKIzpmj TOvy86rRHh3JCcLwIlBXg7ZtyBVpK7JnBdi/0+8ymZvILDzVmla/NNa67actwg3YbgmNuiBq9Civ 2uPxR5MinPZ52PeMIGOkrKDzRm6CDHwUE2OoaF8YNJwvWFF0NFXSl9YmJox0aasZypNllR3JrCCc DFYyT4PDwt9RGJC9NHSgqa9DTGqIcKg+ai4IR1vG0Eo/7sDCRkbcMRhDTDpxEqFZv/FD5iDKvmLK uFhcHOXFXJUC4Hr+uSZC2ITcHi1+fEb7ECmUvI8bfydRzESdHy6UXMZfGPUdbSotYliwCEp2Maf6 TxfRVh4M+psIB9HXu8IV/35yUqqj3K67//oWuy9z6lif7+KW9qZ9hzDfbhF0hVjtPC8VdP+I4IMd m88janztwO3Ofokn7ZUD/MbTHsPyqI0TBGTS9WmCw78MiC1sjGn1EkywNeFxKF0CTH4B/nIivfmJ G6e867NOPaL4/gO7xYMdd8Hi7CTG7grmaDs9vitvHuYGvSFvpx2uwqws5VaIUikWUbob1fSxZQsZ 03NEB5sxHaePmb0uOip/yz1EDVlYfIek9xI3+RWR3arnqtI/kFvR4AHcPyjJtdFCeEkLEuCfu7MN qH+fQUS1Lb3OyeiB9UdAhgacw/VcPDE+qnN/OI0dUIZwhEdu/NigIQtfdrWJ4xHyEPK+8qz1BkWX Amk1tWvBh9rzoCETkS23s1YxaD+zd7gxvcYXPaBnNYu3g+Boh786cu8zQFQ930ET5ihYDZ1RXD2o z9UjevFktC0ZT3iRh0B38VJLVINdu2sQi3SG+R4t2PkuQWkXm/0uRORHLgZDSKOslwwsmgpttq9s RyBDSJ9R09qBZbCVlx5CrBVq/fpZqPRhYWsRdmsvgAlhX8yJ847mXgh0P+fQVywV0GmJYM2fe3Sv N2GzMO+AYrlqQopIfoydkur1ZPQOimW/JUX3HY9Tvr6ApYOIx9tkKiJFyeJ3DNg3ocIlaVVGYMiK 8II0knmxuOnGk2AMkYgnvw/eahg4SCZk+h4NKseUM8IXarC0SdZIsHMoDz0wpUszBrUTMgczcTip wAjGaVP6rpKDioRPPHMOuOwh6v0IQqkCBt5zqyhiuWcidX/KkeIxk84DjEWX3RPGmgbbvwro4G86 KAvN8EhwRd7zFe/o0aS/aClgXGa1kfvAO+3gyCgMwfzcXfarvGvmmqwziCyr8GND4AF2LKE0Q1nz LyKsgLALLyzCcOvoa0J2JP3s29bEZcTZvPGD6w/NcFCTgVDJpmBbWKpIm2IhU0vzdBsGon9NPdq8 RouRR1h8lIzVUnz+Ev3gd/dVVYbvkk6iJuwF+AwnY/lA6l/IjP8GHq+aEUica+JANlvYYZEkMN/W EoXavvUwSpEYw/4LaxJUtEmGbbKRtnLnXmbgRABPowjhaXYJGKYI+rgGjiSOWAFdX44/LDlXmQrW m5HdZj9dDhKNwYZz0L5Oulu8Oz4151VFG5kMQHAtoCdU1UdO6d7i/9TKdh0jDDKorz4fWHpXwXA1 30tYu5Akqh4chOpL77L/K1uZTO8JRE1dC/uKPjJeb8DhXKV02jr1MbFD994haN6lnGBqHjiK14pK 1nqsUld9rbWfurophpHQgepd8OFPvdnlEuurIZnIIFunszshowH8wiwJ5tR9f1u2P4La0SPT6g2t KrlE3CysXz17++54ZkA+/dpLNZc2VGqlKWrAWTgPlwcYr442m0yRciC/UBzvMT1/DNNarnq/ecHr n0fahVu55qXuoC6DnpqgW6Un3PH+kmq3javNt6PMTYkv8tqrPthW9MzkRcjBHa5PaChBSprmKjJw bXPdesjYr23d4/TtEaJubQK1IaJglkISFVXbfeyGi/TqF1XVrvfV2ltpeTBeTtUY+T0b4FkW6E5d TgXlZGnIeIIL3YAlwg9zbxZvB9CEjGbG8ppMmYFiWaWdV2eWWTjUAFaKWHPadJ6gTIDb7IK7h9sc Vev4qnM4w9Zt50nM1vI1+E8ymmjK0Uw8U4SX4/jbUxexBStjhw7Dy23UvNCQ8w+f8ZBCI+r7Iz+b xbcGU05M5xtNcYC7U2DL0gdCmSZszQxOSzSUvwvtljMF7a7N13cql4pqDrDqGb9xNwfH88Q0iaAn frI1etIf39d/LW7cn9ywanrffVp33PFz/4c4Z2RCrJ1xFDmK1MMfsG3Q70GNxR6L5ftQwVuFEww5 Ue4qzPE5FdFYz0xys7AXM1L7hvxkW6975moBMs5c4H5+pcCXaCZd1ECugr0qKWy3+dhexaq4M/6u IfREjcDnd4GIBunzhilDmLp4P0FRa9jSqM4cbu/J6e+yvInubVcKTPtHAdaoXiqRZrorZQZfwMaL HkJMLGzIk7pDIcRHWa4rFILN/641a1BmTJBw3neknxC95KY34oW17aLsMPB+VTWnspLk7c+qtX/C bJI+32HJSUCWrXTLHLFuj7StKJZ/gSgtTxtauQNgI0VJil1glIxYCBRM2WwRMJnmr1IsEXbnWhfj YRYnRhhBS+RxZV9ZKej994gShCRs2LpkpOuPL3TWPom7L0lpFYMLhIBjiMDLgN1+YGpFdwF+dhx1 mk1CkeSa3/kqcscondS5peZgToFa0hoEJbkO35aOl1J6W9d3+JAjM1uDVcGSZ+MlZ9nbgP6jFjF0 ele/rLEiV2/c4+WJBSAcFjNHUg5UtnPDcDb+NGJZLxhyC1BH0RtZbV2TRf1nl4p/KCkS/C5g+UKw ptqq0Zd/Ahh5hdDXJrpP/xMmBUiWZI62F6PidOg1DAt9strCgux8ZDGke4bLvo2XxkBLduVy6TbP 4dnRVi1G3YmoCWyuF+l/0yvVFeTvZiJS1bOgV6A4ioG5MET21GOP3MJwdsqo28Q4iv5OerJ7lo2w krfvpfAQTiaa0NdbzOVqUYBzr5uaINle2/3t0sMcjkFzaHxTqoZtQmr+k7NgOig7qx8NqPsn+6ho WkuxM/WJ39cGoXQvvg16PObgokH6E0ybkWqVZZZtg8If3lOvNG9Gj2u12bsPNZGiMtQ5PI0VcziL VLmfIFQshg50R4PmJtdBbwOUrLRCgDofWW1K826EiL3lnSKrsCvLSajDPIqMJf/zk6CMUclm8f9J qlOWLzJNKiQX9gPknMnFEL2izBf8yq+Cq/7Uz8t+7d7drlSUOfDdF5OsyKExaFkv33C3ELMk+ett CcwANEARRVvgO0tc++SPYZ7SMO46BCksZURINYlXza/x/jBJ49fq0jZJaG1AZRXOBvhvJJPMcVFA 4g7j6O5T+eeZ73dTICfQzLmAC8c1LGPJCOU1FVraOZBw44E7VgUb6/tlNYfdw4l52k5SR4jaCNZM GScDQoWvlR58eQwIbITluUK4c9Pdeyu2dpNlDFGpDexVeWo3S5a2Y4Q0K9mqDi+BIJtORLnDHfc0 veOdycKDXtxZ9HBNh1mt24tm0KdLU8ytig4ZtBuSeoNsCRQtyqGIkHGx0EZJ6CCYYYvOSuHICsUw 4RcIzv44n0s6WLXxAHhi/t2kzbWVfO/OYQGVZax3XN/dHS5R8UM88HdqVQpttZ5NNCEdaDsfoPkH p2Qeon9+WUzaVOFFp3V57yv3iCWf1Jz84BBoVaCqFDvs7voHFWlIZcphc4MeAl7qQJAKzZkC/Alo 7doIT/bE0L0W4u655DsCGbvl+KBqXwrKicA1SlUHIP1uVI1pPWraomuegqlsRaKd0lKfzOVOMlFO qKW5mWSQIuFNTs+C1hyRiQr+uXl/u4EFt5SeNFuoSSBDP/RvIV34WGGNV7FQhEyYK7xxekiaI6XH CTlCM14TcLhkQ3+z7QAOM07IukU3UTRMlX8nWeGaznGAtrrHPF8VoH4rbNjnEZuY+YM6ysOCytpF HGAhQbDWO3pQcVI9HbMqM1fKpmNbXZdMfRuvU6+QS1PoPUJgRaES0Hl1nJZkIqO4PI0kLv/xxCgp h/bRH6KjoZYgKkbbNC4nHnxjuMp5p/kWyOy/9z0L7LELXvh5ZVKuO/+i82Ii4dK1bHIePtkP3oe3 gy6pPFN4LBwTMr33JcRIUX8jJvi8D/749ngmliBH4ixyZIX60fxbDbGZbXaq4eViJHufTwfhOmdq +nXewa0o6J70KFV8hT478+MjNxIuvp3TRW8PCF+UkiM8dyCRT7TwLRNrUDJAQkMfBZUOSzn4M2IV S6JdBb9V5FEcXcjz/gUjjxejcWA9EOgaLSxMBQ5+fLuxKWuvC1ndQdvp6KQnFLUJvjH2Q8gQ28tR hGH/pqeQku9WGWwfa9yfjG8IHozadFymqyaya1pM1h0JH1GceCkFxW78WCESEEebW0tXgG1ox4sY IIIu3OLAR6A2XiFl578jbMR9kdxJOhVU4yJX2PMYPF5dbCdpIlztxyIbTLnVkk6ObnZLFgxOOA1k kIKrXb6ejBCI8YZFQz072X6lN2Qc75GT3A5DRWa0xe8+8lvINELLFlnbJD1JMj/d3Ftdv1v/EQ6A gJRm6t2Zo+Drj7sojQQMru1f+3B4vhc83ZD4t3d78tqjYnSaWRSBknIm8aPK/uD5+KlCgpZK5Jl2 1zueNW0/7M3kBf9qLGFJmDBg9vSZ7sDTaIFgX7kKtBNifN+/hlIjkZZ/e6NfXzo80cS8W7Mx0U0U hp83e5ad8D+2u7gfw72/UUMycBAQ7Dm5Y52zjoKS5C9b3+VeUhC9+Kx8UeODBmih9iYnEYXemeFB cP2QP3I8nycmb+zqXYqNvEqELL6vow6Y8ILeRyGmjFDp39hC1hZAXeF2lbm9fqZ5Su4Fvrtrl8DU tfozagtM4/QYpFOikcvYYizisq+CN9w3OggJS7NNx0mUGtiNHGPEPMLNdNB6WFmPyW8X//SiQwqV ceEYfytmBKx+Kl1WWydHXeZzP3l61kc1yG1exGzWKzjpZnHAyxxx3UijK6nMhNAUD3Ik3Mq5TLpN vTcMsRQ5/cByIAaxoqOo7IJZiVBaIoIdkVjzUeze5UM9oW6GngfcMsrVD5jSQlc4cbjvIlHoN1y2 Ml/n1DkeiygtORWK8+bPzj/zUaIOkg94Rc1t6n50tnwZywZST0x82RHcmHubEMHF7GmiUrMNRpXB 7PwfIIaPSEpwBqXSlZJHdZzr5f+Lyc6JNveEtVAqR0sE8ELhI5Z1QAqIoGBzdPyavytFcJ43BfSi njpBA4XYJwe+kbLaJA1UyU3sgQ0w+0ZRy8FWdfZ0pB+FXnHdW1hX0Ej5CzyLKFK1hoX+xgIcHm6H JyO/2iladiFyZpTVMDkV90bcTX5RrTIHwNyaPijh9ZkVIGzeTyXmuGHpR9YGLiBtqJcjCic5xT2Y EDZ4ngk/B4w8Pl6/uQ3wb9PiTi0UuLtYhOtqYPgPtSwjuEJQ+DhzN7oO5VQXz4jeA/YjbP/5PDwQ M9IALFI1VXprQrNekqpy11MAm/Vqu0snR0FuD5Ambt0QHQYXkZu3vDJefrOZlB97Cw8MvwWT+cjQ VpBNIxvgvbhp4FZL7HLa8ZaovHW1BOHU2yUYLVU4ivWxeKDg9aJwmJtSzV+6k51/DdpRlrHysFws mh1CtgUgXTgT5+FSRCYzOVAEuRa6bQ3H4v1dm69nDDPwwLW+Ml5tZGypIOrhjD6Se1n5vGGGmCIs TGmWUV/0Crbqw0k2HUGibcYBfSq+g/+7Xu2R7TNWRvYGGH+TQP3ByOIZRARBkT6onaBY5fogZLqn 8r94oR4w8LuA1z9kcOvvcZfdeC69ZXGJ6bpmCwoiWsUGjsg7I/QiKbTahdImrmqjrsR4hINQqy0Q O1iKh7GNsv5ZwZCeCfhYaFr+OZxRYMvrCMD1/dBHA1msrIZ3YeoaNR+Con5pl9/mN+07l5w4NGnN kw5B0dVkuAhZzun1sAnpaeAZkdKjdblfmzVv7lWH0m8yXdEFKSFr5IQom32MN/PXMahKlfpBeoOY McjGBgxzUVVDOaiXBNe4zxp16aOmy8MLN6T2Y0LGzG1G0fmQgK/uBwt3VV1vVWF/9LrqdOSdxcZd ksbneWq3RavTFoAv/USjMzZFs/khcuQIT/fYdPQ18TKB5Gh+OIGZ8Y8CFJ8CXMP5N4BZnyuKO4Fh GWPIE34fHldnhUeU5nNYFs5VgUeOSJ0cuF/kKUfUvjyyzFh9qMEp76/GoYZQkMiO+j78yzXPDwsy 7/QbV5wj0TRr9z0Q7jp9mqQYTcl+yIottSENwwdz3p8E2AwHeO/vz8tO1aYXgbroPy58h7Kkpxh/ SqcKZRyJ7x1dJJP49BryWCvpnQbWYHBnJMfWJRyoYjO0oH0yaj8tt2B/NLxMvQObu32hhE3wC2yA UZdB8T0uYMlXEQjS7F672iHKDlxxveCChIb7oEPOToDNGaiF+2oPwJcWL7e9Zm11f6t9S25Xtyu8 NGNmcAjRwB9u5STLkXJfNVMG39q0GeNZA5Hal9mQw45h609Eti5+UpRSPcJ9bsX6d3zbD8orURo5 OUVXaOoEW51t1HpwWlUdDkGOs6HOXFAdI9Liy/vhgmrejWD2z0SphEEyO/JK0IwBpHyYLEJ1zwYw SSDP0nwwM4ajbufKfNG6qqxyB4ikW5uYx8HG79j1i86NR357xm4JA2RUQcMrYZJHyLbzA2llM5Q5 BXdVjaVYgfnan2Lesek4eMiqVQrNDCUYCiWH7a8Zj/SpYQHa0YNLAmMqUj8Lk3n2/6m8dxQS1j72 q7HxBb7ZJuq4vXwvZN5HTnZgC0Oc/MIJfmd/7C1aGvLn7mtR2JdiKMXOz4h1jWEBv0lnDGjgXnQ4 SBzQglYdY72quEFBC2TtBS9j63Ca7NfNKSRuUF625b2YGdEK9cw6YmN1f0uLNBSS8vzhhlL6xNrq 3CiFsMR58a8OZ4/rlHTfe1L9KfZcFzGTKE0htMp07cpKg9DIFBxAuqDPJ4mGsvu3o/JFH+GpDqOo 7OaKrJaGSV2J8vL7x39PxVyCpoSvdPpldIK1M6digMjWp4RHWvnYQIkSkTjmuT0SgfRB0NC0baq0 Mz0N6eccrr2vjeJGPcQj8U+CWDAzik437p1yNm3OZqryjGLta7dPK/fWjDVsw9uqx6+xzU9GBB2L pdHtA7NS/8jYEoFikvdrapAVtgBpHmOzFID2G15bhnWMa/UcksEo5Img1En4vKxhBRkzRZQfXQGC h7SWRNE10PXLmmLyRX6yRiPvOBW8NDOSGqWPYVnsVV7n8pJGgwc2+bJZPMPHG9uBMy7e+ld1YHtm xvS7By+Z/xXq5VTtFqxH2knZbzILpSzRH+P0qZJgmyfrt3m86QjRU67kIw/Sn+8u37PwuNNwGLjm lOgx9noUXPe3k2WNcarCkHPjKSfyqy/NX1cL3jWAtMSVqGWqsu/50CT9ApekgItseko7KgLQIKam IwAyV/yF1oGKJ87qdVlXkor0LZkxnfe6TNL8ad5c78jOP0+hXEeYNQSjPU4bW94AT12Hju7RfnX0 CCu6tTcsxXCFZ8YmuD36Gzxrp/wa5yXZOvgLTEEsRiwKqqLJ7KHoROLNF4xFHNbtIhzdIwxDcIYc Z9stPGUpiJxespVrxE2dd8s3IPNqyBsTuMsgG/SRebWrxHTr9WNC2+MbI+rwEK68nWxlwZ87Bj2A okKZzQ3rd8H5BoXyMTXYEmAu0y2N7HlPKDHj6zeAaJytybCNBg8MiY36IuehZaSTTIvX8eM1YQ+N pf3StI49hsWYDCxpHOi4bthxFqpvuPQd3JWiaf14l7Z7CCnxQGdzv3eSCpvU/C5gY/fymNd9EPUg o49kq1FbBK1CHxvOxxIkZH6vVU84Bete8XaTuNnQJoNS3Fic5y+GKLIaux/PeOSZqumptOqIoYTF Z1Snv+fAFBaseNPYZfgesbbUOZiSYZa0PaDg3hPjMzfi+H3eMXUY1i4jxJb8sBxEgBNbVwYHJp9e E/JKv4YLP69IjOj7PWcoZKX20qlDJ3hf5OPoSKsTntJl46aAnf9utqLczGacrG7AfbscA4OpUnlk 5TQR9qS3eJigRFE1aAeRHpq1x1p0HJipqytdDZNAvTCynNxSDBl9Mm2huDOvHD4KB89CM5UD9yEX z287yOJI671HWxmHhM5LuFco6zxYzalDJMgv1LNl5b65j4PwDOuu7snJFlHQ+4luhs7tOjH8ie4n HGB3PbOsWm4miTKcy80LFJ6Gk4BdN0MidvT7KBzNHcCvQpHaLB0961XUae1Om9T4tOPX/LkRirE3 khB1OQ8isfeeIR368zP77UomwabGbhiYb4m6JOTd/jEAuyZWcXMywPzr0fjrbBj1XuhMKNfogy/O SYu7GJwne7mavaPx9P2fHfOhgN/FlHyDLb7OSX6r32j93wRHYplGeCm8n0y6+q4BIKplFEM38WBs yvSdkme/Y+X3L+PemYpV44EqZ4xY5XZiegnw7ofkhDXYzA+h+9oNMLpaToJKbZU7kBl3Kz1RMLU5 +jtGC/4R2rNaSuqT6RHiz+zktyC1SYwe0o/Hk36TqPjKeXRwwDTYQmF2vcDBg1ou6jZ/lMlUreIt d3C/Qqc5XGhRMqTpP3uOAo5HqdTJyXT2o+X+e9jPhmRcaBQkZ25mZ6EkHKb94UorvcUBFpdIbOzf W/mZ4zyw35y4PgMm57cElk6V/SHPw/s/OvnlCESRSSrCza0epJF1IT1bhp8lUpA1o8x3rdvVfxW1 f63/TwD4ShuYymreeXk1TwuPdLx5TPdgxxUSimwJsgsfLAKtIyk311R+4MB5JxQeUnGP/HyPBJ+F nMRktN+SvvH49PNryKUbvsGxP1d05uuWdJBXsrmiyCmnSeTxz5vRIuLGTDq/dyFP6WjeuHDponX/ wQy7VyhD6/L2DJrMTflDVI8J0TUH+XtcKPHnkoPmR3P84SGNc9R+UYhhtIGkuXaDlT4YYvy5D/LJ bWPg8qjrpHgHZOlbUlvxdP1fAQ6DJr+WeDe2+uf8TYXhaoW93dQwJe86HkFvBvF0MFHY9qtiLd0R jkUaIKHx5zbdp1xwMccKCYbQn7cvGOHn/wGKCsCDVlFg9Ec+layKU0GDOvo7JSq+Em3fcNObY9nj MNCfsMilkJkS1BrMxYSveughrSiy2mBtSHeWN/TRb2CiU/9GamoL5qg2bJ3zIWkFAeUUQ+CoEI4y TLRcAKESkVAl6z2TU5QF2tg2o72a0Jn9jGVD4WKyTjfZ9BZmooXpMG5WlXYJw2HO58W4jTx6sZ4n 6Jm0D4GuhhLH5wH9/mS2LZLtKI6zje7TT0b7WpYWILvoc7IFkwNMPOhSy767eGOdkNAXtZhndO8n EW9kfPF6RSX22tqTMy6zqvmUhrc6lZDxzZkD0rgy8cbFIPnTOkHcLxow2l+cFJJMCujpmihPSlWU +hwDfGnv+ZOX+5nYQ0VOKZTvaN3BxIXyPzGeNxDrmRS9WrHAc3MLnWWMNjsfdH5TCexIblPHOeh+ dmAEzfwmNaVpuc2b59SJwoEmo8S0KVV1gbGBmIMthA/l7FIuVtYBSaj7XchUS1c7kOCwjgQwZjJF q5KP91OaxT0wfDaZugm4OqWN9Qhq5Ap8nuSq/YTHOFt34m1+X+56vnjUI6KmMokzq4iZNTv7ql5r UpCVGvdm1BHWnFUjgYl8VgzEjaWmBXhdq4sQUlviv+fPpiSo9/FaxqimJgxtM8AOtjytK7l/cNSC ZcQowpjhx0JW57OQ94eFkLUHyrKkzx5Uw2o0rUxKS0WfDrjl+A3Xc1BZxAGzbYNnjEW6AVuV3MkH LgtAJKAX3pc3pwIE3BP/DDOg7gr/cotOPbi6e8rcnlEk4oJFXxQ/jbyMHN0FBuUdOpb/GY9Q/fAR eTcLmJbU7PBhOEA39Lx6QGEMQ3ET3jKg64hkDa4psrKK8EO00dackKX2odDst5gtZ5YjDQ/UDYHs Wsuh5siYQxxMSTfXqDzVK5xBJq3CBAP24Z/iY+GiWkTt9AgHfnj5Mv4EYSUfT+hXxIBNbXJY1oD9 kP1UBnDbhedK+iStsr0PPbhN+oCmjxB6b6VIlscmMCCQ8ayQnkFSythbIjALo5LQIDrSCisz/5Aa ZnvHprxJmB+t3EBRgJFmZPyzLMGYnYSbyzMxQXtI3vgUf8MID9Ql8VM5crIZpOPg42q9bzDO4PuM yU85vjeZimfL8KMA41U6GeGmoJJunqpaHEHcyI6qh7h/kOphNrrtqR2Uz8d2GsOsaqSafSI44cZ5 O5ZzG3QoharkuxYEfs8ZCZ/TLUEnhI9gwnXTcR4VZPykjQUk0BVcnTRm7t1ekSozmT+Rycrs8bke fhcebMbafeLnPLZgy8PqPYYZRJhFLV8r5XoaLQr8hbIYbwF5chTJ/Xuu1i0S77wwP49+TRVYiw+e 4YBE5oRpEfbrehme/FN1KbMTf5VlBkUrKrWuMQP0VCs/RKDJYntjcwAnjG8IrlLbfmugDnfg/EIx IpEdrWO5uCYDfz2VVYLJ+UKc+L6yHtCZOK1bMgeUm6ZnSlFUVkxBuq7ZUIkBl6KuEAatQE/kkZ3I 2JyiQuLYoj/jcrG6jlK/E17V0eUQzWgqYlXegycj2jWVQm52f3VYc+rBDRoPj0hpWP+98bHAXtye CFnPzlPkeIh4dnSwyqjRgi0WqrKhFCKg28dijknT+YWpJ96Cr9LwMYXUISoAPRi4gwtbg48HxyBy inTDPK1WhhyNwxdtKv4KQJBRF3q9Gy7JaD8nV/IOKLQtDXe2GaGM1pVkIBW2SbSYAxZRB8f6tXks 6KcH1inFuwTzRkcnR4qQoNEeTYJvvUQK5vEFDVcZTJudfkZyhQpqbfzgmjY+ZNSW+yNEp2hQrESu h8Nd1HeclCuNsVqsJlmSZopXK7zRf9kYuweaZtIx8rKDQ3E/lyvk20i66YgogvQ9SVl3Yw0bS+FH 75pu4A8UYvFObn/EAzVpuFrddrsXiuC+rVRJ0cI+R7bK67UsNean8lvAPKUuiPzeIwWL4sOY/Oht CS7+5Kck4k24lhA9iQ8czMTJVwgTH3AVBRr/rzSxxAIM4DJ5A6bnosfjXWF4TLx0lVlvVjnPEHLB eomcgLA4ILUrcFO9KHh9Iet+O9uZ7Dt/YkSZQymdp0JskrRgGrQFhQoeRmz8f2lH8Bs1iA+HIKTk iDju96smb7cZJ0cOx+jy1w2R+nDetiW65+9fSFk0nbtIXZsSlR5vvdsNGi9ENVserxYJUJztyE05 bgDdFGkbaTmCNT6DRYCYLAkMhSNn0kS6GR8aA7MDeuBztXqcNHS9QqcYvj/I0kqnEpZPGqPE1AMO 8iFI4zLOWzYFIksSeaRgzKN9UeqdUFccbqyL0B9sYXLDz9mDMha9+z5vEG2AsM1myl+CVETrGOL2 IIVsxLBPJY40RAtjnFY5DBNr8gOMApsxZ2YOvGd1rgwWfo3e5cRqQSlxb74M3anFm8VEf5dzcpct AFO0ib0gUGcJmCnt6etKGjxkJ+6n98uNd7MipKmKq9iM6KNT54zBYewaTjpU8TBaU96DfnX7ajdM xHrC3dMSNll4IJhMxE+qBtA6PMbkqVoQjKmS4GWB1lWIRfqCyK6XVEJU8zk6brkn0q8Z4UlWmmYz CgWJEOWCWI+Tyt/3IUGG3/adCWskEr+ZQVCKhqGaRIPuu/3lFYj1/UgWdhM8xt9BUSbrkJ/is8iE DMhX3uDCNYHcDKoH6YBOBp0He/Vr+PVrRoERopRRNkR/gKi+eXkNsimwSN3/OuEJlDlgY3PKT3ay FNaELToznfP22DlKBP3ghxCyUMa+drUmAOhj6Bb24xDDaMGpx3iJ2uwq3JrnlDo95i3XHTqmlbAi 1GzXBIXrkra0PDe1VQzlnjpcZUKYkXptP++fI4ftuuo+Ek2FKn7D8ypuVlEdzt1V7zuwnEFSBubJ aKb/UYF95MzKS2mB2O0iV+WT63MxAouJHYOSaewswWZ2RKd/poY1Z97aJ2SNOcT/JzDnWTFky2AZ nxBi72wrPR+7mOTXawf/+3F82/dA3VfVWElM9426FiOrY8JA4DIOTgybG38zsgeBzwWhHQIWULMg grmUZT1y0NJP6Ptoe/5E10mCEdLDkb2lgzUseesDQqTAtPgpEj8mXPHHY1b4KfgpdcmRnvkm3RA8 pm7dikjgRmhTWSV+ExfpsUAXEQ5/mqwC7O8nPVa7BJb4aDqPcocaoJPEiPh2/OLJ9ZpJBbNFX8mS A/Rzd+7YeZMf2qCn8ovyJMdAmoLSAeKEr/TO+VgkMMjQmg6REoTirCsqQA+PevzUavZdcDSKR1C1 SgHUjD021grhWFDqNMpiarn/AfEQJM1wthIMwJcODRzc7pw2pHREO6br9VNUWSZzUGPQ0qnOitn7 Vk9KtaxevwWTWo0Mnwh869zXHvLd3K6FctmqpHbOG/1aeWrXqBJlRWtLLYXd3crJpfI/QULBKsSJ 8W+BZGuFpM/+TILZSfNTm3Weuv1G0JNlukQ7QHR8d30E5sFYhgxaxb+C3lnL3M6BghHToQRvuKBD GgrgevBchkcBi87GUMqhl7WranNZV/R7klHtzW5n5Kh90uom42kAjmVukuQI9+wSAZglQkV7CPK9 J0Yz9/+qOIrJ3GQPwy3LqdQMBj44BaElY6iQ4NqxeAfGPjW8o30RpoI+REhetqQ08qmrqRhwgSRd L6JApAbQOnt5C6FFhCk3oR0ePE3O7jSJCNukTpVz4OsXzzmMFZbp9oI83yTfCAiPuL7RCv5P00UT sasl1uDiYKKVzf1TCNrl32UBgQp1Sqx2ki9bDVPzB8rzWD3o7a3vrAkut1eRcldbYLSMi/Nq3uWt AORnWNkJ8kWff2anq57tSsiFKdYhnZKjpLk9oazlFjvYhw37ksUb2Mso0RtMbLd9I+HxVKqov+ah dH04+8XQb1UKgiD+v+s2YtQ0SvztD/SCt5nPNp/qMcqPb4pPOMweDSzD+IrJtFMlIWyncr0LI8Cg xu1MYq+b2RgxPQuTu4bsWgv3m+rjY+G7dm9tZZ4U6KmD0HStewYbCVx30moT9qQzVAYkShmEzFxs x7diwQ4apKsSqmmpvSO6wiNdKhtE0Xz+um27YGjvh9IzvkRul0i42ZatpAT1sW9LAPBQYaPhM2A0 4dRVSgKCLIup9CUkaGoNTTtvTObjwef9/K+aqYJvsCk7Yrw04hlA+k5gbYVIUIzXpV9YyrwWigFn VkvxMN9ip7evTIKmXuBoRYROm+Tk5EhYx5tJRPBDg7YGrB5sYmO6U/v8LimDFcssIYpRUsj1i+nM FsIgHhUWMx/jNdZOtr46eH/CoUMRPsVtB1ZFXs/4/ubxkbZhOpZeZRPVN/Z877lUXvkUihir9Py+ ufdfE3d+05xVlzjaO3N9rqgN5wNRML7Na9uyxRSgLqO8z7q8mIpqXkfcQBxgPfU3MWYa16TgG992 46BELmG1nmrrogwwvwb1gc2coB1+AWPo6gpf7/i4l0fSvdjyHD59O63xk/fqihZtLnOaM+j3g8cw T53zo9+mYMVxitesYAhttNWThRYbIcjR7NDxvbC4RJ+nTJtw61xcLAJDtsTZFi9AdJtXIHnssqLP 8ilWHsL69XjssBfMSrC4Rn0aXg1OP8lh/lhlfsvtB82ybRdE6pXHbDbphNd0HHnUt8Y/zWw7tQv4 htUZZYdVUpgxsS2/c8xItmKP06GNU63FqFfkeCc6WGhJ5cqQPsBhFIkmzRxsX538QTnZKHJAhorq 7gbi31FRK4qgTWSluE0ixKBY194SbPV2Cu+kVViXF9c1UhYAMahdtBdLYRdf2M2OXntFpmIMF7NO LA2IeUonEexq/M1obqcPMJrbfppAp9LL4yvT+G6DrycA7ts3VA4pU1dYDj4sH+myZ5/CoipuvLhL 3fmuNII3gse+yuCgC/EbKaipV/d6MqUQVg/WLz3zJ9utNwNTFFd0RdjrSO3H1kYhgDPUVPZ3ZsYJ sKcPnpFK23rhRVqeZu0XcPPQsJoBZrqEN1ikSMUUeqMOwyWDWMFEHyyuEbHYPamHuGhMaAVDX0j2 Pk/y9udX3lvCwUp1A5TbpS8PeV86cn37vTWqad0tcCY2y0Qj0A9OA4m+mCghhcOEhuTJOmZE6fXL rC5qigaxwwDR1N9R6VwPceJMoX3W0KTWPqEesmasz2gxK37DU9qzqNkpB/GyAd12STxb7wJLbWo3 ueOQ0PhgqFD/Veu/wdQMYPYo1iBPM0Z2Gh+kRwujZWFvPWO934UdsWbU3PErTNC8DvW4qPQ+eWKZ WvoNaGjoCmjuHkWyUFf18IlQm1SJKiy1gEWDuxkvmfiUBGnNJOvBv0Yy4kl2o/228uppDm/AXbHu Zqzh0vvyM2ivifC0T580uAEDkq7bfvVpOS/2PwIinqxxnzyJXr00capU4Ig7pg7cgIj+WaJ+fZoa OrbOEJP5MrXHfniDJzEXyJ/nkO/fSpcqwPxHdvvqOoz/EjxE6Z6y0DbA2N+K/rnbu+yJ6m2FmzyY AvtGtyVmmTTIRgAm/PdpzHTtYEMUZ03bnlVAef2p0XKV232XfO3SWNd8b0qpgQb2xiBAa6XDXhuK RsrStofY/xf7uE7m2I0c9968h03VQWzHdu5dvWuXbMam0gXYbVAp001RBnEIAeTP6ZlgrWoQGJmG 9pTBcznGloECt2a1q0xRAsDjKswb7npgqar6lqVSYHhg0iTOuhmRplJ872GdjdE5wzxYS9egNtja WOKZIjZ8n2kPzBQ0/0zgPeZSC0iH6AwLoLONYCqRP6NKkTXGUStIWvxDDQDqb5qTfQVWKq6+VoKL pNn7BmfKAfvhmA5jTSeBdvJmGTqi5XkWqHLV9hSvDdqD8hcfZIFGIA4zb3FISC+r7IQRExGqFNq3 KQMX/GYHXO+yGYHyzSlgp86nbKlJBO4D5P60JLmLLb1jX/u4mNbT9DEUTrvRYzQtbeFuD5UWJxWN BSernkWt6N/WvWpebO8hc32BVTl3sQqyw9TG63hQxQAD7Txb8DP6tYzx2xcBTXohrdFwphYeiudm 8PvsrH4mJjIBaZR6SWwli8JfwT4vT+rGixrxaf65nkheQ1Gf/ARz39ykg63imeCrr92l52FnbZLR dw3l08fb25xHPVZj/s911DU4ZZ7P5td4XEVN3kqmjAQq7Wlz8v+cvwJdgRFnJU6KCUW5c3QOZJuX 8ddK4xmA6a1EZjnIiL9pBHKG8Bar95VT94HnZdW7CsWOlThFoWVrOMnGuZYQ0x65Dmy6ECyxnpaY EmAU8YPidUIDloBS+8F5Fu4g9defUo6UV22rknFOj58YMD4S2T6VU98yMfRmBcrBrUw+HVJ1APJ+ 9dOZQWKc5ThgHGOCp6aFJgfQE9KhMnrpiN+wbVhMt9thauqOW+vZuEQjm0VEqfXF+WLGSmXkOfoW C0AGTXhQPXYderyLdAE6d7NNJiFNonFzpRzU/5dEDxb4Zw9lzE5fpRO/flkqZChuS04QY+dWVqSx m0x7qOcZmFM0uxg0K2cLxGT/OkJBj97FXFqUrGyQFXQF+A3dkcyknwy+WRfLIe3mmP4NBpQKsK0M 2AvUWXE/Ryj2JaSXrXZ/YZ9lh93hi55P31NJjzbRoCQs5qLlWTrT09W7hKIcdqYui1tpv41QTM0d KVu+FauiS7/MhGCuQoTiEF36GIWtGDG+6oJHfGKJLHkTPa0zavmTbm+3Rly0fgWiwRjqLPv9uBuc 4DfztYa9WeXvIoXKt7baeuhhMEe3be1ttb1RR179slcUjP/7oyb79TD1/kYr29lgGblw7kwhS8FZ hKWmTrNsLk1IpXe2i+S/dOA+G72LI6h7RzCoAiknZ0OkHruB+57gOwv0pLxiIUuWCFHe2oaqK32i B6ZwkUmFzajm1uZBulr9jBVQ9/Ez+/hINzCbbKo5bEc35zW0cvbJKNeAjUfXNM4gIWGGsXZ8SV3Y GiKp+KQKzARlI17shLy8CdZSrk8bSM2P0jmnYH+Mk3vb7C2KsgWuy3OvdKZ5pETcQW5iFXuWyY57 jZu7uNJ3JTzV4gSKGFIaKwmto6JU4PG18XpWZIaZX1zkNwrNg2yEAgvwhSrwlTY50b9d/OSXvwcg ez/PNNv1OgupJUR1QTy8+x/RbDDimlXlpDsKa03yhlfi9+sEYErpH2QB7olQsr0CZOydxeCDQP5j +WVrT9ZCF/yeTKYYF9QnOnGx/gR7/3BITy2urGLFJJI+ObMKRTIf+VmHT306ohHxixEmCHOrvt0b Pu9AwkPxgcON6iI5BxMlw9euEV9uukQRjI5qcenY++K7cRUJeeFlZpEh1fbFmHLVN4ka52uDaomA 265kjABTKA/Sr2ceu0FXE4eBWN63Z/h1qyUDszWcaBngeJp9HDYqxjHabtBEmvnUn7+wAVqpoF7U RTRTtEMWvwBUuTaFNUKgJNAbqWVj6iTec3W2818np7LMhvzApH8pNyVaUzXnrOkQzgzJKq9uF6qS RDxcRD1Af3ok2ZSAoIjzRVFEaV/D9JJYGS8npep8Fhl6EMkVm12b695LrUK4MBJ90RxuOxMVuXBs bNIBP4tEuk0DzP9SedIXsD0H8ojOXRt5dK/5ouJamHt1PZKE0fnAppgftVoY3fn1OwK/xHRhDEMQ AkldBgFt0oXqCPzim9FEjD21Jfr1OmfuTccwpK3puI+hTbZp5h28/ycRa9hmuxXAmo7KLXID/Bx/ eja4sGkMCanSpdXzgXrhKUZlImewix3uHw7Crt4DsJdZMEEUwQGR+tn6Dzs/RHInDd4b6TUxCGFc IFf9s5VWNHENb9kyAI1U4o+PLr+f+Oo8+8+91D5wEcoh2xvGYYZn5GAzcLeS82GZDYBGJxrAWAFg 8GNoLamY0XwdVPoDVe3/OlH2w95ZMeOgad/8lTFI9zEDq7VjnIZPt6ULoi1OVg2GvBG9PL/GFemv 86/+k1NlD1FhRg0cpeNw4iKjNMiZbiD61dIZK/eJB/JD9kHvI1HFIg/Y66pl54gkCjyf/fUHSIhz vE36qVGfYkdcFITIRPSaXTlvPus7Pz72/56qqbKMjGiPplaLKQQEzpDzr5Cmdm2gEWF7EsXxtnJu Ewler9Y+NRWUEbWDWeWr6ULxB0kbCEYGBWbNG6+Rhvmok/vB4Eg8xUU5+QaR5saFtF080OtGDVH3 j45USRIOmO9B3CtmQIw/oNex8iXJ6qFXzTpCftDGrD6juvCyMW4BQTEyWGhRg3M/O6HRHIrVHC8k ppMKhympQyWVxsQ6SCx6/RfenjdFhXtjaCvDB/W4C2vJn2qsV4JAmVdSZmd0lfJdf9cqgrmv2OcI CdIH91nAHXzg+SBMlRvpb1qJNY8eIYZeXnUZQ6YBcApBD6MqQpPrvLxATAD90DYbZQa2SfNkVZdY 7/am5U1rp9A5D03pSfsGhDeiUaa7Oe71szs80MCQXjoFEz/m9D78m+AbWjLO69WWee0P8/JdVusd zp+1Z4iaJbX17dOtP0vcF9f7mzZkQvoPEUVgnbYwz35hmkMb0Q8YIyU3QfpoC2a09DUIbQCp2IQB DdMq57Knv+Ane5B7/HMsoq8JVjw4S/yGC2sk6iF+YRCV37+6llXHebMdO0icBdL6QcpnGwKUstPh 7+Z3mbcpChLhaJBr3V+11+sDO8KFjI4sYjBpet3+uBlRmlXTexOTcnaUmFbfeJfGHl2vAE4x36HO wk2esIyiNaGvkMHvFTZMfnrT5iXDvVE7PhrCRcdDcrbgY4fXdx42Wh+0/T198TzX4Jyb5d8oiTMT vDgp30l9PNi9DLLsoEd54OLv8vtMU7hr8PmE/aVEqaZSvxtnhiScY2lKKgT5kR56UDgTXH7s+/PR a3wZXTCfCAQizVo0KCnzqlBDs4y7o91PgIutMXgL27pa6/LbHE3a/YJU9DZs1hcxuTytjPg1cqMW 9JWCc4VaFlwKYuWagM6jEUzFtNai33ZsGJ/gQEYxdQdYL+YjcD/WAECOSRzvx8E6xQEhhxSn2/9h UFZHp547HW/DO/PcCpmVzna1nmhNX1xwz6J55u4hgTiylxMCRHWT2htIvcXfqeEQb/iVYhggCP+S evdEWcygopDoUfyygjWZTbSQg5KY/JQoRfnR4IuUxy6Lm4hlcl2kP4+6nIWjCIBoC2xvh4zoTnp5 5Qh5fUcXK0OegW8DBhO3ZeaKZS2qXi0cZBh/bfGYqX/mLsMlAHVLAX3wNd+9B2TUXDVKRQKPUj9i Bk0QH181EfqPmhuhkBXbURZZWPMZQBcLAKEy4+MlVdZoltkgL6EvAqC59K973mHT5jyYILT8GHhy dOzN8sgUBx3jaT2CbRVxGQDar2lQ5TvOJybcixx2z+284XhJhTVkuPQZcMckFjrHf609mD4JGxzU qxjrd6gtA3Uv56YFb7j2F/wBQEXt+q3BIMaWrS39rbYQbxguEY15umWJZKZuKpSs1T32zu7vlK9O 3oyEt0SyXg7yU8U1gAKD2hDRRlYtWM6iX5H7d1wlNt8YXk+KCVJ41ICrcuD5qjdeQhjZX7sVFyTh VIRLAMOYq4WHrc8AEb6y3B2GbIaf5xgX3LjO0O2VllOOoNUJjlU2GLhxS6ifiOqA8+OQnYrp2jv0 1IBVlJAk48WrMZ6+zC8b8QWozfxBeQPZSrLAZeaXFPMa5uFmp2Q7YjSbfvu9b8BNiEhq8WkhWMQp aBsVzDd7gARkFeRTzbEnzbcQkHzelgxhSAOp+7avIlUF5ds2xkM2OXiLU4J9J2r8rqXuiUR6hwje 9gG5pt6+kTxjZzAUlBWic3i6FZtT0i6Xa/aE896RNGK9C1SUQAj3Ohtw/2k4whEZ3Vd1Y8bBCBIQ Srfx1YkTFp8OwIzPois9I+6q7uCeIWQmZ3HsfOpdKKbAJ4yrC3s4DH7mvIkge5D165Fr5My0SY6A s5V3ApwZM1TSJipt0PiFJY90L2koi+RKtgt6OL24ERyEIl9nghFUexzyWY3rINYVMy77gXV6CXGg Vvw2SqoZptovx0BXMoNf4LxZxeO0+iw7KTMSoss5CmNBd9DzatxiRC9ITyqXDDTXzWXjQshvFBLJ krGksVsxRht0mxX7Rva8cKREH/eiOmr00tNhL90Q/M24lkTLJTNEv1kIHwbeFCQfk0VDptA+ess5 4Et+CGlP50C7IYGcStqEeXJxRQbASuSKDl8YmbTEgh5ZEeY5Y8/5Fjx0g+pY/T/OZqiFchOLuDlF 6evrXa9pygLlqHGarD1s+ihyYRPeek1raPejzcf3uRs/AdYdV97UJPjEeCUGTQsdFcQigyvbs0y9 GPhJTV+uo7Cdko22t0tvXJnMwgBycEi36FI5tm1CFORewIYyAAa+LEPnHWoJCejPJpzMFfRYeYC/ TJfgqMxmrgbaqaK7tYOoDPqr9qtFZ2i3amNmoMhiOAe5WWzh6n9rLS5OwF7ZPGmIxJ0aPFxuo9e0 F1Elom1tGmGCNxniCFITmwd/QG3/3wn55/sfTuI7VbzC/gUPvQSZ2WAbCNddTGly9degw+XtG4lx G02nHH3DM+ew8DwJjWL3OO4kq2yJolifOMAU7LjY5I/U3lPjs0yjNNVC+mQd8ieXTvJno+27VBrA 04DgRVnk7KtBYYc2mPXz1UKj+wFCS3y7NqK84RCXNcHFzOFLopeioMZ0qeuim4awXMtFWWO2YMPG gUNKX7CjQGxdJnFQVzHYmoH17MCaDzPRGxvNpX4Fn+JNp7Qfrt7AH86MdW1H2mhwwn2UdIzT75kR TRXcKiptD2N0QkwFjmEePO58363qpCIFg1Fv21b6k2xxVvRKcg23qZeyvLJ0quHBEFDbvly/QBOo eTu1lahKwWmSEOGyycM+4pk9ssdYpKeHFHvetOy4soDRxliEuCSgPDQC8Q2OOiDt2cw2sJ0fQuOY 4FdTplG8ytUBACaZQkqbvRHm5PGzuiPZPZ2kc9yy8qyYZSmOGm3KpZoVx2k/nvWRoRJBO1c/Smd0 Mxmi5u2GZPqg4Icl1DbiUotcxYJVIwSERexgbtzxIWVVtwLFVf8K+QMJumWyzZXcDntioZXnYbAH 5Uy/b17sOiS6uuJtwSqIDDZKtw1yxfau4IgC8PkG19RMS+3u3gFq9CfXxVcLFSitSocqr7SQHetI 0pALcW64EOL9OLsg6PSsEuSfEPp3qaQXc/NntH/beBfQSyMOMSJfGh7PRpGLJq6X7RGQ7C7BvKnr N4C39nigoS1n1Sth7co8Hx7dZQAkZWHzZ6PAwrbyY1aJLx/rbcLco1UsLT2j+fRP+mUQZfKBdpz0 ZCZabaPeA//E7Ht3wU877p505wIR1VI/S87MwkI6j+zZXxbT6/pjVI22R2OL2l8ys8Pmi8CJGj+n VhBTs8D3v07eeV6f59cGKNB9+e0uCuELdX0YVnqg2rdje0zTKVXLWBruRujZaDaf3TZfGgSITiRu ClIeJMyCIo/LS5wpjpbdZPDKxGyNPFVLcJYRjhrz331cY3D/+1Mu/YpriwgKS8dhFxak8tEk32ZK VpD7dt4qU7SIiwMlzk8lYU7CGHOVXwsdJVqLXmaCF7Eb+N53w29J7smto8IKsuW3f6SVBILZjdhm uFLI/dyqACsYcezsfDobOG8yS+vjnkCkXkQmwRDfIbwXaNz0vmBXHtMXl7C5vIdS0HP3bzoYxUS/ A/69ozUKuYqU6Nesd1ZCeCU7W/gNZhRtTyZJokOeDGbpdsXqCf31sFpvx8FBOPhC/GsQwdMGmkAQ 59H/CqYFeRpe9PUktCUuEB/ct6K35G995SX2Bgr1QGyispHJUofAL6w/llYTn8SjNpNlJ1X/wa8y pBqQwB27IuaFZQWksokwGHPlG+BVMMSSi5lO/sKRq/8wpe18/ZiVSLb4GPlyC/C3GlpR0yyjlG2b 3j09rFEjEIKWKINRPikeZrj/7BNGkBXsyZjz3iG7dMowpvjETIVXBsKXAkh8VC1pV0tHFWMQMSXO eCFtJZOq9iENbtCkH4Hs/28URv+t/vqK1hPlV58yefrw7Nw0cF5WdDuuTBAOvHAXU9GPpNshFsks R7TLFZa5fes4jM8OspIaoqU5Dndqq6+gaPSxW2dMwyXmtsvnyfdqX6Wd9GfAFYCQ/EiQWOSbpF9D Q86TjzDcewPp5S0iIyQM1+kEMsxOM3RMPEZYfCe6qHGzJxdwC7wtac4dpU1RTFyuPWlPU8yLnUe+ kedqeFQjMI0zq6fjwNSEDVlfE2FtND+XcjtiG9/472IADKrl4iSpsDgaYRk2Ya27+p1tvNCB/6uT mSfuuXEDMuk1NB9wdbIRYFlcauYmm8Ba5kIqIAmrCLR9/Uv1F/UH4ppO3WW2otpFhcwwKW5Q+Ac+ 68I3Tur2seDyP8OtrjxR7aTuuBGvJFH1EpOOoLNVbvd7sTTXZ1HOhyoCZo3d2vpUWUUl0KV6lNer /cTJc405O1DR3Be5Y231AziEATf1IPQHb/prSwA144Ql23c5i12vN/zwR50gSmeNefUXRTICOsA0 6CeB2bJrvCYSQnnH8+krBoSKomeswm4jyvZrFCwHrLdmllAdiWCEheTM1pH8VMLmmF6PRjPJm8WL aQM1/3Ffbd9lFEehGgah0CCdzsMRVoB1Sya0lrVr1RRMtZJ9wBQkIGjtZxKDgCyON6bM/3X7vH8V +ezeGUTx1x8w6mG+5yumpnGmcRdzYE0w1SxAQIqjWzGCt6HxOnjOWFUTq/9ATG5MyQ3uhdyqxtRX 8/ulZZFgZbF8odg3CLLfS2QYPPqSFbjECq2KCcWlH9ELHwnI6gS801uiUgGmJNrVSwt+X3s62ka/ ByuwsTjXlLM5rGaybsS6p4kLe7WGLeK8PMXDmHMXvbXGlh74EZ1iCbMTj//smsTvEmUeRCDaElNO 3PQ2dq5Jc+uw1l1wtY7i27ZEC79XO9JLJWNN5kkmEM0e+vSrIIawBaweyLt1YnW9NtyKcWAPsfTn v0jlFaxTpu15881aYrjcqXGiwmopJly/wqINPOIObtSeWJzEIyV1ENSmOI2UBYGSvMywGEZmzc2n p5i2fmJuQrAeEiOKkUrTDD2QDgM1NJtFSI1podRcC7mQ86nk0p24ycfSJleD+8z8dvvQGirMfa8j DW5W2jfkhXmCQyejvCyEXVNIOm1g0DPq6RBTwS9LghlLZW3nUqkl15rPhF8IwJ1E0E7oxThOA6JN prqDmkamKru8eyVW9UsvxibgeMOaW4YTVBRo67KhdydqVLvXzSP/9I00NjM6uZXXyo/OBruDaSdp TNCzQAw9OsK9FiIhYOEssLfMVTQcWYWxSKSYzu+skioAv5mCoCYMCbTkxybFGVXkvd307koqdiO2 i3uLTpjpsr1DusT1eyL/iif26d8Kn0pgzIxBlJfBxqnwzIG6/nRW7O2/28RE6Jn+cKyAyfJrtE0w hlxkDUQab8aJQI81ARU0ISJIvH5QEsrT0r1Zg2dDCkrHpLhdGdkMVvqXas9wXtfpmrow3/prbHqq sTZ9KyYxCBcM0CTDsLOgqrhlpKiIVBhglvVofMXtHGytmLmU+JcS08eBVJgPux2K4io7hWzvPzBd ZQk0lKD4xkXQvRfV8k7jSQZIA8uPUXzvCoXCBgPcCScgCmnaBOeRfJpV2u1+NQ6GP1rLvGjSYaYK PWFXhqOi7OB2a3Jw62aKv29W/rIKLCyF8x5glGoqpbA8fUUHmPDxGORYc3skzlWIEwdEXIkEGC1M Uk51XdkBpYKc46zwEQzwQeEBQafnthA55S3WKhYKTll5aS8Lm24F3TWjbtWaHOx9QJ9zUNnzxcNy HEo8Tj2mmd4D8lD2GRoUdyuqCHCaWqhaajvbjALoEsmnJQOTRawWXwTge/imZCzpqFMH1SlPryrD Pe2Ov/YD63BbV3nH+jisdc5YXLOttMV2s/Pg83A8k46jnc6JZxS5S0oaHosDsgZ6Ce2SyhWpdU+b XUDQtQPNFkjVtGbiKW5ylnJfJMO/II+tLwqLH7wPZFgm2w1cxH5famwJVJzYOo/NFOBRFM53b1IL CaJjSqUQyQtGW8QbQFpObuOdbBp1EAdFgVIV3jm1smH7HKFqpM9bREpKMk/Eg5QEL2DKMMlaQcWS nnYhpD6pMXT8ZGcqinwP4yDYRo+ztYZNbjHp2kgv2UqGXFTB0xaIDhVKqxRzG9B8V0Fxl0Inc3PI pjOeS2mkWRWCN6ROFCFaBVZ8xzlIraLYRyoBP+VDGTrkqFmkL/nsHk6rUdoN6Lp82YtjGH5twmw7 TDNNKVNBuFnJEf3PrTjFtHAQLUTe8XhJjKdQ+r0BII7kn27Up9+h/vRr8b6eD9y5SCxIwMHiCgX1 j5xT0iaSMvHJQ/xnz4E48OSTDRIsu+XVOVeV0MLmoD2538VhdPyeMcp9CVnpmMZfdImYjAhtp4do wLeNwOw2AAbND6yMjKvrXo3jsUJ11TVxujf5ovCmJfxUAqPzREXU79+N6Ntz5togvkXmTC5DTVUY ciNQTDJhXzudFTdgqMXP1GV6uQX4MP2yAEIhw3IBU7FBrwbzlZRUuP17u3/9x7tgJO7/vwSNzsgl nladMPM9BTOXspZhLU+VFd7yKmZeNdXIyUSDZRhdLLUZr/EE4QIw4fTEooOyeG7hDjpZGkYODF9o U0JwydocoebjlhZFKG/Jrtx0MsryXAIk6BO/g+oio1EAbNtJbabu/gkeUKK7OxPlm8IzB7Jbq7Se dXUNzrnKgiuLxnKe8tTkqpL9j1jl/Gb+G5xLW0xBnTvryFR5rUmyDS5lowuFcja9BTvJuMEIyaY2 QAEr/3FOBy6PSagD7NF3QMVNW+2c+n9WG1f3VicCCdSiuFT/t8aQW55gRyctlqHA7vY+iSuejxBv K3YDOUiXG/swogYBwo9TTV71iALhy0O+r/opXD06PkRszoZt59vNl1Y9wDK/0JAAA6+mUmbu4zYI Avj3MOfSrMQBtpOSkua3j8ArdY/52Flrek6IpFRD5sten0KZOEK55LvL7pHPG0Zxa3Kr5bFSz5BC JL0Gz+cfjinsSVrz5M6qxWApnP8OAAEkEjByvaz5lGQf/QH499/cl4nGvfi+T6AaajCeK69gkLgH OWB4LWw2xTdx6Wqv6sgiDDqwOK2XjTjbHrk3yimngCYv6mF5vLiUcq5SKiWjkK2cFzMasGqrtCvO HqK/gLooQo7b0dpqtYjijXHCpJTzrTKdcMZuZj/w9oYpgCC1nZHL7Jv0q+dNpBTtlVdgaxzx85yz g+hKRLD9Dm2pxbUSYWIPGKULpw14rsmgQUtkg2jnQWKCCZfYzKh00ths+RkmKKK/5PI3iAiZKroy nh7cjlCeqRMbFBZvhtTO7Yv+sHSc9v/RUSc9vMSqL+qIuJTfkWaY6TAKZXi+QS1MUACixb0S6DbZ M1lbgC6ES87ZbgXszAxh/gR6h4LLxiHmu/RPK9ye7vAOBQyYNPtbGbgaKzMy6432Cc3zNOd7SXDA KsU8f+n+dACcgDdagS9KDzWee3HX48E4Ig4IOyrQCF1+O5/23GSYxh98ZUMl26oMotoYdCSLI/Bt m2M53X3BfwQ82htWDobMNrH7+P07f6gEp7qvhEEM17wwOjJqo0Tu507WNL2XVAipSU0sjnx1E3V6 ipS9nmPAgBeXW5tQ3t/WanqogMttWnBciYHIVFXIB8W7pfXGpU0X0jpBPSeJ9zqL0SqWI/lssHQN hFRon3epK5XCjJeAQYRsQiZgHfBvIUY2J+kCSfFRVkeyq5d2+epuL9QMKDKv6D8RaKXuK91XwRiD VjjniNu8FZrNXeAQx3SKsRid3Z0KEp33842RC50iAMeGAuFg37+p3pN7MDTv3/YzytjylQDp9+84 39vbe6E8zOs2+/kx7RFQI/a2WvQOdS4fFquPyto6UFVXkK7k0S3rp+I3FpXVhGBqFJ9Bhm7fWw8u anUmEHo0SsDNBBSc/fBW/PAqz7J2bt85bLvoUbAFyQviQGCkkwdCiTjBcHKeytI309kDxKBoDn4g UBkhreSEIinJv66mwx/MD6EyxLNhKInFRYW+ZiO4leP/Mbn3PfqKMQdeyr/3HPlq0iaRbfF3n+mw IuoMwoAq36/5SHTpfYV7j2tvLL40M90N8GvE5EoWZbi4E79DIYpntOmITY1XVnXAgGt29fnSlM2I uyET8OpDBlCeG3+RDw/xShCqA+KrIkQ8TANoLRRBQWO9Ia9jDTbE67tAINsYEaSCg/zqcPxmfXjv CH/gRQ4zuE/gwbiX9ACCZYH8HvXYZYyEtNLN6ql6AuwP+8fiKULbEOaDcgm8bw67Svo3xbEnM912 4Maqgm4hvkBHAOLmdDwFW0w4lJPYa1XmSwOZpPIGtnBkgurMYt+a9ezFWHADwH5g/a1a+/Qu0vx5 KzUNCG1/l02NwMZpLn+yxXnfv2ArYwS6bLyjoX/qSypTW3E/cNC1YVLw/McK/c6GoM0f2PYRXayj 0v2efOHEGUqALZGGhvJ6Ek+j1qTmS8hmPaqrOPerij+QoyzFE/IBX1rT+QdH+HsOVQRMvBIPvQ/I 2dVY66U7Q8TC5mAHjlBs/tV1KNj/b595BTpAg+XKPB9ju6KeMLeaA2BF+OHWAgeoo2GHOGfAs3hI lSqsYCI6YyWlxxZf54ZqQIXWAKcAYk07UhBMg5bQRUmrU9meyvl04D6DxkXH1BlTPoxdha18G/hf h9j9r0H4zdPMqQVxWC+RzsBj3jheZcixFVgKZ7RfXyTCn3pUWKI+mCZmnAr8ycxlb6BzC4XIuPkX 0L50JsGDNkllop9IXJaWz/d+F5tY+uW0pkU5fdk36wJLmDJAEMh0uI9rSXmkBX8Kis2jhy7oirAy aHIpWfqFa5Lp6aiBeV06cBud+E/7/vctoZIwjN4xf0GTsmJHW1Pe4R9ECwc/37fRm0a9qZWaEac/ eJvqHUU9nttb9eJz3PD6uqkhUI5gJlenVete3SFwB/t4ttxsSIpO0e0y7qwN6BOk8BEmcBKlzosz xB8k3+J+aLx8G/RftK1LHDFpD4pe7r9B+yW4uzxtpo6ta94HRTngB60nl9i58dEtgGyuhzFzu1HP b6Vnfnt15ysMiWHvKTgRamI25MYvjxAnwBxAUwUPc3wuL+AWhRoupJsmHkfvAJGgEJt5xWgLj4Ly E7RpaeKjVlof2wMRpFVLU015FWmY/toriHKo4wWg6Id4Pdt2m5EFpGrJ4teqZrTpVbN86mi/5WMi KR2rG/0RYemX88iNvSsNcORN0+29fbf8xuEVm5Hz/sb1MvmoB7JVOwOx1FKLdgjn0owGQpcRVGMn NnLGSgyTnuXypHU67YkEPy1mxXABU1fu3KiVWmafYuqEivmkvgSQ6JEwu0Fztf/K8SH+yzWSWCMx KJi0KdY8m+p49okhlaTZJ6DsH+v2rsYC8TneBVJefl2+hQ9fcnxRC+Y86N2Z8lXnJbNIXafeGPWm 6MWYsHTf1/lCnL24W0KqUV+5SuYaHUB8OpO5QIfurSQZfMMQNWhHet4LhCNtXkvSr3/WsPeA8iCs a/vGp3V1yFG3y7iTlg7S6T82vQtXxAHUZPtjI/8stUmGq0hkODBO0EDqWYdpt4tZJKhTCUdnSm6S bY0dvF8KCn4X1mqfNnhbO31qoI7ZvBbyl/vpS88XFjmYjRwwbpFIVW9RJ4Ec6lVBbzipmw5yBXvd r6mP3ZB1z4HqKT68s14FoKHSgeztXAjWvxM0WWhj4TI3y9PeRero1lvSk25OllD+QRLy8q5Pn57R LP/kfM8o1+sze1unrRbvm8vfKiZ+xXNYNRqhPF/0i0/cnolK0+KoJZSnV3aCljjus5zaCodb5Pk0 chTsq+7KSbAS0HKNAKUvjKgaH+0/Urat4YmV2Oe3lt67sMmnplFkfcVWdFQq2x8fCaLOMAakIGij W7qqyq/nyp+CWNTvADQcT/5cy1kNWhkdHqBTyNcGa94rakhJNEqWj5Y0U6fejxSd7TTv0VEWiZ7j 1m4652Sx02WK/V+Wv4IW+d6cVj0mT0vz6ofb+2RMHZNUrMyWnkouK8FL7dGg439OqdfSc44dcjYq VpWLtV9lpMuI8OhRBS/DduEJkNnN2HwPey1UkLsprfxUxbBjIy354+NsyjmXUP7LRow2EhFJaTai a/u1a4PipiUGiXe3KzXbOHq4KETEaNY9bFYwHLiwTAlB2SIxw6qrPsTEFr8i6yex2IGiyIcsSfl4 QlFPqfEd8wS+IcU/+WoJAj5Vvb6Bh0CYWojJPX7VkJ0V8LRDq0LjBv09XoVDEzXqsFI4ySvwAvo5 tYDkaVgsAgGfCIpxh+rOdeWrkjUjm3vvJKxTMbZrO4PfEZU0Fe0u6rVb10M/hVuZGo/HXWrFKsS3 vINBltDfKfuXTzd4/mX1qRNzgE3AZh06DK0nxpA2uoJEymAMs7rpbeyqTxIMFJ7edv1LatOZvpX9 UYMUKtOHO43tHH9f+IngjSehGlnUvW+lKrbuHDjii1dVIX7sZvi6B2i4NRvzJb9Pp2+YFZF7oVTA 7ZHiifknC/1XZgGVE4t5wrVqHhc7Rny2A4BDGQZqul86nD8emKmWt76CZs0Q93h3tnhlzAPlE2nV nIbrbbheizBXLxA+1JXZPCu4MVNeWaLMpCbjJc3+es/FB7tNe7VI5DySxIzrK8H12/QEIaBy0jdG Xxw5mjMqVE5CVzHvbW7lh2zPsrKsP0cZdgBlfQyPltjOqACtIUURtJSQORFAg72kAZSH6LZ0FhpS NnL7w4FfjZ6RrlzL7ilubJd7GPt0fWW2HnM9IvHMHfisJbIk9bjW0JZMZ7XBrIcNsahWZ++V8l9u vJUmr2FNv05BWVM3W0i4WUcobg3//rWYL3Q32RCbkXQBLts8y/WqseGT71wETs8TrPrtVJnAitOU ffbUo01EoZrhxnZFskvgYSiY2Ak3E4+JnwrARULM2+Saz/UPGzBalskO20YYVtlm2le/yi9Y6mg6 EUnl0FMySE52ZUHw/0N5nVTKhZN+GaIBilQBfgeOGciZelOPO5Z4tUH+ClrqSiZsT5tawIu+ZiiL ATC6o4rXmSUiqWJ8ScqR1w5AUXYlrWcQKjia7cwpIC9zKKMLvFXUr8GAEHHrGAgJAhz/JYDFYOMJ w5X/jfEUfzWs+oi8jpqENFL2qEeFlKsz6tPt19gk3x28MLXz740A+Bh/+8oYMPo9dnFnCtlqWWPo FKtZ5hrdIiOYewRCK/XDZmlSpDd9Q8ma9JkfPYQVTeh519I8/rSKoY2ldwjVRLEA5KueZiOzTicM Q0d/1vI4fT/+OxKwepY4psiuDyCsGneJEHd7OnNWB+p/uHBzO/9VEALb1kJBjSzowpFfxTRXrhEU 8jH3ZLz5vWJRtdhvjSjZ7ybqasOznB/lOA7Nmq092vtpWsxgFnJOtmWoXzWWCml+lVmyjojg/Wd3 EZrumFX/R3QfIuCAOqfuBIpAzKMKP0Wl4ZTV13RACfGPLADW2LpsLirj2rwjKp0MNvXWDlsgvOn6 7F7DYkWuUdB2CR21kbCjVLhRLjcYxdwaT2JAYItSf2ra02tavOStycDDC65Yb4wCI+vKRSePJbYq 2qEJri0XkNw5Q1GBp0cXRCZpYezEKpVsdBrl5dm+Bbo42azGnumu+TvAm08HKosvgsgsxTmG2eZa +xrhGIpwmesxkAZDET8a7qbjrne4GeMeRCtXw+MfK0DcPmIWPE7iJ1OPYlZL1dFORS7TOx5h+OhP AUquiDYRw7BFj/0cLMdvkXNhRvrKRfaSB4QddCAwhATFwYV4lccQVOJ6usw1b1AHlb//5+Qa7EiU RFfMMmE5L+RtVTG5OZqGgTrJ1D4mXldOemQrrDZd3E+HWH4ZjmY2zOCqwINngIEZP2/cJiGXCQjk aUsXyMlaLcsCsQfM5rkjy9if3AuHdCTYWXTn8kUtdGjBKBoo4AfZtEvTGUDk8Sc77votON7t1JAi jbdD2ftBtKMq8xUFgWmIQ4ISkwhtD0wLuV7rgk+V8gdIxbbXhyVgSts64aGQ8gOmiK0T7mnqliad qzfMhqPaRfppAJHl5tpeGwBOG8nX68chr7o7jRwbE4NVBZNq6FwSAJySlfM8jL4lYMoCL52gtShE J1hyDroUFvAepbCOKtYCwcZIQdp47SBGft6f7/m7uKXzwIqqLcyIlsvWw6xnXWcIik+XL+Q5WKQn ZhATygDaeympGI7v0jcr2FBZJ6mVOJ9uBcSR/ntZHt1YYA2zXi3ANgmofVgULOfRaMmAnWma9TLr 2T9gV3ucmCctkzljZYs/3fwb6I2+YaWKq7mNkyWwI/KKcSWmha70IcRAtCd4j6r7wHneJMNX7QvC Cu5gOdlUfu50E6/OyBt7nJ5OgS0zC5Sbm/vyzYniQcFc/NDT+mk65tCgwSrG6vIcsHGWHWu41Q11 CCZdv503MfY60YlheE2KlkPHR/TzAFzepnvrd3AxH1nsjwSTyNxPOq9huPPt7ThoaAylkkz64ZMK tp4YKb4uGhjMxJ6SEq/e+cSLPh0v4ygIVwsnYI6B18Pvl30jrH4M9myBBHzerRB8kgr66nPYjzGe Hl+wjCBAAOvFte9huampFLNxftrKt3/yIRq+mFIlFSvEQ9dPWT0vZZBAbqpuBV84nR70zvhWoCT9 HL+WcoKWWpH3irxZSxYBFj2NszcaIqK6JNiJPoTseNeiudyzVNHKMzXq+a44mF2oa1KECCeBYnao ByCW0xGZVXaGJ7uDL3CiBE3cf3nJ5vqCpLcp3Z1UjUslfAg1C61+jKRZQ25iVsGIAzoJ9WjRT/6F xNcAkjaC91XCRCXbuRp6lLZeAFgUjPoV3Lx7zIUPc7/XeVZXUs+M3uVoHD1nKtekfzhoZME8+7f2 la9DVLRizCts5eeCeX++e7US7E23Sr4Rh92U/9hG1+zlUtUBxD6NCmjuULeoC9yWRvJXj94pjHzp +kHQqw9kTeg1Wz2rX9CMUTOY+8JCgu5mAeV9wOA4XpniO7EwPPQC2NBlrMbx1MjoLwewRsCIHl/u FWRY/Mi0GhADIgS1qGRZPnejhYJrnbVnFXI3QD2kw8JitEiuURsrbZfCdytQu7+bUh8xFdMWhSfq C45V+Nuq/eLxUrX8Wdta3nE6d6ozCGXi/+MYUM0QgyesJOU8c/kXBqKqBOygcvJoz8Bn1v/4QWjo PqXo `protect end_protected
apache-2.0
DGideas/THU-FPGA-makecomputer
src/cpu/if_id_segment_regfile.vhd
1
927
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity if_id_segment_regfile is port ( if_id_segment_regfile_clk: in std_logic; if_id_segment_regfile_write_in: in std_logic; if_id_segment_regfile_instruction_in: in std_logic_vector(15 downto 0); if_id_segment_regfile_instruction_out: out std_logic_vector(15 downto 0); if_id_segment_regfile_pc_in: in std_logic_vector(15 downto 0); if_id_segment_regfile_pc_out: out std_logic_vector(15 downto 0) ); end if_id_segment_regfile; architecture Behavioral of if_id_segment_regfile is begin process(if_id_segment_regfile_clk, if_id_segment_regfile_write_in) begin if (if_id_segment_regfile_write_in = '1') then if_id_segment_regfile_pc_out <= if_id_segment_regfile_pc_in; if_id_segment_regfile_instruction_out <= if_id_segment_regfile_instruction_in; end if; end process; end Behavioral;
apache-2.0
wsoltys/AtomFpga
src/AVR8/Memory/XDM4Kx8.vhd
1
2292
--************************************************************************************************ -- 4Kx8(16 KB) DM RAM for AVR Core(Xilinx) -- Version 0.2 -- Designed by Ruslan Lepetenok -- Jack Gassett for use with Papilio -- Modified 30.07.2005 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.SynthCtrlPack.all; -- Synthesis control -- For Synplicity Synplify --library virtexe; --use virtexe.components.all; -- Aldec library unisim; use unisim.vcomponents.all; entity XDM4Kx8 is port( cp2 : in std_logic; ce : in std_logic; address : in std_logic_vector(CDATAMEMSIZE downto 0); din : in std_logic_vector(7 downto 0); dout : out std_logic_vector(7 downto 0); we : in std_logic ); end XDM4Kx8; architecture RTL of XDM4Kx8 is type RAMBlDOut_Type is array(2**(address'length-11)-1 downto 0) of std_logic_vector(dout'range); signal RAMBlDOut : RAMBlDOut_Type; signal WEB : std_logic_vector(2**(address'length-11)-1 downto 0); signal cp2n : std_logic; signal gnd : std_logic; signal DIP : STD_LOGIC_VECTOR(0 downto 0) := "1"; signal SSR : STD_LOGIC := '0'; -- Don't use the output resets. begin gnd <= '0'; WEB_Dcd:for i in WEB'range generate WEB(i) <= '1' when (we='1' and address(address'high downto 11)=i) else '0'; end generate ; RAM_Inst:for i in 0 to 2**(address'length-11)-1 generate RAM_Byte:component RAMB16_S9 port map( DO => RAMBlDOut(i)(7 downto 0), ADDR => address(10 downto 0), DI => din(7 downto 0), DIP => DIP, EN => ce, SSR => SSR, CLK => cp2, WE => WEB(i) ); end generate; -- Output data mux dout <= RAMBlDOut(CONV_INTEGER(address(address'high downto 11))); end RTL;
apache-2.0
wsoltys/AtomFpga
src/AtomGodilVideo/src/MINIUART/Rxunit.vhd
1
3421
------------------------------------------------------------------------------- -- Title : UART -- Project : UART ------------------------------------------------------------------------------- -- File : Rxunit.vhd -- Author : Philippe CARTON -- ([email protected]) -- Organization: -- Created : 15/12/2001 -- Last update : 8/1/2003 -- Platform : Foundation 3.1i -- Simulators : ModelSim 5.5b -- Synthesizers: Xilinx Synthesis -- Targets : Xilinx Spartan -- Dependency : IEEE std_logic_1164 ------------------------------------------------------------------------------- -- Description: RxUnit is a serial to parallel unit Receiver. ------------------------------------------------------------------------------- -- Copyright (c) notice -- This core adheres to the GNU public license -- ------------------------------------------------------------------------------- -- Revisions : -- Revision Number : -- Version : -- Date : -- Modifier : name <email> -- Description : -- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; entity RxUnit is port ( Clk : in std_logic; -- system clock signal Reset : in std_logic; -- Reset input Enable : in std_logic; -- Enable input ReadA : in Std_logic; -- Async Read Received Byte RxD : in std_logic; -- RS-232 data input RxAv : out std_logic; -- Byte available DataO : out std_logic_vector(7 downto 0)); -- Byte received end RxUnit; architecture Behaviour of RxUnit is signal RReg : std_logic_vector(7 downto 0); -- receive register signal RRegL : std_logic; -- Byte received begin -- RxAv process RxAvProc : process(RRegL,Reset,ReadA) begin if ReadA = '1' or Reset = '1' then RxAv <= '0'; -- Negate RxAv when RReg read elsif Rising_Edge(RRegL) then RxAv <= '1'; -- Assert RxAv when RReg written end if; end process; -- Rx Process RxProc : process(Clk,Reset,Enable,RxD,RReg) variable BitPos : INTEGER range 0 to 10; -- Position of the bit in the frame variable SampleCnt : INTEGER range 0 to 3; -- Count from 0 to 3 in each bit begin if Reset = '1' then -- Reset RRegL <= '0'; BitPos := 0; elsif Rising_Edge(Clk) then if Enable = '1' then case BitPos is when 0 => -- idle RRegL <= '0'; if RxD = '0' then -- Start Bit SampleCnt := 0; BitPos := 1; end if; when 10 => -- Stop Bit BitPos := 0; -- next is idle RRegL <= '1'; -- Indicate byte received DataO <= RReg; -- Store received byte when others => if (SampleCnt = 1 and BitPos >= 2) then -- Sample RxD on 1 RReg(BitPos-2) <= RxD; -- Deserialisation end if; if SampleCnt = 3 then -- Increment BitPos on 3 BitPos := BitPos + 1; end if; end case; if SampleCnt = 3 then SampleCnt := 0; else sampleCnt := SampleCnt + 1; end if; end if; end if; end process; end Behaviour;
apache-2.0
lumig242/Hue-Integration-with-CDAP
tools/ace-editor/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
apache-2.0
wsoltys/AtomFpga
src/AtomGodilVideo/src/DCM/DCM0.vhd
2
2059
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity DCM0 is port (CLKIN_IN : in std_logic; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic); end DCM0; architecture BEHAVIORAL of DCM0 is signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I => CLKFX_BUF, O => CLK0_OUT); DCM_INST : DCM generic map(CLK_FEEDBACK => "NONE", CLKDV_DIVIDE => 4.0, -- 25.368 =49.152 * 16 / 31 CLKFX_DIVIDE => 31, CLKFX_MULTIPLY => 16, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => 20.344, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => true, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => false) port map (CLKFB => GND_BIT, CLKIN => CLKIN_IN, DSSEN => GND_BIT, PSCLK => GND_BIT, PSEN => GND_BIT, PSINCDEC => GND_BIT, RST => GND_BIT, CLKDV => open, CLKFX => CLKFX_BUF, CLKFX180 => open, CLK0 => open, CLK2X => CLK2X_OUT, CLK2X180 => open, CLK90 => open, CLK180 => open, CLK270 => open, LOCKED => open, PSDONE => open, STATUS => open); end BEHAVIORAL;
apache-2.0
takeshineshiro/fpga_fibre_scan
HUCB2P0_150701/frontend/const_delay.vhd
1
2565
-- This is the implementation of a constant delay -- -- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License -- as published by the Free Software Foundation; either version 3 of the License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License along with this program; -- if not, see <http://www.gnu.org/licenses/>. -- Package Definition library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_unsigned.all; package const_delay_pkg is component const_delay generic( data_width : integer; delay_in_clks : integer ); port( clk_i : in std_logic; rst_i : in std_logic; data_i : in std_logic_vector(data_width-1 downto 0); data_str_i : in std_logic; data_o : out std_logic_vector(data_width-1 downto 0); data_str_o : out std_logic ); end component; end const_delay_pkg; package body const_delay_pkg is end const_delay_pkg; -- Entity Definition library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_unsigned.all; entity const_delay is generic( data_width : integer := 16; delay_in_clks : integer := 10 ); port( clk_i : in std_logic; rst_i : in std_logic; data_i : in std_logic_vector(data_width-1 downto 0); data_str_i : in std_logic; data_o : out std_logic_vector(data_width-1 downto 0); data_str_o : out std_logic ); end const_delay; architecture const_delay_arch of const_delay is type register_line is array(0 to delay_in_clks-1) of std_logic_vector(data_width-1 downto 0); type data_str_line is array(0 to delay_in_clks-1) of std_logic; signal data_int : register_line; signal data_str_int : data_str_line; begin process (clk_i, rst_i) begin if rst_i = '1' then for i in 0 to delay_in_clks-1 loop data_int(i) <= (others => '0'); data_str_int(i) <= '0'; end loop; elsif clk_i'EVENT and clk_i = '1' then data_int(0) <= data_i; data_str_int(0) <= data_str_i; for i in 0 to delay_in_clks-2 loop data_int(i+1) <= data_int(i); data_str_int(i+1) <= data_str_int(i); end loop; end if; end process; data_o <= data_int(delay_in_clks-1); data_str_o <= data_str_int(delay_in_clks-1); end const_delay_arch;
apache-2.0
rauenzi/VHDL-Communications
top_level.vhd
1
6220
---------------------------------------------------------------------------------- --Code by: Zachary Rauen --Date: 10/6/14 --Last Modified: 1/22/15 -- -- --Version: 1.2 ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; -- add to do arithmetic operations use IEEE.std_logic_arith.all; -- add to do arithmetic operations entity top_level is Generic (constant SequenceDisplaySpeed : integer := 1; -- 1 Hz constant RefreshSpeed : integer := 1000; -- 1 KHz constant sequenceCount : integer := 8; constant BoardClock : integer := 100000000; constant buttonMax: std_logic_vector(15 downto 0) := X"FFFF"; constant baud : integer := 9600; constant SPIspeed : integer :=250000); -- 1KHz, 1Hz -- Generic (constant SequenceDisplaySpeed : integer := 1000; -- constant RefreshSpeed : integer := 100000; -- constant BoardClock : integer := 100000000; -- constant sequenceCount : integer := 8; -- constant buttonMax: std_logic_vector(15 downto 0) := X"0002"; -- constant baud : integer := 2000000; -- constant SPIspeed : integer :=2000000); ----The above lines are used for simulation and chipscope. Port ( clk : in std_logic; reset : in std_logic; reverse : in std_logic; enabler : in std_logic; DispVector : out std_logic_vector(7 downto 0); SegVector : out std_logic_vector(7 downto 0); oRx : out std_logic; oSCK : out std_logic; oSS : out std_logic; oMOSI : out std_logic; i2c_sda : inout std_logic; i2c_scl : inout std_logic); end top_level; architecture Behavioral of top_level is component BoardDisplay is Generic (RefreshRate : integer := 1000; ClockSpeed : integer := 100000000); Port ( ClockState : in std_logic; Data : in std_logic_vector(15 downto 0); DisplayVector : out std_logic_vector(7 downto 0); SegmentVector : out std_logic_vector(7 downto 0)); end component BoardDisplay; component SequenceController is Generic (NumOfSequences : integer := 8; DesiredDisplaySpeed : integer := 100000; InputClockSpeed : integer := 100000000); Port ( ClockState : in std_logic; Enabler : in std_logic; Reset : in std_logic; Reverse : in std_logic; MemAddress : out integer := 0); end component SequenceController; component Serial_TTL_display is Generic (BaudSpeed : integer :=9600; Boardspeed : integer :=100000000); Port ( Clock : in STD_LOGIC; Data : in STD_LOGIC_VECTOR (15 downto 0); RX : out STD_LOGIC); end component Serial_TTL_display; component btn_debounce_toggle is GENERIC ( CONSTANT CNTR_MAX : std_logic_vector(15 downto 0) := X"FFFF"); Port ( BTN_I : in STD_LOGIC; CLK : in STD_LOGIC; BTN_O : out STD_LOGIC; TOGGLE_O : out STD_LOGIC); end component btn_debounce_toggle; -- SequenceStorage should be a Xilinx single port ROM IP-Core COMPONENT SequenceStorage PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; component SPI_display is Generic (constant BoardClockSpeed : integer := 100000000; constant SCKSpeed : integer := 250000); Port ( BoardClock : in STD_LOGIC; Data : in STD_LOGIC_VECTOR (15 downto 0); SCK : out STD_LOGIC; SS : out STD_LOGIC; MOSI : out STD_LOGIC ); end component SPI_display; component i2c_controller is Port ( Clock : in STD_LOGIC; dataIn : in STD_LOGIC_VECTOR (15 downto 0); oSDA : inout STD_LOGIC; oSCL : inout STD_LOGIC); end component i2c_controller; signal resetDebounce, enablerToggle, reverseToggle : std_logic; signal DataFromRom : std_logic_vector(15 downto 0); signal DataAddress : integer; signal DataAddressToRom : std_logic_vector(3 downto 0); signal notReverseToggle : std_logic; signal high : std_logic := '1'; begin notReverseToggle <= NOT reverseToggle; DataAddressToRom <= std_logic_vector(to_unsigned(DataAddress,4)); Reset_debounce: btn_debounce_toggle generic map (CNTR_MAX => buttonMax) port map (BTN_I=>reset,CLK=>clk,BTN_O=>resetDebounce,TOGGLE_O=>OPEN); Enabler_debounce: btn_debounce_toggle generic map (CNTR_MAX => buttonMax) port map (BTN_I=>enabler,CLK=>clk,BTN_O=>OPEN,TOGGLE_O=>enablerToggle); Reverse_debounce: btn_debounce_toggle generic map (CNTR_MAX => buttonMax) port map (BTN_I=>reverse,CLK=>clk,BTN_O=>OPEN,TOGGLE_O=>reverseToggle); SequenceControl: SequenceController Generic map (NumOfSequences=>sequenceCount, DesiredDisplaySpeed=>SequenceDisplaySpeed, InputClockSpeed=>BoardClock) Port map (ClockState=>clk, Enabler=>enablerToggle, Reset=>resetDebounce, Reverse=> notReverseToggle, MemAddress=>DataAddress); BoardController: BoardDisplay generic map (RefreshRate=>RefreshSpeed, ClockSpeed=>BoardClock) port map ( ClockState=>clk, Data=>DataFromRom, DisplayVector=>DispVector, SegmentVector=>SegVector); TTL: Serial_TTL_display generic map (BaudSpeed=>baud, Boardspeed=>BoardClock) Port map ( Clock=>clk, Data=>DataFromRom, RX=>oRx); MainRom: SequenceStorage PORT MAP ( clka => clk, addra => DataAddressToRom, douta => DataFromRom ); SPI: SPI_display generic map (BoardClockSpeed=>BoardClock, SCKSpeed=>SPIspeed) Port map ( BoardClock=>clk, Data=>DataFromRom, SCK=>oSCK, SS=>oSS, MOSI=>oMOSI ); I2C: i2c_controller Port map ( Clock=>clk, dataIn=>DataFromRom, oSDA=>i2c_sda, oSCL=>i2c_scl); end Behavioral;
apache-2.0
wsoltys/AtomFpga
src/AVR8/resync/rsnc_l_vect.vhd
4
1771
--********************************************************************************************** -- Resynchronizer (for n-bit vector) with latch -- Version 0.1 -- Modified 10.01.2007 -- Designed by Ruslan Lepetenok --********************************************************************************************** library IEEE; use IEEE.std_logic_1164.all; entity rsnc_l_vect is generic( tech : integer := 0; width : integer := 8; add_stgs_num : integer := 0 ); port( clk : in std_logic; di : in std_logic_vector(width-1 downto 0); do : out std_logic_vector(width-1 downto 0) ); end rsnc_l_vect; architecture rtl of rsnc_l_vect is type rsnc_vect_type is array(add_stgs_num+1 downto 0) of std_logic_vector(width-1 downto 0); signal rsnc_rg_current : rsnc_vect_type; signal rsnc_rg_next : rsnc_vect_type; begin -- Latch latch_prc:process(clk) begin if(clk='0') then rsnc_rg_current(rsnc_rg_current'low) <= rsnc_rg_next(rsnc_rg_next'low); end if; end process; -- Latch seq_re_prc:process(clk) begin if(clk='1' and clk'event) then -- Clock (rising edge) rsnc_rg_current(rsnc_rg_current'high downto rsnc_rg_current'low+1) <= rsnc_rg_next(rsnc_rg_current'high downto rsnc_rg_current'low+1); end if; end process; comb_prc:process(di,rsnc_rg_current) begin rsnc_rg_next(0) <= di; for i in 1 to rsnc_rg_next'high loop rsnc_rg_next(i) <= rsnc_rg_current(i-1); end loop; end process; do <= rsnc_rg_current(rsnc_rg_current'high); end rtl;
apache-2.0
takeshineshiro/fpga_fibre_scan
HUCB2P0_150701/frontend/fir_band_pass.vhd
1
11585
-- megafunction wizard: %FIR Compiler v12.1% -- GENERATION: XML -- ============================================================ -- Megafunction Name(s): -- fir_band_pass_ast -- ============================================================ -- Generated by FIR Compiler 12.1 [Altera, IP Toolbench 1.3.0 Build 243] -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- ************************************************************ -- Copyright (C) 1991-2013 Altera Corporation -- Any megafunction design, and related net list (encrypted or decrypted), -- support information, device programming or simulation file, and any other -- associated documentation or information provided by Altera or a partner -- under Altera's Megafunction Partnership Program may be used only to -- program PLD devices (but not masked PLD devices) from Altera. Any other -- use of such megafunction design, net list, support information, device -- programming or simulation file, or any other related documentation or -- information is prohibited for any other purpose, including, but not -- limited to modification, reverse engineering, de-compiling, or use with -- any other silicon devices, unless such use is explicitly licensed under -- a separate agreement with Altera or a megafunction partner. Title to -- the intellectual property, including patents, copyrights, trademarks, -- trade secrets, or maskworks, embodied in any such megafunction design, -- net list, support information, device programming or simulation file, or -- any other related documentation or information provided by Altera or a -- megafunction partner, remains with Altera, the megafunction partner, or -- their respective licensors. No other licenses, including any licenses -- needed under any third party's intellectual property, are provided herein. library IEEE; use IEEE.std_logic_1164.all; ENTITY fir_band_pass IS PORT ( clk : IN STD_LOGIC; reset_n : IN STD_LOGIC; ast_sink_data : IN STD_LOGIC_VECTOR (11 DOWNTO 0); ast_sink_valid : IN STD_LOGIC; ast_source_ready : IN STD_LOGIC; ast_sink_error : IN STD_LOGIC_VECTOR (1 DOWNTO 0); ast_source_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); ast_sink_ready : OUT STD_LOGIC; ast_source_valid : OUT STD_LOGIC; ast_source_error : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) ); END fir_band_pass; ARCHITECTURE SYN OF fir_band_pass IS COMPONENT fir_band_pass_ast PORT ( clk : IN STD_LOGIC; reset_n : IN STD_LOGIC; ast_sink_data : IN STD_LOGIC_VECTOR (11 DOWNTO 0); ast_sink_valid : IN STD_LOGIC; ast_source_ready : IN STD_LOGIC; ast_sink_error : IN STD_LOGIC_VECTOR (1 DOWNTO 0); ast_source_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); ast_sink_ready : OUT STD_LOGIC; ast_source_valid : OUT STD_LOGIC; ast_source_error : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) ); END COMPONENT; BEGIN fir_band_pass_ast_inst : fir_band_pass_ast PORT MAP ( clk => clk, reset_n => reset_n, ast_sink_data => ast_sink_data, ast_source_data => ast_source_data, ast_sink_valid => ast_sink_valid, ast_sink_ready => ast_sink_ready, ast_source_valid => ast_source_valid, ast_source_ready => ast_source_ready, ast_sink_error => ast_sink_error, ast_source_error => ast_source_error ); END SYN; -- ========================================================= -- FIR Compiler Wizard Data -- =============================== -- DO NOT EDIT FOLLOWING DATA -- @Altera, IP Toolbench@ -- Warning: If you modify this section, FIR Compiler Wizard may not be able to reproduce your chosen configuration. -- -- Retrieval info: <?xml version="1.0"?> -- Retrieval info: <MEGACORE title="FIR Compiler" version="12.1" build="243" iptb_version="1.3.0 Build 243" format_version="120" > -- Retrieval info: <NETLIST_SECTION class="altera.ipbu.flowbase.netlist.model.FIRModelClass" active_core="fir_band_pass_ast" > -- Retrieval info: <STATIC_SECTION> -- Retrieval info: <PRIVATES> -- Retrieval info: <NAMESPACE name = "parameterization"> -- Retrieval info: <PRIVATE name = "use_mem" value="1" type="BOOLEAN" enable="1" /> -- Retrieval info: <PRIVATE name = "mem_type" value="M512" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "filter_rate" value="Single Rate" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "filter_factor" value="2" type="INTEGER" enable="0" /> -- Retrieval info: <PRIVATE name = "coefficient_scaling_type" value="Auto" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "coefficient_scaling_factor" value="2663.965303912064" type="STRING" enable="0" /> -- Retrieval info: <PRIVATE name = "coefficient_bit_width" value="11" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "coefficient_binary_point_position" value="0" type="INTEGER" enable="0" /> -- Retrieval info: <PRIVATE name = "number_of_input_channels" value="1" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "input_number_system" value="Signed Binary" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "input_bit_width" value="12" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "input_binary_point_position" value="0" type="INTEGER" enable="0" /> -- Retrieval info: <PRIVATE name = "output_bit_width_method" value="Actual Coefficients" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "output_number_system" value="Custom Resolution" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "output_bit_width" value="16" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "output_bits_right_of_binary_point" value="16" type="INTEGER" enable="0" /> -- Retrieval info: <PRIVATE name = "output_bits_removed_from_lsb" value="9" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "output_lsb_remove_type" value="Truncate" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "output_msb_remove_type" value="Truncate" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "flow_control" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "flow_control_input" value="Slave Sink" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "flow_control_output" value="Master Source" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "device_family" value="Cyclone III" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "structure" value="Distributed Arithmetic : Fully Parallel Filter" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "pipeline_level" value="3" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "clocks_to_compute" value="1" type="INTEGER" enable="0" /> -- Retrieval info: <PRIVATE name = "number_of_serial_units" value="2" type="INTEGER" enable="0" /> -- Retrieval info: <PRIVATE name = "data_storage" value="Logic Cells" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "coefficient_storage" value="Logic Cells" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "multiplier_storage" value="Logic Cells" type="STRING" enable="0" /> -- Retrieval info: <PRIVATE name = "force_non_symmetric_structure" value="0" type="BOOLEAN" enable="0" /> -- Retrieval info: <PRIVATE name = "coefficients_reload" value="0" type="BOOLEAN" enable="0" /> -- Retrieval info: <PRIVATE name = "coefficients_reload_sgl_clock" value="0" type="BOOLEAN" enable="1" /> -- Retrieval info: <PRIVATE name = "max_clocks_to_compute" value="1" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "set_1" value="Low Pass Set, Floating, Band Pass, Hanning, 32, 1.5E8, 1.5E7, 5.5E7, 0, -1.12474E-4, 4.74116E-4, -0.00209712, -0.00527236, 9.87553E-4, -0.00989875, 0.00532489, 0.0263621, 0.0, 0.0480273, 0.0178911, -0.0654593, 0.0137166, -0.180396, -0.232389, 0.384014, 0.384014, -0.232389, -0.180396, 0.0137166, -0.0654593, 0.0178911, 0.0480273, 0.0, 0.0263621, 0.00532489, -0.00989875, 9.87553E-4, -0.00527236, -0.00209712, 4.74116E-4, -1.12474E-4" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "number_of_sets" value="1" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "output_full_bit_width" value="25" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "output_full_bits_right_of_binary_point" value="21" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "coefficient_reload_bit_width" value="14" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "logic_cell" value="2584" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "m512" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "m4k" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "m144k" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "m9k" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "m20k" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "mlab" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "megaram" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "dsp_block" value="0" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "input_clock_period" value="1" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "output_clock_period" value="1" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "throughput" value="1" type="INTEGER" enable="1" /> -- Retrieval info: <PRIVATE name = "memory_units" value="0" type="INTEGER" enable="1" /> -- Retrieval info: </NAMESPACE> -- Retrieval info: <NAMESPACE name = "simgen_enable"> -- Retrieval info: <PRIVATE name = "matlab_enable" value="1" type="BOOLEAN" enable="1" /> -- Retrieval info: <PRIVATE name = "testbench_enable" value="1" type="BOOLEAN" enable="1" /> -- Retrieval info: <PRIVATE name = "testbench_simulation_clock_period" value="10.0" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "language" value="VHDL" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "enabled" value="0" type="BOOLEAN" enable="1" /> -- Retrieval info: </NAMESPACE> -- Retrieval info: <NAMESPACE name = "simgen"> -- Retrieval info: <PRIVATE name = "filename" value="fir_band_pass.vho" type="STRING" enable="1" /> -- Retrieval info: </NAMESPACE> -- Retrieval info: <NAMESPACE name = "quartus_settings"> -- Retrieval info: <PRIVATE name = "DEVICE" value="EP2S60F672I4" type="STRING" enable="1" /> -- Retrieval info: <PRIVATE name = "FAMILY" value="Stratix II" type="STRING" enable="1" /> -- Retrieval info: </NAMESPACE> -- Retrieval info: <NAMESPACE name = "serializer"/> -- Retrieval info: </PRIVATES> -- Retrieval info: <FILES/> -- Retrieval info: <PORTS/> -- Retrieval info: <LIBRARIES/> -- Retrieval info: </STATIC_SECTION> -- Retrieval info: </NETLIST_SECTION> -- Retrieval info: </MEGACORE> -- =========================================================
apache-2.0
wsoltys/AtomFpga
src/AVR8/uC/BusMastCompPack.vhd
4
4467
--************************************************************************************************ -- Component declarations for AVR core (Bus Masters) -- Version 0.3 -- Designed by Ruslan Lepetenok -- Modified 04.08.2005 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; package BusMastCompPack is component uart_dma_top is port( -- Clock and reset ireset : in std_logic; cp2 : in std_logic; -- Data memory i/f (Slave part) stb_IO : in std_logic; -- SE stb_module : in std_logic; -- SE sramadr : in std_logic_vector(3 downto 0); -- ?? sramre : in std_logic; sramwe : in std_logic; sram_dbus_out : out std_logic_vector(7 downto 0); sram_dbus_in : in std_logic_vector(7 downto 0); sram_dbus_out_en : out std_logic; -- Data memory i/f (Master part) mramadr : out std_logic_vector(15 downto 0); mramre : out std_logic; mramwe : out std_logic; mram_dbus_out : in std_logic_vector(7 downto 0); mram_dbus_in : out std_logic_vector(7 downto 0); mack : in std_logic; -- UART related ports adr : in std_logic_vector(5 downto 0); dbus_in : in std_logic_vector(7 downto 0); dbus_out : out std_logic_vector(7 downto 0); iore : in std_logic; iowe : in std_logic; out_en : out std_logic; -- Interrupts txcirq : out std_logic; txc_irqack : in std_logic; udreirq : out std_logic; udreirq_ack : in std_logic; rxcirq : out std_logic; rxcirq_ack : in std_logic; -- Wake up IRQ wupirq : out std_logic; wup_irqack : in std_logic; -- External connections rxd : in std_logic; txd : out std_logic; rx_en : out std_logic; tx_en : out std_logic; -- IE status ie_stat : out std_logic_vector(4 downto 0) ); end component; component aescmdi_top is port( -- Clock and reset cp2 : in std_logic; ireset : in std_logic; -- RAM interface (Slave part) --ssel : in std_logic; stb_IO : in std_logic; stb_module : in std_logic; sramadr : in std_logic_vector(3 downto 0); -- ?? sramre : in std_logic; sramwe : in std_logic; sram_dbus_out : out std_logic_vector(7 downto 0); sram_dbus_in : in std_logic_vector(7 downto 0); sram_dbus_out_en : out std_logic; -- RAM interface (Master part) mramadr : out std_logic_vector(15 downto 0); mramre : out std_logic; mramwe : out std_logic; mram_dbus_out : in std_logic_vector(7 downto 0); mram_dbus_in : out std_logic_vector(7 downto 0); mack : in std_logic; -- Interrupt support aes_irq : out std_logic; aes_irqack : in std_logic ); end component; end BusMastCompPack;
apache-2.0
takeshineshiro/fpga_fibre_scan
HUCB2P0_150701/frontend/r2p_CordicPipe.vhd
1
3882
-- -- file: r2p_CordicPipe.vhd -- author: Richard Herveille -- rev. 1.0 initial release -- rev. 1.1 March 19th, 2001. Richard Herveille. Changed function Delta, it is compatible with Xilinx WebPack software now -- rev. 1.2 May 18th, 2001. Richard Herveille. Added documentation to function ATAN (by popular request). -- rev. 1.3 June 4th, 2001. Richard Herveille. Revised design (made it simpler and easier to understand). library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity r2p_CordicPipe is generic( WIDTH : natural := 16; PIPEID : natural := 1 ); port( clk : in std_logic; ena : in std_logic; Xi : in signed(WIDTH -1 downto 0); Yi : in signed(WIDTH -1 downto 0); Zi : in signed(19 downto 0); Xo : out signed(WIDTH -1 downto 0); Yo : out signed(WIDTH -1 downto 0); Zo : out signed(19 downto 0) ); end entity r2p_CordicPipe; architecture dataflow of r2p_CordicPipe is -- -- functions -- -- Function CATAN (constante arc-tangent). -- This is a lookup table containing pre-calculated arc-tangents. -- 'n' is the number of the pipe, returned is a 20bit arc-tangent value. -- The numbers are calculated as follows: Z(n) = atan(1/2^n) -- examples: -- 20bit values => 2^20 = 2pi(rad) -- 1(rad) = 2^20/2pi = 166886.053.... -- n:1, atan(1/2) = 0.4636...(rad) -- 0.4636... * 166886.053... = 77376.32(dec) = 12E40(hex) -- n:2, atan(1/4) = 0.2449...(rad) -- 0.2449... * 166886.053... = 40883.52(dec) = 9FB3(hex) -- n:3, atan(1/8) = 0.1243...(rad) -- 0.1243... * 166886.053... = 20753.11(dec) = 5111(hex) -- function CATAN(n :natural) return integer is variable result :integer; begin case n is when 0 => result := 16#020000#; when 1 => result := 16#012E40#; when 2 => result := 16#09FB4#; when 3 => result := 16#05111#; when 4 => result := 16#028B1#; when 5 => result := 16#0145D#; when 6 => result := 16#0A2F#; when 7 => result := 16#0518#; when 8 => result := 16#028C#; when 9 => result := 16#0146#; when 10 => result := 16#0A3#; when 11 => result := 16#051#; when 12 => result := 16#029#; when 13 => result := 16#014#; when 14 => result := 16#0A#; when 15 => result := 16#05#; when 16 => result := 16#03#; when 17 => result := 16#01#; when others => result := 16#0#; end case; return result; end CATAN; -- function Delta is actually an arithmatic shift right -- This strange construction is needed for compatibility with Xilinx WebPack function Delta(Arg : signed; Cnt : natural) return signed is variable tmp : signed(Arg'range); constant lo : integer := Arg'high -cnt +1; begin for n in Arg'high downto lo loop tmp(n) := Arg(Arg'high); end loop; for n in Arg'high -cnt downto 0 loop tmp(n) := Arg(n +cnt); end loop; return tmp; end function Delta; function AddSub(dataa, datab : in signed; add_sub : in std_logic) return signed is begin if (add_sub = '1') then return dataa + datab; else return dataa - datab; end if; end; -- -- ARCHITECTURE BODY -- signal dX, Xresult : signed(WIDTH -1 downto 0); signal dY, Yresult : signed(WIDTH -1 downto 0); signal atan, Zresult : signed(19 downto 0); signal Yneg, Ypos : std_logic; begin dX <= Delta(Xi, PIPEID); dY <= Delta(Yi, PIPEID); atan <= conv_signed( catan(PIPEID), 20); -- Angle can not be negative, catan never returns a negative value, so conv_signed can be used -- generate adder structures Yneg <= Yi(WIDTH -1); Ypos <= not Yi(WIDTH -1); -- xadd Xresult <= AddSub(Xi, dY, YPos); -- yadd Yresult <= AddSub(Yi, dX, Yneg); -- zadd Zresult <= AddSub(Zi, atan, Ypos); gen_regs: process(clk) begin if(clk'event and clk='1') then if (ena = '1') then Xo <= Xresult; Yo <= Yresult; Zo <= Zresult; end if; end if; end process; end architecture dataflow;
apache-2.0
wsoltys/AtomFpga
src/Atomic_top.vhd
1
6684
-------------------------------------------------------------------------------- -- Copyright (c) 2009 Alan Daly. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / -- \ \ \/ -- \ \ -- / / Filename : Atomic_top.vhf -- /___/ /\ Timestamp : 02/03/2013 06:17:50 -- \ \ / \ -- \___\/\___\ -- --Design Name: Atomic_top --Device: spartan3A library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity Atomic_top is port (clk_25M00 : in std_logic; ps2_clk : in std_logic; ps2_data : in std_logic; ERSTn : in std_logic; red : out std_logic_vector (2 downto 0); green : out std_logic_vector (2 downto 0); blue : out std_logic_vector (2 downto 0); vsync : out std_logic; hsync : out std_logic; CE1 : out std_logic; RAMWRn : out std_logic; RAMOEn : out std_logic; RamA : out std_logic_vector (15 downto 0); RamD : inout std_logic_vector (15 downto 0); audiol : out std_logic; audioR : out std_logic; SDMISO : in std_logic; SDSS : out std_logic; SDCLK : out std_logic; SDMOSI : out std_logic); end Atomic_top; architecture behavioral of Atomic_top is component dcm2 port ( CLKIN_IN : in std_logic; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic ); end component; component dcm3 port (CLKIN_IN : in std_logic; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic ); end component; component InternalROM port ( CLK : in std_logic; ADDR : in std_logic_vector(16 downto 0); DATA : out std_logic_vector(7 downto 0) ); end component; component Atomic_core generic ( CImplSDDOS : boolean; CImplGraphicsExt : boolean; CImplSoftChar : boolean; CImplSID : boolean; CImplVGA80x40 : boolean; CImplHWScrolling : boolean; CImplMouse : boolean; CImplUart : boolean; MainClockSpeed : integer; DefaultBaud : integer ); port ( clk_vga : in std_logic; clk_16M00 : in std_logic; clk_32M00 : in std_logic; ps2_clk : in std_logic; ps2_data : in std_logic; ps2_mouse_clk : inout std_logic; ps2_mouse_data : inout std_logic; ERSTn : in std_logic; IRSTn : out std_logic; SDMISO : in std_logic; red : out std_logic_vector(2 downto 0); green : out std_logic_vector(2 downto 0); blue : out std_logic_vector(2 downto 0); vsync : out std_logic; hsync : out std_logic; RamCE : out std_logic; RomCE : out std_logic; Phi2 : out std_logic; ExternWE : out std_logic; ExternA : out std_logic_vector (16 downto 0); ExternDin : out std_logic_vector (7 downto 0); ExternDout: in std_logic_vector (7 downto 0); audiol : out std_logic; audioR : out std_logic; SDSS : out std_logic; SDCLK : out std_logic; SDMOSI : out std_logic; uart_RxD : in std_logic; uart_TxD : out std_logic; LED1 : out std_logic; LED2 : out std_logic ); end component; signal clk_12M58 : std_logic; signal clk_16M00 : std_logic; signal clk_32M00 : std_logic; signal Phi2 : std_logic; signal RomDout : std_logic_vector (7 downto 0); signal RamCE : std_logic; signal RomCE : std_logic; signal ExternA : std_logic_vector (16 downto 0); signal ExternWE : std_logic; signal ExternDin : std_logic_vector (7 downto 0); signal ExternDout : std_logic_vector (7 downto 0); begin inst_dcm2 : dcm2 port map( CLKIN_IN => clk_25M00, CLK0_OUT => clk_16M00, CLK0_OUT1 => open, CLK2X_OUT => open); inst_dcm3 : dcm3 port map ( CLKIN_IN => clk_16M00, CLK0_OUT => clk_32M00, CLK0_OUT1 => open, CLK2X_OUT => open); rom_c000_ffff : InternalROM port map( CLK => clk_16M00, ADDR => ExternA, DATA => RomDout ); inst_Atomic_core : Atomic_core generic map ( CImplSDDOS => true, CImplGraphicsExt => false, CImplSoftChar => false, CImplSID => true, CImplVGA80x40 => false, CImplHWScrolling => false, CImplMouse => false, CImplUart => false, MainClockSpeed => 16000000, DefaultBaud => 115200 ) port map( clk_vga => clk_25M00, clk_16M00 => clk_16M00, clk_32M00 => clk_32M00, ps2_clk => ps2_clk, ps2_data => ps2_data, ps2_mouse_clk => open, ps2_mouse_data => open, ERSTn => ERSTn, IRSTn => open, red => red, green => green, blue => blue, vsync => vsync, hsync => hsync, RamCE => RamCE, RomCE => RomCE, Phi2 => Phi2, ExternWE => ExternWE, ExternA => ExternA, ExternDin => ExternDin, ExternDout => ExternDout, audiol => audiol, audioR => audioR, SDMISO => SDMISO, SDSS => SDSS, SDCLK => SDCLK, SDMOSI => SDMOSI, uart_RxD => '1', uart_TxD => open, LED1 => open, LED2 => open ); CE1 <= not RAMCE; RAMWRn <= not (ExternWE and Phi2); RAMOEn <= not RAMCE; RamD <= ExternDin & ExternDin when ExternWE = '1' else "ZZZZZZZZZZZZZZZZ"; ExternDout <= RamD(7 downto 0) when RamCE = '1' else RomDout when RomCE = '1' else "11110001"; RamA <= '0' & ExternA(14 downto 0); end behavioral;
apache-2.0
wsoltys/AtomFpga
src/AVR8/spi_mod/spi_mod.vhd
4
20772
--********************************************************************************************** -- SPI Peripheral for the AVR Core -- Version 1.2 -- Modified 10.01.2007 -- Designed by Ruslan Lepetenok -- Internal resynchronizers for scki and ss_b inputs were added --********************************************************************************************** library IEEE; use IEEE.std_logic_1164.all; use WORK.std_library.all; use WORK.avr_adr_pack.all; use WORK.rsnc_comp_pack.all; entity spi_mod is port( -- AVR Control ireset : in std_logic; cp2 : in std_logic; adr : in std_logic_vector(15 downto 0); dbus_in : in std_logic_vector(7 downto 0); dbus_out : out std_logic_vector(7 downto 0); iore : in std_logic; iowe : in std_logic; out_en : out std_logic; -- SPI i/f misoi : in std_logic; mosii : in std_logic; scki : in std_logic; -- Resynch ss_b : in std_logic; -- Resynch misoo : out std_logic; mosio : out std_logic; scko : out std_logic; spe : out std_logic; spimaster : out std_logic; -- IRQ spiirq : out std_logic; spiack : in std_logic; -- Slave Programming Mode por : in std_logic; spiextload : in std_logic; spidwrite : out std_logic; spiload : out std_logic ); end spi_mod; architecture RTL of spi_mod is -- Resynch signal scki_resync : std_logic; signal ss_b_resync : std_logic; -- Registers signal SPCR : std_logic_vector(7 downto 0); alias SPIE : std_logic is SPCR(7); alias SPEB : std_logic is SPCR(6); -- SPE in Atmel's doc alias DORD : std_logic is SPCR(5); alias MSTR : std_logic is SPCR(4); alias CPOL : std_logic is SPCR(3); alias CPHA : std_logic is SPCR(2); alias SPR : std_logic_vector(1 downto 0) is SPCR(1 downto 0); signal SPSR : std_logic_vector(7 downto 0); alias SPIF : std_logic is SPSR(7); alias WCOL : std_logic is SPSR(6); alias SPI2X : std_logic is SPSR(0); signal SPIE_Next : std_logic; signal SPEB_Next : std_logic; signal DORD_Next : std_logic; signal CPOL_Next : std_logic; signal CPHA_Next : std_logic; signal SPR_Next : std_logic_vector(SPR'range); signal SPI2X_Next : std_logic; signal SPDR_Rc : std_logic_vector(7 downto 0); signal SPDR_Rc_Next : std_logic_vector(7 downto 0); signal SPDR_Sh_Current : std_logic_vector(7 downto 0); signal SPDR_Sh_Next : std_logic_vector(7 downto 0); signal Div_Next : std_logic_vector(5 downto 0); signal Div_Current : std_logic_vector(5 downto 0); signal Div_Toggle : std_logic; signal DivCntMsb_Current : std_logic; signal DivCntMsb_Next : std_logic; type MstSMSt_Type is (MstSt_Idle,MstSt_B0,MstSt_B1,MstSt_B2,MstSt_B3,MstSt_B4,MstSt_B5,MstSt_B6,MstSt_B7); signal MstSMSt_Current : MstSMSt_Type; signal MstSMSt_Next : MstSMSt_Type; signal TrStart : std_logic; signal scko_Next : std_logic; signal scko_Current : std_logic; --!!! signal UpdRcDataRg_Current : std_logic; signal UpdRcDataRg_Next : std_logic; signal TmpIn_Current : std_logic; signal TmpIn_Next : std_logic; -- Slave signal sck_EdgeDetDFF : std_logic; signal SlvSampleSt : std_logic; signal SlvSMChangeSt : std_logic; type SlvSMSt_Type is (SlvSt_Idle,SlvSt_B0I,SlvSt_B0,SlvSt_B1,SlvSt_B2,SlvSt_B3,SlvSt_B4,SlvSt_B5,SlvSt_B6,SlvSt_B6W); signal SlvSMSt_Current : SlvSMSt_Type; signal SlvSMSt_Next : SlvSMSt_Type; -- SIF clear SM signal SPIFClrSt_Current : std_logic; signal SPIFClrSt_Next : std_logic; -- WCOL clear SM signal WCOLClrSt_Current : std_logic; signal WCOLClrSt_Next : std_logic; signal MSTR_Next : std_logic; signal SPIF_Next : std_logic; signal WCOL_Next : std_logic; signal MstDSamp_Next : std_logic; signal MstDSamp_Current : std_logic; function Fn_RevBitVector(InVector : std_logic_vector) return std_logic_vector is variable TmpVect : std_logic_vector(InVector'range); begin for i in TmpVect'range loop TmpVect(i) := InVector(InVector'high-i); end loop; return TmpVect; end Fn_RevBitVector; begin -- ******************** Resynchronizers ************************************ scki_resync_inst:component rsnc_bit generic map( add_stgs_num => 0, inv_f_stgs => 0 ) port map( clk => cp2, di => scki, do => scki_resync ); ss_b_resync_inst:component rsnc_bit generic map( add_stgs_num => 0, inv_f_stgs => 0 ) port map( clk => cp2, di => ss_b, do => ss_b_resync ); -- ******************** Resynchronizers ************************************ SeqPrc:process(ireset,cp2) begin if (ireset='0') then -- Reset SPCR <= (others => '0'); SPIF <= '0'; WCOL <= '0'; SPI2X <= '0'; Div_Current <= (others => '0'); DivCntMsb_Current <= '0'; MstSMSt_Current <= MstSt_Idle; SlvSMSt_Current <= SlvSt_Idle; SPDR_Sh_Current <= (others => '1'); SPDR_Rc <= (others => '0'); sck_EdgeDetDFF <= '0'; SPIFClrSt_Current <= '0'; WCOLClrSt_Current <= '0'; scko <= '0'; scko_Current <= '0'; misoo <= '0'; mosio <= '0'; TmpIn_Current <= '0'; UpdRcDataRg_Current <= '0'; MstDSamp_Current <= '0'; elsif (cp2='1' and cp2'event) then -- Clock SPIE <= SPIE_Next; SPEB <= SPEB_Next; DORD <= DORD_Next; CPOL <= CPOL_Next; CPHA <= CPHA_Next; SPR <= SPR_Next; MSTR <= MSTR_Next; SPIF <= SPIF_Next; SPI2X <= SPI2X_Next; WCOL <= WCOL_Next; Div_Current <= Div_Next; DivCntMsb_Current <= DivCntMsb_Next; MstSMSt_Current <= MstSMSt_Next; SlvSMSt_Current <= SlvSMSt_Next; SPDR_Sh_Current <= SPDR_Sh_Next; SPDR_Rc <= SPDR_Rc_Next; sck_EdgeDetDFF <= scki_resync; SPIFClrSt_Current <= SPIFClrSt_Next; WCOLClrSt_Current <= WCOLClrSt_Next; scko_Current <= scko_Next; scko <= scko_Next; misoo <= SPDR_Sh_Next(SPDR_Sh_Next'high); mosio <= SPDR_Sh_Next(SPDR_Sh_Next'high); TmpIn_Current <= TmpIn_Next; UpdRcDataRg_Current <= UpdRcDataRg_Next; MstDSamp_Current <= MstDSamp_Next; end if; end process; IORegWriteComb:process(adr,iowe,SPCR,SPSR,dbus_in) begin SPIE_Next <= SPIE; SPEB_Next <= SPEB; DORD_Next <= DORD; CPOL_Next <= CPOL; CPHA_Next <= CPHA; SPR_Next <= SPR; SPI2X_Next <= SPI2X; if(fn_to_integer(adr)=SPCR_Address and iowe='1') then SPIE_Next <= dbus_in(7); SPEB_Next <= dbus_in(6); DORD_Next <= dbus_in(5); CPOL_Next <= dbus_in(3); CPHA_Next <= dbus_in(2); SPR_Next <= dbus_in(1 downto 0); end if; if(fn_to_integer(adr)=SPSR_Address and iowe='1') then SPI2X_Next <= dbus_in(0); end if; end process; SPSR(5 downto 1) <= (others => '0'); -- Divider -- SPI2X | SPR1 | SPR0 | SCK Frequency -- 0 | 0 | 0 | fosc /4 (2) -- 0 | 0 | 1 | fosc /16 (8) -- 0 | 1 | 0 | fosc /64 (32) -- 0 | 1 | 1 | fosc /128 (64) -- ------+------+------+------------- -- 1 | 0 | 0 | fosc /2 (1) -- 1 | 0 | 1 | fosc /8 (4) -- 1 | 1 | 0 | fosc /32 (16) -- 1 | 1 | 1 | fosc /64 (32) DividerToggleComb:process(MstSMSt_Current,Div_Current,SPCR,SPSR) begin Div_Toggle <= '0'; if(MstSMSt_Current /= MstSt_Idle) then if(SPI2X='1') then -- Extended mode case SPR is when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /2 when "01" => if (Div_Current="000011") then Div_Toggle <= '1'; end if; -- fosc /8 when "10" => if (Div_Current="001111") then Div_Toggle <= '1'; end if; -- fosc /32 when "11" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64 when others => Div_Toggle <= '0'; end case; else -- Normal mode case SPR is when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /4 when "01" => if (Div_Current="000111") then Div_Toggle <= '1'; end if; -- fosc /16 when "10" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64 when "11" => if (Div_Current="111111") then Div_Toggle <= '1'; end if; -- fosc /128 when others => Div_Toggle <= '0'; end case; end if; end if; end process; DividerNextComb:process(MstSMSt_Current,Div_Current,DivCntMsb_Current,Div_Toggle) begin Div_Next <= Div_Current; DivCntMsb_Next <= DivCntMsb_Current; if(MstSMSt_Current /= MstSt_Idle) then if(Div_Toggle='1') then Div_Next <= (others => '0'); DivCntMsb_Next <= not DivCntMsb_Current; else Div_Next <= Div_Current + 1; end if; end if; end process; TrStart <= '1' when (fn_to_integer(adr)=SPDR_Address and iowe='1' and SPEB='1') else '0'; -- Transmitter Master Mode Shift Control SM MstSmNextComb:process(MstSMSt_Current,DivCntMsb_Current,Div_Toggle,TrStart,SPCR) begin MstSMSt_Next <= MstSMSt_Current; case MstSMSt_Current is when MstSt_Idle => if(TrStart='1' and MSTR='1') then MstSMSt_Next <= MstSt_B0; end if; when MstSt_B0 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_B1; end if; when MstSt_B1 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_B2; end if; when MstSt_B2 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_B3; end if; when MstSt_B3 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_B4; end if; when MstSt_B4 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_B5; end if; when MstSt_B5 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_B6; end if; when MstSt_B6 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_B7; end if; when MstSt_B7 => if(DivCntMsb_Current='1' and Div_Toggle='1') then MstSMSt_Next <= MstSt_Idle; end if; when others => MstSMSt_Next <= MstSt_Idle; end case; end process; SPIFClrCombProc:process(SPIFClrSt_Current,SPCR,SPSR,adr,iore,iowe) begin SPIFClrSt_Next <= SPIFClrSt_Current; case SPIFClrSt_Current is when '0' => if(fn_to_integer(adr)=SPSR_Address and iore='1' and SPIF='1' and SPEB='1') then SPIFClrSt_Next <= '1'; end if; when '1' => if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then SPIFClrSt_Next <= '0'; end if; when others => SPIFClrSt_Next <= SPIFClrSt_Current; end case; end process; --SPIFClrCombProc WCOLClrCombProc:process(WCOLClrSt_Current,SPSR,adr,iore,iowe) begin WCOLClrSt_Next <= WCOLClrSt_Current; case WCOLClrSt_Current is when '0' => if(fn_to_integer(adr)=SPSR_Address and iore='1' and WCOL='1') then WCOLClrSt_Next <= '1'; end if; when '1' => if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then WCOLClrSt_Next <= '0'; end if; when others => WCOLClrSt_Next <= WCOLClrSt_Current; end case; end process; --WCOLClrCombProc MstDataSamplingComb:process(SPCR,scko_Current,scko_Next,MstDSamp_Current,MstSMSt_Current) begin MstDSamp_Next <= '0'; case MstDSamp_Current is when '0' => if(MstSMSt_Current/=MstSt_Idle) then if(CPHA=CPOL) then if(scko_Next='1' and scko_Current='0') then -- Rising edge MstDSamp_Next <= '1'; end if; else -- CPHA/=CPOL if(scko_Next='0' and scko_Current='1') then -- Falling edge MstDSamp_Next <= '1'; end if; end if; end if; when '1' => MstDSamp_Next <= '0'; when others => MstDSamp_Next <= '0'; end case; end process; -- MstDataSamplingComb -- DRLatchComb:process(UpdRcDataRg_Current,MstSMSt_Current,MstSMSt_Next,SlvSMSt_Current,SlvSMSt_Next,SPCR) begin UpdRcDataRg_Next <= '0'; case UpdRcDataRg_Current is when '0' => if((MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle)or (MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle)) then UpdRcDataRg_Next <= '1'; end if; when '1' => UpdRcDataRg_Next <= '0'; when others => UpdRcDataRg_Next <= '0'; end case; end process; TmpInComb:process(TmpIn_Current,mosii,misoi,MstDSamp_Current,SlvSampleSt,SPCR,ss_b_resync) begin TmpIn_Next <= TmpIn_Current; if(MSTR='1' and MstDSamp_Current='1') then -- Master mode TmpIn_Next <= misoi; elsif(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') then -- Slave mode ??? TmpIn_Next <= mosii; end if; end process; ShiftRgComb:process(MstSMSt_Current,SlvSMSt_Current,SPDR_Sh_Current,SPCR,DivCntMsb_Current,Div_Toggle,TrStart,dbus_in,ss_b_resync,TmpIn_Current,SlvSMChangeSt,SlvSampleSt,UpdRcDataRg_Current) begin SPDR_Sh_Next <= SPDR_Sh_Current; if(TrStart='1' and (MstSMSt_Current=MstSt_Idle and SlvSMSt_Current = SlvSt_Idle and not(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') )) then -- Load if (DORD='1') then -- the LSB of the data word is transmitted first SPDR_Sh_Next <= Fn_RevBitVector(dbus_in); else -- the MSB of the data word is transmitted first SPDR_Sh_Next <= dbus_in; end if; elsif(MSTR='1' and UpdRcDataRg_Current='1') then -- ??? SPDR_Sh_Next(SPDR_Sh_Next'high) <= '1'; elsif((MSTR='1' and MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current='1' and Div_Toggle='1') or (MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMChangeSt='1' and ss_b_resync='0')) then -- Shift SPDR_Sh_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto SPDR_Sh_Current'low)&TmpIn_Current; end if; end process; --ShiftRgComb sckoGenComb:process(scko_Current,SPCR,adr,iowe,dbus_in,DivCntMsb_Next,DivCntMsb_Current,TrStart,MstSMSt_Current,MstSMSt_Next) begin scko_Next <= scko_Current; if(fn_to_integer(adr)=SPCR_Address and iowe='1') then -- Write to SPCR scko_Next <= dbus_in(3); -- CPOL elsif(TrStart='1' and CPHA='1' and MstSMSt_Current=MstSt_Idle) then scko_Next <= not CPOL; elsif(MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle) then -- "Parking" scko_Next <= CPOL; elsif(MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current/=DivCntMsb_Next) then scko_Next <= not scko_Current; end if; end process; -- Receiver data register SPDRRcComb:process(SPDR_Rc,SPCR,SPDR_Sh_Current,UpdRcDataRg_Current,TmpIn_Current) begin SPDR_Rc_Next <= SPDR_Rc; if(UpdRcDataRg_Current='1') then if(MSTR='0' and CPHA='1') then if (DORD='1') then -- the LSB of the data word is transmitted first SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current); else -- the MSB of the data word is transmitted first SPDR_Rc_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current; end if; else if (DORD='1') then -- the LSB of the data word is transmitted first SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current); else -- the MSB of the data word is transmitted first SPDR_Rc_Next <= SPDR_Sh_Current; end if; end if; end if; end process; --**************************************************************************************** -- Slave --**************************************************************************************** SlvSampleSt <= '1' when ((sck_EdgeDetDFF='0' and scki_resync='1' and CPOL=CPHA)or -- Rising edge (sck_EdgeDetDFF='1' and scki_resync='0' and CPOL/=CPHA))else '0'; -- Falling edge SlvSMChangeSt <= '1' when ((sck_EdgeDetDFF='1' and scki_resync='0' and CPOL=CPHA)or -- Falling edge (sck_EdgeDetDFF='0' and scki_resync='1' and CPOL/=CPHA))else '0'; -- Rising edge -- Slave Master Mode Shift Control SM SlvSMNextComb:process(SlvSMSt_Current,SPCR,SlvSampleSt,SlvSMChangeSt,ss_b_resync) begin SlvSMSt_Next <= SlvSMSt_Current; if(ss_b_resync='0') then case SlvSMSt_Current is when SlvSt_Idle => if(MSTR='0') then if(CPHA='1') then if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B0; end if; else -- CPHA='0' if(SlvSampleSt='1') then SlvSMSt_Next <= SlvSt_B0I; end if; end if; end if; when SlvSt_B0I => if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B0; end if; when SlvSt_B0 => if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B1; end if; when SlvSt_B1 => if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B2; end if; when SlvSt_B2 => if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B3; end if; when SlvSt_B3 => if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B4; end if; when SlvSt_B4 => if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B5; end if; when SlvSt_B5 => if(SlvSMChangeSt='1') then SlvSMSt_Next <= SlvSt_B6; end if; when SlvSt_B6 => if(SlvSMChangeSt='1') then if(CPHA='0') then SlvSMSt_Next <= SlvSt_Idle; else -- CPHA='1' SlvSMSt_Next <= SlvSt_B6W; end if; end if; when SlvSt_B6W => if(SlvSampleSt='1')then SlvSMSt_Next <= SlvSt_Idle; end if; when others => SlvSMSt_Next <= SlvSt_Idle; end case; end if; end process; MSTRGenComb:process(adr,iowe,dbus_in,ss_b_resync,SPCR) begin MSTR_Next <= MSTR; case MSTR is when '0' => if(fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='1') then -- TBD (ss_b_resync='0') MSTR_Next <= '1'; end if; when '1' => if((fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='0') or (ss_b_resync='0')) then MSTR_Next <= '0'; end if; when others => MSTR_Next <= MSTR; end case; end process; WCOLGenComb:process(WCOLClrSt_Current,SlvSMSt_Current,MstSMSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSampleSt,ss_b_resync) begin WCOL_Next <= WCOL; case WCOL is when '0' => if(fn_to_integer(adr)=SPDR_Address and iowe='1' and ((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or (MSTR='1' and MstSMSt_Current/=MstSt_Idle))) then WCOL_Next <= '1'; end if; when '1' => if(((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1')) and WCOLClrSt_Current='1') and not (fn_to_integer(adr)=SPDR_Address and iowe='1' and ((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or (MSTR='1' and MstSMSt_Current/=MstSt_Idle)))) then WCOL_Next <= '0'; end if; when others => WCOL_Next <= WCOL; end case; end process; SPIFGenComb:process(SPIFClrSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSMSt_Current,SlvSMSt_Next,MstSMSt_Current,MstSMSt_Next,spiack) begin SPIF_Next <= SPIF; case SPIF is when '0' => if((MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle) or (MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle))then SPIF_Next <= '1'; end if; when '1' => if((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1') and SPIFClrSt_Current='1') or spiack='1') then SPIF_Next <= '0'; end if; when others => SPIF_Next <= SPIF; end case; end process; --************************************************************************************* spimaster <= MSTR; spe <= SPEB; -- IRQ spiirq <= SPIE and SPIF; OutMuxComb:process(adr,iore,SPDR_Rc,SPSR,SPCR) begin case(fn_to_integer(adr)) is when SPDR_Address => dbus_out <= SPDR_Rc; out_en <= iore; when SPSR_Address => dbus_out <= SPSR; out_en <= iore; when SPCR_Address => dbus_out <= SPCR; out_en <= iore; when others => dbus_out <= (others => '0'); out_en <= '0'; end case; end process; -- OutMuxComb -- spidwrite <= '0'; spiload <= '0'; end RTL;
apache-2.0
wsoltys/AtomFpga
src/AVR8/FrqDiv/FrqDiv.vhd
1
1384
--********************************************************************************************** -- Frequency divider for AVR uC (40 MHz -> 4 MHz or 40 MHz -> 20 MHz) -- Version 1.52(Dust Inc version) -- Modified 16.01.2006 -- Designed by Ruslan Lepetenok --********************************************************************************************** library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.AVRuCPackage.all; entity FrqDiv is port( clk_in : in std_logic; clk_out : out std_logic ); end FrqDiv; architecture RTL of FrqDiv is signal DivCnt : std_logic_vector(3 downto 0); signal clk_out_int : std_logic; constant Div2 : boolean := TRUE; begin -- Must be sequentially encoded DivideBy10:if not Div2 generate Gen:process(clk_in) begin if(clk_in='1' and clk_in'event) then -- Clock if(DivCnt=x"4") then DivCnt <= x"0"; else DivCnt <= DivCnt + 1; end if; if(DivCnt=x"4") then clk_out_int <= not clk_out_int; end if; end if; end process; end generate; DivideBy10:if Div2 generate Gen:process(clk_in) begin if(clk_in='1' and clk_in'event) then -- Clock clk_out_int <= not clk_out_int; end if; end process; end generate; clk_out <= clk_out_int; end RTL;
apache-2.0
wsoltys/AtomFpga
src/AVR8/MemArbAndMux/MemAccessCompPack.vhd
4
1997
-- ***************************************************************************************** -- -- Version 0.1 -- Modified 24.07.2005 -- Designed by Ruslan Lepetenok -- ***************************************************************************************** library IEEE; use IEEE.std_logic_1164.all; use WORK.MemAccessCtrlPack.all; package MemAccessCompPack is component ArbiterAndMux is port( --Clock and reset ireset : in std_logic; cp2 : in std_logic; -- Bus masters busmin : in MastersOutBus_Type; busmwait : out std_logic_vector(CNumOfBusMasters-1 downto 0); -- Memory Address,Data and Control ramadr : out std_logic_vector(15 downto 0); ramdout : out std_logic_vector(7 downto 0); ramre : out std_logic; ramwe : out std_logic; cpuwait : in std_logic ); end component; component MemRdMux is port( slv_outs : in SlavesOutBus_Type; ram_sel : in std_logic; -- Data RAM selection(optional input) ram_dout : in std_logic_vector(7 downto 0); -- Data memory output dout : out std_logic_vector(7 downto 0) -- Data output ); end component; component RAMAdrDcd is port( ramadr : in std_logic_vector(15 downto 0); ramre : in std_logic; ramwe : in std_logic; -- Memory mapped I/O i/f stb_IO : out std_logic; stb_IOmod : out std_logic_vector(CNumOfSlaves-1 downto 0); -- Data memory i/f ram_we : out std_logic; ram_ce : out std_logic; ram_sel : out std_logic ); end component; end MemAccessCompPack;
apache-2.0
wsoltys/AtomFpga
src/ROM/fpgautils.vhd
1
33364
-- generated with romgen by MikeJ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fpgautils is port ( CLK : in std_logic; ADDR : in std_logic_vector(11 downto 0); DATA : out std_logic_vector(7 downto 0) ); end; architecture RTL of fpgautils is type ROM_ARRAY is array(0 to 4095) of std_logic_vector(7 downto 0); constant ROM : ROM_ARRAY := ( x"40",x"BF",x"A2",x"FF",x"A4",x"5E",x"88",x"E8", -- 0x0000 x"C8",x"BD",x"3C",x"A0",x"30",x"14",x"D1",x"05", -- 0x0008 x"F0",x"F5",x"E8",x"BD",x"3B",x"A0",x"10",x"FA", -- 0x0010 x"B1",x"05",x"C9",x"2E",x"D0",x"E6",x"C8",x"CA", -- 0x0018 x"B0",x"E7",x"84",x"03",x"85",x"53",x"BD",x"3D", -- 0x0020 x"A0",x"85",x"52",x"A2",x"00",x"86",x"04",x"20", -- 0x0028 x"39",x"A0",x"A2",x"00",x"86",x"04",x"4C",x"58", -- 0x0030 x"C5",x"6C",x"52",x"00",x"46",x"48",x"45",x"4C", -- 0x0038 x"50",x"A6",x"44",x"42",x"41",x"55",x"44",x"A3", -- 0x0040 x"BC",x"53",x"49",x"4E",x"4F",x"55",x"54",x"A3", -- 0x0048 x"84",x"53",x"49",x"4E",x"A3",x"87",x"53",x"4F", -- 0x0050 x"55",x"54",x"A3",x"A2",x"55",x"50",x"4C",x"4F", -- 0x0058 x"41",x"44",x"A4",x"0A",x"44",x"4E",x"4C",x"4F", -- 0x0060 x"41",x"44",x"A4",x"3C",x"43",x"52",x"43",x"A1", -- 0x0068 x"06",x"52",x"4C",x"49",x"53",x"54",x"A6",x"BC", -- 0x0070 x"46",x"4C",x"41",x"53",x"48",x"A5",x"00",x"42", -- 0x0078 x"45",x"45",x"42",x"A6",x"2C",x"56",x"47",x"41", -- 0x0080 x"38",x"30",x"AA",x"C9",x"C5",x"58",x"00",x"3C", -- 0x0088 x"42",x"41",x"55",x"44",x"20",x"52",x"41",x"54", -- 0x0090 x"45",x"3E",x"00",x"00",x"00",x"00",x"3C",x"53", -- 0x0098 x"54",x"41",x"52",x"54",x"3E",x"2C",x"3C",x"45", -- 0x00A0 x"4E",x"44",x"3E",x"00",x"3C",x"53",x"54",x"41", -- 0x00A8 x"52",x"54",x"3E",x"00",x"3C",x"53",x"54",x"41", -- 0x00B0 x"52",x"54",x"3E",x"2C",x"3C",x"45",x"4E",x"44", -- 0x00B8 x"3E",x"00",x"00",x"3C",x"53",x"54",x"41",x"52", -- 0x00C0 x"54",x"3E",x"2C",x"3C",x"42",x"41",x"4E",x"4B", -- 0x00C8 x"3E",x"00",x"00",x"00",x"20",x"BC",x"C8",x"20", -- 0x00D0 x"31",x"C2",x"20",x"BC",x"C8",x"20",x"31",x"C2", -- 0x00D8 x"A5",x"16",x"85",x"80",x"A5",x"25",x"85",x"81", -- 0x00E0 x"A5",x"17",x"85",x"82",x"A5",x"26",x"85",x"83", -- 0x00E8 x"60",x"20",x"D1",x"F7",x"46",x"50",x"47",x"41", -- 0x00F0 x"20",x"55",x"54",x"49",x"4C",x"53",x"20",x"56", -- 0x00F8 x"30",x"2E",x"32",x"31",x"EA",x"60",x"20",x"D4", -- 0x0100 x"A0",x"A2",x"00",x"20",x"1B",x"A1",x"A5",x"89", -- 0x0108 x"20",x"02",x"F8",x"A5",x"88",x"20",x"02",x"F8", -- 0x0110 x"4C",x"ED",x"FF",x"78",x"98",x"48",x"8A",x"48", -- 0x0118 x"10",x"05",x"29",x"1F",x"8D",x"FF",x"BF",x"A5", -- 0x0120 x"80",x"85",x"84",x"A5",x"81",x"85",x"85",x"A9", -- 0x0128 x"00",x"85",x"88",x"85",x"89",x"A0",x"00",x"A6", -- 0x0130 x"88",x"A5",x"89",x"5D",x"84",x"A1",x"85",x"88", -- 0x0138 x"B1",x"84",x"5D",x"84",x"A2",x"85",x"89",x"E6", -- 0x0140 x"84",x"D0",x"02",x"E6",x"85",x"A5",x"84",x"C5", -- 0x0148 x"82",x"D0",x"E4",x"A5",x"85",x"C5",x"83",x"D0", -- 0x0150 x"DE",x"A5",x"88",x"A2",x"07",x"0A",x"66",x"8A", -- 0x0158 x"CA",x"10",x"FA",x"A5",x"8A",x"48",x"A5",x"89", -- 0x0160 x"A2",x"07",x"0A",x"66",x"8A",x"CA",x"10",x"FA", -- 0x0168 x"A5",x"8A",x"85",x"88",x"68",x"85",x"89",x"A5", -- 0x0170 x"FD",x"29",x"0F",x"8D",x"FF",x"BF",x"58",x"68", -- 0x0178 x"AA",x"68",x"A8",x"60",x"00",x"68",x"D0",x"B8", -- 0x0180 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x0188 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x0190 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x0198 x"60",x"08",x"B0",x"D8",x"00",x"68",x"D0",x"B8", -- 0x01A0 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x01A8 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x01B0 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x01B8 x"60",x"08",x"B0",x"D8",x"00",x"68",x"D0",x"B8", -- 0x01C0 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x01C8 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x01D0 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x01D8 x"60",x"08",x"B0",x"D8",x"00",x"68",x"D0",x"B8", -- 0x01E0 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x01E8 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x01F0 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x01F8 x"60",x"08",x"B0",x"D8",x"00",x"68",x"D0",x"B8", -- 0x0200 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x0208 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x0210 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x0218 x"60",x"08",x"B0",x"D8",x"00",x"68",x"D0",x"B8", -- 0x0220 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x0228 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x0230 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x0238 x"60",x"08",x"B0",x"D8",x"00",x"68",x"D0",x"B8", -- 0x0240 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x0248 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x0250 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x0258 x"60",x"08",x"B0",x"D8",x"00",x"68",x"D0",x"B8", -- 0x0260 x"A0",x"C8",x"70",x"18",x"40",x"28",x"90",x"F8", -- 0x0268 x"E0",x"88",x"30",x"58",x"80",x"E8",x"50",x"38", -- 0x0270 x"20",x"48",x"F0",x"98",x"C0",x"A8",x"10",x"78", -- 0x0278 x"60",x"08",x"B0",x"D8",x"00",x"01",x"02",x"03", -- 0x0280 x"05",x"04",x"07",x"06",x"0B",x"0A",x"09",x"08", -- 0x0288 x"0E",x"0F",x"0C",x"0D",x"16",x"17",x"14",x"15", -- 0x0290 x"13",x"12",x"11",x"10",x"1D",x"1C",x"1F",x"1E", -- 0x0298 x"18",x"19",x"1A",x"1B",x"2D",x"2C",x"2F",x"2E", -- 0x02A0 x"28",x"29",x"2A",x"2B",x"26",x"27",x"24",x"25", -- 0x02A8 x"23",x"22",x"21",x"20",x"3B",x"3A",x"39",x"38", -- 0x02B0 x"3E",x"3F",x"3C",x"3D",x"30",x"31",x"32",x"33", -- 0x02B8 x"35",x"34",x"37",x"36",x"5A",x"5B",x"58",x"59", -- 0x02C0 x"5F",x"5E",x"5D",x"5C",x"51",x"50",x"53",x"52", -- 0x02C8 x"54",x"55",x"56",x"57",x"4C",x"4D",x"4E",x"4F", -- 0x02D0 x"49",x"48",x"4B",x"4A",x"47",x"46",x"45",x"44", -- 0x02D8 x"42",x"43",x"40",x"41",x"77",x"76",x"75",x"74", -- 0x02E0 x"72",x"73",x"70",x"71",x"7C",x"7D",x"7E",x"7F", -- 0x02E8 x"79",x"78",x"7B",x"7A",x"61",x"60",x"63",x"62", -- 0x02F0 x"64",x"65",x"66",x"67",x"6A",x"6B",x"68",x"69", -- 0x02F8 x"6F",x"6E",x"6D",x"6C",x"B4",x"B5",x"B6",x"B7", -- 0x0300 x"B1",x"B0",x"B3",x"B2",x"BF",x"BE",x"BD",x"BC", -- 0x0308 x"BA",x"BB",x"B8",x"B9",x"A2",x"A3",x"A0",x"A1", -- 0x0310 x"A7",x"A6",x"A5",x"A4",x"A9",x"A8",x"AB",x"AA", -- 0x0318 x"AC",x"AD",x"AE",x"AF",x"99",x"98",x"9B",x"9A", -- 0x0320 x"9C",x"9D",x"9E",x"9F",x"92",x"93",x"90",x"91", -- 0x0328 x"97",x"96",x"95",x"94",x"8F",x"8E",x"8D",x"8C", -- 0x0330 x"8A",x"8B",x"88",x"89",x"84",x"85",x"86",x"87", -- 0x0338 x"81",x"80",x"83",x"82",x"EE",x"EF",x"EC",x"ED", -- 0x0340 x"EB",x"EA",x"E9",x"E8",x"E5",x"E4",x"E7",x"E6", -- 0x0348 x"E0",x"E1",x"E2",x"E3",x"F8",x"F9",x"FA",x"FB", -- 0x0350 x"FD",x"FC",x"FF",x"FE",x"F3",x"F2",x"F1",x"F0", -- 0x0358 x"F6",x"F7",x"F4",x"F5",x"C3",x"C2",x"C1",x"C0", -- 0x0360 x"C6",x"C7",x"C4",x"C5",x"C8",x"C9",x"CA",x"CB", -- 0x0368 x"CD",x"CC",x"CF",x"CE",x"D5",x"D4",x"D7",x"D6", -- 0x0370 x"D0",x"D1",x"D2",x"D3",x"DE",x"DF",x"DC",x"DD", -- 0x0378 x"DB",x"DA",x"D9",x"D8",x"20",x"A2",x"A3",x"A9", -- 0x0380 x"97",x"8D",x"0A",x"02",x"A9",x"A3",x"8D",x"0B", -- 0x0388 x"02",x"A9",x"C0",x"8D",x"B1",x"BD",x"60",x"AD", -- 0x0390 x"B1",x"BD",x"29",x"02",x"F0",x"F9",x"AD",x"B0", -- 0x0398 x"BD",x"60",x"A9",x"AD",x"8D",x"08",x"02",x"A9", -- 0x03A0 x"A3",x"8D",x"09",x"02",x"60",x"48",x"AD",x"B1", -- 0x03A8 x"BD",x"29",x"01",x"F0",x"F9",x"68",x"8D",x"B0", -- 0x03B0 x"BD",x"4C",x"52",x"FE",x"20",x"BC",x"C8",x"20", -- 0x03B8 x"31",x"C2",x"A5",x"16",x"85",x"53",x"A5",x"25", -- 0x03C0 x"85",x"54",x"A5",x"34",x"85",x"55",x"A5",x"43", -- 0x03C8 x"85",x"56",x"A9",x"00",x"85",x"57",x"A9",x"09", -- 0x03D0 x"85",x"58",x"A9",x"3D",x"85",x"59",x"A9",x"00", -- 0x03D8 x"85",x"5A",x"A9",x"00",x"85",x"5B",x"85",x"5C", -- 0x03E0 x"85",x"5D",x"85",x"5E",x"20",x"8C",x"C6",x"26", -- 0x03E8 x"57",x"26",x"58",x"26",x"59",x"26",x"5A",x"A5", -- 0x03F0 x"58",x"8D",x"B3",x"BD",x"20",x"02",x"F8",x"A5", -- 0x03F8 x"57",x"8D",x"B2",x"BD",x"20",x"02",x"F8",x"4C", -- 0x0400 x"ED",x"FF",x"20",x"D4",x"A0",x"20",x"1B",x"A1", -- 0x0408 x"20",x"0E",x"A1",x"A5",x"80",x"85",x"84",x"A5", -- 0x0410 x"81",x"85",x"85",x"A0",x"00",x"AD",x"B1",x"BD", -- 0x0418 x"29",x"01",x"F0",x"F9",x"B1",x"84",x"8D",x"B0", -- 0x0420 x"BD",x"E6",x"84",x"D0",x"02",x"E6",x"85",x"A5", -- 0x0428 x"84",x"C5",x"82",x"D0",x"E8",x"A5",x"85",x"C5", -- 0x0430 x"83",x"D0",x"E2",x"60",x"20",x"BC",x"C8",x"20", -- 0x0438 x"31",x"C2",x"A5",x"16",x"85",x"80",x"A5",x"25", -- 0x0440 x"85",x"81",x"20",x"D1",x"F7",x"53",x"45",x"4E", -- 0x0448 x"44",x"20",x"44",x"41",x"54",x"41",x"0A",x"0D", -- 0x0450 x"EA",x"A5",x"80",x"85",x"82",x"A5",x"81",x"85", -- 0x0458 x"83",x"A0",x"00",x"84",x"88",x"84",x"89",x"AD", -- 0x0460 x"B1",x"BD",x"29",x"02",x"F0",x"F9",x"D0",x"11", -- 0x0468 x"A2",x"00",x"AD",x"B1",x"BD",x"29",x"02",x"D0", -- 0x0470 x"08",x"CA",x"D0",x"F6",x"88",x"D0",x"F3",x"F0", -- 0x0478 x"25",x"A0",x"00",x"AD",x"02",x"B0",x"49",x"04", -- 0x0480 x"8D",x"02",x"B0",x"A6",x"88",x"A5",x"89",x"5D", -- 0x0488 x"84",x"A1",x"85",x"88",x"AD",x"B0",x"BD",x"91", -- 0x0490 x"82",x"5D",x"84",x"A2",x"85",x"89",x"E6",x"82", -- 0x0498 x"D0",x"CE",x"E6",x"83",x"D0",x"CA",x"20",x"D1", -- 0x04A0 x"F7",x"53",x"54",x"41",x"52",x"54",x"3A",x"20", -- 0x04A8 x"EA",x"A5",x"81",x"20",x"02",x"F8",x"A5",x"80", -- 0x04B0 x"20",x"02",x"F8",x"20",x"D1",x"F7",x"0A",x"0D", -- 0x04B8 x"20",x"20",x"45",x"4E",x"44",x"3A",x"20",x"EA", -- 0x04C0 x"A5",x"83",x"20",x"02",x"F8",x"A5",x"82",x"20", -- 0x04C8 x"02",x"F8",x"A5",x"88",x"A2",x"07",x"0A",x"66", -- 0x04D0 x"8A",x"CA",x"10",x"FA",x"A5",x"8A",x"48",x"A5", -- 0x04D8 x"89",x"A2",x"07",x"0A",x"66",x"8A",x"CA",x"10", -- 0x04E0 x"FA",x"A5",x"8A",x"85",x"88",x"68",x"85",x"89", -- 0x04E8 x"20",x"D1",x"F7",x"0A",x"0D",x"20",x"20",x"43", -- 0x04F0 x"52",x"43",x"3A",x"20",x"EA",x"4C",x"0E",x"A1", -- 0x04F8 x"20",x"BC",x"C8",x"20",x"31",x"C2",x"20",x"BC", -- 0x0500 x"C8",x"20",x"31",x"C2",x"20",x"D1",x"F7",x"50", -- 0x0508 x"52",x"4F",x"47",x"52",x"41",x"4D",x"20",x"42", -- 0x0510 x"41",x"4E",x"4B",x"20",x"EA",x"A5",x"17",x"85", -- 0x0518 x"84",x"20",x"02",x"F8",x"20",x"D1",x"F7",x"20", -- 0x0520 x"46",x"52",x"4F",x"4D",x"20",x"EA",x"A5",x"25", -- 0x0528 x"85",x"81",x"20",x"02",x"F8",x"A5",x"16",x"85", -- 0x0530 x"80",x"20",x"02",x"F8",x"20",x"ED",x"FF",x"20", -- 0x0538 x"D1",x"F7",x"43",x"4F",x"4E",x"46",x"49",x"52", -- 0x0540 x"4D",x"20",x"28",x"59",x"2F",x"4E",x"29",x"3F", -- 0x0548 x"20",x"EA",x"20",x"E3",x"FF",x"C9",x"59",x"F0", -- 0x0550 x"11",x"20",x"D1",x"F7",x"4E",x"0A",x"0D",x"41", -- 0x0558 x"42",x"4F",x"52",x"54",x"45",x"44",x"0A",x"0D", -- 0x0560 x"EA",x"60",x"20",x"D1",x"F7",x"59",x"0A",x"0D", -- 0x0568 x"50",x"52",x"4F",x"47",x"52",x"41",x"4D",x"4D", -- 0x0570 x"49",x"4E",x"47",x"2E",x"2E",x"2E",x"0A",x"0D", -- 0x0578 x"EA",x"A0",x"00",x"B9",x"91",x"A5",x"99",x"00", -- 0x0580 x"28",x"C8",x"C0",x"9B",x"D0",x"F5",x"4C",x"00", -- 0x0588 x"28",x"78",x"A9",x"05",x"8D",x"FF",x"BF",x"A9", -- 0x0590 x"AA",x"8D",x"55",x"A5",x"A9",x"02",x"8D",x"FF", -- 0x0598 x"BF",x"A9",x"55",x"8D",x"AA",x"AA",x"A9",x"05", -- 0x05A0 x"8D",x"FF",x"BF",x"A9",x"80",x"8D",x"55",x"A5", -- 0x05A8 x"A9",x"05",x"8D",x"FF",x"BF",x"A9",x"AA",x"8D", -- 0x05B0 x"55",x"A5",x"A9",x"02",x"8D",x"FF",x"BF",x"A9", -- 0x05B8 x"55",x"8D",x"AA",x"AA",x"A5",x"84",x"8D",x"FF", -- 0x05C0 x"BF",x"A9",x"30",x"8D",x"00",x"A0",x"A0",x"00", -- 0x05C8 x"A2",x"00",x"CA",x"D0",x"FD",x"88",x"D0",x"F8", -- 0x05D0 x"A9",x"00",x"85",x"82",x"A9",x"A0",x"85",x"83", -- 0x05D8 x"A0",x"00",x"A9",x"05",x"8D",x"FF",x"BF",x"A9", -- 0x05E0 x"AA",x"8D",x"55",x"A5",x"A9",x"02",x"8D",x"FF", -- 0x05E8 x"BF",x"A9",x"55",x"8D",x"AA",x"AA",x"A9",x"05", -- 0x05F0 x"8D",x"FF",x"BF",x"A9",x"A0",x"8D",x"55",x"A5", -- 0x05F8 x"A5",x"84",x"8D",x"FF",x"BF",x"B1",x"80",x"91", -- 0x0600 x"82",x"A2",x"08",x"CA",x"D0",x"FD",x"C8",x"D0", -- 0x0608 x"D1",x"E6",x"81",x"E6",x"83",x"A5",x"83",x"C9", -- 0x0610 x"B0",x"D0",x"C7",x"A5",x"FD",x"29",x"0F",x"8D", -- 0x0618 x"FF",x"BF",x"58",x"68",x"68",x"A2",x"00",x"86", -- 0x0620 x"04",x"4C",x"58",x"C5",x"A0",x"00",x"B9",x"3C", -- 0x0628 x"A6",x"99",x"00",x"28",x"C8",x"C0",x"08",x"D0", -- 0x0630 x"F5",x"4C",x"00",x"28",x"A9",x"08",x"8D",x"FE", -- 0x0638 x"BF",x"6C",x"FC",x"FF",x"20",x"F1",x"A0",x"20", -- 0x0640 x"ED",x"FF",x"A2",x"00",x"A0",x"00",x"A9",x"20", -- 0x0648 x"20",x"F4",x"FF",x"BD",x"3C",x"A0",x"30",x"06", -- 0x0650 x"20",x"F4",x"FF",x"E8",x"D0",x"F5",x"A9",x"20", -- 0x0658 x"20",x"F4",x"FF",x"A5",x"E0",x"C9",x"08",x"D0", -- 0x0660 x"F5",x"B9",x"8E",x"A0",x"F0",x"06",x"20",x"F4", -- 0x0668 x"FF",x"C8",x"D0",x"F5",x"20",x"ED",x"FF",x"C8", -- 0x0670 x"E8",x"E8",x"BD",x"3C",x"A0",x"10",x"CF",x"20", -- 0x0678 x"D1",x"F7",x"45",x"58",x"41",x"4D",x"50",x"4C", -- 0x0680 x"45",x"53",x"3A",x"0A",x"0D",x"20",x"42",x"41", -- 0x0688 x"55",x"44",x"20",x"31",x"31",x"35",x"32",x"30", -- 0x0690 x"30",x"0A",x"0D",x"20",x"44",x"4E",x"4C",x"4F", -- 0x0698 x"41",x"44",x"20",x"23",x"34",x"30",x"30",x"30", -- 0x06A0 x"0A",x"0D",x"20",x"46",x"4C",x"41",x"53",x"48", -- 0x06A8 x"20",x"23",x"34",x"30",x"30",x"30",x"2C",x"34", -- 0x06B0 x"0A",x"0D",x"EA",x"60",x"A0",x"00",x"B9",x"84", -- 0x06B8 x"A1",x"99",x"00",x"3A",x"B9",x"84",x"A2",x"99", -- 0x06C0 x"00",x"3B",x"C8",x"D0",x"F1",x"B9",x"1B",x"A1", -- 0x06C8 x"99",x"00",x"28",x"C8",x"C0",x"69",x"D0",x"F5", -- 0x06D0 x"A9",x"00",x"8D",x"21",x"28",x"A9",x"3A",x"8D", -- 0x06D8 x"22",x"28",x"A9",x"00",x"8D",x"28",x"28",x"A9", -- 0x06E0 x"3B",x"8D",x"29",x"28",x"A9",x"00",x"85",x"80", -- 0x06E8 x"85",x"82",x"A9",x"A0",x"85",x"81",x"A9",x"B0", -- 0x06F0 x"85",x"83",x"A2",x"00",x"20",x"00",x"28",x"A5", -- 0x06F8 x"88",x"85",x"86",x"A5",x"89",x"85",x"87",x"A2", -- 0x0700 x"80",x"20",x"00",x"28",x"A5",x"88",x"C9",x"84", -- 0x0708 x"D0",x"06",x"A5",x"89",x"C9",x"2A",x"F0",x"20", -- 0x0710 x"8A",x"29",x"1F",x"20",x"02",x"F8",x"A9",x"20", -- 0x0718 x"20",x"F4",x"FF",x"A5",x"89",x"20",x"02",x"F8", -- 0x0720 x"A5",x"88",x"20",x"02",x"F8",x"A9",x"20",x"20", -- 0x0728 x"F4",x"FF",x"20",x"3E",x"A7",x"20",x"ED",x"FF", -- 0x0730 x"E8",x"E0",x"A0",x"D0",x"CC",x"60",x"A5",x"88", -- 0x0738 x"C5",x"86",x"D0",x"09",x"A5",x"89",x"C5",x"87", -- 0x0740 x"D0",x"03",x"4C",x"F1",x"A0",x"A9",x"92",x"85", -- 0x0748 x"84",x"A9",x"A7",x"85",x"85",x"A0",x"00",x"B1", -- 0x0750 x"84",x"C8",x"C5",x"88",x"D0",x"12",x"B1",x"84", -- 0x0758 x"C5",x"89",x"D0",x"0C",x"C8",x"B1",x"84",x"F0", -- 0x0760 x"06",x"20",x"F4",x"FF",x"C8",x"D0",x"F6",x"60", -- 0x0768 x"B1",x"84",x"88",x"31",x"84",x"C8",x"C8",x"C9", -- 0x0770 x"FF",x"F0",x"EA",x"B1",x"84",x"F0",x"03",x"C8", -- 0x0778 x"D0",x"F9",x"C8",x"98",x"18",x"65",x"84",x"85", -- 0x0780 x"84",x"A9",x"00",x"A8",x"65",x"85",x"85",x"85", -- 0x0788 x"D0",x"C5",x"7D",x"D6",x"41",x"42",x"41",x"53", -- 0x0790 x"49",x"43",x"20",x"4F",x"52",x"49",x"47",x"00", -- 0x0798 x"A1",x"AA",x"41",x"46",x"4C",x"4F",x"41",x"54", -- 0x07A0 x"20",x"4F",x"52",x"49",x"47",x"00",x"86",x"E3", -- 0x07A8 x"41",x"4B",x"45",x"52",x"4E",x"45",x"4C",x"20", -- 0x07B0 x"4F",x"52",x"49",x"47",x"00",x"45",x"4C",x"41", -- 0x07B8 x"44",x"4F",x"53",x"20",x"4F",x"52",x"49",x"47", -- 0x07C0 x"00",x"F6",x"14",x"42",x"42",x"43",x"20",x"42", -- 0x07C8 x"41",x"53",x"49",x"43",x"20",x"31",x"2F",x"34", -- 0x07D0 x"00",x"55",x"E6",x"42",x"42",x"43",x"20",x"42", -- 0x07D8 x"41",x"53",x"49",x"43",x"20",x"32",x"2F",x"34", -- 0x07E0 x"00",x"5C",x"DA",x"42",x"42",x"43",x"20",x"42", -- 0x07E8 x"41",x"53",x"49",x"43",x"20",x"33",x"2F",x"34", -- 0x07F0 x"00",x"1F",x"2B",x"42",x"42",x"43",x"20",x"42", -- 0x07F8 x"41",x"53",x"49",x"43",x"20",x"34",x"2F",x"34", -- 0x0800 x"00",x"58",x"49",x"41",x"46",x"4C",x"4F",x"41", -- 0x0808 x"54",x"20",x"50",x"41",x"54",x"43",x"48",x"45", -- 0x0810 x"44",x"00",x"B9",x"F0",x"41",x"4B",x"45",x"52", -- 0x0818 x"4E",x"45",x"4C",x"20",x"50",x"41",x"54",x"43", -- 0x0820 x"48",x"45",x"44",x"00",x"2A",x"98",x"41",x"54", -- 0x0828 x"4F",x"4D",x"4D",x"43",x"32",x"20",x"41",x"56", -- 0x0830 x"52",x"20",x"56",x"32",x"2E",x"39",x"35",x"00", -- 0x0838 x"49",x"B4",x"53",x"44",x"44",x"4F",x"53",x"20", -- 0x0840 x"41",x"56",x"52",x"20",x"56",x"33",x"2E",x"32", -- 0x0848 x"35",x"00",x"19",x"BE",x"47",x"41",x"47",x"53", -- 0x0850 x"20",x"56",x"32",x"2E",x"33",x"00",x"B4",x"EA", -- 0x0858 x"42",x"42",x"43",x"20",x"4D",x"4F",x"53",x"20", -- 0x0860 x"48",x"4F",x"47",x"4C",x"45",x"54",x"20",x"56", -- 0x0868 x"33",x"00",x"17",x"57",x"53",x"44",x"44",x"4F", -- 0x0870 x"53",x"20",x"56",x"33",x"2E",x"32",x"35",x"00", -- 0x0878 x"9A",x"4B",x"41",x"43",x"4F",x"52",x"4E",x"20", -- 0x0880 x"43",x"41",x"4C",x"43",x"00",x"16",x"5B",x"41", -- 0x0888 x"43",x"4F",x"52",x"4E",x"20",x"43",x"41",x"4C", -- 0x0890 x"43",x"00",x"C5",x"B1",x"41",x"43",x"4F",x"52", -- 0x0898 x"4E",x"20",x"43",x"41",x"4C",x"43",x"00",x"E2", -- 0x08A0 x"F4",x"41",x"43",x"4F",x"52",x"4E",x"20",x"43", -- 0x08A8 x"41",x"4C",x"43",x"00",x"F5",x"49",x"41",x"43", -- 0x08B0 x"4F",x"52",x"4E",x"20",x"44",x"45",x"4D",x"4F", -- 0x08B8 x"00",x"F1",x"B0",x"41",x"43",x"4F",x"52",x"4E", -- 0x08C0 x"20",x"45",x"44",x"36",x"34",x"00",x"FA",x"CE", -- 0x08C8 x"41",x"43",x"4F",x"52",x"4E",x"20",x"45",x"44", -- 0x08D0 x"38",x"30",x"00",x"26",x"B3",x"41",x"43",x"4F", -- 0x08D8 x"52",x"4E",x"20",x"57",x"4F",x"52",x"44",x"00", -- 0x08E0 x"43",x"92",x"41",x"45",x"4B",x"20",x"4D",x"4F", -- 0x08E8 x"4E",x"49",x"54",x"4F",x"52",x"00",x"01",x"12", -- 0x08F0 x"41",x"45",x"4B",x"20",x"53",x"43",x"52",x"45", -- 0x08F8 x"45",x"4E",x"00",x"E9",x"F3",x"41",x"45",x"4B", -- 0x0900 x"20",x"53",x"43",x"52",x"45",x"45",x"4E",x"00", -- 0x0908 x"61",x"72",x"41",x"26",x"46",x"20",x"55",x"54", -- 0x0910 x"49",x"4C",x"49",x"54",x"59",x"00",x"FB",x"E1", -- 0x0918 x"41",x"43",x"45",x"20",x"56",x"32",x"2E",x"30", -- 0x0920 x"00",x"BE",x"97",x"41",x"43",x"45",x"20",x"56", -- 0x0928 x"32",x"2E",x"32",x"00",x"BB",x"2B",x"41",x"43", -- 0x0930 x"45",x"20",x"56",x"33",x"2E",x"30",x"00",x"34", -- 0x0938 x"42",x"41",x"54",x"4F",x"4D",x"49",x"43",x"20", -- 0x0940 x"57",x"49",x"4E",x"44",x"4F",x"57",x"53",x"20", -- 0x0948 x"56",x"31",x"2E",x"31",x"00",x"81",x"7D",x"41", -- 0x0950 x"54",x"4F",x"4D",x"49",x"43",x"20",x"57",x"49", -- 0x0958 x"4E",x"44",x"4F",x"57",x"53",x"20",x"56",x"31", -- 0x0960 x"2E",x"32",x"42",x"44",x"00",x"EB",x"CC",x"41", -- 0x0968 x"58",x"52",x"31",x"00",x"D4",x"54",x"43",x"4F", -- 0x0970 x"4D",x"42",x"4F",x"58",x"00",x"F9",x"B2",x"44", -- 0x0978 x"49",x"53",x"4B",x"52",x"4F",x"4D",x"00",x"3B", -- 0x0980 x"1B",x"44",x"49",x"53",x"4B",x"52",x"4F",x"4D", -- 0x0988 x"00",x"78",x"CB",x"44",x"49",x"53",x"4B",x"52", -- 0x0990 x"4F",x"4D",x"00",x"4C",x"9F",x"47",x"41",x"47", -- 0x0998 x"53",x"20",x"56",x"32",x"2E",x"34",x"00",x"06", -- 0x09A0 x"62",x"4A",x"4F",x"53",x"42",x"4F",x"58",x"00", -- 0x09A8 x"90",x"6D",x"4D",x"4F",x"55",x"53",x"45",x"42", -- 0x09B0 x"4F",x"58",x"20",x"56",x"31",x"2E",x"30",x"31", -- 0x09B8 x"00",x"6B",x"C1",x"50",x"43",x"48",x"41",x"52", -- 0x09C0 x"4D",x"45",x"20",x"56",x"31",x"2E",x"37",x"33", -- 0x09C8 x"00",x"E6",x"46",x"52",x"58",x"42",x"4F",x"58", -- 0x09D0 x"20",x"56",x"31",x"2E",x"31",x"00",x"75",x"9F", -- 0x09D8 x"53",x"41",x"4C",x"46",x"41",x"41",x"20",x"56", -- 0x09E0 x"32",x"2E",x"36",x"00",x"26",x"5F",x"53",x"44", -- 0x09E8 x"52",x"4F",x"4D",x"20",x"56",x"32",x"2E",x"33", -- 0x09F0 x"00",x"E2",x"CE",x"53",x"44",x"52",x"4F",x"4D", -- 0x09F8 x"20",x"56",x"33",x"2E",x"32",x"34",x"00",x"BD", -- 0x0A00 x"B1",x"50",x"50",x"20",x"53",x"55",x"50",x"45", -- 0x0A08 x"52",x"42",x"41",x"53",x"49",x"43",x"00",x"0E", -- 0x0A10 x"66",x"50",x"50",x"20",x"54",x"4F",x"4F",x"4C", -- 0x0A18 x"42",x"4F",x"58",x"00",x"9E",x"6C",x"50",x"50", -- 0x0A20 x"20",x"54",x"4F",x"4F",x"4C",x"42",x"4F",x"58", -- 0x0A28 x"00",x"49",x"70",x"50",x"52",x"4F",x"43",x"59", -- 0x0A30 x"4F",x"4E",x"20",x"44",x"49",x"53",x"41",x"54", -- 0x0A38 x"4F",x"4D",x"00",x"1E",x"85",x"50",x"52",x"4F", -- 0x0A40 x"43",x"59",x"4F",x"4E",x"20",x"44",x"49",x"53", -- 0x0A48 x"41",x"54",x"4F",x"4D",x"00",x"60",x"01",x"50", -- 0x0A50 x"53",x"49",x"4F",x"4E",x"20",x"44",x"45",x"42", -- 0x0A58 x"55",x"47",x"00",x"9F",x"12",x"50",x"53",x"49", -- 0x0A60 x"4F",x"4E",x"20",x"45",x"44",x"49",x"54",x"00", -- 0x0A68 x"45",x"5B",x"50",x"53",x"49",x"4F",x"4E",x"20", -- 0x0A70 x"45",x"44",x"49",x"54",x"00",x"A1",x"5B",x"50", -- 0x0A78 x"53",x"49",x"4F",x"4E",x"20",x"45",x"44",x"49", -- 0x0A80 x"54",x"00",x"99",x"4B",x"52",x"4F",x"53",x"53", -- 0x0A88 x"20",x"55",x"54",x"49",x"4C",x"49",x"54",x"59", -- 0x0A90 x"00",x"45",x"F5",x"57",x"41",x"54",x"46",x"4F", -- 0x0A98 x"52",x"44",x"20",x"57",x"45",x"52",x"4F",x"4D", -- 0x0AA0 x"00",x"15",x"F3",x"57",x"49",x"4C",x"4C",x"4F", -- 0x0AA8 x"57",x"20",x"55",x"54",x"49",x"4C",x"49",x"54", -- 0x0AB0 x"59",x"20",x"56",x"31",x"2E",x"31",x"00",x"FF", -- 0x0AB8 x"FF",x"55",x"4E",x"4B",x"4E",x"4F",x"57",x"4E", -- 0x0AC0 x"00",x"A9",x"E6",x"8D",x"08",x"02",x"A9",x"AB", -- 0x0AC8 x"8D",x"09",x"02",x"A9",x"FA",x"8D",x"0A",x"02", -- 0x0AD0 x"A9",x"AB",x"8D",x"0B",x"02",x"A9",x"80",x"8D", -- 0x0AD8 x"E0",x"BD",x"A9",x"0C",x"4C",x"F4",x"FF",x"C9", -- 0x0AE0 x"06",x"F0",x"1D",x"C9",x"15",x"F0",x"1C",x"A4", -- 0x0AE8 x"E0",x"30",x"1B",x"C9",x"1B",x"F0",x"11",x"C9", -- 0x0AF0 x"07",x"F0",x"14",x"20",x"2D",x"AB",x"A2",x"0A", -- 0x0AF8 x"20",x"2C",x"AC",x"D0",x"0D",x"4C",x"1D",x"AC", -- 0x0B00 x"4C",x"0B",x"FD",x"4C",x"11",x"FD",x"60",x"4C", -- 0x0B08 x"1A",x"FD",x"C9",x"20",x"90",x"17",x"69",x"1F", -- 0x0B10 x"30",x"02",x"49",x"60",x"20",x"6B",x"FE",x"91", -- 0x0B18 x"DE",x"C8",x"C0",x"50",x"90",x"05",x"20",x"7D", -- 0x0B20 x"AB",x"A0",x"00",x"84",x"E0",x"4C",x"44",x"FD", -- 0x0B28 x"20",x"C9",x"AB",x"A9",x"20",x"20",x"6B",x"FE", -- 0x0B30 x"91",x"DE",x"10",x"EF",x"20",x"C9",x"AB",x"4C", -- 0x0B38 x"2B",x"AB",x"20",x"7D",x"AB",x"A4",x"E0",x"10", -- 0x0B40 x"E2",x"A0",x"80",x"84",x"E1",x"A0",x"00",x"8C", -- 0x0B48 x"00",x"B0",x"A9",x"20",x"99",x"00",x"80",x"99", -- 0x0B50 x"00",x"81",x"20",x"7A",x"AC",x"C8",x"D0",x"F4", -- 0x0B58 x"4C",x"7D",x"FD",x"20",x"CE",x"AB",x"4C",x"2B", -- 0x0B60 x"AB",x"18",x"A9",x"28",x"85",x"E6",x"4C",x"92", -- 0x0B68 x"FD",x"29",x"05",x"2E",x"01",x"B0",x"2A",x"20", -- 0x0B70 x"E7",x"AA",x"4C",x"00",x"AC",x"A5",x"DE",x"A4", -- 0x0B78 x"DF",x"C0",x"8C",x"90",x"3B",x"C9",x"30",x"90", -- 0x0B80 x"37",x"A4",x"E6",x"30",x"0C",x"88",x"D0",x"07", -- 0x0B88 x"20",x"71",x"FE",x"B0",x"FB",x"A0",x"28",x"84", -- 0x0B90 x"E6",x"A0",x"50",x"20",x"66",x"FE",x"B9",x"00", -- 0x0B98 x"80",x"99",x"B0",x"7F",x"C8",x"D0",x"F7",x"20", -- 0x0BA0 x"6B",x"FE",x"B9",x"00",x"81",x"99",x"B0",x"80", -- 0x0BA8 x"C8",x"D0",x"F7",x"20",x"9C",x"AC",x"A0",x"4F", -- 0x0BB0 x"A9",x"20",x"91",x"DE",x"88",x"10",x"FB",x"60", -- 0x0BB8 x"69",x"50",x"85",x"DE",x"90",x"02",x"E6",x"DF", -- 0x0BC0 x"60",x"88",x"10",x"19",x"A0",x"4F",x"A5",x"DE", -- 0x0BC8 x"D0",x"0B",x"A6",x"DF",x"E0",x"80",x"D0",x"05", -- 0x0BD0 x"68",x"68",x"4C",x"45",x"AB",x"E9",x"50",x"85", -- 0x0BD8 x"DE",x"B0",x"02",x"C6",x"DF",x"60",x"20",x"FB", -- 0x0BE0 x"FE",x"08",x"48",x"D8",x"84",x"E5",x"86",x"E4", -- 0x0BE8 x"20",x"E7",x"AA",x"68",x"A6",x"E4",x"A4",x"E5", -- 0x0BF0 x"28",x"60",x"08",x"D8",x"86",x"E4",x"84",x"E5", -- 0x0BF8 x"2C",x"02",x"B0",x"50",x"05",x"20",x"71",x"FE", -- 0x0C00 x"90",x"F6",x"20",x"8A",x"FB",x"20",x"71",x"FE", -- 0x0C08 x"B0",x"FB",x"20",x"71",x"FE",x"B0",x"F6",x"98", -- 0x0C10 x"A2",x"17",x"20",x"2C",x"AC",x"BD",x"4A",x"AC", -- 0x0C18 x"85",x"E2",x"BD",x"62",x"AC",x"85",x"E3",x"98", -- 0x0C20 x"6C",x"E2",x"00",x"CA",x"DD",x"32",x"AC",x"90", -- 0x0C28 x"FA",x"60",x"00",x"08",x"09",x"0A",x"0B",x"0C", -- 0x0C30 x"0D",x"0E",x"0F",x"1E",x"7F",x"00",x"01",x"05", -- 0x0C38 x"06",x"08",x"0E",x"0F",x"10",x"11",x"1C",x"20", -- 0x0C40 x"21",x"3B",x"2D",x"3C",x"21",x"42",x"63",x"49", -- 0x0C48 x"29",x"69",x"6E",x"60",x"30",x"DF",x"D2",x"9A", -- 0x0C50 x"71",x"E2",x"AE",x"C0",x"DF",x"D8",x"D6",x"C8", -- 0x0C58 x"C6",x"C2",x"AB",x"AB",x"AB",x"AB",x"AB",x"AB", -- 0x0C60 x"AB",x"AB",x"AB",x"AB",x"AB",x"FD",x"FD",x"FD", -- 0x0C68 x"AB",x"FD",x"FD",x"FD",x"FD",x"FD",x"FD",x"FD", -- 0x0C70 x"FD",x"FD",x"99",x"00",x"82",x"99",x"00",x"83", -- 0x0C78 x"99",x"00",x"84",x"99",x"00",x"85",x"99",x"00", -- 0x0C80 x"86",x"99",x"00",x"87",x"99",x"00",x"88",x"99", -- 0x0C88 x"00",x"89",x"99",x"00",x"8A",x"99",x"00",x"8B", -- 0x0C90 x"99",x"00",x"8C",x"60",x"B9",x"00",x"82",x"99", -- 0x0C98 x"B0",x"81",x"C8",x"D0",x"F7",x"B9",x"00",x"83", -- 0x0CA0 x"99",x"B0",x"82",x"C8",x"D0",x"F7",x"B9",x"00", -- 0x0CA8 x"84",x"99",x"B0",x"83",x"C8",x"D0",x"F7",x"B9", -- 0x0CB0 x"00",x"85",x"99",x"B0",x"84",x"C8",x"D0",x"F7", -- 0x0CB8 x"B9",x"00",x"86",x"99",x"B0",x"85",x"C8",x"D0", -- 0x0CC0 x"F7",x"B9",x"00",x"87",x"99",x"B0",x"86",x"C8", -- 0x0CC8 x"D0",x"F7",x"B9",x"00",x"88",x"99",x"B0",x"87", -- 0x0CD0 x"C8",x"D0",x"F7",x"B9",x"00",x"89",x"99",x"B0", -- 0x0CD8 x"88",x"C8",x"D0",x"F7",x"B9",x"00",x"8A",x"99", -- 0x0CE0 x"B0",x"89",x"C8",x"D0",x"F7",x"B9",x"00",x"8B", -- 0x0CE8 x"99",x"B0",x"8A",x"C8",x"D0",x"F7",x"B9",x"00", -- 0x0CF0 x"8C",x"99",x"B0",x"8B",x"C8",x"D0",x"F7",x"60", -- 0x0CF8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D00 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D08 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D10 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D18 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D20 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D28 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D30 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D38 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D40 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D48 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D50 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D58 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D60 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D68 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D70 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D78 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D80 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D88 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D90 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0D98 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DA0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DA8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DB0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DB8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DC0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DC8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DD0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DD8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DE0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DE8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DF0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0DF8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E00 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E08 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E10 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E18 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E20 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E28 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E30 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E38 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E40 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E48 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E50 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E58 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E60 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E68 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E70 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E78 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E80 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E88 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E90 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0E98 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EA0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EA8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EB0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EB8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EC0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EC8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0ED0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0ED8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EE0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EE8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EF0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0EF8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F00 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F08 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F10 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F18 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F20 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F28 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F30 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F38 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F40 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F48 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F50 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F58 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F60 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F68 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F70 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F78 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F80 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F88 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F90 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0F98 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FA0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FA8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FB0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FB8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FC0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FC8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FD0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FD8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FE0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FE8 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF", -- 0x0FF0 x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"84",x"A3" -- 0x0FF8 ); begin p_rom : process begin wait until rising_edge(CLK); DATA <= ROM(to_integer(unsigned(ADDR))); end process; end RTL;
apache-2.0
wsoltys/AtomFpga
src/T6502/T65_Pack.vhd
1
4662
-- **** -- T65(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 Bugfixes by ehenciak added -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- 65xx compatible microprocessor core -- -- Version : 0246 -- -- Copyright (c) 2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t65/ -- -- Limitations : -- -- File history : -- library IEEE; use IEEE.std_logic_1164.all; package T65_Pack is constant Flag_C : integer := 0; constant Flag_Z : integer := 1; constant Flag_I : integer := 2; constant Flag_D : integer := 3; constant Flag_B : integer := 4; constant Flag_1 : integer := 5; constant Flag_V : integer := 6; constant Flag_N : integer := 7; component T65_MCode port( Mode : in std_logic_vector(1 downto 0); -- "00" => 6502, "01" => 65C02, "10" => 65816 IR : in std_logic_vector(7 downto 0); MCycle : in std_logic_vector(2 downto 0); P : in std_logic_vector(7 downto 0); LCycle : out std_logic_vector(2 downto 0); ALU_Op : out std_logic_vector(3 downto 0); Set_BusA_To : out std_logic_vector(2 downto 0); -- DI,A,X,Y,S,P Set_Addr_To : out std_logic_vector(1 downto 0); -- PC Adder,S,AD,BA Write_Data : out std_logic_vector(2 downto 0); -- DL,A,X,Y,S,P,PCL,PCH Jump : out std_logic_vector(1 downto 0); -- PC,++,DIDL,Rel BAAdd : out std_logic_vector(1 downto 0); -- None,DB Inc,BA Add,BA Adj BreakAtNA : out std_logic; ADAdd : out std_logic; AddY : out std_logic; PCAdd : out std_logic; Inc_S : out std_logic; Dec_S : out std_logic; LDA : out std_logic; LDP : out std_logic; LDX : out std_logic; LDY : out std_logic; LDS : out std_logic; LDDI : out std_logic; LDALU : out std_logic; LDAD : out std_logic; LDBAL : out std_logic; LDBAH : out std_logic; SaveP : out std_logic; Write : out std_logic ); end component; component T65_ALU port( Mode : in std_logic_vector(1 downto 0); -- "00" => 6502, "01" => 65C02, "10" => 65C816 Op : in std_logic_vector(3 downto 0); BusA : in std_logic_vector(7 downto 0); BusB : in std_logic_vector(7 downto 0); P_In : in std_logic_vector(7 downto 0); P_Out : out std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0) ); end component; end;
apache-2.0
its2mc/PCFG
pcfg/m7bitRegister.vhd
1
1065
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:02:47 11/21/2016 -- Design Name: -- Module Name: 7bitRegister - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity 7bitRegister is Port ( input : in STD_LOGIC_VECTOR (7 downto 0); load_cs : in STD_LOGIC; output : in STD_LOGIC_VECTOR (7 downto 0)); end 7bitRegister; architecture Behavioral of 7bitRegister is begin end Behavioral;
apache-2.0