repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
luebbers/reconos
demos/demo_multibus_ethernet/hw/hwthreads/third/fifo/src/vhdl/BRAM/BRAM_fifo_pkg.vhd
1
14650
------------------------------------------------------------------------------- -- -- Module : BRAM_fifo_pkg.vhd -- -- Version : 1.2 -- -- Last Update : 2005-06-29 -- -- Project : Parameterizable LocalLink FIFO -- -- Description : Package of Block SelectRAM FIFO components -- -- Designer : Wen Ying Wei, Davy Huang -- -- Company : Xilinx, Inc. -- -- Disclaimer : XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2005 Xilinx, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package BRAM_fifo_pkg is component BRAM_fifo generic ( BRAM_MACRO_NUM: integer := 1; WR_DWIDTH: integer := 32; RD_DWIDTH: integer := 32; RD_REM_WIDTH: integer:=2; WR_REM_WIDTH: integer:=2; USE_LENGTH: boolean := false; glbtm: time:=2 ns ); port ( -- Reset fifo_gsr_in: in std_logic; -- clocks write_clock_in: in std_logic; read_clock_in: in std_logic; -- signals tranceiving from User Application using standardized -- specification for FifO interface read_data_out: out std_logic_vector(RD_DWIDTH-1 downto 0); read_rem_out: out std_logic_vector(RD_REM_WIDTH-1 downto 0); read_sof_out_n: out std_logic; read_eof_out_n: out std_logic; read_enable_in: in std_logic; -- signals trasceiving from Aurora write_data_in: in std_logic_vector(WR_DWIDTH-1 downto 0); write_rem_in: in std_logic_vector(WR_REM_WIDTH-1 downto 0); write_sof_in_n: in std_logic; write_eof_in_n: in std_logic; write_enable_in: in std_logic; -- FifO status signals fifostatus_out: out std_logic_vector(3 downto 0); full_out: out std_logic; empty_out: out std_logic; data_valid_out: out std_logic; len_out: out std_logic_vector(15 downto 0); len_rdy_out: out std_logic; len_err_out: out std_logic); end component; component BRAM_macro is generic ( BRAM_MACRO_NUM : integer := 1; --Number of BRAM Blocks. --Allowed: 1, 2, 4, 8, 16 WR_DWIDTH : integer := 32; --FIFO write data width. --Allowed: 8, 16, 32, 64 RD_DWIDTH : integer := 32; --FIFO read data width. --Allowed: 8, 16, 32, 64 WR_REM_WIDTH : integer := 2; --log2(WR_DWIDTH/8) RD_REM_WIDTH : integer := 2; --log2(RD_DWIDTH/8) RD_PAD_WIDTH : integer := 1; RD_ADDR_FULL_WIDTH: integer := 10; RD_ADDR_WIDTH : integer := 9; ADDR_MINOR_WIDTH: integer := 1; WR_PAD_WIDTH : integer := 1; WR_ADDR_FULL_WIDTH: integer := 10; WR_ADDR_WIDTH : integer := 9; glbtm : time := 1 ns ); port ( -- Reset fifo_gsr: in std_logic; -- clocks wr_clk: in std_logic; rd_clk: in std_logic; rd_allow: in std_logic; rd_allow_minor: in std_logic; rd_addr_full: in std_logic_vector(RD_PAD_WIDTH+RD_ADDR_FULL_WIDTH-1 downto 0); rd_addr_minor: in std_logic_vector(ADDR_MINOR_WIDTH-1 downto 0); rd_addr: in std_logic_vector(RD_PAD_WIDTH + RD_ADDR_WIDTH -1 downto 0); rd_data: out std_logic_vector(RD_DWIDTH -1 downto 0); rd_rem: out std_logic_vector(RD_REM_WIDTH-1 downto 0); rd_sof_n: out std_logic; rd_eof_n: out std_logic; wr_allow: in std_logic; wr_allow_minor: in std_logic; wr_addr: in std_logic_vector(WR_PAD_WIDTH + WR_ADDR_WIDTH-1 downto 0); wr_addr_minor: in std_logic_vector(ADDR_MINOR_WIDTH-1 downto 0); wr_addr_full: in std_logic_vector(WR_PAD_WIDTH + WR_ADDR_FULL_WIDTH-1 downto 0); wr_data: in std_logic_vector(WR_DWIDTH-1 downto 0); wr_rem: in std_logic_vector(WR_REM_WIDTH-1 downto 0); wr_sof_n: in std_logic; wr_eof_n: in std_logic ); end component; component BRAM_S8_S72 port (ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (63 downto 0); DIPB : in std_logic_vector (7 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (63 downto 0); DOPB : out std_logic_vector(7 downto 0)); end component; component BRAM_S18_S72 port (ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (15 downto 0); DIPA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (63 downto 0); DIPB : in std_logic_vector (7 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (15 downto 0); DOPA : out std_logic_vector(1 downto 0); DOB : out std_logic_vector (63 downto 0); DOPB : out std_logic_vector(7 downto 0)); end component; component BRAM_S36_S72 port (ADDRA : in std_logic_vector (9 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (31 downto 0); DIPA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (63 downto 0); DIPB : in std_logic_vector (7 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (31 downto 0); DOPA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (63 downto 0); DOPB : out std_logic_vector(7 downto 0)); end component; component BRAM_S72_S72 port (ADDRA : in std_logic_vector (8 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (63 downto 0); DIPA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (63 downto 0); DIPB : in std_logic_vector (7 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (63 downto 0); DOPA : out std_logic_vector(7 downto 0); DOB : out std_logic_vector (63 downto 0); DOPB : out std_logic_vector(7 downto 0)); end component; component BRAM_S8_S144 port (ADDRA : in std_logic_vector (12 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (127 downto 0); DIPB : in std_logic_vector (15 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (127 downto 0); DOPB : out std_logic_vector(15 downto 0)); end component; component BRAM_S16_S144 port (ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (15 downto 0); DIB : in std_logic_vector (127 downto 0); DIPB : in std_logic_vector (15 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (15 downto 0); DOB : out std_logic_vector (127 downto 0); DOPB : out std_logic_vector(15 downto 0)); end component; component BRAM_S36_S144 port (ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (31 downto 0); DIPA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (127 downto 0); DIPB : in std_logic_vector (15 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (31 downto 0); DOPA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (127 downto 0); DOPB : out std_logic_vector(15 downto 0)); end component; component BRAM_S72_S144 port (ADDRA : in std_logic_vector (9 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (63 downto 0); DIPA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (127 downto 0); DIPB : in std_logic_vector (15 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (63 downto 0); DOPA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (127 downto 0); DOPB : out std_logic_vector(15 downto 0)); end component; component BRAM_S144_S144 port (ADDRA : in std_logic_vector (8 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (127 downto 0); DIPA : in std_logic_vector (15 downto 0); DIB : in std_logic_vector (127 downto 0); DIPB : in std_logic_vector (15 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (127 downto 0); DOPA : out std_logic_vector(15 downto 0); DOB : out std_logic_vector (127 downto 0); DOPB : out std_logic_vector(15 downto 0)); end component; end BRAM_fifo_pkg;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/c_sub/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
8
142019
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GUjnhBgeWXdOziiQ+ACknTxeU35hzdItqCGle0eC3CenFCukozfJ2w9acpKcxhjL6RlCXX8G5IKn JLhnACYC5g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gVtEDGF1udWd6JkoYIofD5l9pYxjiQ/FO8sJ7R1T+faPadEdec08hzbBgWdqFjvF5GuWPdAVngOj JsMk9qsspp832tVkfx2l5x/twqOK7NFq5U8pUNP0NcAUzjLCMh/XTaMVdhD5VtOAibTCCzKXV8ZD CTo7hvo3b1Rq4ObRB5Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eKUyUTGBuqWfUiIewJoJbPhsnmcfF0S0/WUaSTYNoyjjDB5kZTcyIxxNU5aH0LskAkHXqxiXOR7a M3YRKRaiTs3FmYwgHYUKaykaehaEYlOyDDG8r4pfTPTLvo7OGHTLC32eavoZ+Pz2mxxlBn665x8v MmKrtzh6xCItIz21lgshQuVFmPMLuUvzJGn0mxyJqdM71k0wvA+3jEVuBrINA1kHBrS0Ng5Z048q 4Vyg6Xpyf9H4FRSp+lhsFGiZ4JrdO2VY07zGuRrpiVP2V+luB8OyMPABoceXhOHzqnUYwXioEJwq aOdtKPE6gf8YOSeiFXVDBnwv3+NTo4A3Z4TqOQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZtiB8n4IDvryOABfeJhkG2Y5Kv5/DNQ1hFLBc0hxPZ2RU5K1BcUUzBHbkZmI9xBmoHg3XQ4VZRTM UH43zlleB8fCTF4AvDK1M20+1+udoHOaNZ97kIW3ZWkHCxnY3svdjRYbFdjdthVIia0HOb4fr5O4 Tkh+qghTtTSMEl7TBQE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a8naUH4E1I9R5PanKUsVucjtuoQsAe7E/EHxGYvTfuHIsxA3AWZMHmGpGJf5LlNmRkbTByKtIdxC o4hTHN0Q0RhZbnCwzkY4xHLZuSTYYX92+R0Xpa5BTnPsmAfTE5acpg2FhrcryvIxGLvvILCAXgvx z68KkgrEgMcQ/IUwr5W+wSN4s7A/zg9xd5crA5KyRN440YE9aDQfT/ni9PojjBLsScwcjp3Z4nyi gGWyc1mETMV8zqMQpzwGCctJELTaFQI7TqqW3S5ho8uuamU7In7g29dkxpoI3SCTWXiF62KYw99i WHB0hmlqhYtW/dStl+N2d2Uacc9nsvRwjeY0JQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103392) `protect data_block 9MaP2GxuwIwwIbKUSMq0CNCjt8iYXnnyoA10YIKvSCOPJsjCD5EJ7Zf+ZP/n5cRWlnZ/AVOvYa2I 1rF8fpNFCeIqtcc5qqtaRz1/3g0IC+60qNC1J5zKSB6oGhNMyWql2/sGBGIKCsOcoZraAac7LyX1 eWh48AF6XyFM+xfFlVv4tAK0NEBVC3Bqo2pXjAxwbjVh2U1Lgsrn3auPqP2m2EQ+w2+wcVDg0Ieg s90S/4o4gDwtI8/v5Jjh/LtEZK/PIOLmUXlZIaBStgNpHxEHubHBr1fx5kKVG+HS30YwEvbIgNpk +rr5LgtNMTo/oRrVl0M6iDo4ORA7abHZrr65SZGb9OJGktePNkeYQi+57rfe1QITWpd2TMGvIyfW A0Jxv5RSab7grMIlo4/r1bA7EmH9katbUzivpQgX9MLSr8YCIdGyO0XVG8ksnHchEfYebO6l/uy4 hLUwd8nNtw9p36M61GatgBD1f9Ufm9lg6zR/mXq5EWhhWf4Xoh4z5ojyvjB4TevxGsYGFoczegeQ qM9uTvnWMCTvRkRPWa5zbNoLCNfb1Yi+yceqfeAog/vxZTj3tTbRofGBq9xzVwr4JDAK1sZ881wN wakPpmFkgo1Ehb9bPr2ZMRl3ZJQj7Aj8VhEDgoL7GkmauJbgzAp2eLz15nW7ERWumUnJTEGaXWXN +GWod2+OuVkrBW/YMMmOBy8LzS1oH+fg5Agx+s5b7XKy4DI8IeyMKctj2/yA1S6sl+i3U6g9SrTe U92fzzfPlcP2DBhmI9CPVDXZ4fBQi4JLY2qnu04CZJbk9TpsBUdTIanP5HELrLbFa5jZ0F8XwFzd vIqPNKO0/S6uAygKJ8KDlUKF3Zxh4ayXUg2RsTjqQqLxqtU6FV3Q+7BK0FO0P53FBYQIGc/r4sBx v92WHceKoY2kRCjttYGFHYyICUEV5XKUVyJ99fRBQTsa2uoERdnUh13uBP75L0X8pCfjNWdEK22Z u5BH1PxG+Q5c9TNoODMvSYIjZ0C6Dsg+42hn39OrjDPAgb03MXW5PAMz1gfA4GwFSl0ATCRueDzP 9zGGAfLtt1sOec5DttZE2i7LSLQ4YsyqPwqSdGbqRlLMk4f0RGI3cdFlo9eBNrTLJnhKiFWIFMWq kHCeem90/FvIXPdKhR4/WxxJylq1wcHTwfhH40VxemGGnePX4p9DsN9W0dpy0khU24YpU0nWtdog iQDkodtzpiyPGHzxe3V1kD5/Pu9I8VfG4v2Ul+MHOsttb2XK4UUp4kzFFcMDBy2CScpeErJKKTko DAE1f5nUveG9+EQtMpnMmbjqz9b0gX3NZm/BDN1v3fhXjgptwh5YlH0XGWPdm1RQ/r/RpOiQt0sw o8eTWFn21tPEPglfr1WOwLH53RvAreCDxXfLqL7j4z8wHhiCIjafo+hW3iNFna67+RVEV0A+Pwca TzPJoIEohC+1udMj/SYrgNweQrpD1QaHOluCBBk46y0/uS2FOeN6SdQrJJ4bdAjsypZp7vc0iKb7 i8FI7pCCkfn19LPB1jhuy09gPr6M/1y1PFw9HUj4lG9I53aGKd+yEoT1kD5kRBbsGm3PEkrDkC4o N4HCGtbH6ANpFD4Jf0NYhke42Qzqz0+E7y+2ZFyz5MTS/73Ku880qyQq0D4i0AdMuAIdEjrX3voF huh0DIbGbX27NzGGSagqo76fqI0tgNj/Ho+gmxaIFbWqJFvtInMza4Li6bSSKUQ8/Ws8ed4hEnXy I2ur91m696eYO9ge03/fzPjye4/iRodBQkhvu8WwV8loadR67utTExbWzpbaIAqhtdUbWMOESlBo uxMDntwaV74An/+zmQEwnCHB3iBwmA9OqMnaN7XuKGF2h5STWNiZNIjJ5w8JEl0bcjZAkoX5uwB5 yBhhUeM4gJ2pK0Eu3pr/tsowrMTDdHPluBAAiowvYo4MZrjTZUhiiESsiT/EgC5WjLwu1DprvhCV 6bxF8jLLpwvj1fXun/xHEuKhYHbMDRVxvp28XiNSQa8hHmoqXEmwDSDbIYplg62i5QtqFd9V1gbS X51FKg9LWleqzgy+j/xVDIkJjoOSXOIcAAM2ZYczJbyMXdpx5hX308mwgoitAxnuaM9tt4wWlYGU NmpoeEEYVIiXLSgzN64T+F/194OWEH7DyXCn5vjk0PtwFdfwtI3mgwgpWVQo1O34ELUQ9iIY3aO8 vGS9Gjqu175CmcIFAXPmD4WsppTFyMAqUezYyEqYmGiEJkUTR2b8Qx7d0JFKTZXcBtvbiVHz2dun YJyNeiOAweeMeEAq8dpX1nzZSQLW9Z7yVeOeNxUwMCoLiUaf0zO+HXkvgE4AzOdsklWBKQh45eWr 67Wtt2lC60es2leJT3rQdPbsms4QB2+0vny0X3Wj7Vo+EqqQ4M4J07ECV839NvpxS+c2pvLEvomp 2aLT6nnRACbDqdg64BaMD9A6bsWYhFuzY1ApdanBBbfSLB5pEVEuERxF4NsluEqzvdvVQeawY7zm p19K51DT2RnaY4IwgIKjKGz76U0FH9haywIEcgUKsR+4eC5VOdPYYT/KL87LgmjTX9M9cVQzDTUY bMyP3S2HaYJF3nelBtlj+B75sp7WqJgWajn3oU1AMXwXix9bKVLKZ0NwwdHZzF4ws3whm40w2j2x ohEd+mEUUFMZ1xPksh5cLY1oWziqY152NtMpoTR+Fid3y//Dt07xUjr8sWZzEPaYyuGJfvb6fFWj fy/nJWzxAIBHlCidauzT6pl7a/fJpkASkBWBSFUo/FTExAwaoDh+gaCBCqdJWBtqFaolnxI/6sMs lDfezUjQ80h+xqaJyA96zGl+mnET8UC4I294wbpocB98iLOTJnjl4n2fdgjmTVz1bTy59XlOjFyE IBWsa22s9GqfReE5jrbC62o3iztFSxA4h93iUzx0NMgKrjUQ0TCJJ5AOUgfB2eUG3AxsPSrjtoGv Ux+P6LJuIyaotDdwFxurl+o1o9lQtPsSrGKSgeE2Ng2ooXjUZeyIGfHFEQJvQ7YSzf76DmSEKRxY yA2hE3idwZOWb1pSsCo4Mq1oOZrsQDhr0DWe6MavkswLx5fYMnRbHL9LQN/YxnTw8bOgb2MBXkkg BeENkpb/CP1UR/O7EWbIBFLq1FfxV3aT0P7aPm/PjBXeBEs7jTIgYI/ruCIaQh9yzo2uFa7mSRJi B74VoNrlvCGAwzq+MXN5dY9LBcYzT1lsPSyatcz8HbBpw1PHyBVIr6Lky98SKxjaQWpuN7QIngc2 nqCBJH3SwzTFHunMcmkJwqdPQ6IbYSN+SHcQvaggS1/hfFB0JM93PBsDoUxN46PhCZrh6tJeMCcc G9Tpk0NXRYX5/1DjIBUKJ/CaPIxF6QUToS3CtJ+HZxtVFb6torN3Jz+29FwFIKH0V+pQJXObQpM5 TEQY6xPNThDq7m8U7XxnDX1TxnUostR31PKdEEA5e6Q0rrHlvmSd7M79enY1+/8vcydIVdKcUJRF Q/BocshdO2tL+DYR1yMzz4IIDwAnXxXEZjbzAHJURMZ4Y00AQV336apx9bwYrz3Fsta82RqjnLje cr7kxOx4ZlPl3a359+FIoi9fGqwlMWUQjKRblYopva5K7u/z7XQ2Cb106r5bHhP+BcFqCMT71YSb IjeM3Vpp1jXLzJyjf1P4/6xbNQiz5D7hREnm6Y3kUdVmG+YXijk+CY5PvIWveJF5c0fdvcW2yR7z CD83/u7avK+RwmsFII2Hl4UnMdJmhYZMQmb1jVgm46QGOl/swcyriLqpJO/r38gTFW6ZBj+IUX/V /jnXVkkXVxmJypZUsjjAf65378OaRxpmlMRQoX+ThcY8WhaavI3oaLxmDeYuR115zot0+4RCw3K4 yVH7rft6+s3DtFuHuFcEVCvfMEJQPlaS8y6h2rPxhvdW/PP0Gchk5n2vzwVGIqBkiwSuiO44TqXs i/1l3UqcNFFuumqh7khjFOJvu5/Ir/5p1eWlS8wBo/QerZMq20l7ybT+UJJyJpmB1ctXGiJlM3Hp ZCSpUvVckoBlWi/UYa94TMk423XU1cIFSMN5DYdRIkVfWHziWig4yY4xxSKHvu1WKAxi56pb6/Th xR+eylcJwVGitBp8/mhQxJ2d+lievL0qXqmx9rhmDCMmVAvIoxFRFXKAz/ziaprOcOJ2zHQk1NTe pRoMBHj6MQtrEnhJ6eLSljDMPaCAz5cm/hAebA/WfEe1YKaJ2HoM0TwiU9xBTn+CNluSdqMFSrPn QGgEToP3vq7ZklmxMmLTiRgfNocHnXVuE6Pc+dh5uDwi4qfMT15fxtHIA97Xctg1/S6wDRGZBx+F ZRl8SwlwYzI9HvKEXUTS+VGubzrS6zOutVm3UwnQyKErt7BTEmBPUQsrpMSGP7LiZS0Ipt3dh/5d Lp0nFP1Jxfi7WJLDOUCf6n0Zoxxz8BAP07ylMr8qKj8pxi6uZVoJouoIJXlVwd+CWRbBLGeWZ7FJ UA3iJ/Tj7RSsQAPNmqI8HQ4xgGVPdyg1OPnQBYVOT/TV/fN3gkerE48J9qya8krD4MvZcXkh2hRZ hIdN0i+r0fHW0LH7xozJURqxMg87cWmj3400hw0JjlD/zy4s9UK0dJ9lpxIMd65OEiyfHQ2botgY fuq9ntoRHl6a7BHUNqZ6XhoColp9AbxG8omEbuh/GHuSr2+8//sotuFcO5zoX2nbpA4BBptx+A1p oOVZkV93/2kjYywySDvt835jjObBX/yY7DfgjPdN2a6jpaJIQHsFUwXnetUijHv+sAouncOitOJW MIN+EIouBvLEeGWMyJE9Pc+Z2KDpl/jcYAb19GnuY29lJyDOvaM+7L/bjcEhzM6SLcJC0Lr29yhG CdlI6d62AvbaK+EMQxRJhrnqazG5j3DWhew7pgRvE1+5RWpXG0wrUUqU+TkAvWJFfwH96eWydBkK 5T2RSbzOOIxs6KU25u+6mnwdkuZO4uICzb8SqX26M8yWn6q2jwUeT/ko6OeFJzjOrfDV+ygS89f3 FoWjZrszCl+cNr8ZzPOM56LKy0LL8guKg5B8pYI/nTEaVOEJphHP2NxojFizYvuuQyDpU42CS5v6 L4jWFhPo/Z/9GNstfrMb6eYLkcf2m+9A8Y7aRxZkHRAit+KgOZsjco+CDnbctn/5KGPeXXIny+VZ 5C3OV/NTi4E7+v4n+gyGZH3lSkHyup8gWcvdVgHp51ms9DMqzw6aWQA91Y4TtPQkhr/IT0byDCg/ jnzKvqLDj06D9ZTNnSVLkphpGMfM5jLVoE/yiZOBon+/tp26oKlobF1Yn4jntBuB+vSpELA9oGcx fYJa4X5c2e3CPqVJQn8CnJVeJiF495KFm1DjLeTNyofLvM/N+uqeGa8WqkV2Sjc0VmkKxf8W/rtX 6sRJQaWeciYPEiTL5s5cNops74SrA5TdjiwcryvWkWcmTDipi7rN9lMg6l2DR3Y+cKpL9wIW3Lhj wMjDiC6IsQjExP8RJvmXybCef9mOdtvWaYsYRVlX7e2+z/Yt+olk77I20gQBa3ZZ0z0+pnMe67PI gDKG/hRbjxdtKhTqBCBpTjcIudHuQAUmYQlI8v6bkl/ytu4+0b4nADOXDIvZdqKrQMr3gsLKTA5a Cg2G2gueQJ54kNB9rOmt1U1XZGNJPh0xDFhHkyK9S89LNLi8G7Pq9Dl72DdsjddTrz1Yroy/F3hj Z7xqkvTpDvFX0bgWTFBM2ovcArVXsv57WgIrY9BrrzdwYrPdBentTKDIksslUzWPb1+Zn/k2o24h Vxchng1AeF7NtQ20IsMexDw6PHVvDPOQ9CxbbgbLIxqmLZGqK4APVPGMkBH9dnbFSn21MAjb4Mzk 3rF9yiKYMxRJFpOAYOpEacIBKR38HDvflnPMjsMyWlKsoCRB8UcC+BOIi3WMoCc9TnCzq+MZ+AW2 3jxEaZmcn4Q06c/gXT/1+1G4gJBIhDtieKzmV3HIPdIJx6391iC1Yk6PLhgT7YZ/iwrN4UO2/uqn fTrpaFoLeRa0bHZ0j8tyl01GYVIsCDKJCrjCoHTHwuHoUlwK/FWCKv5E6zz5/jQQRrJLKSiQqf/t 0ql4kYRCerxMFNopyy+JQO8Y20hzT1QycmorkcLZLRXlPJolO6nT0fr3nMNrnz5mh31QyqIP7BuM UYXxCLgKEg2qEcPnlVPxZ1xGt5x+vopAkDZIaVGdaGHQCJFZ9Drss2CUvrG1jp92vE8KgsfxkNiv zmISXBsFmWb2NKMlmawBPLKu2HDkNcBgtnNfXdJHCp6gXxn+UgK8LOApJYsw/TlQC/VMGBGH2mIS Ja9EU1LKUeFlWeO3s+n+Z448z/9Wz2WPON9kMWAt+PN2I1ItX3Oj3aA4SjGju9M00gDjbqAWYPTp zjek+wg8ejKnA5QVkAUP7uLRdOTvFxQy/RbaHmZ9ET7bC5ugAisCb0nl419qHAtpy7fQCqPEShce bzUfl3RA0Cy/bmVMMwaO5OTQh2mDPSg5QR5RkNueeJMQlXNqnpknWS6xdKK4PRi7Gz0Vkmoq+7vV lf4UxTyPeic4ReLKqOXM+sr+n3aC7VMqNqqWr3Vmo2QZn1vd1QvaHmEU34GyC8FNCXmXKeCzMoyV 5xOiLFlB2uYCQvNqD+xkFZqnrMcE9NOCBA3oncELv4F0BhskUMIC8ZLvy4qG1XT/zoA9CE8n0baw R9PRg93vGBqOdxfO/69DmCqmkp965ln7eGcuzzZA2SSMw7eD/JppiS2xta76Ksq2+2twsGSmsPnV MyPJGVoWoRX88DB3Rk0nOzG4BSGh2tKTEtYiCNSDq32dhD4q60yIT7J/2TckiI5/aIxsARYEgBBM ZQpHn8Y2s6e8j7xmbRTwW3Lg9fnjN3ZA7gvk2T95aCIs3sqcQ+hraFNZ+YdS2FNA9nY3yMLOiXrx RKgvrFLu1tgjIOVI7nMOxy5zQD7rWjvm8umxY/BK1OZs0Lu1faeBFxVNku7aPlYj2+iWSsrI+hMP iKGLLtb2aZm35jG+Z3HAIctiM3SRzjWF9DgiFQNf7XRKpchEN6sEZfhRK5OT1ExMu2wHCCBNRQfW MZKi55mcHiXuKRqCfOPse0Ye8Z3Ve5YWdhR+/8kfH7bU/y53f9xPMtMaNWKiTITU0N32iNxyjDHE Q4qa6CE+f6duApMeyIijO2Th2LlklugIXy4yoaPpX8pr6NU6OlvXrU1bUJSKadfY+jomQ9q0ajYO 79fvMWn+7ktuD3uf25G8FsDJ5MmLguaBlEzx7Y6rzzHz9ZyPNjJY7A0iEnXbl1v8Opqzjh+jqjoQ 45cutktmhSYwkGOmter3x18rcCDLvCfaErbst82GKWBX871tSYpVBOBS93Qcwh4Chvrwl6mE+8S8 wkqn1uRuHynZOOMad7u7j2tCFqMRRxyPmPrIYZFSQc0RQ09dTM1oLGFOCLsYjmEwb9npqrph+2mG swgNyfK75K/khBnx+8sMbey0pzpn3DbWfCd3T/NE0gYQhyhLDCJ3tgfA8QPrX83B5ML0i+ZhxCSr sZxJ8pT6QYjIsaAGqhp9UdmwZvIuNtv6OKn+G5ZyCPnGKsaCZTUBHIIZD2TtIcinxRkSD+Ge7C7W sNkSTEkuvftMkkG0uZE6wQjTAWySHzmxeBRgIS6p5+YL3HQP7/1J4QmjbXoM+nq6wBzllU4VuZEm 6L1RHPOwCmeppt/6bKEuBmrz1RqElOiFVqH761iNRy5K0jpU4IbOTWjE2r6gVtPwJkrqebqKl+Uq EzRHijQeytLl5ax3b+HcA0HGakkLuP96dp5DF6uvyPDYGkPeLlEW9POTusObDpllnuzex0yKCIWa tfjck7deOheLzrfklDjBdhWSqMtW/HecXf9FvBfMkfZqHRps2+oA8FShryA0XT9iBQ6eg9y+UXZt T3WYIBsdFMtAFLxke8FfQSiohevKc2u45B0ehStL9n0GNk5NjvG2uYf7zVag3KNCXfg/B9+fzjV9 0Zxsb1FVfQzt0HLY83mMdG5gDShkuhk9kue6EdEXjDOWzddkteW3+kiznmyi8ZXEOl3zsnO4AhxZ UgD/ZdJ80Z0OoPalMz1ZxQce/eTLy0XKw0AwNOOA4kqUSl42Da4rI46XthS1OMYDz6Wg1sXaml/v VDq+yFM5+GpZBRHFKEXVD4YWgSmh69eV7cE/r1WR1KvXoUhgdM+4ZixLYH1Yv9SRxgfF2eGh2NUw 9woPBqAzyYofL5YYdmMV6wFQVYjYMTZ8MwqANleopc/KZiojeerV3tlSGSig6WP7AzyzeyTY5YSz IrcsDajZxHyQ1lwXMjyrw5tg/vTLe6hljoxeL9TmL5CVC7rFT6o1f8gPvm/o9pmp3eb1Ae6sDpWG x5j3D6PL3HIS0nSPV+2AJP3PjTBj+NdCozRYErT+82xksC/VkSZmy5hHlPukaGPmuTZ9us7NR4X4 6QiVzLnQnZEa7PDOSl4H0gaRR0Unjja86lZXArTWtKL9WvxXqPnV3dv8kDkVl3PNv0UCd1yZxG1A bj68bnfIifAxA7UBLIkRCSeYPVQlK3vkIcnpqroc9hqPte2+fNzzzqJJZGfPBzhRQx8dvNg8zJW5 6xx86cfTt0CR1iCzWGz9unqicrrFCCt7EvXrPpwSEbimy2DPoBrAq5Wp9nFnnzshA4UvRQ//x4/6 D9VMBNBwV/JApInA2IDJZEjHRAWQmEnqLUTLXuppBcXvufDnMN4Ih9/R9gXdOYVL9h7hXaf7oHcX kgucl5VZN3z0Mf0/qBptcyOZuVXLcfsyTRLFm7Wtf80v9b9EWTiNfyMdHwxfoBq5Cq0MBwJ0CsiH 0dT7bPHlz10y6mlosQVxpWjGtnAW2O1ZrWq+FibPRJUJlxFk07QIG7EN+fm+JYan6nq0QwUZmNhZ SQtfSAjXsIFIptohqVhd7BWpHIQmeaDnzirAhfoe8IANa03NVDa8EG1Q2TD2enLzhWgWY6M9xOKd duXkXYVc+ni48j3+Bxbx2w8pTPemrC8dxOoYx8VH7r3aGOBr4P7DLrECVztwOBvrgXW3S4ioD03p tINoIjmfzLznTLtp64xiq3lgrqWqyUyUrbqn0FgZXyM2hzimGgh7eJCt+MWCJhOJnKq76mIy7LEI lh10nPuh+PLSZaz4hMO5TRxaWj2Qyi+v9EsEC8zms9PFtEiuwPRJXUrnr967g3Gy3MTi3nX+neM0 vQEdDWrKFQ/kgisQo5sL6FzC59SQHSzV/16Ix0ImLa/a9a51IEIm3ap8ZqoEQtuH8PEq79kfbjli Mt2TKo/3SgCEPfONiPnOmOxJ1SI2SNLe4WJ7EJl743qzgq78+4hfUrJD2Sk2q8PRIcWPPhPw3f2Z 5WfcNsECObyvGbraC04k0xwosUpR0gIzvRyxohPN5TOG6g/GBe/DhY8oTFJoTY3aeeA0rLIRqALK 96emGsfmSy+gfFXFHR3RAN4LrvvOzeiEXPkqj+jUY6Tv2jMxPibpEpueU53hMaTKKyE7sGtpI3ND KiA1ztMpx6i3h8mdNC073rdlLdwySzFD7IcEm7xf/0KLzcDvSCrYT7doWj37m0VqVHvqLB9Wg2L5 C5FT7O1ueOeJtI4uJ8QkdXwbFJNqyik2tlmXGJQIfIeu32lTA5mVH9fy49yH0ReZu+xu9K6G8Md+ ORMjgDx7EEUfzJJuuug7+crLpk8ltHGgq7LHoX8iMlDD1KmcDYr+oUVRMgzbSQOy714IDx0l2DH1 mIzTc1P5h0MG0VfwpFm+dmxj+NnKuEVHD8fxGYMJWWs5BGvSaAo21W319FEx7oJg4yKvpGH8gftE 8lVz/Jv+DdTLXu+VeMEVA039UZQRkGAi4IYrToqS+b05h3C2d5wF6N8P7gNYJJrPt/tUeUros/Fb AcSqciFbC0ld8sHRYABuS3+0g3Pleapt7wZAwa652JebtCl+I6I0OqrwDH4w5bRI8UFH7Vo5OdAP DhmZFJZhCvA2Vhb2pclMqYm4lUMoUvg8iLLlMA6YuRk65VqtbgivkI1SQ6GLqfIuWem98dO3PFqQ kNFRwVR2DAYodP0njilVi2+QdJgRyjPKPpVSUwQnuBOOh12CvezInpEICmt22qaDl+affoMbQW5E K27gLoKojQ5xeodZalPpA4sMnZNh4ao+VeY1JcyErJaHei4cpfLh86FVwjL9KZq7wdhoY9w4SyuQ IKT4BM+jyXdrdmH9yGCfevwmffBZEdROtMiTGg4usgh0y5dF3eRDjj4H2DxoqBBaGuby303SppRN SMVnVMF6+xFGSivXijF3IwWaJhUpjcoo4qZAJXba6+TISLWXD0I+gZMDOwPQXhkaJYxEZEVA6Lio YBeIEj1EFBc3DPcsdFmm6I0U5PqF77VsFF+pENvR5SgSRDkWG0KMz7xyDCpPPoI+/6jW/Jg6g3Ry oDyhr3BibhP0iJb/jpdnHnFX6eAjRjsEHsi3WV2tf7z5mSHHKxVCnW8pHhXEgpPJ5NRkzPOP5CoN 408Mm725UyXM0v0xKJhzb1ta2+KvrAbJn0hFocbri1K8C6P2m6UqqIJt75Lsq75Rsry6d119O4jt d4VzcEVqn+IkKdUHLW4nYoFKWZrJGd9EyRyrV0QW4VIP5sKECHUljnqe0+fbACwSxCafdk1B2DlR 1P/GaT4y+bjemKsQsVR4Z6jqccTBDQaJ4JMYrK51Hc1mNujOUXzJ+u6jhkZEwFMEHbQy5TMjBAk0 hz0Ma3bwI5gGT0ezz8DBxh5J5gBvvDQBIT0d/yG6QDR7k/gOuL96mPSdrFrRpPMIk1+YoClyt3+E rz6yijI6TIywaa0V53ePdX+p6oJTzIrnIbS/GT9bZUADW/gs23djO8hXi5+cXweEon0jOjXVZn8L QyoYjDsxRSsdlNVSrXtNPASE1sY9x4PaPPvxiD+jiQZfMf5ab+iiBS4yrAECtJRBS5SvZitbjcIE HG01+fdqUbB74JBPUi6hopDd2bjftF07F9N0V4FuTq+K5tGTk7Qy8hGrgMiojdiarXg8l8DsS0M8 hn2muzHEYQ3atxnDk+BX6nJ4lSycD7O1ceGDljAZmAn0MhQqyGk29hzNbfS8670dgvMpf0wgMuXv EdB+CGfAsTAC2gLzUEseXc6RX0R4GWVwgvocBu/jDB5wnCWg+VBcbJoLd5VopxHthsEBDFvT7Kmd aAOwJHm98OMPpRiWXdzOZlfEfNJ5bVXugODrWXmrh/ymW3Ru8rWzf4dFlhZkcfcgNmiKuH4Hq1Q/ /BNOFMR+WnlKSLZ85ES+2/1bvxjLnWZVfMIxP52ZSEYFXayUB8+H9kuOLF/WYDCfqVX3vfJwaf1s 3KAqiiH6mxaEdAjFvfWImcCRYk2YVeygIvBSegJ3tqX58tGFUsyi6/62isSijaEHSikJOFX9i1hX UVBWHZy3622YzfpUF0ZhTa+lBFDpdTFMDdKE9yadtH1q/JLJWA+Y1dCz3WgGTRU0Xf3YNJlIcaHZ zhNxOIb1enqQmmeEIcQCE3BtjyvOxhtsohJokpG0OpNm11VyxH44erRJK0APXHiFZcV60vaQ4zR4 e65I+ZDkXhgKHNyv3GCMuMx5AeA5UJI4RoyFF+oLLlg0MHT6Tyv8vxFiav+gXA3ovX40kLJ6vh0/ bgkYJ9TkfUcvMeMUf70Bb8Ru81tn+8GBt5CUyBL6l0XA0dWKjs5eNrgOqj413eQBSRgdoXm/0btp R4ewWeRYVHPE5siyWCE8TGI+evXK3Gy3I0fE939s01w82093Wid+HlgB/je8x3MvmfPFe2VQ8gbY 7dAoItYNgzUqJrmj5Nh8X2YfXGv8tD/RjVc1sFmte69lU1U1RWmHBJky/Y3it6jn96/XjX6c6uBE HUB3nN6yI5niRetDG+S0MCssyNaDtDCSAM2aflreJ3w/hG7xxQyfUh7ciPxQkBzxe/jArdPvF2qL ok+/TPOy7+FEEaEFihr9FBHfv0E8mycYB5LGpDIcUkQX/ntD0Qg3eY8kvI7NK5hhzRGHT4RV6PGC kVBkCxDek08FTYtOeRF2TM1x15hVP7GvrM0R04vypnvBbLWXutYlqiJP+KX7BN4eelN4GRqFLkLF jWLNm+tjsjDi3SeUrhcn/vIq2Wx3dBA7XcspF/k5y25XqDe+MjDhX/3v092kJl2O7gsJIuBHK+JH dsE9yG9X1WzOWQ5cH9Wsf2KhAO4UaGPmvIse11B64MqiErKhD0CtqBZhljO8YmgpZ8Zl4iOJjgxy aoo7cVRvxCEvU9LI6r9vf5DH7sHTiZL4hogCfmt0k48OYpp7xIsUICyoF8w1KSuI13FzpgT455vH cV3QYVMK8gAhaffLeDsUizjj0rXCUEYmTtpTxXrUdPBOnN9ByXO9SoqOPJWRwdfsj8DhUuNmdL3w 3lzIJODugF4/55N2dIqaDzH6rV2yeaOR8DhLEYuHzR84/oaJ/42Q+xdrpjsJZ1D44B5hK+5kN/vN 8xMCpFRZg++MR+lMYfeWlFQdaSGQdQVy56euAwHgO5nO54YPzcogckyLFD4pg8bOtJzqfHadJGCy 7w31EVlyNtakYgjwj8jhHKjAziZ2HhlwnOGucLxVhm5Dd07G+vLshAbapEPkcnuctxWF+YtTNI4T MVRBybHvx9CVnjkABtEI3maoSI6cdBrBBRpxuEUXnDZOpGRp70pbA4ahYLUgv0MxeSBlg9Ef9K3J 6HCqObATqTcpoUDGfofSuKnD85KAAn1FE3ZI8arGud3Ytzz82czP3GgfnI4YCaYjWAUts+a69Gdz 0ThQqCkyJHi9qHtSDh8KB80newQXg8MxVruXJaHyZ2tjLc9W5I0V3mCRDOOaGuh7m11xaVfUsAOH 0ucHQIi+P2Chie3eRChd64Gsw3yj5HOPVTH4ncxP3xSzP/7q8JVLQQ22wrXqqf3WHSbE8/DlUJ9U 4WH2HlGhwTwqDDhHdh5WXYq/KDsdcgJ6hJ0L6YSPNuyhcV63BEkLBa0xYGZqf83BsY0JYPwT/zQr Xq/hZ2Rz6xCBicAjbj0F7lMYjH2f3B1rcfILL83qE8y+IXyR9KWVbXjtgecKAKAhLkbcA0asOUFj IdmFg6Rd2+2pkunw70f48gKuGANzhiDOGnEJIV0nVZSosJYJsK3o1/8/ZBe5PYL5m0Ba4EkYiMlb jV+nOBIk1g7gvNVC1o0fxKfRE5zNONLO3/qDaH2f9Pcl86MLGSRNcTGKRTHXLIugQvD/o7VpkRJB G/mJTMVQTB7BkY4dDX47hDlYPqNVr49poiahNaXSB3v1gW/c23g72S8AL+WW64e6SI3iDLyjljyw Qa+DzoHBGjsQvwG5Vcr/C62GP3tMS6yzGIwSnlT2WR+n4l8nC8ty5JE8HX+W7MwDuNyiXmMUZKP5 thKkEx9Cexu/+70thU9i9MolEOk5dHXzfxEI6se3sWZGK/m8QToYOnNjDOXoG6tZz/7NnXV0IQM/ AKiP7VCtX4KkGOwPr9gUgGyEgjFmsIkhjZHLBEbuEr5q2Tdu8pV4uje9nJPEWxsmMeb3aAPsIT8+ 4xS4aKM7+KZtEQZBr4Ntpqa1i/mb+bZgiCUjnDdSnbC5pv8YNXlmBc/KRmvImGgYVvVlJYjosW4P OkxgVfQA0VyPFWkIQ9F2Y99xttoZ6r+DCMGtTOdksWWPOAhb0PDgV6aFRPVb0aiZ7g3eY3dah6wW 5mbIy+PYr5sC9HEZpW7zUKsyhY+TU+zSUxCaGS/Qm4VAssEZ7alxWotFnOK1wLu0OdFMZcP6fn3b jX0aThXgKdGqUW+A+8a5VSJqKlKmHW42NzgPAvggINGsCDulN7iLAh+i3ssluvLKT/bIMkapuuaz IWWRr7UqCB5gg3hpyEg7npAq11oLG0JYMr7WioV8YZ5cFa6vEhF28tpt9NSCzF8XjdbpgvRwKBX+ XWXH16jR2rXhs/WBofXoxP7azLvdLj+ypBlYXm7r7h2hvzss4A6u/km2iR/Y9CPoUDgTPhmZhjBN aMloLXHV5yNcPZf76po2w02jGSorobSBA9omkPetpYUC34nwo/vbtC+shxGmnqffrBgKIrDBMZjq JmC79vClcq0uZftNZy9DepoXVGVRs+hz9KModxM0PGHCMmI1y074P2QAPoYNlr5P7T5AaE7c/jTN lSw0tC5GMvqwv8MvucDwv+0EGsrOJkXFiQGO1+uMa6t/CHP38j1xyxywnoFyc7OZBwh7BQS601av Y5PlYgcSrSKreuonRhaLQ9GPWwHeDVEDhwWzD0aLgnsJZhIUAV4Rfsf1eMM5INfdsQgamXq8kfoW 8KZKOEoU/9iVSDY9k9QLiN2Ktgudi77qm8zhnnWsaZTMM3x9EuovckBt/J/JsPquNq83P+8PyuGM ekg19glLRlBH1SvlR35Zpwdb8RPf4i1PmVPuD+Lt9u79emCsH7WlHjn2VQ78ENWl+Ua7puiPxQ6k 08n15NKWrFQlfpJxZB3rpyM2e4i4/qb9Db42Va4CXrQiLdFOdMY1U9hHs10z9yfTu16dvqndyRBs cRwaDCLt/W9hutUuYdh64jl+03oWlh6+P39oQgQKQbxmKOHhewCLlyU2B+gJ4LeJyb/63KQfKPJW l1hLcBXvIX3xdFmLdF6vn+/awo5z+QgnVxdTW0l3Dr1uIO/yJrR/UbyZ5v84JyvnjZoGUf77efGT +gHDaHwe0JYYypAuJX5OjsjfYCgFVEC+xq3IlwDDEZJccd3oUjbPwjUtY/llhwUumaS2GyTAmK9y JamJEPIers5OIP+s6urTN8LGn1w3oEPxkSyzCa+hB0SOUGRfAQrxBqI6TTHcZ73dAQyk8ExKVZlo fx4ewK1lCUbNMELAHK6RItsDPEqv7S81ErmJCkmqe/9e/kbgSA/beQd4dh/7u51UQrsh8JFMLNSM ejv8DOvYrhpgUCGdv5nfV6WUKVnVA05MhbzMfbB3icylOuJC9x6hX8dTcI+7DH8QkmCUP/3qUlDf jzdGl3qcq7n+RWzR3yBm7TW2FdRd0FosPPJGBc1qtVKAbMKRfFE1bYR9qR0u+XNJdC7FnqPYDR9N VcN9j+FvMOxSRtpphGlf5EahDwv7Y9Trgnk/MYVyxHdY2uvVtk7/VaSxVWWNSpZta4HmzeXjVm23 AqRsj1lagTdBUM86n1Z6jxUmcELePOJ4aqnWXk/32ON/IbTwd4BkL/Baw44nninwBuN5JKS8NB/j o06hrb/zqYkuvoIeIyjs6ACtpD+j+iEJbcepDb7ypwPcY1M5gRJuAufcMte0EvugJx0mHmdIhtUj 910CMxBT7c0Hrqds69lj4BxcXxGtJ+ru1tLb2ijCPYU4Pxvu4OQ3/gzrWGt02qKWeaPYAGklVypp YIa06U4eOC3ZY2b0fWQMlfyYDZfb8aK/svAusEUelLUQziOYmrv5hIUgE0vTZ+0MigTPIntObASZ 6MFaF8BnqXSY5VtdehdySrMT7Wttz31sIZH9iMKV7V4b6HH1YRxkXljzwrtN8FY18p0w352AIeiv i1esHE8TEwdH5gqOOO06D0oOdkPfmH7sCej0fih8doYPsNwNM2grwzD8UMr4+hbzdQ3QgHWO39kv cZ0V8LK9DrOQiszf9vz6LK7FI0O8uRLRnOvlundIpMsnIW039iBzMem/2qwufIwQc/FTk05nelIz MPY4tjalX85PFjeTQIlA9xlj226+FSg5lu91TBsTn5CGP3Q0/H8NUMphK70kudaId458/TIk/NE/ vM0s0BoQb1TdPMMr02tpS8CYXoGd1swXCQ4rd0BIW2XrCOpwpuoj1bmEnBWRKf4bNXrriexo7DO2 zppPWjSUfPvic/z1hKt97KfL3FEzC+gwOD4CLcFGG6XXyxlW6bDkm49bN/ujgeKfDXvtNTon9iVS yRgTcy9/rHkIMDdb45TeO8GPQwR0+baoIdcWpWZ8lOhT3mvMKU+RmB47QddqpZSb0a+dETWpp6Lg Kei/H3/9LQ0pTd9kNJz2E+bGOdmwX/nSgZ0gvVhGRoxHYwzf3Ltq9TauD/DWvJ87a6H6WdlFcTZn mUZPpLrylryKNz9nz2OTwq3JPJeudQmalsdALlYDrtp4XHUjDIKANXH+IRihp5SrBcpTBU7KK6WH 9KQ3pXar6YqNAWDomZt0xhRKBCrq/f9aahMIuOtLO8TAp/Q565rfYWzSHDBRAZnidYPkboMU/Q3X sm5FQ3/lbVuOdehife8HLsJj4uqM5dbNiIExOCCDduegHmHpqW0ojPXWvEr2V2Opv+MtBOjsp6dS sjcJsxPsv7VKpd/3fVBkXp2Uk286Aumd8r1z8UQQ9nR8S8KIIRyzC02qK9lceb1nlBlD6LtT9zDX hCfXoEzVfaM0XFT5tDSkUkuNeRlsx7IWRsSbCp8AxyLDW1JvKErxhy3yVcgnPMhlrSXaw9/0m7Ck Lh0Ds87f7DM2M6CNcz+U1jP2irMal7Ua6Z79fQn4n7CkBBZqQZs3t2VpjV8llZmg8lI4sff5MAMQ /QF27hhOOjIUAK1jEnknssOuYeLGC9SP9HC0Y4GaHENiGKyszkOn9fD22J7XIpv68pyzFn07Snbn tyAQL0YE9rqbY3MASFdQ5V754GLg4UJ2yibNW0Fi1TbByaNBGwCzJAUgdqMPsdZNt+BW/AE3ePxI ob48Gr61V2/cbSwnUuLvdcOzORMksAYcjqOJztO5J/By4G067gcNBnNufr24umRNFBo0t+8clG3k xLs1ra8yG+ImwhAriSVYdzVUHu+xFjO2IplRRPB86JimJJk8EGb5NFjbx1GUmz6n3O46c3Lfe6uo ZzaAbL347dt6uEcA8W9IRR9Cdlnu6ijkiF17oeuhF8FLEVwkeZ2Z7la+HsPmV9qEhN47M4iJ7d3q 6p/9GntIlwwgVGFpApaaDnvwveZ7eV48ohDCmL7nAJPpdwB2W0oROTB8xBqrcDiZb/E1xPykxxQj Uc9RuVkXv/981Z8K7LwuT4vFV+CRYsMxWWbQbjfFTvGyoChYNUOqWcyZLUgwo9tuCo41yjf3SB80 C/6ycqkC+/2ehy9t0lmxVFdHmyNqf+S/8IqprB+6bS+2BZY5RgWzn3kayT2+RO542rImyqe+Rojr e4lqUJgrX2q1HiTKPnPuV6iI9PFwgCqzsiDmWTYbthen+CdUL/0ttKmyhCJ6+t954pyPI6wlxpea Tjqy0DTum2HI0BsJrDD8CWD83iPlDfUA++qLP+f7XGxxyQHazwS3UBS0p+B+ZsDv819YtZSD7wdN YDT1tV89pZ7C7EMkaEsvuzB9dcSHtiCvmaUuP+uIvbYnqCkYlV6ex9qiicvjL7+L1uvZ88sBw9tk V0RtVG8qBOn8p5yVbfnbi2RwkAWEJy4wwnqSD4KBT0y6VLtpouXCDjnrgw3MWAvSuEZB/8BUHXGz SMP1te5qF2bgr/lIOt1carEQx/SEKcSjwmYd2A70GNHLS5knzmZpnBGqQUi5UjsvUqXWgcm+MAvc 8KUYI7jl0pavmbrgi3yB4s3pshmgdAiSaZDhdmKNpVz/YyDOgrfHqCOGEDGqzEtySvwUDaa6aBge SaUzDLUM+7UO9hnAIUwfupVURv+cYVT5Z9uIkAWHKyhW9uY2sWgJSh2a3YUcWBckph3MuKiOy53v ISQqTBM6Bsi7gW+q8FrS8sgd1mlVcTOkEy7ywWuKirN2dmSiz9o8/cp6EpFPEDwv+lM/OWmv0AX8 8WgQi1NFwdb5dUdzHz6A0b6DCDWeNXNmbFsEfO8j3ZV3ah9+LYhmnNFveEKWJYgeq6bEGa92oVsr 17KaDvtZ2FjZm2jHsxeJOptTDSyt7axrRE262bp4v88U8Qv8xcCXOUs6wiwR7sXv9BvGeudOdyKH oEzwJWki8wK6M5VEyP4HT1UTgAFSVIeySt2cKRyemRV8W2C9vUtXAWeGfvfdsZeWnNpalWhHvaXN 2PWLgFz5tO6WjaHQiH0ssGu2ROt/Br6w8YJb16tazwLfWYY+5SickWAT4H0IBVL7MF/Ze5sHK1cM rdW6dAf2PEbCeXOvNHeToCowmpcMVQnuKauiW+7hzxnUwDRl5f6LTtOjw9P4cMzZ6qLbMMRwxVZ9 6HW5aOGTTNGsjb8LucOiwRhfN7A5nEvDH/hapmeRzj5CmLnNyHJgFhododxlpHXmjwVz7qW+JNlL xyHH6r7GYRGOSlWUDaUeutewSjXEh6cZNaCquHWdgSd0v4OngQvdR63ck8wt74Wk+EyNt5aJ9QOi xU+UHrD2iOUjrufy29G/dQuwEq6QAU0dQoVX91daxSXEN9P9xA7lYVVDMmRaYKlVOr0WSu0N5s8q Jnuu6SCuU36OoGwwILq6wxN6SyzbdYGDQlnFhL9Tzf8ObCgh0GdcgRsjF7R2PGWbGmhx6vSRYqxo BBYds8ao00Q71Zge1m7e57DvwZ2sP3HapeHRaJ8//tUrTaU32ucKhAphedABh914Bv6dBoJgDFKP Ss5kXgPW72YiHx7XYa4cSa9Bx6satF5l2ghsrMYZwdOWSgbfy9JnqsuHh44JSgmmAVdmn9KMR5pJ CoPBbxCHmOUiCsd5HnPMrQ8jWPdHBZrgG57/pfjAAlm6FB/IR0H7mi2bIjI95DX4je1yUZjWOU9R M8gWdYVaPO6YSpehbOK/4lxYHwdxzjdrkClRS0P4uJjoprO8ICt7OXWln2dTwrd5+ycgetR1LiKU 94OU6ND0bc2AopF3WVlQkS681HSQUt3RaleDGeSczapg05+Fl3ZXLucxLnKj2YU1WQbn8r1q6bBb eeYkucm+iF7NcgXnD1L4R0riReRiJbECHPAHwuiO0bZFjeSGZiC5jqyBVgycTy7vO7lt0izEOxyg ulRRyY4THtSEwCz+QEOc4qvetIGTlmVphC3pj6nNFGWTTtWvCZhcyxi3Kcm0Db3nlsRU8n0HeasE 1Rv6twivO8u9uGiF3mvajJmXCjYWBwwREydQko2T/mfgU3Bp4GXym9PJT3tHybPsPCP+Az1/4fgZ 4DR1oe9YWoag/dnDfyrzfCQMA7Synvh4DyYmthVwrj1nirLTQcubkFxWPAWZOqLLT9oYAcywGi12 3amrtIGbtWy7qIsrY0KbZMlqjEhsNHT9RCPRi8eLDBrAGuCbHJvdoNxEuRHuvjGCF/Mm++VKJyPt JKEa1bsilaGmLCi/D8cJuGBefANcp62UN5buPU7SYxXWwxBvNA4wtispS9rgZcgdXbZ6bWHRCerc S8S69rcdmY8y4xeyfCbdNO4KWsvvAjJuZNjU+JM6ySfphM2xLgp8NRIpZFZJachJrWVLcCTief3d kqUUuq2og/rt7h0oP7f3utY6O3XtCi11HwUerS/FtwjtJJD90svQ5y4SN3aVa6wkfx1rXK4NXViO +IrnIwLMaQRv5trzsH64KQNK5Y6oOPYLP+JCmLbwSiwTPY4UlorcAAuo8Mhd5cZOwMd07zxCMrz6 RzT+YfCH5cqIkijjaMVz973lwGbmW//KwNRYVll76lp7sNvSV49rTqOFlxgYV/sv7FN+qcjec6Rl kjGSq1E/No7l077N7O4biR06xojJLJ6tof1K8zVEzX/qYb8Se3pyGg+TrAYqdz05nOm9fAEqX2U8 eK7jLcGMC4PIQNRwnOoDzwc9J+R1E3T66QQ0S6AqAVgslhDhkpIRgKp4ePubbkWGoIuqzSnpqVYv JU4RcyMVryLpTV8sSgRSFeePpD7k4Sv3MogSJMCNSIO4NbhOAnKc/mP9TL6eNjb7K2QB3qtto7Dw 3kcchHPauaUSzViPYHaCGue5UF1dfpPaNKJBN6WKQbguN+gjICP0xRXVuJtkMeRui3hCnDfJWHZw AbvTZVXciO8QwDfv/hmjSKn5attUVfDD3LlNeLincmXsC/NV9S1aY0Sxo1cWSY16LiB4zzCZwY6M 0lIExad7+zP8m6cgaDXgcgGGf0eNzoAmrQ2er7NytUPQAOwv1BNdVPAjPbQ7ZFVAqB6F4SndqudG aCmtkAJRK/F5190S+8A32cvFZBr+ASirau/3bn9LuoPe+phsYjr8jAzzy5yu+2zvHPT3+FHnoN5p wCeE7HGt+/ooGDvKpWbIZ5SBlPWukyRwLAA9O3MROTQGEaQ/xMx5Xi0FAllroSgnSOoik84qj+7A kOviofHj/l2xSoMNVGfqhGp56BT/KWWF0Q41MBOqYN0123Xnh0Oby/NGmF9bGC5wFSY+4B0Lm4uN FnjHus/4tRwT4WcZeXIdfh9vzGZbJhLUMCqXBPEB0zDu0l2YW/G0pio1tIFGyjQh/ZAwFXWzk93E a17xcuITK46DedKOIb1KUY612BttnOkSEaIuOGAiKk2j7VgQPNVFQ5fS+5b41WiGiMyu+RKhoWWX gfvD5V5Tf9vqrxXzTtIyOf4YjxDEikceYTZzflwk8GB4O57EPxt7/ZyqKdWHpAyqLOYXkv69IMUu wpW3F3c24vlygmhYqr92iotHZyPSx2Z5nmbQQyJo2w/JAGlix0azEA9spZccX1I7hW+eFfmdUOOd EAAyS3gpJETw74dVo+k3IWImcy672QTlttquqSKmC2GQcZ3mXgljatr9NQ27Obyq96z+MS2JBVve KGCYuS4zn9JyzAztH/IlweFPD3fCHAMFSJX9jjMaRRAglEEZTnlWI9sCruSSpGj3V1bsZEruxVqH Jrp4oXA0wPsivFTKeirIjjR9/bHeQA1bECdcSUOoXHZ/8fXlMCNToQu09ErdX9WHC7a7J04VNp9j LtT/tCdzXr99hpQ+jxPSqAR75XpTX5ZBF5Qtc3C1qfFqEjqyZQwE8KIc0xBFejuNqhPi/1hvfwIP AuvKo7q+7rw3IzkINYq3tRLNJVE4r4/BYjzmW3pNnUe/JPPT2C0waHXcfXn4qQazY1DbZqx9Lymu nHcPKvakD2X8VyMaI9z5kp0/AXafBl/yKz/XyGATsGFEHP0yXaOnAFclJ67IHR+C5DVgNSrnyn8Y lJCmO1xCNr0uflFaWryIaLLumWbStQaHYW8+DnhmvzKbZuC7c/GkA3E7xQ/4qTornzj05CEAXHCK BTa0kzRqoerSSazwlS2j6DOG54+oaeLjli4KrG0cyUq8v2M+qGjBdZwTNwhmx8bQEigcs38qqmMx fN2O+E8NJjqLJo+w8IJNXsdPJc2DCRkGVPgUVtzAW3UbAPfZIG9G49c1PjEa/zjaCIAbV1pP13vb RTpMo93JgxSmlzHmb3gASScYyJ799HrWb09e9jjwJ21Jkfpt4jDuTmn5Vo6dLeLsX0aYFRLK2WAz gWnadoGVt3Nq2Vm5ABFM1aZ+ZOaASAk9q20ofeNy+EipTywZOEDI2qTOK29w1e/XNo1Y6AslgmSe +AHwD+ZZWGl1Ii2ozG61ZGswZBrce65eNTOHJGkDlDTKR+HcADK1Kr5KOxMy7Jz54YBg5bxhD30p MNaG124ErjhVxSbeNy8nm60AvOF3sKvLNEmPvmElcbRerF9jhZVO4o2tSOucwy8gpbhIhRFlTXRV DyFAaiN1MEswRChR4vJSWrGLzvYUWGzulonRfNp5vEOvz7NNrrdwmrGvuCH1+gJtDetbV8c9N40g 2msfRZVOJm+vw8+Zz+W9z30Jqiw9kX9PAD01qC/5iZAnOF1aUzuMuB0J8vK9CSfrMcOxUkBoUzY/ j5u1VJz2gwbIXO/cx0bLjbi/HbOi8P2A6q7ZNJnzsWNOFXDjSeiUNC88+fgY3+2YgePKjdeqgdWB pIoE3gomUkWDO1a2PYZVLHyYJIPebbcdGTE7i7GsArmVE/bKSon1mweCYLxIXqc8B5hFgZsnazwg i2hqr+pi9IxC02vKR3Po8PXDG8Qjx9etBSzF0JbSilneTxA2Q32qFRBtGjfKk/QyAWCzp4h5akuw aXE0H49A4SoxZqPQdE1ecMdtwhqc/txpNWWfTRxPebLSEYuBZolwGAs/mHBm7E32ODI4azXo1orr lfNq26m/wbNUFqZnVxr8+jOWfYfmdn6lY90sy7LRCGHYQxRED2GaeRQAUJT4U7N17M492gCcWmxZ 0COSI3C0JjxTSprbFUxReRywEKdFKJ3TX+aS3H2yEc20ZA8W1i1aZ0n0rLuumoZ0LfkIkPJacYmh RFlWCQlWj1EE/954TJt1Wwj/GJPnPdmP8XP3gcEqMcqxsEOiJBDubyedQBMhDreWMvb4sNuN1wsE CCDasp1xYymN73r1m7Y4FcA3MzXvK/8OtxBA558PxmqtcV8EVAsSETt/S4RWPKuYPyEYzsq/pSrg oX5Ws0WxYkunEhRbDDSIJ6lACn8/tnXxUe1aNYdD/DLnbDVQ/Z4DKzP22RRfx1GP8bsP613t52l2 /ezxgprXkJOccGCdf1JACKCXH0KhTRfil/H+yXqUtqTqS1wKdh5/CvFT0mGGUsfeOdcT1gjBi0ls ettuNLuA8r91fRUyhAJN3qFBhx5bOv/eKi/r3iM2+HZS4U5VsduAvd3xYaMeCqqsZ+w3QbSrtmZS vSuCuM4RNny2WZ0kCmzHpebMf2LubLlu/TY/KxZTK+JR3RPaDKvuHv5KUKQsVJnLaW4+eEkCX8yx J3NCQRzTMbtF06pVyTaVfkJE41jJM1QYJxOSDklpt/uWDQyb11rXDZB5ltyjwz0CQQvwaHYGENDN ltmfrjMGmiPLS80lngSxEe3Ddp2+2YCV4Q8sHpwPZ8+MYnHJyFnyal86D5dKU5qPIMJMwtwxKuTH Km++wPqvJF1eo/ql2hPqtfmkU5UQ2GJbitbAiecsC4x6igaU2fqytCBvOPf0b+SBbTMMcCa0BYMW Zrz2/kMh6pN7T8uFQtjbty4RginMfhjajXswFzn3JwjQN8Cg8nMDpEN+3631Y+pS7LeSc3hBssxk hbiMwmhBOgWLChygW5FpuIZMvfi52BOSglGEcj6g0KNQIS+DhU7GL5zDcnk7blGy4H6PG8Jk+cQR 8N9OQX9Op6UWtQfVsUal9VcVYBFeDqHwTeDDQptZPgFc19mcR/a5kAKfHJQk6mGviqb0X4189p4+ YBT6kPvU+pUJN+cPDtbuKsDZJus3GDc7e05QCVQA1c1aNj2hmHQ88BPE655Y7dCr/J7gdakw+zwT vQ69BplrXakYDKuo2kojAv0BeEgcRGMldTwp3KxyyO2fNzDMijF/KJHb7PWLIunmbhStERYga7v9 Ri8hbuj0G5pgoJAGfP563oNk8JkPI7KUBhGcWOjW7coCdbHGCmb07jBeqrs8QJ71q9ZsPgq0fmff at7Xqy+0zWqk1vKmLRNeQZWaAjGMwhrde62ovn9lXufLJTF0JMf+XGzK1njyioZRnuHQAGT+Rqk/ 5go3kwalg3wkF50OiZ1dVHuuPuDsTHoudwXGK4IivKKEPTfl8YQ/vHQaCyjWHrG8N4W+fFHRRxvq IMYMxos0JqT+LWvPjrtpFZV1WUl+IAMiFHWAHh6lgA/sjMlNKPQarcqIMHvarT/tBZvxhzoZ/V79 LqMIHdHfvWapur5bu7FGwGsZi4VPK9t3vq1+0CjUOPUpeUkVu46RrHPf+U9o0JA86D+c2mx0BJx7 ekit4GnjeajP5K7kiqmcCJicPR6AmOsOzCazlu/o6eS++SOFGnn0Xxe72TCNY8RoBYG9I8UBaiIN irGVfIOZVdgJH36gFf3zNJBOnZYkFko/oweR4w9JSSHALiYHCh52P67OxJAwT/vWSHZ9G+7qW8oQ 1YeXjqG2wMw1PBC+3cKEGl6U6OVxaTP9TpUN8oE4sD4yynRmzFq5YAmXi4kXAWefMAWpGHsG9mXw YwwDEg2CcshpXWVm28m9MwnzCjWT1BpEbEkZEUY0OWCCyMG6Klz4S3yxvUvNGHZEhHZN1J3kk/74 ROytuJrpvptVO3DrXUdyoNIPurYr7tTeVnMsYgwIcb8eiOk0Pq31poH6sKKz5BEI5hS852CyZ5m/ s3H8dXKSOZ7h05Rim+UFzZkGb9cWwMpZ38hflZxKBVBygMMUqrqSjlxD8F5TljgyNE9po8iOH1BG VD7sVa+/DHRi57DxUOTXWiCclWRTIsvgvQ1+BoEV7n4vcfRdLLvi8CvvBf4QfsPYdmUJuCnI36nz nuhMi4YXn3jwWQnsWUVrH79WvxlTRo7Yugj4uYei+Psw89DUIMOpBJzQviSdTFwXKFABY9+rSllf x4rRk7gxFAC4+YSwVFGyu2xtIS7SBpOoG8JNs5KgATtt6lEnczHpkhSxXr7RWG1ekPpxnvFOI6QM ZRBjJ9d0afEux2t2bFI+dLvYpiCd/nfnAhSdx/ItnE3BJenFIpwMoEHo3qhCBbNziFaRvnlZQxyH aghRgCmy9XpB6Ab6FEfw0MCo5RYjwaSYcaKr7Y2T1qqpE2qCKXvoRBGPTGXsSYTOI/LP4xeJ2W9j OyGnDNJuAc/cJh8VBvgY8W5WpWIAZvy253YUWN7ZJqIHCsILdiW6vyUItKjl+s9o8aulfJ73wyBQ /pE2qKCQJaXevVBpqbKQZAjOFwpqGDe08dpVSe8e76pZR37hLXRnmpeyl9xcaCgpUXmifNc2heYu ZLNOzzgSm+MAf2b/1egmqEJ/HVJey4uz5S1eBp+eXnOC224q0wKyhlQnaP/xtPRN+9w9CBrGiyDD P8hXJvdldwNRnfL99MwPijdLSQTxE3T8D6YeKMBBkIn87BJcA6iw+GPagtQpyH1OWrMZ7Od8h0m4 Rr3GQ6D1X/m8yFMwiDZ9qhKOQiSuSmOOsoKnzMorDmTrIQHM6VyzmtmgqcZ5UHf5PNr7Sb1TajCQ b+pMOvpe4+cpGOoPEaqmXRt5phLG3tn5mxCTQyaGPe11E2pTFvNfDPVw7OfPcvBL5hyXSVYb8ZG1 P3D1Oj5kSYIXK88TwWc5n6W6kCJ2lGrt7lN5T5ewFXH8d3Vq+cSzlFxlTRry2AxGLe+ABpPjmRI3 0ZIYlZb9R73zpuU6T2l140/m8CKstq09hDGvsce3loNLDM6fXr6Ex1/3IUAnEmRifD9Mlx1E4UgB 51QUljlWtSTiBb/7mOwnAVEzM/BFhHD++CKzgAXPykOVgNS4ZSDEYR5hYCwLnyVPaEIbvQUy1tvm k1RLgefv52XN55sYkxI+mSQ6gpX+q1f+plgsz9c8L+CsmjQa3ZdkpsGThyDKiTxe9W89KaIvWaRu X2KbnpEteSn/6wPlFDkYySs5Xft2JCOTmZtzayeOHBsvXMDL2SmrO69LfWcdQdkfQNDCFwlqmlfw 5fyew/999inGIHC3qTgc9nys5TAMwXSm6i1SeScfVe5+rOC+01yMz4/6752vhpx+c0+ZOa54n9cA NhR5PwxfUAcoHBwhGOMxGfurWBxdqpEfAOvjOkmESS/MqDC1u+VQGsJhypDB8C6IV6Qhc0Oe+Z+1 JUK2VfbWfqnbe9W9Go5I/+vELqtJ87mKmd9QxWoY1ozDEvq2wxlfV0y2lELwxkQ7+V4RRddRYbYM d+jr76L02zP52/S0QHKmGMujEdhbJzAHmnWXq6N1vJ+asTTUXBPtEpUH2BMer8ftGEc5I5t+qytN E/Fmpe4/evqo8tW07Wmsuev5vJC+tGD80ohabTB97Vax7NGNSmunKqt9GsYH+VpyXi456eq3kCbF MhS+LtRTMUTU75A7Yn6brW3Z2fFCdmKdzZNlLi5+E9857fqZhSCyjJTf8VLQU8w2KpwQ+NGMfjBx N1iRqlOHytiKeSmvhUxof9XPoIWbiquom+jA+ZpVWRH58cfu2qvkWi4IsjqyhR79DlrjHRTvDWm3 UQJUUuP4tbCSxptfuUAfxRMVdyDicFbs0sSQOc+6B0cjEPEFK4w/RwSdQ3rMOFHXyfTCDaxsCUCd cG1RaKq1D+vsMjehJa7LTU4E82pEAiMwz72u+cMVrJapU+H3sdyxty7Hey4Bo9Wd0rQ+c0H/DO62 Bss2GjcLZ8bEeSpwv/oCu9rlQjguxnie7g/8DLI1iOpafOkVcsieXky5z87EMz97woGA5Q41nmYq 0KcthWksCi0/Kd5PmiuhcwHEOVLYMrH/SS7IYyxGcwWXGX772yKHrfFM5KwsDghWI9eBzkJKsBul 1ppWUNlIWpNKd8MD9AJO2MT5umPVdrthI8Yt7tons79aB6x42LBapA3FZFmsWKZtXrfboyeZBnDt T3NN3XJ9WTn76WZD8aKvv0GLNIgJ4uUC4Bn63+5bEfebkslwvJG4TfwjZLJdUkRRuvTDH2F13PPA lUtk+TfU4FI1ZW+iunTbH51JriBnyy9kwTSF8KRwQ1HqSf9NcB8+IQlGoOxNQiQVL5G0GR8jS+Vk NXGKnRVc9HUsRyVh8E+8DEM21IHv1G4MjemVRBLX+oeVjrebEwqzkSCd9lL2NAoghYmBdhBZaQSq ImTOoy2DvBBiN4+LV+9dwuuwJheoysJczgwjv9HZvN/XJ/v33/ueoPtOD8BCbPN1jnIWyQ+IDoxM mzjPxI36/gmJ3CnKjAmK+osjOcoFfKB+TVGbv0BTtink5PrOHLrAU7Xq3hfYDeoAmcnOn/Uf9H1Q nrJqCVDqvqO2Z8x4I+OX6EWEJYJaLUdn+VP34p1BKVuMtOsFZc1g0eqRgSLT4NGtjnhmYGrjquFS 8sl6KCO0+9DdHZsGyjCCHvpSmSUBA+2TSNu8/DCxA2zCDm659f3DqMtM7q3vDE3dAxm70V9HNxre fO5+1NS642jZMMrc1sRbQ4mlMY3fTP16qD8RQX+NVwX6Z2PdFcLAKrmpEWZmUJjQBDuAaWnrwN+x t/QjnwuTEDUUJVIvIAzQbNlBP1ptU0ji9fJSA2XZSKoOh0MKy66ye4ymMwqw/DAz4C1zDEeqatka gEgUZs4FCBZ1luP6F8CGRwELp8v38bBK7yPX+7vTBqdU4PC6ZMWQP/WkbxjnuU5DdVuqy3eEYWnX yq7gNuaY4qNXBhjRr7xD0++Pans9msYOacnR4UX2rpzDC0eub1ByS+3R3GfGDH0Q16daVRyAkURm 86Lgt5HS2svPC+mcFrJ31SJVdLrwyGFwjvsza0/8L12gujLnPYG8ddMVT/r2spA7l/rI2SXwrf6o vYSPfBkaPRO+IM/Nw5t9KfquEIZu2wPKHMpPE27Mh0+r2gMuyzerGFvtpknPt6A3pGtgI+MfxgOj 8qyZH0TjhXYJ+XBM2ijMC0HvjbDy78Lkt63v2eSqViRfUZiK6TwvzR4qOwrl//bxyFCxBeBttjUs HykgXL4rswXOCBpl/qlyQklmUEtCyOFY1ogsa2h3hq5MsilNTq/n/7ON9QvD8rZ7ywUylwIp6v3q WhZRmKSjUo9PxHfGDtIZXN1GOhFvyhzVIRzIKuFkyRpr3GIuOwGpjByZe6jsFs7PGcS10x33MS1P NbY9sqTpfaMcDhIpW6qRkDB8i+LLAkhNoqzleJNnGVxFICkroLO8aGqhwe+WZPGfdCTRNYW20QHl 0jTwY4cb6yuW3lf+skGscRFNPcZSvzr/oXvBGQ5TUFjPGHPRZOcTu2ZPwCcaUaPH5XfkEcgpkpk0 kr4YnWNOzAwe7/vKBWYRJ7ejdOOH9NVW6o17sJhvFNbraisNl7SwebOnrW75pVoG+LTJoq8BgTV8 vxtHQpP0Vn1y2h2oQFQSHaL/eQ4OtLv5FGz8I8HxDepPu91BqGZnKgyAmVvI5u4nmkJ+dU1nSG2/ n70AZGokrx6AbE0VHdMxsS6SCM+56pYPDTt1I9/r3BHE/WIfjF6ciR/TC472rqAnxi10oOuIFNAs ciCRINO65oEjbfQJ90T5QA4VtuRQ5/OODmBHAZOxznLRYeeUj0lm7EDoc7sots3popgad0NF4cPV 8548n5nRHjsj8Ho3jO7EHf8DcFrYT8PQuhZPQk4g9iLf57VcEDbNsNaFVA5e+6GAImpKBfzNdDTu EdqpuBUK2ceOVk7xjjJIefN6DGQdSoOgKE0jaocoUajyKXRnBW5TOuAB/J2Vi1qFYBwU8pe6R3Dg nxMRNd0zl+6GUFR9Hg/eTDJ3V9YF6rN/A9d1dVaDCwks7asXdD22gTBdRJn2Y8njEPnuLQ3571B/ etwm1ryKHQw8Q5nyj0FMRDARFc8BKA+xFGsqMa9CIgcKjBv+kp6HrzX0lQzA27JkbDTKwy2uBK/I A1AII9hXyDcg0iLJaSBs+5Ny6yqX77GfiK5iEmIO89/4dK3rwcNnh3ENHq4Uk0L0SC9WaKusdwlx 19KUiiTXSSoNNWAt57ivRod0JpPFUNK3D3TAkU7vy1Vb62dzPdwzlQK/ITQM5tJ4IaGaMP1vFTDo 3uSHyMb5uT1scpDJD74+79Hz1/QVwYniZq93waFoxZJ2Hrt3jy7ZnY13i9fpazwRyFLG+NpxPf5r sBoPj1pAphS40Wa7AhfPcarg2wDyC7l6/xccAJiHXIARYsbm9cUNMoibkRVmobsmjsX0BW9mZdTt GqWNeh+yxAps8gWxBKn6O5zpfeuR/Xtguvj91Ti3rJz9c+MVQJkFjcXIHi5VzQQfzz3mkDa03ZcF KyQ9NVuKXWVygqxcmrDgCPnaNp673VCmm5YBPCG8d9JVPLLKPdNxKtHlJwURb5wNxGQoUssrMh1m DTm2TWTSBPqhXlQcW7p5ZIDZeDGZXPDBsMSTTkFc0T9atLmUEp/gKL3VJjT3qRDcmVkJEHwka4ex yBBlpWJh2IYSZQA8N46ObCqSfEZBHAjjv1QlrWt2sDyssHykPlCKtlyBmSVEdAQk/0HLOGmM/+j0 NBn30mqwBi1AfhIWx3QFXoeEdyOI9pe3guBBnZ8EMQtOOKhwvP1i4ZCB4aqDnUWxeQ3lxfDmre6/ zcDtRTOOfGtEF6wLXX8xst70WyqXITkkdkKbNEfs/HoQQ5a7kRaC8HYCPkpjXdfrWFa++Y86llxw yI368IEOOu2YymCcJ39NXB/eaIeqEqUUtYJ+ekqPqVY4K3WqRzmiz7kgmfnQ8iWh4Ohxk7jG2H8z Fai3JEX1sX0gQQ3+ER1OCOvAVcuNl3xKd+6fCmCXdKXJw22uuA9tTnyiF+rd4Vncs6nA2fvcPeq5 Yjq4Ht6mS8yDg3LUDl45iNuPmDM4uj/eq7lzjuls7SLC6BKwWSZ2jJoGSJPmmEBbZW3jFVIM8gZ7 TLWMhWv0MPtwL0qBFvevGafS7EPpZhDRhrUFM/mmdnWyKiO1/cFFU288LGZx8rPQ+RdnTLt92fcv /nP8JtLjXzpSu/a90PXH2bOGyNYXBf2ichmGq2R00qksU7aX6GavjdUxVZpRPqcM0YMqEYpao1kN vnTHPkzIdLk0fMYyuMJQ2JJP4lW5MaFSssdDB5ghVGM1XXGsYONw18dqM6b9sQUT/52K3Krub6BB a3f3L7bdlLdzfbPj8xn/0vGLeNjLtohksswm+fy8aaMN0fnrRajWAStlb0zwzQeHB/gbQeUev07U yfJRQZEKU0UDOZB67+sH2U8GioJw/Tz2K8uf/tSar6GOCyTcQD8Nt0Lgz8lULgJ2p5BBkQjEXXPV 3Nb4fUDF+DzGNJWIpxfPQU+dUGAwqfy4mFwBcxtITQoQMGLsxm/hi/WS6ii+0pashX5T5CosjVVZ rV706GNmwtWkvGMecmv5IR83EFi6/+nCFoucfJVjEHvztjQPqk2nD6f2lJZBEQDy/qfUMM9RI+3z 0WcEyBaZzAWdxo90l51P8rlC5KU/ubL3niyT9migpWlSbzLq4RvWyIkcFcfTi8eqNh6C4OjzX4gR NkhEfvZBPN3PK+pBtv0xtHIaiVXY5nPhtI07mrJjMengpGhVgUPz13ZvBO0JuEF/jvoxK6QWp0XG BOM7szZjPDsoMCEzwRPtNNiJR3ZH2XRXH2l54lJwYrGgKUbJ5dmGE4+gLzaHaPGhnQxtJ9EeyyAu 2WaEUWyVGd3N7BFF/R5/y5nS66DxoEITPQC9qfSrOuW5VWXHbDV5v3Qz85HQV2MZsYRpW/Yc06qA 364D8Nn+lRP1sF2N+kVHWTR40EvaBzTQE7r9roIKoSbkwPcVO3bE0kAyihP3IvprXEcvc3Tg+f1N 0pyXL2gIj0ZDkiFT7CfQxBY9f9ryAVWaY2m4jiiKbMI2XXWwcIra4I1Ewk/V8JpOiGvJchkdZrRD bgvdrPMktqUOHB2OLV1V2EiibzGSWKSq6uVd94dL6NbbVb8wgUgz1zOz2EHq0/ZnA8Fsrmq6xR2p e34gAdhSpAQ6r/5coNjdZCGLyjj898OqQTq3ANnNXza1QdLxfV7Iw6IrE5Kr3katAQ0MwpEPMVaN mveRx/d1WyoSSpE8SPfzT0lDtIJwv+kcdehGf2shgbT8UZMXb+nlYVdMdukFmqy5SN+riPMED6Ov faV4WLrWieZ1kMsKZxCLXDoudH9ERHPn6ca/WQ+ZMmEHbLOUDJLaHiMfsfS6K7jsV3wS+1phMZ+P S8g8im6BN38pUEPIfJIvl/GhbHnw8CoZFoIxcJuP6Hdgz3EOqIjZB/grNvjFJrXpYzqj76PY5RUS qDkgIVjKyFsbq2HA/Vc8jZmxCAktJHrkZiMTO3WBEWxUWVlaqR7GGZEY9b7XbHGESJYdIKpnKMrP HRluohZJjUbc2JLVJLtRiHGGoApuRXMa5GDhM0XE/cRLIMPv5LJyfkvukSKLVzTCdUzA+fK5TRWP t6eUW0BgiE6cAk6NJul83AUWFDlAGDXzHYAwW4D2YP2A2ZeHk1/W8oX5jFNd3KfDV2R4RAGJ+zAD kbu4AmYqMfvaeFHTgsIU6DJJr/CNdJY4n3jaP2GiIlQPkPhUrMlBrB6g4707bYhK8Ug+XBwrPT6B RGoAcXlrpMHd7QodqJ939nG6TTahP6dHwPStFv06TQ+NRJaPVQxV6tbbiCOdjZw1uxtFZmJeOLti s/nk5qzNTxAnKPK7GROb4Q3yLpxJ4iz9Y6tNWw/3HE5peJjeQWDWsQwNsSUk+zRKxm9YlVod/Q8D blghLoQOuHz+BWywqqor6DAVXM+2141n99FpbfkC86PdIELekV4U+BDtklmVMFZ4xXjhJXHujraG UjC+RrlRUUlbGKUS+Wm5YpDUQqOxVY0yjOSssKAimr0lIXb3geNKDiaQqDggGRJuwusZiyE7ZWhq 8gt9tHAil1nDBTRDxIPOhTfsi0WXhgV5E+bjGCwFZqEPu6mIDZG019siWuDOU8VWAsyRgfKEY4px DMgH19G8Orzmx0OGDrLUxIPDAKWQAQwQkMNVdaUj1ZSmnZ8rYXCm8Wr/8hFiWNFngRdoTvvuxUKs B2D2396Yv3WFHoP1yxFimWKlVsLv2/ET/vDiZ0GVxbVC/ewzKDQhJWznxq5jAesEC3Fo5DpCYveC E9f4A3jUsk1AiuSBhXsjAn5G0EHfzqX1npt1tjCCr0+tfigiixAwgoQSxJB8ECf+jgiKaaI6S45D DwDwbcMJX32LwNPvlIH9UcfIqurudwNplJpgZlbDckL3zS/x9He2duzZqjZtOoTanieTtk6TRrNO r+NSx3h+y3zhb8TmrBssqIgZsJVJ/IBzQxlcarM/xNu/voQyJ1UTxVm89MmsiXrw8CwwwAWTbFrR d3RkUhVV3A83+L2HwUevCchRFMg66NIssVnt+qSEAsxfGDyujiZL9ycIA5I1glmej8J98XbR1sVl LJn7hBvOaLnQF6VpXGoZDhU8ybzRmpT03sJHdYRxHdP+phTsqR2Pz32JNOI2wWXkyRnatD/QwWG4 lF0Z2oLlCV2sPG7M9HUotHJ2pNQ3URqI7AikpnJhO4tyLoTDA4uWuoqqkc5gy2lnibuzBjbvBSVA T5b1cuApNVjb7r1ivran37+5hWHbvr++csfFDh+1rWwBpzpYfAYknrhy6/usc08cEm/rGwsmsTTt wXEK48BT6nvoj2xiyywRl2fIGdBrKq4c2KG6dUGIg++FjOf0hysqfyPrTUE2Aspk8nRI9YeGPQ5q KNGou4350QQ7x0d5oQ3xvCj0vY9ReX5kL8GlPIwT1KpUwfDOInEnQazZ7LjigGosBIK3cxOMHY56 gbsd7411J5Xk4pyFibNoRUPTiZd5Mia1lifeE3ffXsAYoGzXCNn0ZIcqcPovuXK5x7oHWZArGq8P QdAbvdSbBIAWpi60LZwlhXOOSV/6VyC1qtu03ujoXrCWYiG93f6+Z1/i4RfT0fG8Xts8JB5jhNrQ JEAQBG4uB19A5w4FAmadSULbGdwMSx/+2OXJ5CFZVafOG99KTc6SUmzMs6BHXaJvIGpP1pOIrAx0 WgOxStifcJT+7dkrXm/ogHWN8wfurV+qL67WnGos20mPhMUGq2ehl1eho7geXq4sirDuHRLjKepq 2a1QTqXGKi0p4ue5eZOF14S0bUJaQhuvEPvVkeDA7bdYq2YqknpjTMwSLBOLmymj14g2sGoQS7RK xc42OmmAx9vI3JFUSxaAHnyLMEp+l/prCVBVTXfXF7PakkiivK/E8+IZVj151Omq+f7LB+D+RZ4n k1vtZv3tAn52YNHV7fNRsHOH2aNwugVqkFbVoWqLMcoQjId2lJiilEmTh6GsIu7HvQEaGPJIAZl1 obqTBzMMQj8wCT3yW/W1Q2t2Kz2yT38WKz16d6fu4Uyvvv8Gu9X+7ApKDgvFJPUwIuTiMfDTj+F+ S7nfRVSuXCgd/H86kM366xcPY1AxUPijFRxjAuRk2XY3DBzi/ZJ9F9225r65gZF06mwbCfqnbtR/ PUJPPSC7xgeJYk0BoGIGeCxMFd/oG1UfTyWQZyFekWWi7QShkdX6Rqftcxv6FZVsQ/DQBaN5yeH1 ZTUAvBqZtewMFo/QTGrsPfTExG7PU37oyZju27p4WAr653leLNOuTRjrsldGKFZ+bIiqUD4ngf3N 74EGVEmOjGStoG5fabOxaTfQGj9VN4BYPVpXBh6BVQ/s7TL8C6XJTowf1l4Ka8NtYd1Bbma1Li6Q JOhbpEd5tktTGp8LrcUBSrl7eqbdryve7i+ksA8MO12YrO2Zg7DsZYnl2Mf9yGDKsYrelAYbpBYD eU4xCa4jFIaRef02fiYpscJHwxrJpl+3ad+5ZYwy+nV4+EK2d4AfWtr+r9zKiy1Qz5mprKyqeP+R BrNEIUNr5tUTliVCmRVT6QzLPr9X2Ta6Klo2F5jSqFjAWfpPIOX5Ug6kzuYmyWtMS5TqPQyOip0H yTwoLbPHYXyQJ292kflzjmpUXo62YBwUKITe/0yPEfH1aKXPWwxBb4jvqKNKZB4DMZGhpbInykEP PEA13keMzgA7bdD5xPe2PeR872CZf3uYMt9vkSytwwu7kug5CqXZ3tRQvQmWKoINmVvgC77mHuu5 rCHIHM0uOqmBcnrKVRuBADtNFVA13Owjsg1CDitywm063jg2guheO2st3o+2AD2ay223mq3aVCNH UVG7pQ6vgezdW6BnzWPrEVR/QyDlTR4Ch6C1mWUS5vgQrELCJzkhRaF+6KJYFeFjHnzwePkdFIj2 iumjQpj4M/miX3LV4/kUjA6/ITnITewRLr8kUzxgV3HEuaT24XO3cJig7Hhvy07RYV1QLniR8NYC //prbNIiQ8j/L7KblG3EaoF+XtkIv9IEeFRcOE97pFhY8eZRxTfQtj3Tmz1AOo6lw45Ngrtp1FWR UUGBfASWZ64NFXi1Wl7qnjGsKDs9ROLxsfV8Ur5UNOvR8BzkdhmsHUD7riaJydmVD8Zj7QZgUwv2 s3so79JJ2TPMztEfngnx7pogi8dpzCE28JcdyibMgvr5J1ynPRSIRAoyVEtn8R9AfOJKv6FsLh0l rBWIS2YFPcdmtT0D5okMZjm/EADOQhhGlaH1++j4BjrM/+qiTS4B+PIdSpYFmRr1WDT3maLIEi7P jt3s6kHIcFD/wAn9bI5eUj/w5vswBnemwykZI8hT75fZMdeQvGuERD1EQzO/NiU/fdePkGn1s4Mf B/y4nYI6Xi+BnYiZvJNwkZ6i2hFD2Q483kwlb0uE848VntHE+As9PSgkqC8Nde3pvGElL17vp0Ja y9XggB37q7+MnQewr5uSA4N1tcLE+HVPFSuuqV5znMLgk7cyREp3UJcZwF8mhcGOmkX4SL/Ez2Ds disM/h3/VSskCPuR+wuZcZsaLOxNvoupNJrxL3Z1tdn+xKoCs2Y8l6YKg1zWF3o7qLmiciPoV7tE oq6vw+vTq6H2Xtbs5K/2lNNQt9IvXTnPhh3+2GK9hUQ7qo0fZ+tvsAhd2WaQOI7jXMr7AgUVfUYl 8IbqVy36nz0ZWsi9gh3KZFe/Q9y+k9tsg3TzpsMo2AJLOPY0V8AtZWn5iRyv8fLgxat4WYkSwOci 9e61u+vGdMtx2T5or6IuaRwkzTaHBeCxJlpVEgTFyvZSqVaKvsmfu77+/XDrOLkPMTlaA3S5U0H3 irIgzDwXuInPHtORau5tsE1cC8Lu0o+qbYznf8yPQctFsDSW+zBkXcpQmBIc9mqnWnZvr3jkdrP9 BK0pNqhMU/9osB6TOQywKidOzGiDQlxBG6IChBEr1NUdj3A065J6N9fu3HIpVP1WeSLv+3fLIG7g OQhGziM8T73VPEkUzUgv1t5EQMhaWyt42ZYAwq90hBlB1i7ENq9qJ1wmB/cY6XrEkoLwKJETbNyj fzuj8jusHGB9OIdbHDj4LQp4UFDVENm0clGucmSXbEsNFHC7Tkj3/vWxTsAksDDvsRG1IZfrpEZ/ lwxS3AX0cfltz2Yk4eYcZnRCD6g05JlNPYrXD6PiN3H0I39zdrbl4ta8VPA6LMo24e2n7shM6tZ+ cz4/eKJqTodkFB7SR/sbfB7Kpym/hGGQi3A5pEm4FzQdcokgdUH82N88oyMkuNMizu+Myncmwt/U YIFXcNjI5SlAN+TO0AiPlmndH2P2FOMR4oJhbsaoj+rajF5e5C1gG4tfX1uqerVqW99V7mnhLDXC YqQDMsQTbidgh8YdPf4IP38m7A2t+EL8TXMb6puu3GpR9Ky49ILBUCotaJy2NMG8nU46lhUqxuHd +S4fpnyEvXOYiERl4P+JZWpoW1s0r9sN2HixtDyX4qgLOdlOdVl46kmkBKBbXihZ2+PI534Qgmmv mfv5nsDHOU8pKVpRk/TlNbODZGoP09t74B7SUUgvoNz/C5Ll2wXMyHcfECj6kLTPDO9Cp8+u7eXt DcE6qIVhpd2A8lP4rpSRUDcQNg8VHm3wQjNV8kAABzcc7hUj6lqNn1UoVu4dKUVstueaTTXS+Blj zeUwS7LWbvRbhVm1lcHOkX2uQbNci6lDVxEd2fRXxIHTlTLP+5Xj1mVrpiG/FyhP3reQlmZSEyXn wo8OdzC7rnacBUR0EoHpwe44mECWwvUeC+DmYJFrB3XGqowDoeEOJA7ZouXKL8zm/w52LiM8affm CXQbc2vtnELrzDPSh0RjLjCADDlunGhltIT9l4nWRb77x6iXuVliUaTjdae5HzyyiPc6wMz7n9qQ BBwgFbkPJea8Wtc+qnRX5yF83tD5WXMOlTIcYQpwZHBvp2WMjoDpnXUSmidB/PEXUgcIMMlt2LVd NcWYE8cLsO5daolF73beIZx75vr5RmX9MOxo09rI+wvbAy+L0whUfeNjsd+n3b4AiVOR4mcfCbxy D76SuaVxDGlKDZIZUcjCpBM7vl7O4R75IpY7IVQhDqOSCcumrhRJLHCXORaAwXt3lBKILRjmMd71 xpaXjFTTHREiUqxCHHppsWo2RVQv1KRNT8xXnvCG8DdIF71P7laO9xeCVCmVXYX4+q6L1282DjEh 77+t7bEnsaH+fapUVqdvLBL9HEEV7UHGAP1G3BnuTEPoe7zH6t/nManKjw9KbFinjZCuOsBYvFlH CPCkoj00e5e/Q1bbQhe7EWslO6YloYeXri9CpWa+xdSRUmI9TVbEzjhnk1XOqjjPECPyqSlRazgt c6r+rWzLjRvmBdPVme/rWzYkTS9Su+uHijwfFvbTyKVvWuV1m6wZZTGJNxgbg4FLIAlsvhbbMkXy 0BRaXhmv+dTUDadgfprkf2ejFOvThHVGP+/TYsRZ+nUUedIRoTsjr3gAF/wRqHiLdThAU4Ul3b9L YZbtZFrCDVthrTY13VWf7yr5/0rINmaCEs6Ikb/pp6hFNYX/dGXuTVCAeeoyw+Jbw3WQMvFly7oC 3JVOdBquzDzxR3TmcG06j5KmXbaQjrgv4tUAlCXVaB2+q6jUWGgDU5BALHJHBNhxxnneZ1n3f13h vtFRamTzxHVt8NRzDXjJPZ9rURtBzeYo6aoziJ2ceLVtH/I/9lS+cuR5J9wZP2zURjG+Oy5c03Jx fGOCWh8apD+ZQ8VPrnUT3DN5GbBq/yMNz85R0+40DRdT016hocWBkNr9APbWVS/CYQDUglKJh4eK XOex2Bs+lLEgRH0Fr6yFo2nvBaHD13i137VJzHLdVRcXe2tulOluOF2R5NHLsis5U0kPEOLbiTxt tEt7gJOFKphtC24RVYxg6a7JxkJzw7TXWP4YDsVfQvrLohDAgWN4UAfDE1t9GtShmagbyUcBGu2c eqTY4Xz+e4pa7pKac3jYorbYoy54HJpZNLB4HB5oGJqyzXfuY7IiwTl6LNXxUdr+CzLcmFSv8EPH 3sIBLRaSGROc+rxF2QkwXcWzc72BORZSq2AVsRfNC9ZSfmcLgm0onp4r5jsh11ZHsGe/OEzoWD1E +5oIIz/VLpqmBiNOx3f0QIzfBHrsN2j5FbJMEisTixvKwabDSToJYbcJvFfEBdHrlJUdUEHxNFNH Yxl62nYUp3fBGk8IrRN2U8hW3TKphl1dYipqOTeYUwLSpjQpZ0PLNjmddu9rJs4SlCXiZvchxloo vUmufba6j4t1UvvR3OcsPlz74QzvnLlee9w+128nCl0f11zyu4HIDGShu0GO6d4Mevidj3vKqdY6 8Nxe7OFUnQYeGaymjr5O1P1Eh1XzOsJ9A86DAybfpyl3lWwJI5t8Qfm/zYH1lw+Spkv2GCXOISIO 0kKehthPUgTal5K4LqK7MqEyBGwNWUXSeo8unz0knSRRl6K6D/vSTU6Z2rq0dTsFb+rd6aeR+sUB yF36BvCBxfeyn+O3MRPmcj4cLOXlMeaFRzlE1JOxq+FdaZfOU/xO31xEs6CalwgXZPW8DroazD7n W7yVrwbha0qb6Ff8uo5uejP4lWCJw6Qhz40HE2xEcgfZZueLoWeApXsGjQt3bJmSj/OiI586fWIb 1TUqivH08HxP4gwxKB8tHvu4P1FHRwaErEm2EjLvb61iGQ33+eXs0W8o+atnEY+6flBg4J+Bf05y 5zuKoovJDDaRE8lZBV07CxGbWeMdv1I8jbvjUR5npRWuuPRoBsbgE27Inz+lQYGbOaU61cV6UScZ pM4RJPWYrPZOVI9c8FBNTCWyrEA8PGIrGlKqphGcGxobLWnpBozY9V47TjZtWa387XGjwu363D8s dBCjwaGJj5kUduGgAw+iFKn4PIT1tqtOuOwth7MMJjfHJhWIDIq/RvAp5Fkwpfn8zjdBXT+sOgWI N/FE5hQogdonx3I1KDGyWWbaCrXYD+xGImiw1St2XOqDXE74grxCJfV3saMTOwFM7XSdqc6uMm0u t/1gQNuDBhP0/y3mFfQH4HI1PR2ly/CIesF8M4WI+pC1Tjhy3h9r/hx6hDpZkvIbMdWKtpkMLFbt F4ZGcuDUHA0gVJLSew61BNWOZK9IqHchEq5BJZgCsLmoMPZ/i1pKzUnXnKWCasMFLDzyTmhDhdxe UDjKmm/7L7DLbO/R2s7izLgczby2ow7sPUdpCxxN9Z3adfNMpDucczflc/xWOEg7JxJ8WMJoBrmG FoReSZlaXqM6QbAJ55NO9eeYu2iD1P9JSlTMZHcQSGAzxpI1dFRur9FT0ojX+MCubR4PnPURScaJ 4IK41zGNeMBDiyRTney2/kdYTMvuGhrQYPuUI9Dj3WFhP8HqowmoiYkoKW3DZGvfDK2P8TbZcp6c 2VxvVNWtKd+zR7yuDguO/kKTnfhrAeEkGtN/TzDLZYUuuZ074xUizYnPLyLVPCGP6+ZF6eUMNUH2 gwkQVxY9s8JuWr5IdcNJJ64jm8XsAeh2RBoCjPJgc+LC9BHTU4dmqlhKuza6R+3+QeAZQkiZYJ+O svHvESOU+wGohsBQCJUQeIMYOwZRXgv8pxVPAPmTELIMhjm7PGwZVYSBepqvcRnTBnM2dTVbl5s3 kKISFlzU7c0CgBxSPcJQIVdYIOb+xX6NS9QtbIueF0LFDLOl5Yu6yAjnDzkVckLdYWqaht/VyHaf yQujZshd9UY4vOSWJ3wngBLpmHu0stMYfnKxwrqEN3pX0uQq7qI+bRdF/M9yTnDYFyoh9Os7q11U Jj7jC5NDIFL+q6JCOjpzjM16xkrZUPZ4fWecg8umU9qe9TThk5EofirqESBowPta0qFV/gN49XQw uMZSI5JrNP93LhUqCbxcWSd/Hx+Nm2COqFXmWrfTDaAouw+WDEs+QUBILmnQp7x3nBYMk801rROa Q8mx0IxCOWoE0jFUkOuy2EVfK3nMo6iOKi/gKkbXbVnA2P5Q0kDZfFoYD78zN8E9CzpwnbfVRctP v96wROwE6dYfz5eHm7d7rlwaMZQgcM0G5u/MmkOv2KwpK91gypukdXT1G16+CLgw8rXYTmOntTUM uIvxyVuOzcAJVJ6BT4xU+MlTsWYepvWEan3Xm2vFVJ44eCdDQt7W/qtQAZBF9iw3ww9j0BCEr1ED 4ygXyTDqgx6cJq/vHU4ZYzDTPY4vu/rXMEYBByd2EZVTR0ebU33vW2oNBvehN9WGdavniAOc/zCg WoroStJeMb+aQ4KI1aXxmTaAW05HcRE5KrWJsEZooJPZWE7cuUOG4F+Nnm3x3Nf6/88V5we5VtEM FI9J9XkLc/gaADu0BsH4XMBbtATy7MXYh7AOHQC4G1nQh32qMjzi5mZTF1QSoeVsYm3xt+qtTQiI 8t12Fwzh+QoyrTVkPcOdfqeYbo5vLIftZn2kYqFf35thOQPA0X66IbMtPgkAn/5fEDXHdTQgTTrT PWiLt2EGSRDOIhkmrQ0EiSGEFQ7Z+1nim2dbGNG93VG4E7j9acVjovfAT/7I/d2ToocMns8eBGFF isWlnv0XG1TRQAj5ZxrM22D3ZuUmxfFobXrdxSRQgLheWNZ6gSG0X9qH+KcKzFhMSirkaVegMRh9 OZuhjSZP6RHxxWYpEnue2F/oiR2bZf71dwcA1dUSyQIbvB4wa9GGzVZBWLU5U2k4PjJrPkRl1mWK XVxdWVK68Jmh3dAGU4cg+fKFPSvxcWcAGeHUB1HFuzR0O2b+b1tfuA3s8yeEPguv8EyrFb3q8fAy qP+YRMS70Rpekq1w9BNssZ7Th0WvZsFHk6q4Z8Y+PNS2p4P6dVQvCC+6SY2C4ddZT2UgqcyxaccR tzOWJD9vG6Spp230m6+CsM+Gn5M8PJXQV6CwgBosGOODKESroVe1YQ0Nq9GucyIkPYu37VAKw6gC CiU5W90VfX98Ltwgvjp6oZ76Dk31nFJJL5cAOCOLuQfQHXOwx3IBQtBnAPqDfdvYx6HvX+F+DPAu imzvvNggRup1QKCz9ZpySzuZ7Qi26bZUOvtuEw2F7KzAOJHemsVeH+ovcvuKcHXz5y2zuHon7ooa +WkFRsiW4woVnGKF7CE7OSVCewVxY8OySkcMD0Ec5Kd076j+4T5i8QT3hcHTAVoEhdyVVYHguANH z6AsaORprFwTiPyReEtV7QcELlH7AvSC78Hkt4wz9zt0PzSo1THfwiA84kslvBnqgw7TDbMUW7pr 0jGRwsnmZtSfTu4m1cDSzWMKUcVQFwtteR3MXIdQU1SbFteseiwbJYLDuB3ctpg4NRS7+8gB9+cK 1yaYNzk5c1wTawrpYQyQGry3huAEhMy7ZPvX5jYzD0SAteY+OMZM2EleiZmd7Wz8Pg+odJlK0meO URLfvpddbbX7NuHx2EBcLG2edqR/2/odvfzUnIoxfImrSzI+8I5RWCDnylUFSPyOgyKnw1/lM7BF c7TtNaWG7LHhQ74eWsLQLOqUcqHZJ1+bxRupF/gyNRcNtgavL2/Z/hATCGOz0RU/+JzqVZxirT35 djpMg/HP+kp72aRJGrmacbAPuZbIboe87AGtFYarx7JeKOqINIZnJvbmdznIW4mrL6J5ruxI4qVZ obiwIX8M2L7fWHstHsLj6diMRMGPotywMd2vwtveoxiq/ILzkeMCTXjfxzSYEMxweMGkfz0Tk0f6 CGpquZknfidBZ/jeS1iRrq194aVHwiNWCc2Ya0ZsL2SAoKFKaqqXRk4HCBIBARqMbNmS5B8Fsxxa QPMlhTMzER0UznnqF0cSPv2hobxBpNTlcqnGlkeNCZjLTbiHJ2AUkN2R7ZVvHHB7lSoyhSumfl6Q BhANa2DRgA0KXVfpZCw9ZafWFCa1QXFfl/EtdzFfn4KqydFphz3v97jQ0Dl+PTAaN3ueNioCD35u Yq3JUEZ03bI+ckB6ARTobYKVLvostqtmDcxuzu10TqjUs294ZYrwmhjTJ/l6YZL/Z4GTCKF5GzoB 9xMt772coM3flhI1htHCRb24pKGQq/ofaUfnlMSDzrNERDM5Ip0VxH61KJPMF6N4h5CzZxGAWPDD DlZkDlXNicnYzq/h8t7d2SHPvd1lVm2BQrp9d3RC8jFbZRcaqzG2Bwh2kkRJUDdFiIxOMD7exCUB YpuXM95GhG/yJWZ6K7Bh8ecOdtDdAp3Eo4B/ftH6XEhFN9RcH0ndtp5oqz8FvTP1bYU9Xk0AnABR D0Fyt+LJr9uDxeyH3vtUszydWGIsEdvQjyyZb5nqlnpmVQRGN37Frr42ferKbhwjFv5v8f7AHDgT vkV1C4IfQYFrmbtfALB/OB8dT8FuzPLf/OWJCxkcNUaF/Ts6zSu8WD7appnXkCBuLXZqDsmHYW6m wcF1wE9z4vWEOHfxk7rI7nTNVNQDOb6kWk3SI7k3AJVNmauae/ZaRS1chN6CpPDUUT7eXjclI7ul FKZg53RA9X+EtoQcaKzAzcKga8jqJ9HyWmeVh9rJgAe9MgAaydyYzk8+c/E6tk2ft0U+otZuwKvZ KwXqJeyC2FSuR+0VJo4HojKt2D8awy+JS+HCfYzcLMpOLg54jRuOZjbDTeHPLsTwexC77JcfC8kZ YNiK+uJ98D7gfoOFLHY/6r9I3zZcmqwqlRTMRAb66+H00llKJkP16cbfvMX2Ft9Yx7UDIk3mnqH8 7w47nAgpfIEIJkFI9/DxWClUCN8sdDrCnA4KFP6mNEOyG67Ln/ohBdCBhh2+myVi5pWGbrZ5xhOB YDEPuQe13NYps3qPvg92e+CUItg7iKtQ83XrdJgceJ4sfwmET81gzrUC4woNSL2UvzUJSQgFt97b fBP/30CMPhRHxS7PRLx3C+jTAKwPz9SO8vqJTNLM36GwciFuNiAKwT8JhnSR3bGWIVB8b5LzHIQf p6cdJZ1oYonhIBsYN/w/tfcPNBauKCAXJc161GC4StzmTwi8b/qIaIvcgcLgr3CK1nnZ8EYJl/QP Jz+YGNoSrQ337cCaA7kDGLW8UaQ6OqE7NCRsQEQ/v13ai2tpAvhNbjF6FsihLHztGPCIhAeRlQlV 5EP4OZvFZaX/foAQnSSsbu5DHLkVrEzUhsemC+4MAUJOUx1666VlMF8LiaUehgwQDq4RuUuLj8RP RE6PBsLOA4M2pE/JE4XqMxq1nQlj2+ZdUmiArb/I01kFhvEoVq+WNM7LdiiWZcRxv9/mdq+jU1rV 0KlPfLI5jWkcEku8tyNP/JMF8h27kBGcyiOzjiDdZ75g4QhFoy+0WHLgiPKZ5Co/XB6Wj/YpM6R3 6JwGOeRqtWN70Uqczdt815WzBbkf46FmKgZyhZnomlt+OmBeIV84hhTL5KH5t80g0A4e/dDxsWmZ 0KKPQudvGQp/wGq0N1juFkaUSf6Y+M2R642NqKzftN3MZ81odXkDmEk7D4hIT5ynKSSSDN9Z3jSo k4Y+w8+W4s1MNF0CiH15dqWNtJgCcLw29pCc78El+Xuh1C2muxw4iwcNWTQD90aNxJg4wIvCL3HN ntJykrdjBJoamFQ27To9JNi6HoGN4FbbRmEZXN0iJMRsNeiSEAIH1MPWi6mOyZKYSljsRcH73W0W vrWdqTPV4KgQ8+sU4MGnYUZtjedopmIGSxao5YKzScztbm8yr+9ziy0TWdLLyHxM5lHcq9zF5aY9 /NViil1MZaqz19pZD8Rs+OQ4PddicJXDZCdOcHTj2U0TROIMA46sUDaUWZ6ldzTJ0134+1jLs43Q v8nUgGQ/pa9kgHAdKwwtEWTNYgJu1Yf2ytRgz5xIanEwVATxqosvRNNV+Y1TWGwb1ibduk5OzLWd pMPgt7FSPbCtWuwYnwjzL/f58JPGCH8uhI5kQsX4eSPHcOXHq3iTd827zkwqdbQ1Kn8Y1nWeP0NN rgESmMLQnS9Nh20RG1mxQMZFhLY94AmZ+k5aobZFu/DJZQTBturMtpTWJSuv1P7GB8QBPrkAWDg2 9kGrwdhWAOeUPH3ztcBNLShnSBmxLg48/lC3UoCn4G0hXoxRF9x7vn5Rk0KBIJ26uXH06oPOUyxZ hRm+r2UfAwbvssOQLXa2AuAn0gBYpVFLaVdesVyqDwHI1sNqFuSC5hqgaB+eoR5ZZMP/XiSyumge ho7CHGHnHzKbap0gDqXvLCZRtPA6wax9p9QC22OizExG3eB/Xx/p1E30TULdCkq4riOPqVvmfifV Xl63dFfm3mLcong7WNXHK2DHyLKgC+ApO7Cf3mxzUZNSfZn0RtMnwpkbjS313IRvOm/hnylqCSwk mSqXk8lkDckjp1Uvick42R7+xqnor+6w5DPzfh3ysWi6sJaBO/f/UtYGRrMVTSLWPeXSzbgFSqTD 1d9A2yOTiFQME0CoWEoU2JSM71Yb8LL9euLZ9RJWCYfue/+ImQwZN5CWP2Ac69hfYiMsrPAVHkjP 84o3wLYmWE0df8LaTVI/DHgg3gs2QAD8x+w0Z65TIoYmsIOuZplkD998pNhxtR+pbV7E5S8uyhuE BbThMIvf7YkJjbY3NFlCy1rjGp444zNh8yM8SdkIUBwi1L1yBEOTRt80lyGQ5kXkL1LwwyOc31pL BzawQHeRXnDk/Qu1yn9ps+hvKjwE6Crfq21RBZM60THTg8oW++2FpkHKallee7vDPdbRJleUvWDT IY1RGmmehmXxvHIYfdRfhXkexGRXBiL4FXgGgoBsC7FHeFUmVZeY8dqxOfo5o1i+kgtmH2dwkRHG JdwrdcRkVbrkpgievYu9bL5UYQX2nq7ck6RnFCTJCvkNzmo9gkWeV0bKDheKAaIy0SjwTk972Bk7 9pp/yqXlHBVvHFKwR1OtqYM+3barbBMmSFDjSb867dBwH5MLtm4HSilt4/Jbb7QOAZC91onopNCU Hyc8+rNHjA+5EzfHxFoRyvxrxwCNmd6d/JMuztYzaxFSMVCa+hzXF9oWMYCPIMPnYMbnNSSOEiD+ YihKz4wQ7Aiiy57ZROoG+NucGZDET6yzcx/9W7phqnc0qyrNem7xBcIvbGegCbpdFRVaQ6lJD5Ty NcukjwsuKDN1PwBZJcsWMayou0L+MH4TbFB1+YUekMKQzGMBxPPJW8Gb0xKvQWqEzssJ7Hqaue6v UQEI+zZzW5Djc8yrXGVDIinPEuci/G/f2G3CtbIUB6O7MMXJUf5PLgMwmJ4lA8Z7uwigAA81Yp5c IVsjmgJI4UBbF9ZJQsKhufK92mSDcbESrtDOsu+tSBahFKhsFfQa8JTXyqK/7rFO9ZiAly3uyFpP H1jn3BSIRk/L1F1hVEugIW8lc0yEBmSXburtO1aCfOl4cbtCL/W9D/43QHtKatmebcYrKEJtIjpH stQU0og9Brt3QbDiaUmrKjd7CNu3Y7Xj37U2SU9m33QpAzpGw5qd8dKKUfCPvnphEhMsNTv9ANit PCPtJFLL76fSjNgQ7bJvP0lsMzrwdwa0jCwhO62tmFxlgR9shEHzvpYFhCw7nUnRsLD2T+/2huUl eVEAgPQXu2uJsJQT6COl6dElUBMTO8KboYpVrja1NAUIXVkXc/5q0I8CfFROc5nZiYzu/dtH+y4X FieXJ5p37xncFhQAIJlhD0kZJdnx6Kw0dtj9E5GCLKdAtF+DfoEiXAaiMsGUd21Q6yax6vLFdqX2 3EQAnFaIpSUzWqR5TWvLbYp/0p2zxUm8V0RSClksTa28GQf146eJKFwffZc4WfYRJi85quuhqpEx YFEd4kjZtwpczZ8a877P09Lnyx/HjlwG78ILsDwYWnrj5Rh8djeq6cyGbV0ydiCIVXlgTdFnMYoS 18Mf9iIyri6mPfj0LCLCAQXiuhJB1Nw9MC0rhddT3OBcLbHYVtm2tRlcoaG4lmjIOZLJpvBUPfF6 5dug5LxnmrqtAjSCEZCdf/IvXlEEVTMXunEDe3ZErsumqtOHWTlKIPN9B2AvjjGNTUGCx9zFBEot SBuXw9x+ivDVHeIOUm7d3KY6JaRIVxw3XXrEmob2cVxvaCkWSJxC8SuP4+sCk4PefLqtce8XdMuI TwAxpHgmJdO3WU+jDqh9rgDxx/zhpBvEqu0BEZeszwcWUpStE39bKqKcBeYQnKdhLe1vcpk/vcyb X/jzov85zizUA074yoWnp3ZPTgPvVqmiXfkR/m515lmI2Z6OqIO4omHT0XkcOlf+m7gIxxn9/8XX +E2eamwhxizHddokpO+lEVVLW1y5r8xigA47ZCZ5aZrSROIHQnYdws9sJHBP2n1Sp3gRcrCSK5tb jyC4YDNf6T22qNykwesrOcpXUaVnNRNwjyTsaNa0Vk10WdE6ANOG7ho1BhoSu1VkmoYKxhQ+leNK qUf6C+2pu7pvNohP+kWzuwy98OKC5GVffxhnaCu5GIC8h5YTsuxu5Z16pl+sAgE+fSjjiNGnr2ET qvd1NGf/7ZcWvJnP2WJwtTccHhPdKPDriN+8Tcz987zaHvCTbbLTXAU+hUY1WiJbbo0eHg9jNPlI Aa/zaVSWj4xHGvLtyqpB9B8dEbwTyP8Un3hGbcdRApJM8lVYkDERzuIMV7QiLfsHSIrENhMZGMEG IRvDjH0mtSaM6XxFAHHDR+sygFeHtsWeiAJld1zP/erFhlTOQsHAtY2veoQQ+Sq8HpXCpKjrBQz4 Mw+UtzHMir2yZKMlUVdqqxoX+bFUVHyu5ZjGSHG9a1LjjhKxIF1AGCI/Fjo93N7Ld+L2iG+CmOWR lI1KDQ3Ywem2f14vpNGUjy/Dfrc9xi8+SpBiMtdHef4lHFC8KLfda7wEGJx22IIxRSc03v4gm5wp ddWshmyPUj05UVx7cSG2ccjuOob9RcpS/5mU9t2bjpMX2s7/llmpPz59AGL0l2IyYzTpOtLeiOKT D8UnvagpvHJJIbgL6CyVZV8U5qqxPEi91yYrU4ecOOqqnzkh41gHwA7MxaGpZLCCRa8d0ixNGMzi o/qvuH3KwineMHGWd6udlZv7GLxWHBE5/aABCHnFsBbKoLfpYDq41FPLggyEANtFM/Bk8OrzqRfD qtb37dD2pio6jBoK2hv5meP5zkelTK0Vbma/oazCaVe/VbZClmnQfm9CVDpn/f9jSYD9mTq8sicT uaXYNAmp2SnUaJdG0joUELxXEvKl+wQiRaWZMIgkSOcr32kTm7mZHv6TziW9wSEsevNhQ5LdRJcY QJXaJfueLRgF/yIniiKrgi6AnqSxwdYRIq7ynPYQRPmRFur+NiZasZg8SI+brjtzR6TmLYlE2uJb 6ThtNHHav4YZYFDZL9NM4zgg2t0DcLfzxbMJrq6h1qqbZQt449MJcRmOcgsEd3PT36swAhxDoSbc kDn1HwMAXhW5Hd8LjP3/ALzMczyxpOM5LysUQOzD2pY+QwrGfGvWLXXZwLXjGQuXMkdlIuvziNjK XPUr2HYVR1TVEeb++B+gSS6+eQrdaQxvEe1nAXYz53fdAk47m64U9rgN8PDi8zL1jCnlLViN6DAG j7tKKMMSA0otpyIVdbtYYawQ7SMfNHRWQY0+xjTnpnnHCaGnXwQW69LTrghz/oLj2lAiFK2wCsD/ Mu/ZOXYGvRKyFqqhep5JElYHu3Rk6C+KQbMK4UVowOyMEZ4grIqmgfvAkWuamkVXRii6FNsbD+nq kjS/5wxZAFbI7QC8sSB+oZnJ4PVsGYaRUmyrUnUqGscbNUyRp+JZqhwCXRqPqbnrZ/DobnMhpd4n W2xifeUuHsQGd5OWCfHYdE0LVhTFTHj6FPUhEl+HBfZ+rhZalGbfXmCg3wOM6DP46dyTFq/Fysj2 n8uiGgGmWS/zyL0ErvTkw8kaeYa9e5+4amipEeKBMUiaNnPjmsjy211/ohloSAGZRMRd40bOKH4L X21RlYp5JqX1KN/6um+cddIDYKRVGfp9fCPZWX7+6rN872Of2cN60XKdVa6bzd3zPxo4mCVU4ojn TzajPLwX7EcT/aMgYOlkzTfdZ+szC1Bw64r/DR6Ek0nPj4jO2LFgbK3Pu11bV90lnQV+IRX94KZk fCeEgLs0J3+ClTFxqWAXMGJ2XJ88jsV+hhY/Xm3S4rX7XHQuirgXUNLX7j/qCOWhN/GcmDUWYtQl 8EVYGycjgXV/4aj3zWbvN7+gysTFapWwQQfRehq2AzkJNgtckxWj/1hlfvFtxBmy7SEZZMovdOKJ B4XqpjhGZMmxiaRMYXfoVvZXjSHLUqX3bcAGCJKTmCKLLnWWXKOvOIvr62jrdAarho9+B7LSLURb AQcwZfGMxgFzNLSw2L+2nzkJXol7ZmZPHREr30ysSJ5VqQKhR3ZUnCeyIFIQ9s/MWlHRLyrLomUa ktb5UApQyKp1s2NVitkLsGkYiF9rjzhjajcNOy+o0Cm9FukTos69KiWzopIq+aSY9sfnn053cOnb 2D1kVRYRk0WhSfi9N3479ypuctv+IJnOlQcHwoSWKzqR6f4WqseUCMyQqMUFLpRLqUVIt4jfIna1 CTGSTZbyGzWZqQCGf2FnhNhxgQ6XMcYmTwyMWuCePFrdgvtt692/6Nw0lhVi7veYu2d8UMorjEAq FRtNwmsTmhOmB7GTxNv2Mlxaru3JPyvgkuR1Nq5368BF3t/3GQ+L7Z6kEw50XQlZazkgva5mPd3G 8tDVL4mMdnu381NJsS3NZKDBfKm31Lpcbi0/iAFcrSFy0CyCEkDBQ1L4XLkbQ9T3/FhhlVBYiJGI vjBbTbAK+H8EGajlQny9z5dVj7+sy0VuZZyHxbPtw7lCI3SV7N4PfQ//WNJpZFfBtzdMSbBhE+Fi 3+6VChmHgTFkCGHWoesBNRY7gLMlBrfwqoEq3+NjsGM+slK9h0RMTphlb0dTZ4Tcrsb184MqWmju 5qdc0ukjc5qAZ5Is82t9WKtEyKljE57dG/fJLuBhGvqmmXvhJbcg3i3xu66TDmAG0uB9bMlcHmsc W76eDNaPRZTFxsGAurhTtqzvn4gnVGguVwIlINOqFloqWRKUL+/SEKgOy+YNL9sBL5riH3FIqrBz uhBjNIANu6XZB3JkHPMPqkURVQctpwgrdcdtpvCbBDKAEBq0bZ0LzyZAlwFcvEnBzqrazZllfF6/ s6VqQ6sWTNMVsiOlkRHdPmnXQbxschA99AXobaB2/saEL+O6MuGOYHwy+KCbSY0Wu4Tj/9FVNemp VsZ8eyUirZOQ7LLieu+6dAx8pR5m5vLB8OQAkrd5R/FZOCI+eSV2Nw22VSdSc9Hv3WbGkQxhNjI6 v2cYIf6OdqXyWeyHJUnCpm4szsVMolCMJytxLIhmkWJpj+FuSMq95Gv2yrAvi1KfelPcX8KLgst4 91TRt9m23i8ox/aXeMx9W8/dQqKUhE5QVe1B7OO1sKueJdKwuhAiv/mPz+WxXnTfcdyo8erAHpc1 6sK6oX3dW0VWIaugDOh+UOItjleXXtEdilG6JK8Ug0zrXacVAWcRNjL/bRd8SRyDwFUshGZAT4XP dGsEPHslnDecKaTUb4ZhmGqPYMNQPUhJFaV8PlHDcApWLXE46MbSixE63JMkSrMCOZmU1MaZ7L1S XNM31eIE/k2+QbMsi2Gq2oUs7wfApnL9oH91J1pxw2vkV6OfXby675Rzn3z0Nt204e3FQJAWvtoW 2uXyc9uaOI18TYpM7HwkmrWDqHs+H66XdXcoErjOprcsPe+SiwBhuLKkRVTnYig7D0adbdVMmZ3R uHxgxYWr9gViufHeakgsFwKBpRl09u5turkHbF8cs0XIvnFxwn3I06O5ggHTdPdb3BxyZnL5M3kK 4wrbC2bN2HcTkbi9vFedQrRqqCF9noarqPJZpGoDnSkIqyxluCrBKEG9wlDy1xAyDXMl7tZBBXyw EyP3WsWQ7vJJCXr6hZZeCCCiMHBMlNsQmCgKyX6/oWHoZE09h3970c/sOo1Oei2A1oNEZQdckl1x yIxTYj76ogBJNgGBKc+/1mojSCTJOWUVnq1fj9mw86eF1ow3Tqto6jXpc48yKdEZRNwkvH3w59WM TdH7iFGvAl+IoMj9dUkSmfWFC2hDyhOLDgH5aE4GqoU1/mhKwmFbq13wz8zYCcsm0S1pzEFfSfGy e4C420zS/ThHMI7RLLB5ZwR/IDvgT+74egOc0rzO1hmhbabjWxb9XXQSLaj+IfAwC8dlsUJ9b44G P5EY5kUpY81UD1/Zyu7e/KreNS0f70AzW02GewBdn33jYTg74JDuXfNjIRKtoKrTrbw6lu+Rp8BS oBZek7SygHVj3SucJlH9t8nJey/P/j8dHySpfP1ggjoKY4Q5ERxocy/QRtuZUeJvZEKcXpd5kRPh GcxKt5f8OxKrAnlHbohjB6C7gQwofs5oUyMiyrMInZz+D6d/6D/jw41TtnAclqt/WvQB1zsyi49Z sRhB4jsAJpKWRqWuGSdbblIcd2BKOfXF6hj0EZiVHF3CUfgXnick6mSuGaSg+Qd+U6//VYt54fOa aetRps0tstFSrbHujHGaa62fI1alEACK8sTOEPJKOIksxxvEXo7VnDiw1yejQ8ERFL1q7TZf7kiV om81fSLlMdcvRhwuKJxd/gTHyWG47s0qBVwgGVVNrufZlwGjYF6wjPXk4ZHa8m4oXCLgtuzanS9p ATHpokKE93S2oU8bCmFX3l05ThC39ZIKKv99bEYxYCAK3jPSrdTu8D3KTUpyP5TVky3yKbIW4Goe T9lyi+goc+JUmZBALNdqmhEeGmTO7EXqVNLgMUBoUfqLyuu9I1XlbcE1IGAJ9+GArP/pLEM/HKMN 9DEashPWj53Aa/sVC6D/kAQVbPeIWXNfXmg7Fi4TYGE2FTYlDnTNk9wKE8bz/RwDYOhQ9mpt6L/R Z7gBqzhsrBTfLtbV+9ARGO9c9b325Xvog6k10YR7vwh7sPPlWqLPNTbx1mYZcCYrq5XNMk5qHOzE OFv3wDdU/aJ3YOQbAEd8v/mnt1V+3XfhxRCMu9JMdHgjKD5ozWiC72AlCBe+CrwFkkEGjRvCOneq To1/1bUwp4mKbFpWnPSwYixxoI0Y3r988N2GyB00LKDXKjdUqB2Ds88RxWIPSM/W0J5RbelSlp8t 9FSMMmqxR3/7x6IIiOcym1G/rWjlsoNccUY8vf04KA2WCVZ29ZPTEo4QJr3s1q291xKu/y/d2dwh WIthk0vkwe4PCR+V9yGOsKRuJ/QhM81g/aAx0B5szUO/RaXEelf+k6L/+tcL/kCbgRMBoTh+yOla BBI5rPOaNrIDl8wblb6Pme03MkHSO8Wu6unexHvGOLhBKftyxJDsuek7toQ3Ef2VOmmddj71wq0j Hol19KQ64fQV8eS9Cs94ff6mzGDNFpXldZ9HVHfkjKFtwo6Ke9hSLqYBcfkPnYAjnDs35A3GJQQq 1yKVzo6biTJ7gpULA8Z2uYmmfTW6FXvIeReyuownKYn0EsRkkHD4aay4DMdeJ7lqEGRzQsJAvpo+ KkMvHE6TfdZfljE09aJ5GnCChzZHvZJv2rHo1T08bEuPmi6VBZyNC5gWCL2o+KQl14rOu7IsdlRx 8XymjRRSiOF6epMNtiDB0RQNNrOvWNqmpiMEbyCWedMKNZPcTI2nVPaOHghus0vewPqA/WE0DDBs brmDHfkC1NH1fkb0y1DQ0TX5+X2CtV4lNlmrCvWgJUBHOVugt83SoNticVFrfSa7FwYf29yrW+ta MyXG7D5MnFIG6GEU3SamTGFUBTk23pH/5Uii2iJEui/4WuONY1AMKYAdOHLwO8KA9+AEbxPl0Nce Bcbpa8vXGSKTkkNM7HOtcSNFDoxez9ksVx5P4qi6FWgLsEGoBAfTDFBFvyEK1dZpkRbdBU+pOKGx KEadG7OZqkgOkUNZKBGWgUNugjHEGP6GkWR19ZUkdkgZ8HPCs3mKp3J+ITONy5PI8QPzDTXn6vjs 2QeQfSzQkpcspY+hGE6Ood+vYtl9J8EHgR9SU0qpywRCuaMe6jVpNABWeH43QHYoyF8dTBYtQnMN 4uKmYBya+CjY2gPpkwwOQ4PIY0Gtbqu8M+oihL+M7oBPrDg/8PGzp4iIXYqJ0XmooKZxtw1VggWQ QwbN6UddrR3Gw90LK6zxdlabobg9F7TsZtN1HdHVW/zHQgu2sLHezJEosF5WtgaR5rUzXdk/TEAG MIogkx4N9fIcWW7XXnhWokfYclvYpolxvqcf9rUE8oNPHDiVCSAoBOV2O8Vrtw3jK0mprMANMTl+ MW2KbV1s3jr+ER3WV2ZZGjSeIBks6Abg1d8HwSjDmUs7ZrFZxCfsu/JJW9FMHXxUFUmlCrL3TZ/U 1u5UxtugjwRCNFrN+Pe56PblEVr76Mj+5URX9ilwiB0Af4Nv9miME5KKDYKYfpNnOULpVJwNyOGq 4jQ/NXEng7BWIuqPpHmU4v67K7tebmP+YD/xwit1x8J9yWLInrm1n6OPmx8ULFD/ZQXHkpIwOPZ5 H39keJz0icX2bcX6E9BFh0X/UgnY5Z3PioW1FtDw5aDBSZnHStfShHqGroky2uQtkeYmjrX3jpnP C0VnlNcJfQ/RffKxWrm0fu4HeAw8vI1w8+DW+uSverFN3UOujjfKmGPGdWkRiP40HdiN0WyeLiZ8 9D09qbwK0oy6CXOZQMymWSHL5Q8Z8emepnSY8GTQOZEVBAqG9JeaZkUK9hGc0cqUdWGgXLELLtgv 3O5wifFtxHChnt61/sZcILA6XNpRfpyPRulMEJVBMZ3/4NX6sgTlSL/iKejEi098gDn9pHGF1yG6 ATTZjYgx77XeliAhgCSS2Zf+eMkivcd82PVTAOQ97ig3eU7fKan0qSkW1tRlo8CViiwF2d/ZWCOM 5mmY3od0phwfrC/N+lMB9GBKP13li+UO/B9fChY4gCF8rEyA3RbbtZVNOkViWeM1PvNXvD8xgwoO QBH+hluQadGe1BIN6qWzpPZLx1y4lRsO64K53K+8LzATvnsvk6UhJSOz6bl/ngiFDqznMHuIXoPk KbYQlDubm3jaiur7yKq1XJdv5zxcW0uIA9XCIHcpEo7I+sXRc3NdsHftcOWiEr5JQMulWYkItw8K G7WJbWek5QNAKkGBNxsW0puxhfSlTi/z6djbou9b4FaJjwUOORnNlqWFevPBhQzOs9AFEEt2p+Vy qFep75zqHLOEsO2ha9p2Lr5ixJBJ6y1JaCpBSbilPXt61MsOLTrkJ51ljvOUEVeUNWnfWlR18kK4 zc8GjCdWU0pdIOTjYBYgu6eSHlyU8xgFjSgQhUyDjbG2nghc1f7agmgZw8avsSmvwa8m5hGhtbLZ kw7qyKU3WuA6qu/YFRrNTS6QI2ew5GNdyU7heayN1koq3aE4TkoYxPU/jRsZGDkrafyf+aST/gpa q8qAsv/ZD+YI0/aO7KUpJqjDVFjJ3hAjyCDvailz5aGLnJNc3X9G7X0Z3Yrbzn07JVVpzq913yg/ CGPJzM+G52MXRdCDPQ7n4UhQqoIBWeyVd10sFb51+OeEzbIMz5f58MsqHpXTU0BH1DDmaqEu+1BU FJcdpy+dQwW1biDQ24P+oIHnNPgsm04kmneAOvzRTaMN6tCNjKUPAnAD7xPYdpfYpN3EzrDBPp3o O96lnouLH4YMy4eYiQg/ekjsRj3Fjdt3eZsz0xZpzk5Pw2+sQCURJArupFcV+HoYueomrg6loMIe O1M//MHQkxkzdMsr1AR4pJz2t1D4cg7C5Y+1AEAQ0URd8ofAaZdR/Ua8dBkm3YHqw/DR56M8FZxK kpdBEGDTi1tkqHDBG0CofZiVF00bjFgVp6fU0GTACaSNYgC9m7qafaN8anJKpBrBb4WCK3PePwGl yRYUs2GbVy7eIvIJm7s+Bs1USG+wX7jhNHKmN+R3zbUXNly+ZIKYztUF1I70465KHhpBC3wNSECg +mIekBl4QBntCzDMOzWwOf4zKJ2tBJJ3ZSr+05LZjQMq7NT8lxghLHwxYbJP8V225wjHKeQUNYLa DFZUQzEBYrfxyPBgM2rlA7wprkMQC6IEdSw2qbQCFbW7cAVgWSqU53ZSCn7VxUy/zYh7eRe/QmjD DHSZc0Q0+QNydaZWNV7qrLPypibz5oVzahPee0koqSkDym0VXk5pC5pfqtPqHYDJhjbAdbNWajnV GCZQeDnf4uGlbjkv0sUZ0WOqD5YoEcRsLmvaZ2iU8VDpQUCDFTra2SWtwsWOrKHIojJWbh1Jbwr8 xl/v9fWnei7fgOMajKDIRwXeycRE945eDO+vyL7gkeH0Td5hVw5BPUFopjdVLdN9c0QPsLzEIRFm V7kgUcwHTeR9eArUzERAY64JK+YLddaOXEAKOe2PkO5L7EfolyZv5omfJOnQv7+HHVXwVLqqqreZ +LKTIkSlxzukNtybFinvtP4l1bdah37hWxjzmHTh3hJC6yHAOI7d0njmkWGbqPJTMpQXSdGladjF AH7NwB4Bw6pibFlEELwkwMScI9GdWwnmfqgbzP1p3KUHvY/2i2dK6atxT6Ht+jusQxqTkSfU8wst 32TXjwvU7+TUdQTpYZre3g15iwoYx0zvTUb631knZ/nTvwb+cWhpgCDbQdtm+47nyRlyU+XFwxOu cMAWu13diIui+qPz3BKR63eBgLPs8UYw1ZlMy9NrWgy8h/80dIWMPDTs+gxQKPa3X0TOy7Ur/8K9 idftsICsJbX1AUENgVkkXRNTn57S+CzdVA2DHo5wNS91dt/HuMn1S1foIsY+Gs/9Ngjo7zuBmvNq St/mA98rmHjTxWRvs//9vamzPttL6Ab/INsEuLZqLOo5X6EUA43NoBnd5k09/ej303Jjb3tEX5Yt DZaiACF4MQFEBsnmcx8bDjLHh7tWsG2HjCp3KstH+U1Tj3s0Ub5BxUYd9NigVf9UebXni3v9jVPe YQNCISLPeyE70QZZSAL68JTQNp6QN5+EvPEBkXaDDexAW3M2otiVcAbnffUCIr/mC8a/QsNLuVr5 fu0aCKZlIydUBxGpf5r+tIqa0rse0O7EejF+jfK7ewS4hTiRYlI8+7osyQw33GzbNj44bORqZDcY B1YOvO9YCI0zgBWcS42cvLRgllLlX/lRMAjIxkqfaDThF4vlQ1k7Q8k8bzmAPn1OJkBRz5J9UZ8g sEAW285yEj/c9U9VF/Dj0t8QN84sPqrDZLJuw1Po710irEq3CQxs3909R932IWfj9NPpSHY7M12X dROGdyH6PsZP+na5mj4kdBdoTjQJSc1KmmRWnAzselvJsANHY5l4SErGqGkamXi9fihCHbWqVep9 vOVCVL2jn2eibxBUxl4lNcMiZD7xEvIa61eOJ+ePmR3l/YwDIbmVB5hnzHCRMwKC3kwKzisu+qqR cHcO0N6XlTO9KDxif1OgGYjkS7CXWnGTjPhqdqsEdLzgTyBqdqFFqRjiIF9ZkASb9YMkqdeIhyXr UY5ZPdYfcNjYYMIx7jNWLa3liZPzjFv1SXvPYVFdeYRNx2+mlaxIknyrxxcbI+O/tmNq12ix4Rym 8QBQxdShtR+I4s2VJZxZaTxEtRaL6qOXNqf3sXcHuf1cSbh5WexAqhsPLoxIpxPc5NR4/wImaTIs Ka8tMGG+tLPo0jEJ4NiMD9UhULqrEFHNsLLS9/XjMCfRZRDYp0/F1Q0IGy7I/bHlb7PTJU0aVxjn oXF0aJS//+ZdkrNmIMae+9fMCD2XkRv3fu5mHXclmjtgSXsqamIe2VCMXxesgzxikEZzPVTq/WSQ w+bWTT1t3QgCojSmR8W3e9IKUHYv+vQ5UvOg1hEmQgb3DvY4sh97F0BlFfyfoQvaUB00N7pNhBUm yytihu2cUjQTs2jDp44swFKZaJIqaXswqJXdpngLtarMTMw5m/0t5AHxMo7iGxj8oKh7ymjZEep/ 6MEGWc5ZEguTJnWMTQjQkSdFh+gJwCdaRFZh1+e8Ad4SaiXJOUSUuhyz8RWUjKdN8zn2++wOM73g uOIHXKr2adGEct2rSsxE9zdllvbiMzlJjjzeWOHpn2T7Q9JkCTGjWW3RaM/W5ArmRUyhsQXy/m6t Uk4Uqps/zU76uF5wqqCfKA2+uAfL8aij8D+xZMqJ6T5WlEdC2AuLABm12T7Wua0WWl+vakebcexr oIuHYM78xzXL8NVR1msTJRP9m4jYVjFLoK+mTIVLl6LxBy/etEExJ5wouCt38S+WbVFTwvMqaKBd slkbCt+BXyqaoUCZN5kowdW4VmJye8ZL6/9AWVWRJBxT+QG1yqFmFHRCF1DqwfJFsksyy03JBJ5D knPue8Om2/GordzSEjjVCEl4gp41SM4yhTnDRQoe4qZiLhdbI4iejmMguDC3bmXUv5l/Tfz+IX2g +0TY40t+b9EdFoNaHZ0e2yllMo805iKFxqa7qshEOdQFzqCEE10hx+iiDH1YFQlK4PnjJS+OPygf eFngWV3TjiNE5sy6nSdId7HsRdKP/OLxUUzgnm6HQZHn/pC/yBtUMf7AxXu02kX0UGysX3Qc0I55 nfB7WkuvWgJipxvsxokmYaV5xqWCqoUyDAq1XlU6lZBsfIOUPntAGFG2HvDJ0YAaxSIZ9PhSSVj0 vqmnCTFUC8WCcMy1WthWK3asiH/LFyjewbYns+ZmMd4Y9I+W3BrI22ZjnOrPbSf2SyZJvJjW3t8K 7MlNqBZJxLL3fLNUZyw04I313wZC2aYYpk+mQljoj2GKmoDtGVx3JKn7Mpvvu171sJrAP9Ca0iQS p3sQf7paA3pq5GT2y7Gfd/DAvQPnApLoexGStXIC/lWS5qj3gprFtvIOFyC84OSKmxKJwRS9RSkp qs4+OalrFkgW5kJQxaLfgrkO8Q6UPbfbyzrgFTTj8stvHIOWkxXmyOnWqXe7MIrfOPTjgp5oBB8s hK61m+FHkSXXF9JHbNqd1BTIRNMKPGe4jsq7V37MBW/ZfEPLfndAjtprycr/ppPLKoILD9/pd07k xV/LtI4C/QlJcVMKa7eSgFWD7ywDR4kYh4Pba+X6161jAJX/78Cnu0ZTsjykoaNGo96aQ7ESVjjD 4clsxZk2o45CcXjMWOYh8fGucbiKQEjuyh2x5RyAyRV+vAs6L5qPdM0QAaMWDXE0VRTaKMCeS9am ib1h8qt4+6o1KFV30umAvvUwT/SJMo8Y/sUpJSC+vMpXKENI9yUyr6008sWAmwbDnJrEylGhBQrN 8SreEPj64Odt6d4t4MgA16NB2F3nd6N9K9+UUFMWCZPXwOofqX24DYgXcUU5O+Ebs6/v1kohFmV9 CRcNNH4PZkyJcJBWXvx/YgcrfJfZPBeiArEPTUHfMkUjGyrKu2nR1hXO/qFx8wpFwtakC0hWFLOP od4cTS1Ruz1z/zLlQq+qY85Ht4aTiTlkTM+h1T7etX/7vhT8Jmv6ghPMDm0cdR+GRCNOxtiLW/fu rhQAOQ8+6GWcKSc+CEbUW83qQlDLHiD2QU8ASpgHKup0r4BgDLL+Of/MhKcvjozSHmPQ+ySkcfbf Mrux6Hjvcfo1j5RXsAZm4mgH2jPQTOtanS2RDYwVYaqV+a0NyYu81IsyI+JqETTIJHmQaAQ/cfNH cF5jLU9VUnwPM+/XEXwPFRJ8mrjIF6htYerWuPQ2QmEVphnAL1CopjlLhSwrt1n++36YzfWxZ8HM UVaur3m51AycZ9tvU4naD5tmJdsmUXtS68n3kALz3WNmi7bXoyFWfJUKOKrBB0Y5l8Ik/tW0RTzU 7AaiAbzV9mOluNSOwupvpbpwMSMm0Q0gaypee5MXzAsebEGvUQzS4YT8xfMvIdgKqwwCz7pqhrbg GCFHjUFMoWV8NMhRoYAQdvAZyCKLpzjI16QbMrQ/B9mY35CAnvrgaIJxdXjE4R/BhLtlHbmfX1a8 hPd6nDTa18zZVkKo41aVmGk1y4moYwr8KYwI2579BxxAdDj5sBbB46++cBxZqRVR9mtZJwDNUhi1 Vo+mrZuyX0CGbKsdcGw4/V/vMdJhS+3n4fD7rVq8ocMe3q2NmsvG3GHr2Qz9xTgDdy/brTlLGDfT P3rYC0aYxGDf9JjUI9hzZ7nnfoHUPXzqNCmFf1Ol6HNUjWNweIUQwfOMZciyz64XTOVOn38XVyLG H17usi945Tevnf8kO/wQvi60Ic21DOIGxdwh3SsUPpwdPK4ORmymJBWtwcs0rQ4WA7YL79HFkMev WGrpJb954phvDqDcaP89AstfD5xJs0PUIx6ZBflQb6W1cQv8XH4zd3YlcQPzVyW1XYQ6BFd2taWz gPwa4JnaeuWmLQrKbEsrvmhGdpHS/uejfNxIPqZ4L21OF4P9dFZaZJfVjmOc/D85WbXKpWWsnw7a W27XK1xldMjSstikJxTZTgFFDo9Zok+A3WKjdqK6yE2TKB23EuHnT/K6JxqBQdgbydhg4WMAd3vV teciqhPn1noTZVR285ZkKlcSboAKv2SSgvG2bh+Ve+WNdHnpd3Ivhlt2SG9yqZpE+/4cg6po4T2G sfDgDgNkwePU1O28tTEqLmFllc7vKUFdfFfUYywA9fEY7YUv1X2acYyb8wBSdvYgeHdo2KFTThWc jjvVp+k8G10mGYXvY2Y13Jr1hI0fNqfNG0GeC4+4UECN8K+6Sc5penG0l4ZIYHXjFNAV0G4RDG7U J+73k9jS0zKQETCS67CKUcXWPtw9QxFQqbKMhX5Sjui7Hyf1bAJ1iWD6hS22FS9NM4o1zRgAC2c5 YAgjk33OZTIniM2NwUC1GfubYd15JVBMou5D4S8GcLFWD7r6JtKDSKgCdnVLeTC1m/xqbP29EalH Jcfwt9eNQ5hbABXNDF931CklJc4P/wwJKasN7iUk3743y6D2KfewCfk+tPmXcLc5gI34Js92wxRQ eKJR1VS9BJVreAxjdECtsvLqEA6YxsL9tl9t2mtYWTVrk6618dw9Gk7DAOTkFKiFcgc79pecNR6p XU0G7m0fWHF1KZ421vOluiBDk3TqWxs8sLb4dhXZrafseTYu2xfmgQntqWtj51xfOPcCG29pxP8b y2FqgT9zumRB9/mAN/p6i1fpdfzehxC8lkxN0HYL25lIQGS4A5vxvAnij2JclRSTiStZmxTOCabn 09ReOqK6F+QdDCwAqVKdDNtqNo7DjP/6gbfozMirkKR9HhvFuZdUUaq9zu47XcbuaOk0oIhsNG8B 1tG/1Mq4MbaPtGsUM9gB72o69aqyTK8VSrABtP7ZtYfnihzJnUuEP0cOKwdR8ETqTULgIQvtPsKw A50MILl6OGW63MG66u4kOqs32siR7DiY6/z+OGBHJZJowgZyFSsc1Hy5cX33ZwzvUCEmu/8ENHb1 Z0tjtrjjNigx+/KmNQqpayONZ9MJOKfd59xjF4ksl8FN6MhzJErvUYAXTwDZcgM5KH4QXMq3oSVD wD7ty0FR4/cYHX7nGqlOiaZtfV8zkMxqORJMdN+JAK51II0DMxCI/v6v445ngl1iUUCgrx+Ea58s ZPI7GiAwGhA2KNjS2eFFmdYhplpdUZXRq5y86qfaOp9N9672vgt/i+WR0pCj4OOq5CWBycMlz2Zs w9Wm90X3RdPbIdu5HKuf89/p/DmxsvWzHQtq5AMGyitN/Z1gNFwNyUxFrhJLvHmOlOjHLtTQS1n6 I6Xl78O08yeJtqiqdHitdhLOk8DheN+qL7dqMyvWNAiCySJOyEQXLkH5N2WinLr/eXbMCXjLBv0r 3ZkCte5M/20uTaabjPBksTv0BhYLjXwbEIrvRplGJICv3nzuoVe7w/tyKa+pBey6qiACpeQXHftX +7uGjpv0XV+eH0hLDrVRxpwZ8lzZnwNET1mKmq/hrAyPms2ou7/S3C1biR69NbsKR8aVmru+Je7i 3tCZ74a7dEodSZA6ZNYFauxcFW/aunVx9Imsb/z04UazmDpzEN+bN1HcmRsw+VJVoevizM9+WqRI iNnh4HsRRbJBWcytBkiCk+5wFnUPluhKO61NRMXQo1Kh4wUrYzheQFCmGDCY7pZJpSDf+aX7/FWv gUvavnEKOvacDpfxkr5DV9LrTr0Y9uPl3ezFMbuprMugWqZqDlNPPhrgtze8bemlJB0UYsk3wThm 66q76fi+AcuWoIezZ7pCCb9xfyA1DCcMZbJ/dL/rrzE7xy470ZryqGy/emJZo9qx1aE7w026/6RA vL45BhjPfeFQbv3+z7ueQnuId+BS2+vHK8lrHfDAjapQUzcUheSXjyXrGXOFrWHvNVzZXpNLPNXg Birukyxb/FI5cbUqursCkyB9pJrkUmyBR5twwYAl1aUl2ywr534Oc5rrmd+y6utZXvht8haZDJlk 1eubUGpMVczK2TtMITTLpzIlYQunFfyVSLNdZ8/k85ZnrOpf8PLrnIIoz+p2HqketfzADl8Q+3iG voAop6bTEqRHNbYx22xYL0ZeI7EFBLoJq2mvUDSdm52sATqeAdLu8Hp/uHA3ja2Ljn4IcDoqc6qz 2bl4vFTv5GzM+pK+6ktKW7I+Iornv4VcvlAC90nDTbWF5ZEiWaVD3Bpn9el21G3WYT9/lQO+GTla wzIJ5vqgojAGiVm4QU/FVGAbmndk2PhuYo7NHkBpqg5dtzCTm02EFsiWQpAgnnYzYqEZ1/ZeNsaO krX/ODZXRLYSNMlBim21hx9fBnjGd4NXpUpnDDKQKzRqx4QhIkNQm1Wn/MfwSDfiU4losP4QBDRM kMZZMuwGUQ93AdfaK+4IOvfKftpqiNS6rkLF+BDyNUINkvVdHO/fXPyiLkbOzkZ0u6+Jn1c5upYr Y3k4WvvBXpYOpwy5LkhkpvYcB+nG7Rpjsx2CMIw+MlF9bDYY176pe9iMFq15pBgN28IsKxGwj9fB l+cwf0vto1B3tgdB4vYSF0JZrOVzdIXqpKtvzOizRvJ3R6r3vKlks0bH9/ZTjDI24LjGt2jzQwF5 a9krHHvJsKR9z8M4fBdbujMXfJ74kXiyyJKVC3jjwkYhj0FOZeuuH+NE+w3AB2NbDU7/JTvewMAM 3SA69YpKuRlivUsvmEGRRsKWUhvL2sHHCy6rQ0sTu6ixl3hmmohyln/i1rc/sqIlnWevG8AIiMfi DnBhsf0NNp9+zimShBwQU+OxSexuw+nBOlrmuNrftPxWdGUVMZqpjxsxMUwXvv8Ig71GjuszbY0W sBNlJegqlbZt1/KFQdUEsMQ7v/Wg4wNm5Gu5o+yW2Ld45Tv2/DArtYekZWMGyTJq3IexL4jVoZ6C ZOijOfO3RF4XiSrTWFn2zZNlQlqlF61v9xgxN7JSRLsiI2hsvJH5NNn2HgyU8WBXWSetWHtEKVLq Oey84M4u8n/DEdky8LIwoJGPQ5PwyEnvjyP0l8I+NwG1AdHq+NvfrlkxqLYQdaUIKIMT3OGSyhxn 49g4J7LGnwwrSBEGQx4ZQNrswKXmNC7KhdfSz83DdOyUpJeknQC6QU/5h1rJilEUPbYONpigg3zc UuK8peQZoAj3FUieMVwTlHP2UbNW67I3HMJIneP3vOrfExcrVNjVArzj/CMdkfRSzNhjvfo8/NUz xMh/H+RleSz7TdnB8fTpv2hmJXM84GV6qMSMfiWfQNeYrGInYEHpVSTziC9c/ZRHxBIwQYKg65Ne ld1D0HNfUjLA1foRheDXc//5zcuJzS0z7W5uHjBGNst0ziaStput89dly889bIUnsHaUfIh0aq8q g6dCES160ScvjPzPPJEZ3lonWAwYjyUXDbcn2UnMEmDufsjix60GmduguI6IoqxI2ZKgTCdLAp84 R/TVUSQck73z0OYSXr0AxX2XvyYB3yM3x9FDN4zDCD647ttAj4xGl68uSuEmop80pb98YV/kE4ul niJwv6wurVt+L0YLwdqvv3otzrvaKwn2fMD53cTykmKUH1t2i4qnGUmy6D3oWsfPfpkE7mvpJCOs NvvwmdocZJmHTCU3fCahq8ZDP7EaWoOJ3Hzo5vmHeMbEJ8Tu/6Szra8imFrFcIFjyGcukEx2Fv7i bQDULtK5JFVH0u9fiExOoxpbGIgzxH2V8OTU493apc4MhMWnjf+MbpuQ79fV4bb7E7aVLvHfpihr HG30gfDctMxQyuEmuhMcBvQKHqYKsrczj4xmTZ9ovn72YN1TRIfZ9QHbYLyiPH/qTnrrhB96Dnbh z/H9wIByJYMLavwYNWXCbxWKCH/mquxu/Ih3VvQWlFvq/8MVV2pXzGeRuevFILF8d21j+f+YuIH0 exfQ2g/uqFlOYNi8mnB8aHIKq3WNVvER7WB226eqONZORnmFvGdQ1wouZin2i7ZjVIdIrQp5viAr IT0tWZjvZp0kYFCnpoothVAKeGo6uPa2rgZ6sz9NLKFdtPkFdr0sbMhs+IzgqPTkYdWBAeeFInqD RV7DOEVDL5ob/fArYN+qQCByLVq1GFt8Sdv1ZW2WxOMgN1/xGO+i42c5PlNIHEdXfRzigmYFqe/R j44nzd3KdrBrVUEqmGcZDrJAmlMxfeX+2Vo597PPm0Jz6WsRQsrIsC6SBrgVEp52vaH04KiRXW9v 5yqiIlwexV5Jm1T+F8y664VPr2AATk2SIg0qVWVS5neXMrutLONCEnGj2BhvL8FBTNNDj+R+CQ3O DQczOfomRs4DT7Gv2ynnsbJApzRUKd+dXQH4eDcM4sa5rYi9GV7lNiGhTkaDgqa9rQh05IkbgS8+ a0dZ8P6Qo7xJUDWhYD3vt+fzqzC/Megn6r/TFGfu5UVcHXrNbweOviOAU6C7YeZdeRc+zhbqIzx6 xpsX5sZIVGPqpxjTZmJxGqGl8hKkGPn41WNAs2MpKDIFpumgrDSvnWB86xZjlUfFBRbeLeXqN0F+ F7ijFejferAshWAxMzf2VPHY7mpp70qIcHiWXiE2b6+3nfF5/NNA7ladhQxP3Gu5PGtDG+C4O18x eUGFmvi7Tlot2HiksUiWWP2feBM32um2leVJlB+4YEtQeZFBlATTT7Vg5H+acMLnaoswlsPQjXKT tk0Pr0ORLwJLbW446RrJJasL737vNl+G1bH/wr3pMGF5bzFfff/auDgh+9+gKa8C++9p75WLLK+5 oBjHLzlnKB6yp28FdsB5pp8MORebFR8RNJqY3vRD7YR2/5rzwjdtP7ipLL+SYTrdDKlhuL0shhho doSRP5B1lxUIyLwLQl7cbAQaxeu8m1+e8L8xgcH3IVlCxJypdBswNERtcWu1ZTn9NeixpuZzCjj1 Pp5tbpA/EscgMwoN7kgKHSNt5rZQoSyylrRuvMYXhBA9KamNrxKN2tsWR8U+xBHOlWI40uT57M02 Alj2QufDe+/idXlvQe+l150xJyWc0QixQceonGVEQ63SjIqqYzeBuahKzDyyTA3g3GeGGeTDtjhF AIhxh4BIkr6nUEtTOojEKbq3XWTitzH7DjBhBQdgbcxrmccC0TrP1oRYJKV+rtZ+BMYkQMf2V97a gLMe9w/fNLtrBsBg5j43Ww+MgVNY57OxZathn2MiJtjSStAhn2Bk1PIMDfi6/Q8X3tpureM0KvOv guUrEsby/9Ft2lJLzgDeU3c2agwTTMDBoEJKWjZhUtTDcbGxmWr6IpPg5/hHynLn/6GDP+P6RCql L3CN/sWCAjJnyhS5pReD7533GXOgpi4x+NiqW6RS1i/f8X2hgVVgXk96nvEfZgfSaK9/cnRKHSyR O1s72j6kQr080aB9aCRnCSnrOUj2cJGyFFKZdw2a4Je8/VUHrA6TwiywniSwsul/oQj7fUs8KBnu jdtrC1JtXbCPH+BwA/v7wvulJByAc1OXIhSKD94uO6ydO8o9o9c694/2Qxi+3R4BqXQi/bk17/RY 35Bcuz+rtgDFlZs+1YRzUXsCFdsLUexIE1YUDKwJHViS1i+yRkWnU3ZEGkdw86RsnE84HlygFJqx JvuMJ0Oewnh1If2KdYIkWkAHqH3InMcw5zbuhAD7qYppivX96XpN/Qc5NZzYZeoWQ1xtl3xr/pGt RU2FjmTdegT3oqLhJibgJOZjpK5mL7OZBbcSSsV+IJk5UkNR4lG/3VMr1bhmgsCF3V3HZII3QBAW DYk5P6M/wkktSZfToidQ2UquvpXNjaKIwZUE6VJISjIW6/24A7X9BWJQDsYCXDha/cyJzQA5IEep LTmwE76VUD5WDGY4KgJb+q7YXKOlmlUPYSLoxH35tBxY4iKQPcQL4ZotBV9P21/K/mEYx8PeOs+6 2BGao3IuwYCRl8jjAJ0STdeOulPw38kUFFA6BgzkDAccf+fX4bIU8qu6Yi9zyLSQgaA/anJ/ujFD sTg3VLkI+VqutnhovEsGEewZ6NBGgHRXcyzOVqYTPtkDtTZOJyYsH83Nx72+91Dt7pgKr6NkzX5A aoJpqnIu+3IcM0m6WUVB+2f39tzEbtbVwvwIGLgNnzQGZTOb20FhBrsPLZcglnwJnP8P6t+DtkZ8 8yYI58su6JCfeYyKZrUxwU5U66XJO25XWYOkmWWNHcBZBEQ19+b4yOJ7qNHFuHyON95mu7VcCG3z BwKKNa0e0rrMau2MG/iN9+EB45xuIgbSQRjfcrmYq2xt0n8A+yDE1kiyFZ5Ty33qcYuz+bvirKiX /17tS63tH6WZgj3OZ0qjwJyRIbB8PAQhFfboycAb0ihYYrU8BIX9w3k5eH+maJ08MwGfACZPV9eO mLLQYGsObBASPMIqupc98diAOFGZ/cDoL4X6ebZ56mENpl67ooXjFRrUMk2bN+GRNV4hWsebyhB5 hb40aIS24gxKmbWunDojHSAwxTwmcR6zV+v9MNZLxWbwp5mLkmzxgKf1bj1fvjSA0kCYC9GHEJ7R d7B+2At4/EkftplVohqCcIB+YcH75bhH8GqORPpp0kmaASKGqtv2dNnnnc6+81wu3LDE9nT4BgI+ 9wbghct7Li6WLVn/+bubWTVa0QHCBGEBytFuRLWBV5+Oon14jJfKAIwXohPfI2nTUDHtGSAkBCVX +UJ2xaLLGunT+7MqhyRS1HUrtMwwsOSg4/pWRhOzC+ORSF28v7OLzOvKzqBXCRf7e1s6vZ+MH7lp l9GzMaDWZnAk9XtKwNfQCayQFcwma8K8Y/Jt+xKqw2EfLsFTxpdrR6c3I3BKcgxJuOXQEDpCglWp eiLLS2vvNVy0rBXvqAjUt92mC/vS0hcjlbhoQZiMMPBpi7J6zS6uw1sVVmEY7sAAH8On7pr5YewG TW87OfB0ZtWSDs2xmrIuoA0puep7+lmzQJlqrddQkGHHdjngByXhOWB6w6iY9HOZ6yTZHqhTqE20 Vz9d8w6oiUawVCfxtqRly+nLGAyqY609F6gpdvdyG6porQTV41lTqsP3tsgSEJurlPG4p3/rF7oY dl/escTCBxywjKm+I1Qkcg6UecS60fwY0zWv2d58Z/VcsHfCYd4nojgVvW0d1AC55ncB2oJN5Xkh lM0X/sDLa0aPQSqL7VDgUaunVaLfHZMAEXsrUn5zbvRYUavnQ+RPUOl+gY3Hq3r0MXPwCDeeqPlL CBQDL9UjclBZB3HtcIdR0hbSrxaGnDBPEJnCnuj9n7fbKLcTD9VCxCUOM92lZg2LAyxgpqFSaWSG CSFgKMalbXddPNXIzWiLjDjQnmayCtje+t/jjPV48km1aZsGCrXmI65ohoWiY1ngbbeTSNNkop/P 84O9iFejpC5qB6P2CyGiOVWCjMosENECTNRp4VcvzaXPREsrjt5DMhXjuUSz/7NoHZd1b2KrdRAo 3lViyMUrO0jyWKzbcg3j3sJI3a8ju4t/4c1dKZs/YDWLu8tdyuhq5lw2QFOBuFsjSfuArFwbjEDx MWahxrQG+VKxSxbcQ/NYPuUCC6TKn1IbcMK2TPZQiM4tZTQjLFM9fZNVYDL/lvLpS33Lc1nOLS1z 9aAbNb4dMy+mg9W8eezatUID2QxAzXiMDskBY1Yn2sjTJ3bChd9DGuEOL5nx/WcsOTIe/xowXEXW SFuEZ8JqghKZsWRql+X2nMr8zANLTwZWXthfJcu9ypZxF5y50dCBJotAAx8on2YHvJSF0o5Tjf/o b6MkTksdsyZ7CP7uZs0+siyXl90IruQ+WujYSWEtBZ51yU1QIJSV2/SJt6DNOS2SRAFh0dDffXpi qEqx1Vgvy/S9JSowdUFb4VDfL+m9fCnlLLmgJVwQapDIRQ5kU6Q/e69C5bAHdqfFjtrw0o58eEns 69RMlfvg39YGBFLl8Lpc/3WTGwabt0CQMgEiVpgFvuJfEjzkpGJcQRrVDa2O9Qdum5qejapE1ua/ KKrG0CZvOf78dfylQqUHhdt35x/8zEZfez1WTmQJ8BUwY0EUaUnKyA2NxvQctk67gRYu8qhiRBCH QopWpdTxJMseH0P3fxhpBygAIEnSyuZ53EG1rSK/Ui7aN319m3gRJQ04nGLfNOWwopH8ASQsIaKl 8FxR3VyU+bswB7xIG0vH90wB1RLnmHrF84OzuNju+ms8etLvZ/bxbkvTHfyp8jtNB96kcaNZbb+E 4FUWLRUKUlUgeoI6ZSAAsgDINGSMS+WjopjOQrddIwUs/KmZizJTgB/ftRr1aGo4zbNNhb657Z0t 5aJVc6lkuaGVBmwpEe6bz9dGPWSeBnvCmf0XT3K0dwof5w4SepotS00me/wHlYVMG56qZptfTXWe nzglhqOJPAjnmljpA+fGFnXPR2ly7c4nkOoagnKdGj+AzTiUc5w40+5YdCGgOTkQS6zLNLbqCDCR k73GwvWTFtmtcTG+SDRDvDYhr+/22lDP6NiCsHAOr7kPNL//UsloAc/So8F32jM8/T6iIp6aQq/z d0MdtHQ7z4uATLR94a65yNYu8FGofjl3Ad/bVFxAHTKRtr1NcDkLujZ/dslTghsVcr/sfWpwnC4y c0IVJgC2G1S2EJDFvBhh92GvowHqTlTOKLeh3bZLSr9WildbYbh4zIJXey9RogGv7oZu2Rrji5ku qPPbxxKl7tv+QmsHvH9cgxkWYiBuO9Xq7deT0n84CpEGPpMwzQZAmwi4i6L8f9Mw49eWOxWOWZI8 JplQbK05rmW0LiVfAnxNiAa7N5W3glGGOzrnbM0XSC48zwOgptyb3BMlGCAnDQzU/XUp8vnu8U+c bxgXcDXwMamNb4Uu5qllUGP2H5Uy24IiqcDYUBID8pMfTz+fQ59DPn2zNWLXLq4mZShFqjxqa4CR VE9ijmKKlXSxY+vK7P8DwL6PVgy3vgInAh0LElNqjEJjvhTr0O5s+xwBmV9GVPVdjJ8U9GrJ5/VG pCZAHPFVqDrMa3uAvzAmof5+hhrLx0CtNYu/5YLljMvMcQK9AaclKWGrn38H9YRZ9TCvvngjfR2N 8mU9y6RimYHYyMHFr8FKqpcuhE+fTVlanxqsd5pM/mLhbB4ByHQXaIDhZLrcaCulhUea7TbU/dkT 1nO5avomuzzZHDqpp9oIBRST5wdOBe6HAo+JDfjbNAOAUxYLZ4GXo0sErDUEWwKrQWoNSHo7ojUu cMqE2trEX/5CUaFtxn6zSm3wQIqZ+D+4/RaDfVrmhxdoiqGRwhZvkSqakWwgF1hRozt9SiOrby5+ 3bj6NQqDBUjK2WCGnSpI/ZkRNuYQiXNJxmtNx9ChGxY4hf874vJjJJD2uMBs+wZQhZJX7DzIjyWU 5BDHYChrTQ9iXoercfHCs4mV1J8rZmVBwlQF1GBTvcOlKNv8EgjaK6vMl1IxyvW4ZdWN9Jq9+ldk bIzoU7sqVB5RrII3hCpYwSQEzjxM5ge9RGbQykUEkEYpDJl14DCj80wm2K++IRQa/A1NwRiwDSCi 7bQrp3VXWatk0oWj+hmaA6Q0G+Z4j+cjRyYOh3Kvcn+umClQFEEwZDS04VwKJQDc3biQXIFAxo63 G+JewxFisDm05fj1/WPWdZ7VMk2ypczxRLVsLCaQOyZGZ5GyU3HunLnEI6JjmfN68PDZ5zNsOR3m 8kXacS+JKV6CDwxCWPnVyPsakBtt0DHxOIEAvp1qDxM7taf0vu+KthrYsQ2iuCfQbA8+pMFPg37M cZVqmR+U1iSH2+ahlUxVcezLVbs6MtGil4uyjgEO7OoyrXja28sbKZlMWuZvlpLTxnjxfLNWFyG0 h62mlV6JbICMptYvG52Z1ZNLKb4PQUfT6PVY4dXMC5xdRVLk1jQeHHyrYMwPRVmID+AZ6Yux/M4V 4dfRbTcuRX6aw8eFV62HIQqm0FpDtzMrzko+j+6rBovJZoTGe14ruR2p/TsnHrlTrBmq3tCZ2AOt HZYPmoWNgdEDDbHyb2QLvlus02phJP0FmdLzC8mEjCYaZ59CQWWT5vN75xUgi45E8KzTaYVpFABV DOF//pHQ5AX0YBVpzLuMXECwxh1YTkiVMeasNDG9Ba5nMq47U4oLJzFEsMHlkPnP2j0/lol7kwvX 4Pzu++IDOGxeLO+9NvB1sBYfmIcb4K0y77FvuYhlIyMpbbmugWI2sZmRzoiCIWwKGnh6dbw1vVLl 2H+XP4cydm7ZsNKJGvnMydBx5pkObtasb5xz3S9ip+LaxcUv8nF+f21BiZf5sFrHFqX/hGI/Hubx 0VywPnuq8U60h+jezg4ktNQ98IL/yNLsYyZoLmVJWSgi6rP1wVHK5yzpW32C3S8Vde1QtkB6kioC zM7yBBrk/sCwdfs7alnD1nXUO3uJqQjrKJldf96eFQlhQsfUvdWmytWRzrrjpTV+i76emtBN/P4f xDAk5XE91kxvaAAe56TC4ypsVUHNdVCYTBo4o/+/H16DovmKsiAzHuQanC7NpgduK7LQyEsbCUXo dhCzG17uDMb2t2UjaVrQFujnZGN2RouFf/urfdhf8CPmElA41DFviInnAYmIstqXjySLWW8c/Q0a KHY51JG0KQuHhXtaHA1IoIML1H/HfxmLZkIRbCAcPjGcWh98oHFV5zevUMImNqhgFjfAJo5Sox18 zLoGEhjMYLbr9boTo9RvnWbte6g1GIZ9rHPvOB7e/sIIHjlgjiqoFqe74pNGSYHGXm79duT9SbFI uY0yvvQFAzfxQ5reLxUM4HH3u0Wnrn34GrJrpWyY2wmY8mPTpR9Gd9XeFI2bmX7sSChcGOVsKc1P haEB3AxT02L9og5x1gTKZjHAB/+4fQClZ4acRVPT3B7dVjTj/3+Rnfrk2DtCGTdj48hq56Efe0fd 7HfD69I9gs+n85ZH5QbYucocqJ8JX24Brk7p63iNrCNCNrw3flknuGXgS97lw+YNuksv61oCaZxR 7G7Uzn7bkcqvtYtPQmshhIXwRWc0CsitAVHb9FSLQ2BKO5UwteVJAkePHKm1dNKCT8ZwlnqqRFRG 6rbyM3FRiVFAaS4/nT23e5rwzPBTDA+mjR2PcIgo9E/GxC2aNdFy8lfr4UOE8ykhfPgA4A8b0sNp CkJQf0sYdIqkMJqHoGlsCLg3ESKQxs+FCMx3dXxOKaO2qVJ8FkGy93x3E8AYb7lZnoSjJox8jzzT BpEjGCMudl1uzlyC0wITTPX/Hrlgog4zhvZrRx05AzFqbgTbwkSCsSoXMRXREyJ8EF6fDEtGZFMX fWJGVAg7zXcQhoAuxkrGUw9ukuYojC9XQjcGAe3AiPLKuRFXy6JI2NnmhQb25Mq66MPD0NJFurrh NIqya24HDZAYjziyXm1LjBoE/nwlktsKs35CEsIftploabAfypW0eVHIFOhJYHV8Lebw6CBCazmx BuCsnkYaSXIW4x1+/IC496FTwOf5jrH32kuNAqesJUvdV+rmbaFRd+fLqvXCmvPsUjvr5XHydN9b CWJ6XDwKk2icb6RpQqpJ9JmbxkMBFsXkhISx73xoXcxvY//IE7BYAAi0NKT5TnEy8ir71fCAT3ed zJW97jPYo0YtQebvP+guEoyMRtPoKgTUfYmD6T2/2ZIi89v9/MWghuQJSzcI4edLMp4eI/jKrDuC K6nRI2cngnKYtrNdd9oa6Lw8jO2R79O2P0pULZlUdB+QQhje52ci9qCIfX/UBrKwoICDTyH7zS9j nW1SsEwCWcdGuLAUMwPXVFXI/syRj2JBV3gXnLG2wHrpsciPS56CZ480r3daoa+YZ2q9yNVEzfAI cJVMQqPPMS94wRDwMExNEeICwonXuBrX79iSUuG5Yy2tQbrhbORLQED16heHXYJtBNm1JAc6rkgG PK6ksb8oxX/vqBugeBi3NhTUuiLYlD55s6suYOp9l5B3lTuJbXuoo29h7rCpErpLeIBeLcZeunle RmzIGfF2/jqvMKx/YJRrFrNqKTufTd+d+P3NydUwVUTUtjHS888SHCfkKIiMlgu51iAVOSs4chu4 YFaYnHPeUNkv5960f4RSyMQnJEIurtcZ+1k6DfYWY/h82sF3uHwNlC5xU8/ML0akngfEkWPhuPYN 0jshXxbDmm7z9iaCBxMSGsGZ673U8rSbsJy96EOHEIWFgXmDKY2P19OG+w2irg69v/DlI1s92ceP A1hX8DM4MhGRFcHk6WQ5ZZ7XVKsyHqNcnEK0Mmy3rkwvmnATszIKjw9M9480/4xF0pFvcLR8j2D0 kTbtZxf7+1Ncjk2994Rrzqc3nCTirV/o819OXU2JGC/GfxOLhtMtNX2Dk/7eBMj6uj5UBsCEIOCj cm2UHyQC9jWqUPP1ptUHZyArmpIDpplQKtJ8XktYT3Cq2Zhnb1rt2hOHsBXLbUh8vCIq4A7FU+t2 PW8GnGvVXm1cfNp5aUnEK+pKIwGThgmCsUT+9HDjmNJ08BfG+gyCWStNHQ+8zJpHhartJOG6KOg7 NGdhJuHhYdRVS7YQXV+3BfBQXGzaXpBihXx3jPrs+GAqQyhFtefoUz324oadXXAaRyH5OVRPnuD4 N/hBYvW8KyFDmDCYX8cwkw6+9keKHPpL2hnpjFjHdYjvlYgRNq+M092Cb0GgaJkmu7TesAviscKq YRBj7KmDPcA3dg4FvRqWWNvl1mQZ/gAR0no+ZF4JWlNROUjqPlsGX6oHT3YV3jbHM8icdVIEdPmY c36LGuE1cwWzGNsjOkO4WMy66bIJoDUh9S6IveBij9WN4BJ8w+8jqoAdFGma52vRI/de7VSx7UFs 4XYv7MAgLw4q5S/ZLBqZO8mUEI3RekKPUDzNOm+xgErv5RQO53kSoJnNsf4QCbImqgnRCVBwMFuO rGxEyC50/Mz+A46GBlSZOGS95ZQnNSlYIeIYw2RhbYpiwylo5XH2TfQxsHVzuxKBvmcyrVGzhITf HX0TGsRcN6SJdBa3HIFopr2e+MubGyPu4/dbh8ilqnJAgDUi40cAeAURWpc+U6qlRZ42xVPyZOqG uXVGU8YNDkjYvI/ebw0alcneVWZB5qjzrw+uvqvs6wpp7Ju5bF8ctWzDE6wJ0J6SCnc5yp4Wr1Z/ wCa6mHBJyBcd9BGliAEzb2tfUjDfIo+gpuoY7fJxYEhNvMT8TLpJVm1IGcniNFhFCQ/mOSy8MQGt uJbrOMkrM1nnEhm/iVDu/OpVvAT0nfLDW9z0oqeC8OPXgiUthOKcznSVqGc7DQ6qIotVNOqBnc7Z PzPJgDG917Ozytq2HLXSRJxOlm5rw3L/fywAGtOyw+ONA1sgkhT8wd2cWe8++wR8IkHyirU2IyPR z7HTOUZptaDfYEwEP9/+AJV/wsTDyFB9oojhqjwoCKHwsAmzynk6hKjmeb9iB4vGVGP3cTm4AXqc C+OFjPHU1IiI0oAUgs3IWuq/tL4iLkI3gdqAUVZIDZYPzICwgqyAiTVF6lBi5LipaHT4K3kshhCm yWlx+G58jTy8jmBqaDvmVt5k5d/CCnBJNyBO5YuPWQSpxqk3VkJnVTp+MXuV5rmxEhAxykAG9N/f blcFXKOta3gUAOasTtqDbWTN9S3gqKr3rSIZASHvuNF/oGUWVJC3IvYTCcK0Xwp+iGhQP8+wjhdz 4H7ydoGrbzogvztWHpYw+jgJwC+MXCVHb3E61xgTnIShF9xOR2jk4Q2aeCam43X1yDKKouBRJxOy QxYtvw+QW4c+ZPN46LnI8Ay3N2A1hWarokMlENK6JcAV4X0MM8XtmOVX/aIEG5ZktVNlBrA74JhI Nzj2C1CPB5kDEQeLVBe9YR0SY6q9JH19x3R9Jw0BEHt3eG4OIFLOEkENgUhxZtAePgenkWNQyXvo Os5QmY0GFz2LVVRKOL7thJcAG7Om93JXamDmuIUxm8x1QBg3AIBhziOHukoHC5UuXubZzRgipISR Td0G2QR2XgmEmbH6LI2o50q8Lpzsjderagswtd4hcD5yaPTHSZfy0a3ohLFI3g7JnPgiiiMc0yoB D26cZgxwN1qVZqdIljC+txatgBQwhPYKXM7rPYJG8nWxInGmMW6KO51fjv2pKbgK/CQpNJcFwJfz mSzGUB3RjhjaCiC46BMPjssSYMvFk1sgU1Q3k2M/QnmDdvHXHyENZQ3K/kXjShAtELWiq77EEnyJ FPhN6qF7yMxmG4K4RYBbtJOgETOQz6YhbBqhIxsxm37nR+2jQmAgyu/0lB0KJr3bxjDwYHNZZEkh l7+34Htek8tHVgBaD7JLTKpZlwn8jUgAA2IgFQjRLD7m1QoiAB0mi47TwHARkKHC7hYxRLc0L0vW u9LXkz77Kax5NfxDMCSIvl6lmkq5hsMju2+zsP/aeNdO0XWyETczQuBb7YPRsZg+rzboI56juLM8 61RgY612mh3emabh1URjhQULjkwHIZinWeqZjNQzw6BlizbcNkh0rMrHqw8gfjqazEw/8dByedO9 0FvWovG2vETZXogQrdqSy+/BKqDI6d9V8ISNk5wm96mwIGFKMmktGrhVTxXRa8gBfuOEVRp7Q+IJ rZ4ZfD6Lpz2UNuAIsa3h7VDaCRZ9wEjreSQ2cXuEKThcWqBABTlSs0TIAcoND3lCPQbUh0pU/Prh LQUHg9dL9SWnR0lo7U1+HwkqyMdHEK2W5E5QAisdJ4JYqbtxPEFJMw5lp+FI3DcTqBBYp7puyj/N x7laeRvjt5/icsrmZjqtMGpw/5hmFKBql6lEEDyEVZQ69vNdDXrI8bI6xG8MbaOUvc4EcCqRBm0J bKG4BPcg9Arh1VJqJq0J1opoD7ap14D0qcRyiRDH5BH75YPTnk+2xGxBPEx1k6x6SMk8fIWjAETM cCDq79AZePpo9GBgbw2gZyENPtu8fSvuUVB45XgVuF/r+uN2dtjOkjLcFjQ+WKwy8ypvybEGk1T2 WB/Zh4Q1Vy3wONb5M+lTVV0Eueh37DZa4/VSn8iX0DpnOsI9jIR71GErSmuqmomhPRsDG4VAezoG OnvINDQROgL0vK9KwvZ039eCkUFkpRWZg+b3lQTTsCwqQxBDwFblczNYo4r9HrnkeXoWa3DcB3yT 6zWG+BLZMOZlUGXltjZ8yI1fUVooKqGUuqo4aHzWod5VnvCXaYJ4PIVAePQ1ESaIS/7vOWxiVHwi hb/P/e5R6qlX25aL3Pdra4jXXTTuFSV7THvwIhmm4QmzYXaQLHt9+/hZ1j+aHSVt93jg8MZoZujN OUiGh89A4i2oBs02/QaZsg/tndL/c/wUw031RogeFLmB9tHaj/fE+k5udHA0UpeHS6FUTNGLqNTO zKeZ3AEyqPyzPlQHzASUxdAQOYNEU5UVEFsM3ab3ZGb3NoTIrHJbvkUjeFsJNWeNiXScGxB+TrC+ o65zNE5eeD1HBMTdz8sCfv0aJuI0rBOK6ZCKNjN8DBSAoeZTAKLhTkdJquLHyxkRKn6ZLtkFvJ9v JgSRp4uCjjLu9+iCel9kt4A4sGu1dO7JIYVSOhHnPn58w4nCDnzyomTIPVSktPKmaxapeyGJ0BjB EZuR+ug497vuPnCs4yVfECfplH9zA16BIQ2u8s8nuotCgmpcMT3ogHzBo8BWNdvjrmNL+qvWk1RW k4IgDFZdmSPVWKhEP+nHZTFrjaI71+2yw+1h6ul9PCZqhhvLMyPmxxT7RQp4Al8dyOrnVxonO0X7 ume35wbvSU2no8rqdWxY28YbYzB7TSr+HQBAQWf25PeUMDuLBys5JHwryK4bXX3SFXoAGGqS7myp pTQMYfLw1xBBKVhQ2jC+ZPky3g3pxiSmQ93nAKHOmqLNXEbfRBRUiIg9F7/rOVfF6BAF1RYuxe0k eQqmuZ4jM8pzQlPLHWO+V9hk4b0Oan4oxBkYAKGP0gSKThWPdWiTjYtEGBNG6OGqp+7yxfzU9Wll J8PKnpDpkwfK19VUEVFsgxkp7V5jPToA/ypEj0HZKgp04Y4eOTvVbBmaGmSMfbddPveaC99O0nyz 55qHteGTSs0SbvHSquVQ9ksHV3fK2kNbFiJShgRn6WCEI4iS4uj9urC+MezDcjAnWxiSb/vfPg+6 wIESz+jiWTaqA5YCJ8UMRJMBuXAPdx4i8YsoTyJNxYJ2pAxnCOaCPmsf0Mt/SCGYI+j6taHlA/vt Q79pngrM9UYzzn/1KsZHuibiqwmjZ2YnLwjpuK7uqA5tUvtRd+YSnSZx9aPCrgo0Dt+z9sbOM7+p mfNvIzpGQrPxodwALXthjGLyiI8rIiGNdQBPmo2V2pT6iCnmkUhWGjjXHYGyWvLZAjGvXJsdkXUY 0KA7Lv6BUxkd6dhl0UPuBbGEo4MmkLhiD0RSBZTrKksbhvAqaO5F0B86eEztp9G+nDnTyJY8L32H JvnFqxOdP5ODlmSWOhMXHsMjpahxsw4dRft+jrVzaaMwgfeMUFF0Itioctc2Tv4dt1qouRkWsDMo klrQ9e/4N8o1KP8twz3VluceGNJG9N+A3b71YCNU/2fdQVz6vVOsbbHY8vtlP+BTG+IJeq07F71t AuIaJiTSUvcO7tCjmEtF3uvey9+vF1s+lHKIOCZZwuxhsYLS6d3NX0yp9EqdrmEcVoaJUJTPc+6X a8l6C17+4KB8lCnFrFBq/hQzh7swoNh5qU74CZG98oWtlqsoEGs7RrUzlyIpLkdgCuQ6qERFV44n 3zFSMztEGq7RWAtbhlJxI/kOWNLcNLiUDv2Wep9boRnmFX3ppVKqlZYDDFZ3CRAlJw3YXFoeyu5q s9+bFw7skEzqLvJdSa+gmgg7HMJYX24w73P99xPGLLX4c1dCoaoV5J4BoW+VUg9N1CpKIL6pDDhU HiQpA2jVtQfR5o5/dWRwTZObJs/qcvO47Vf6E/tlPHhV89MgpvdG0QWhV729T++CYo1Ab9fQbntt GlavesT73rfc0unYEtw6GHdgwRmeRkH5r9Gr6omkuwOjgp8lW6yyvKVfryh9XXwkyt0eZeAirDXM FUswVM8pttKrhX3ALR6Ji5DJLvzn7GcZ2QmJRsgTBFjbDT7x6H7blsy8L/i/b4QeN22G4hkXTyl2 pddWgSRWvbRk0MDqtItsV746LFfW/BH0bmNM3cxj2BijBj0h1aOzVMvSOiFIPI529m6Zbxu6cJyu X5yI+fRwKVcjmxcS8XOHbcIcwZMi7TKybfa6HtydY5BZzUET1foa5QUO6bIKI1GNDrxp0aEHXHMe 8N6pQ4Y020pTj6qYJYHEjqws5EtIofJ4ZNADct2FzPqzR2adCb1r7hBKHMBl87Ek9vlfRjtylofs 9YYLV5mjnuhkQfjoB2JEN51p1Om+rt/Aj80xa3MqMA5At4tafEABV1WxwQMahjbebhwDUyI689Cq bgrE6yFH6iXBwCAvl7/uwAbwYj6hSu/e5M+SeiGNQicfAXTpn1FSP213a2mms3n4vWt1Vqsf4hb7 7nmwzlGQvykXxQKJJTYF4vV5g70+9zMByIta8tI90SDsmpBzxdIevoRpSxbAypdyDK8iC7gGDucG 1nDcjWVDsRXPSfIDP/JUHcWEGA+zOswNHM2W/B2s7+4XcVeJc47JS0fuDMsh7qhgiu9NfAfVr7Xv E33/25AKfOGRlk4yASWJuTweAN6wDcEBGmYx/4OAywBU60MrzuHgPiw55zvOFN2I0gi0bcVnAekc 0giL5i4mP939pOjsnr/+1Iyym+cblXETGWf3nWNmuM2PSWYP0EA10Ye/J83wmopskFGvhN8/oW4F riqDN+fFXIoMoLACk4uR+2ADZ8XvV2jWv++XsipWCa4uBvYWLuBCJOmZcjUh6HNAE5Y0jN7PJetY YfU1bNhjpwv7UyXE1gbcs8Q4Bp4PuG5EBW9peIWbnI11WeqmW5mzdgaRNDfQaFGpsIwh6HX49jLT r/Hx3McHqrzXzKuct39Du1uLHIHXCyf4fG5cgJYOZdthYpxy49vtHbT/ElkTP4X5CH5gqog7IxJs eUsVjGLR4quazLj30zBcu2XWU5b8mZbE2JVcOP1gFCmXWPG55daHtV4DDFaEpSTND2YCDYCYbmQk c32HP6CLipWIgI2uO+RBv83i7LuvqiEkl6SbdePHXe0YkVIqUGBXjgM1BfWYPCpAlMldbEeCKQZF nLy1npKwWUyFtUKcu6hxjGui3YfMzFKc157MGReYjD3Rwol0jbkjn+hCbacuZdxcRGG/zJjb42g2 6omOGE89QAYvv+/9E+JCsDo0e2RxyjMS7TT6J1YL72omygHn7lipPg5V6V4KxX9DOZG08C3/oFX4 FgtlhXPvoXsIynPnWl4tKm6H8xC0ozH0j4mq/YGzUG9fmusFs/gQ20iaNsElxCos8nGNGLfZnpwn VQ/7mA7/vcMkYBsxeiIhIT0+zurLfedrIXtPd4IN8ZPLiNULPCyHN1YaCu/zb7O7dmMeVO08M91J 5qLl/nWt5zR3mG2+KL+L4nfWGQsgBOER55UL/2OeYe+qW0C9DVgF+t4EjiFpa1Kto1nhtEKlCVlS A8Ugc1zzrXUvXR58Fk5ePK7DeIB16PsfSQnjZCkDSekGF/pjiZroMmq18qLnO0DY97zw0r06RtBK l4mc4X8GdOnbZwcFmBJfaGTPZfB2RatfhMM3IQSl8IAXhHEZbS7vVDPM/qRGoPKIjAjLPEP1W0bN oNu0QenuRdB6KcU2121JLkYsbMrI3Ltv755+9TAcDLd05Y1wIfMN98pQz/vwx4Ev6s8h04XmiddQ /pts8aNrTsLEbMno3n4faOB0BIjayE4IiPoFEdHG8XPFxU+U5z3kJ+T9nizNRthdpe0t2zQsAnht 1eBm0AOIj5WeJcEtVwgkgl/cPZ0rMfqcDEnVsfoHTL/hYb+t+0I6RiMr/AINHDTFGVIH5lXXO0qo kBZBBe1vBNmosrEKz89mP3+F4JvgLyt3bnoBxzGvdfaIod8oLG4FTIJVq2GQzkswCvTW+j6HAxbG 3XYdiZJx0YAYxmeex5KyNR0nC9qp/JP9nlEV1Wb0O12LiuEA6TzkZ3p6C4jCPnKme7Uv7X4CQDQc E8U3OAmfDOYeN4acRiU0bX2ZY0+VzAVWPTjdwQbJZWkVthlwb11tzXsHCf9+tKqkn82UFUFFxNNd UgciAO5NnfAEMRY0oyS51/xd4mLWCAr90zPeaz1eRKRQyZVo998o7BiWQ6fCNPneKdY1q0os4G/0 udfnpBGiwhGGtuzQIf30kvAys6eQuR7DDZuMGKt2rkmyqJXyRFoTTyZnZtRn30NdvcNaWSvKOUux e2mxi3PuHl2tiBc3lmWWiv0ReU7xFngOLdUpC7cXZYRov/Fg4/FPWlHHUD3ZXresyGAQyTEqsU2X 7aN/pcQm0450w/arKf6L/sVJvY46urd5Uhw6DEi9xiOHSd5h/Puik8Z99v30QpLvXdZwJOdJq6FK Ke+bZ9XwBwpBH1O7CItFNEKeWaV0RWOBBDgsdkK3ZjBI7HDQsRfuNxFy0OvMA6LuHkytERp4ux5Q vKhTsNonh4tG0VL8TsTuTOeSdrHQeDmm0GA57s/D202O92SxJips9bhqtoJvSg/TwO5K6ZXxV3yZ CvX/cDBrdh4C1zp2tFydCSrL1GiiVwSdnSSnrrR/SKFbFcZmC63R7TfT8G5ANXw8Rrxp+VE8ZSQ2 WX6ZXtreKtFow0fyUCgSY7StYfZ259uJVtSnS4YWNnvad7klxRio7sThGTJwewSPb8y1kLlmQDxr dqICaPLSWFSyXMchFsKiQsyd8M/SLVqKDRSltCEq6V8fmGfxx79ps+I84ZaIB3d3woTXMQTg7bh2 /U+Syr6qWc1um6FOOmrnVTrcToo5ZJH10xJbZJhan5JYHAwAEembXSbX83sEAGbNnkedft4+WCw3 9d9R6M/WCoM76UGAL+nnahacGCbRYzZU3pdLomvwtDMyUgZ+X+QwCFkHsrRhqz7n1vlKIEt+ZhDn VamE1i132ljr2cfak8hfTOjSADYUrsvMs3ReaQC9ottjN1LPpByyFdZdHbCzzvLitsPH98j0zRwF BCNpDJGJ6Yv3iaEf1/GMHKYX9HgNAgZqaX1eXjbSi+gcuOGxPYIGJ+gSOZdpLit3P2aG20Z4fa3w CLGHHahsrO85Ra4K2ITaXqUiff43TiEOiPx/9HupC9jaiE8zKJgWuChp0734vI+5Mzk0SUGJQfWG AdBJl3q24MQArj5xIPr98mU4snQDNvZHUFssZQyJIZ4Nde9EVKl+Xm39qY+ajseiqRNaBNfupCGe SgdYA8Hdh6G1ji82SPsSvleboL0nzxuj8GL7pLuXmlBB1NudSLwxfBMyEpCIXP+yocCfcn+l4u3M c9/MWZFPB/OJJmXle1MIxMGnf8beFo6+RsME19z4JGfB2INBBYCPNXlAU2K1d9O8rZo77EwlObPP lHpKwOb5Qy81r+vRKkOpOj0XQbIvozIoij5w6rI725PA/HiR2xTwS9DLIZz1FwIHmKUkm14tLMJ8 bkWw4HZp8hidI+amuxbnJnLcz/pArEwfgBMZwHIczD1qqAV8Sug3j7JdO+QT9NYv+OvOY0NA1Pm1 Jpy9BM70oBaC+f1v4kXH2WFuaVQsddtk1PIlArdfWB7eTB9wco8iHuVf6VHyEewA6O7BNnsl/fMx 6p0rzqE88QQL49pLn3e9UXcezvM+JOYz/oTyu1FDqangZge74gcfmhta/9NGLa3ASLHOTG8HesoI TIVqcG9wvoog08WZve7sQKXONY6QWa3YG2591e8tKB2KSqMlVouW/EacknyKKR3CnRhDOJszMRZV bodzdq6eczVr/F55tBjVFJlgeFs7xazi7cTPOtJeWolGCrGuncQzOJvb3c4cwAFhqJAhEymYo0fg heNUg9qQ2tHbuM8NDBmgazjFjoY+NVf/tO9EI66VNh3ch7OV8ugK9q5sygWNwB6pei9wbw134jld 7hqrHYGUq/L+u6vOmXL5I6txyrIix4J7jUZfSmkKoYFFuEyUH/VeZh6ZtIbCrFYYXRignc3wBEjf 8z1yjBtWkfHA+ytcFuZ0IbmbluyqdWjv0iv0MKcIBiZLyltWKko9/EAKG3MDWgem5WhcCaCDXeAf ELNvkLUAxO3OM2uAjQNqvzNVd3rc0zEZMg8UlDNqFIVERUk4Q73zWB+w3XNLtlpRkaIt2LCwtiaY nmGqHvMbI+herp+h1XaDLVWzLE+j4zBpI8hOA3ehn8F41AL6eUt4fl+UMDYwyhGbx7Om1YGh7xP2 wpdxfHWBsn70BdziL+c93e72q8x/TE+TVUDj1deD75eitdY8c1fneTBw7kFXrS1dDNXz6vL19Lv2 /kOVTKMPCLJm8VYoeoQRNCeCo6Z78cNlrMhmmgh+cV5m1JnkcNaOhZRT4hCOBBjdBEeyFcEebySo /aPLhs8/l/3gI95DoAd4eo9Vw4Wj4Y8PL9taZCTd0MvAFF8l+YuAjoO7G/0nl9xU9oo3eHHzqqlA ovTkpBdg+kjIeebe2Xxw2MdGSy+FNW0DwZvoQGlxdTxJaSbZgTsDNPepvYACj+K4Hc5bqz862cFd CRIuWX4ZE9Gg7OLTHjyxXoG/aSzG+Y46O4+2ebBWAt2QxCLsDgBg3tzB1OSy70hapouUGMlisYcI 8JGeTJhKtfIcU9lKeL4MuyeNlhhpKg+NCK2TfIcWQ/bbKwWe1MoNf5wTL6McewwyqKus4/+lawOH G8tec2WeOjiIicpr92xhW2xwljJhEdjaKBJ/YWpDwR3ktrM9CFq2inW8WX/nwLSdwOfRCJPdSjwX P/MJcuJHsa7hCeaCu4flIaZGNCzP77XwGk/OoxbQqYy93UCa0v97La+3c2ZPfuYEooqzBjcsGCrM 5FVTM+ue09hnWGG9xlMYeYXCQAfW47MBh9r730nQ86HqlwQTs60TyvogfyFz/0psJ5iNpX0RsbH0 yspCtaCJ4uO0WQLpbRatZ3Y2U6F0a/xr7LlBf4kMYb9NaLaP9vtmqOuc6qSDYBIQzniVozFq+MxF XNeLLkMV9+vux7+R1KdvxpE+KAz4v0qxsXsIk1pYrauY1rJLVH/NH3gL4JYHepsRcl1PNb6k0+hj fMMQSSTSaWdTAxqXoUJxPMg7z+yoyrzKoK8XyAphOIAckj0EFwuqyksoiQ3QkJmolJve5pP9wlOl WVh+bc25U4dMv6s4GuBX5jd8CK70PZsAzHro9eTypHAGjKI1rAZcFvWd3qLqDJ156qD1sFlykZ5M cO7AcMo3JwCHp4ZqpxCnmih3ct+tTgT3ijWCJOnfKNlYvbIXdPmnPQIpqWMuOC/XImaoinb2oXcT I2SxG2c3o5WCoCXFYcse2M37bUGVzqf0GU0ooxsSK4lGSEK1FWBH49GtXLSlu6aqgL4NQ1Y5dgso vq/5jK44VzqfhMobRzxPjqh0rkIe/iZs9lw+nen5+fetik54wE+2bbNM7vglK6TPQj+4o6c5E9ZD 8GRuCYotkXo1y1oLDRoUK5vVZi77D33xOrzX4/4ER6LdN3ZyzpxGhk5urgSISFMA2HDb+BZ7lYja LPYrQHanZOrkQHzw9IRT+QrbQ5PWfrb4tDNP81DRF0DzIC/v3VC+F21Udg/1QuY1JSB+WbR51xnp KO9tyEz0h9ql3H9e08GPkxfyWDNGwuubuhgrjEFZjyV8gQxU7uWpcehWzQ8wYfE0dhXdTyzJ+6Tm vkYUz3bTark5MDgiHHw/t96oXr1lyh3+Q8H3GlSdzE7YZWtF66VjFaNF8HNaGXE8QIwUXJJZGGnf CyE26JZblHIMlBjqOKjmu2JerMR73KVnzOPWAWAoR/6oHbtRw6a5bzE6Zh0m5Mnt9UDCKw93r/C2 REMrt3XnkjgAwBHHq2PX6zSDcD42N02Iqy+uwyfAZH5X1XGn4X/8W7suIvp8HJYEbl9RdpiTdNRt W36nwDHMO09MuR70gdKUyVs/GMeTQNEkioJoq0KqePh2oV8W/qrRM3qDtyk42rsx93AHBrQl7Tu7 eZu68ly5vKpfsDqqJguuFtvJHwgzE9pqyAu42uPY9CqHoaxd/vo8bdRclXX5oiRFnxgt7+jjPUu9 aNk92c0dRaeHvws9YRUjLjQh+UvHUWhp02U9Mb1MtXxOo+tn0SNz/g0BTwRpyYFPYOYmvhwGIsAr Z5dEQBwJ2vh3xdwBukVMg4LqfvJZ04a/U1cOAT6+QIPZkeDuwTQHKSEt0DQNgWg2YWRjuRU1OdA2 9DsM1oAlBwuKhZfdB/U/KdgvLRZl6QmlFWhFWYn1PrPAzY4ujxge8GYcHX9xZgpE0zrEVZGZPRH8 VwwTapbbEq4dfJBWKnD0ulAaFAj58ZIt+TEgUdYNK77PIsjYPsnvHtzeHzFyKbzWOhnEcs8Q9uP4 6r279P139LoXY72doHaDA3J3lsLi4JlVcjci0pBHxeBTZ7Qm3lV1ZElmH2UoxmFMOIryhWGzl09w 76nPDBEIW3infyC7tNt1qUlFZN92Cni1L5javjT5WBGdFWo2a/pnL6VXL1t6pc8CNHlh+X1SMEuc 8vFWbbV5XYyEK+LwxHPglm4xlfngZTU3rOPZlNrbR4kWuXTBaSQJH0M2L1eE5+zbZR6icIMOgw8s 5TkVP+SsarY2r7GUtt/VVgRXx3i5Re4I2LuYq0ZrmrWteLcpilQOAjyPr3I/KNToYUvhpFoB49WB OkaBkAzs6GvPKh/T6NZGXSHFjCgPA3Cixt87CK6coHLU7c6CfHfJCI98swUyEkmdpKDbST12f7GW k0BcjFgridjNdoQMwqVWmT55kr3vtr66Fr4rLmryoHCnph+cINKi1Ky3kcB/R77KRSDDjh+oRQ0s vtIXIylaisrs7OWL4skxex+jxnXSQAK03MovqnZJQWYubpOKhDQpnVYvGIvMBGPUag35p8qy4pPh xzJd/SdatHSm11SVbEsYd1WwlcoUuqmjrQ96RXyFrQVyLdmf0kFlHK7DC5KSOELF+Wq5mRRPYbWh 6mOmQEPk1lzUvE4ATLZ4BKruWTBm0Bsb3yG1QtbBSfG7PExPnxpYiaC9yUyVIUx1CCAAM3WpRvDF gKIgdxBVXGaX2w3EMrwbkm5UaxqRump8MzLL6ZFn0lTw7mEUgvQZcCNi4V0+r3yS6SOcZzgh97Ym DJBikmpwmvo+ZQvY7a2bhR6i/2T3LKK6GSFxX/QCxb9jEMvpsRz5EE18sGTJXwPHWbki7W6cy8IP rww9m5ly2w5hp4kCdcraVCHR7fx+eA/FeYXgWBzPBRNp2XpvNOznAuYPuNcsVxlyPRQtRotLmvNR RTurEM3sCJZp/yv8XwMJy2Y4qrn2OeEVxSz4Smcd2HlHnydCCYb1NSX1+0FwSjf9h1+P7N42Emvh X8OdP02IsvOLcmS9LnHT4KJwPrHAMXmmGZJSZZ3nZ0HPmfTmalvk/OPsQh9zqxyj1vnskaxmI3N1 oDbrPaDYtCNSAsmNmXVsj1JBIJXzSG3VmzMiMoWpJO/I8VIZ6lDkHCfR5jW+hmU84LcM13s7EXAr exbMnprH9Kt2H9/k7aXFOIETvJh0bAt4bB8wau2DnF7y5dbS4ZLWfRy0wKFqZcxj6n02j9iT49Om +0MjjrhZo8NoL74CZ5naeILKFydlktc+lX3CYwpGL1x9DnH6Z/8q0p+22gOx02Lm/b3Hi+Mvr09i 6EFBLADbif3kcEydV0OBP5IhjgDz0Pt7wKQrLaATe1CgLF6v/mkeSV9VbjBiKbnxqk04Xh7ufkfr Ip64twDhYVbnmtR00kQjjco0z0+XAlfZGXsTd2YtXfcycg5YpBjdTKOZZM1Bs9ib7PASioG5R+ay wIvl0AgThbV9BwEPXAkK/R6z2k3xTka/vWKnv/ldvdsZPJlbI1B1UWWCEtPJ5Xol4mFTjW4DVCU0 ADKZXLnwOukriVvgtl/CxvwR8kRbBNqeR4/jvqWXTy03L1s5DEqarMqaawH8Tbu310chWCT7r+qu T9CsqFTYZICzFZ78f4spOMHco0odCHMNCdQxbg1LK6SHUE3TU+j6OR+VmKzHYkizh6o4xJyz0NOa sSvzGeoJf+OhHC5aKPH3+9MJ195T14cmImhcSX6vx3L1xgiRNAnGcOXctBX4nZxtxlo/3Wby3/n4 ygXzcZUaT6iD7rlh30TYyZV0ii9cN93KlZ0l1OeQwzU+ANbAmziypDukngDmxkESmnVYamur8n0u OtQPtiIYzhp2f7g4Wf/shooO62WzV2JF5zzsM3MDo2vHNFiB295elC/eztQ72uiWjop0M8/fDo7w Hf5aqhqFm75H86zogZC5fDX+26jezuyQz3EZmpxsBKc35WgAIGuI2DggKrC9T1SIXv1RHy9aEOn1 brkWWYp9NPPh2XPYNjez9YCNq1A3dnQbPIfiRTwj9/e+Vpq9EtWyaHFeC0KBN/t0mttZmSEgm+HL X0C5EMK+IfWawyodcmUYVln4kokoveTx3S6Bir/4kv6y+VLUtIZGfY882k1LyFkYwy8EHypAYyrv tZheqaPxB08q2Mn1u3emk/iuToyGXOuzZYU61OCYrCWrlhen4OSh16wIKDjTY2dG+86kin8EclpP +/ICUn6Y36qVXGl5lyKGvNiEsICfD+7/1WHVy55KLS/UNN6Uz67tG75Qtu5KqGV6RH22CIHduLNm Awfa5uGNEABT6uEv8d4UX4zKE/z0H4NjFS/Tma/rfigPcLqDbSJRzbqeJCJCcUKfVagNKj8p6VcT PhaMffwL4IZyTMsCDMqYF9Q95/5LoFMknjJrlYROrWYezuegIeB6yRjD36wyeDxxnKirGfW/yY2q vfqarorqzkr7K55bzxDNqMqdWGKN1mR+3kxE2P8a5PcY+xucAQ2SZGiFWAOB5RQrQTDJbzMUKoKn YggFCkAFsBpYRulYBYunZTSdqfxopCsF45n/djwMDbV3sKaezmRyqLMgWwIg6aaO6WYH2wnAQYyZ sN3B1pDfumfuLdbIqWei/8bfwNdqwCGwjc8re6zXTXDx5hdnhdwA00FjkdoabsaPp02FUYEZ0jxj vEqJXHc/AwFyDPfC7tCpCsV4Cnhj+ujriF12k2uhSgU15FUZSLgiHw+BfHIE3NIbB57OckGMrVPu eu2KdE9zvDKdCYhTywG4GA721rR0mof3FNG6ybo5ZMUogwpI7ZEWZIWUmJeasmft6UwHUvVN75jT G2CcE1Esp1+350BYUaORmhq3NEYTTfjyxBsF8WQGLolPV69axfFYvIpc/mhksLEifjBBTH/tQ1sc z93arD9trPLI9YtQl9vSmptUXFDv6g82Bg06NjjLnqfj+VJfnzr3NRczH1GoBTy6BDhoPtvTLVBq Mn4LrcRIClbZBOcx6NBkSxHYt3Tl6z3RqfNrTCVRr9Jksts4sBa5cc3xItkT4I9YZyVwC+fLFItH SlSsvczIX6Y5YdhTPmhtM2mW14H5drQWK0J5OibhTmf0hl2Iu19Cqk6uMY+Zh6dUIZOs24wfQGXG ChMgUDWfeuBDyRtd5zY9g1Ts3zL4oRRElhC8mSTVhtVb841gjfqni+9rM/M277UrjyLjGVLYVXaM VAlY3jdr/d0py4ltyW34E/NTqp6xB2uEn74T9hJz7Eky/D15zv9EtpvDrVNbfpc8aZ8ii7xLI6/B KSMuE9rXMhOd8aTlOHZ0qAH879k+vp8Ggs/i//jxNY6GoIDG9hJm8vGlfOT+I6Ys6qKQzIy2sD3i PN1APLaoVOyKZRbb15sNNFNr8FNvSZ1VjuMGtceug1LhDrn0LCWm/qONTZPi89/tq4kW9poubFbp cjYP4c1C9TQIRGb3kaC5m0BCNjH9IuqBT2yJjZuRYyC/m7cFagmtd4Yn6p6jw3dM9nEROvTkZSEC sBU1vvdsv0XQLDedKrr/M0isaixApxzuMjG5dbdyxpd180ApnchuL+7h0GQx6lzk23Aly+sp2yzR 0qNzC6SSDaenazWQxlD3QTKs+9hZm7BGbDLP4twryoNM+8ZRKFN1hvgy7Crtr3A2s3ULTinmhs7w JxoR6flMFxp6ervnFu9BbyG9ERFy/0hceaYSt2kn2qUNGGSL0eud7DwThG70cA29Aqyvl7crqzda rNrjrUcWtgp1/01ihVVS3L6kmFSnSqgt8WbmeOMrH0uDeRKKhTti93UUl8LzTVV8i6np6I3mGxiu m0XjmTGpPoHp0UYX87lvSYoRn8LSQ7XBoowvfCVy7F9hMTTrExhWeaxE3/b9eZBRPwjGeOMnE54g RyvGHYPm8hpN/Tv3ylgX7OPySTIFiHA/McBu+LCFjIrqXEholeYWPEhM68PmTROXYFM77eLPXHfn 5vbG4ylT9repNEUM5JaFQL1irY2zEvUMd3tRHn6w6hyJVJYgeEkwy064H/DLt52atadh6FNKARbL j+w1pP1E7QMjmzxcFrIayWX8EI8Bt/wv3lD1wGTLI874sRcn3VlpJP+Lw0w4Y7Vp8pVG9jfNN67K K3+h8PpPo7RkShyl77jVMGcB7Y9GN1gKGHypHz/yDoG9T/bI09JDTVJWpeG5WgBMMwlFnsnxLj4Y LuLMuFQvIiudi461Sagdl5YBlwzCOGGKD9f9gqEcNcHqdsRjkYfP0yJRXj55RorWEFq/v3w62ZvZ 2rFN8bOjYpL/ML5p8DaDGrFGy+3hG7+2Fvki2xHKZsBI6B5aMNfRQcJSY/XzdHzgDt5jrKr6JaeZ WnQpN8Jm4L8EDGSL6+WsV8UwOMBP7xjATgKZ2HjlNgeq55v0TXGM6raPCJDYMKx1iT1viQutJ1ZD EByJfmnl+29QyUI5HqhJOkKu2V9hWDmE1gB9VRTha3+5c8OBVauiG+iPt6k0OezzdNQhxWhYhY8O LfI5OGT65mt5fxO/T1gnK/+NECzhrxgciEBmh4EIg/glCO47t4vMU/T6/wXyzeEntRO/x69YQFZt 1HKYBP5sKrFuK6aH0CrCF7J5OwfWuJjsl7aSgnQJkeamL9U1Yjs3Mrl83YMI96YcTKm9zH8nEH7a 0pF6ye+TafX2VEj2siVU9bBY/9UxDLpind9JtYA4QwaPNlZeLncwAEkdoZ/K5Xc9OyBqXrbi5us0 oD3ixnrLeG++r0oDBVOHxJPRuj9EvZBL4JTA8dooPdGtjSMMQJpbD6kjPAP7a86Pm7H9aXpobEI+ FIMp+8/RrZod6mWe7XQdUbo59sqlLk3wmYloHyi7NcSE62L5ciLysWDopM1wX1c0nxS/zJBurniE BMkLLGwJIVg50h7Fm/XIiLoscpEqi2Txe/O9VTigNNPh0f5UjzWeJx4ytaBRw6IcNUPDRo56nWSH OjrC/fugsHlA368mnnFYjF9BuI5AMalojBdq4b5HOiwyagqnfGqrDOpX2krfBZaQEYd0lpXxZxEy v8UAGjIA9CocZsMGUq+YPo14+eR+D5ygU0gzer9KKMlShyyo+umGvCLgaE5RjPAg6Y3M6C8SkvK5 fN/xOT3ZUgGt28PRhYpCZEUjLloQtRvLJlPGL+hwIn+B2Cmz0ejw/N0aYOAPFNZP012Xbl3BSMnE iiewtDKIREz3ogkZPUYfkgZsHdNljsN3Tddx6dsAjsS0fIr96B8u/icyewNNAM5N6HA3Cm5cyeJ/ m+Ko6qVcQJ9KUHpaU3HBEjULnJ2jFv/8ujFFmd6I3V7CMGaOmppmlMFjSJRpzBIIEurlj2ah0L1v K0J282NmUoDrb9nNVpSRAJ0Gu6Sa+UWumKyAjscs/D5XZiDsp6BcSdSel2hZ0BPybWTmZgRy9Hdq RcoUsqHYJULghvqLXO5qVMuuTSMB70rzARUjHWNbtPcfHNaBQ5A1OWDRb9z5ekeMf2w7JlCn3xKC FUM5FvBAs7xbmsXRbf+VjZs5CqnEAfvLZx2FcZuZOLu1giXuUKKq0T0nDUI5A5MFfabHdFyoWofk P4rxqpc7G9J2hgsdXK/X8eX8ubrv+SBYfU2TR54cOR+IM+57Gy8EwpLJcCxKRLijrmONGhgP80/A pRja5j3XRwFHXp64cZiPlk8DMZLIv5Vi3IihgM0i9U9aAzlYNWHxfS/gKyna85lRavdM4Z5jQMc1 MoIzXvfklKph+vcrpeeDxLqhjKamzVdnzDxlieLQmhnOe6qoj0WMn/3ADM6u1uvVcdnT4FlQRs2M cjZgSS75ABNL+YgJN7L7sdbHo5Q6wBf9/asoHECV/j3HCb/rH0R5+FGzY9ddmQuhs3XZqwobCIW7 7gT6nRCqnysbiuxrZ4DHYrwKhyzvfAef7BOblJ3FxrYjiv3ihej8qsAM3S1uher/V9MlfUwmMxQs R6mYrdhB5Nl2uQSwTQPBhZVgVXYysHGtpRiXf7lzQOAyucOFQXbJbqb011wav/yu4xsQnTiC2Kw8 hbPjz6HK34KcdqQaksry7E5Ky8kOEdVVP62b1Gm7M3BsQJ+x/Jmtfk5jA95Mt4ioPp+yzLieBwyW 7OWXdZ3ljpeWZYnvBgq737FqPLDtcWvZUGwEMJDEjkHbw/IenpHII9RORA8IBUfNuCj43JKoi1vk Vf5Z2tR0GNfwCnm9i8//T44lT6hKl9gAQNAII8m0w4xf/ZqYCsXFyUVWb8mj2zyuVrJ4a85o2oXu m4ZBpENPTpueRLMGXcpgWa8xQakKttR1Sm2f8fomEgIKc9d4JlrzINQYlt3kZMXq7llFWsDCxGSZ e/7uHTbp174Rw5sIarbfFjI0bcUrEW5EZ7xmwLI5V/u+kIaSMt7L1ps7m23gXGQAzUgg/s923frK +jjUcZD3O+6+aAXD+h0S5RFlldg3acUVYl9zbuRLyHrBcDgoHtG3clSav1MzT8MZMyfVdihn64dp tTjYJSyJS/I1HjVi2Z81cIrccd/JKYTUtozTNDh3HHzhMbE0nG88EOe60UDKEFpewZpFyqAeiLde ZvLVY08CMzy4LpmoIop5mvFO05e5XqnYBj3XGlWIMP1iVN6fLFzG9pYHebUR2RAhOX8S30UD78GC 8xDI7Z9jtWUXSTHNNFEY6B05aLVbZeHC3cL2BfhQBWF7+7PAoiYvXjgWHrj0HbtzExDl12pB1SUx UAEYz7uVe1EABuHypJ9pZzaevQMxUWGZBzYQ5byOAFkQjpogvZgwjkhOeHDDHq5/b69UWqDjTi4o QyxlRReVt9zRn2FixeJD+i3ZlL59pzL+qPrM6WNAScJSH1QZxexoLIuJReDBDRmM3HCmPrOvgAnH 79t52lQerCs8vSOvOC4bMcMpNC2Cg+xDtUowMI8mHmuPlQmN1jk90FpppU81y6g/G4DK5dMKcLH+ gFtlxw+MkiUB7EvQVuXK7oayOPuq9jtOdLVDrRrOeOmKUPNhlqnm4YTxgg4tgmmJmjhUiznP0z7z PIcB9xsljc6YLHTAdVe8D3f6dd8KdkUtSueEWatu1l1zvMCpp8MJJP8txvTLdPsVu0qF65r2sEmN z/5QJVKipzKA5l1lV41xI2PzH7fPmdtl2251LZ9ByKRji8QxSZnJKqTbz/zoUjmpMJYfyjScw08H Pv8uQCO9Q5MEQu/l4a9ZAmULTXvCuXt+ayGUTfl3D53F3A97G+57iBx6gLII77kDIyNBeTA9OwNR G5sfnyQ3PQrwlT0s0HSAYsNqVuvWZ9IiGXLOqfizLT9f4uLt0uT6zIG/VmFwBZW3Lo+m5innGpGY I40snGIkD2zirXS5Vr98MJo2i7IHS87Er56bBU1h/58SywHFrJg5KBVRe0XyGtV2c7V+yEa46Raa H8wW2y30hRSAliKIzSFrf4I39UaBxyl5o0txAiKoMmDadCBYQtdfcmJN6BJGR2x1R2y9BrBoBDWF EkcdtMd5jbEd6jJnU+F04gSjsFd9QzFIAjO40kqDNpFQaKOvosdvSFU092AeU30Ul5hMbwuMID3D /m3AD6inwg10ORKoFY+HXZVNXBV4YJmClT8aM+XwjgmCt04+QtPorXyavSscTMYv/6VReWrASTBC Z/2lSuzpGQTbysONdFNU7bs0zZt5lifZ79iPgPlZc8C1HVrtLXGRHPSZ+6n5aaI7Wg3aflESOijW G1v8OK9CWq4ohaJ0aZL3lXg2KsKA12ZH7dI44ndR0afovWwH+Z4eOCZ/E7XfkfrDimZ9uiA6RSKt HtePgTJAca/Mk+tO8bX4irMd0OqfHWuDbqxm0v9JDNhg8VMWvs1V8196IeZvXy2MpKzzE/KKjj9T pt09NqQ+sMJWTJFN/813728S6Bg7o8/Ur/8bjkqx+6GON3McDO6YgHJbrNfSQWLTqTWTyAQQDpZP 6ESF3JnmrFUss1/r5YnRcXxx2GYLFn7/+eznATaYBYJQu2s1KIEk5K2edefwOXki6KRjyrvUCOAt e65hww6lOfJNvRt2g9d+PgtrKpWDnR3E+B8Tp2sThpui27yn4fZcbxIuQpy0gzyU/18ydkFOKJKI p0QX7u9zviTH9D3XNyt0qIH9gMmAg8VvApPwVUbGSP9fdZHADVrptOeZm+VDJwXDJBAmpZQLYau9 Sxn2tgoBOF1ozbb1g8ZwoqY1rTShDPZPtvEKeD81FGO7LtB+iqfVK2bd+jIfNicuGkKrs7w+QqEy 81EWHgL6tMGoDCbmYnhzSuqkFU3qGo4wlfsdg+3Q7sqtGgg0uW/cCrBqhxkiB+qWVGjq2fAb2ZC2 EMsmH/aWUXzsC0+ActCzT2XI5iBk6SAUqGnNtz4WHS1yScu6LYCPoa/CLR4l8KsopTjDO/jw2biz Ck66RfScelKt9OfGq49+YxIZhgeFQq3yoX/sTJpcrMAwQbHKtCY9WOtoXgG2Rl1n6/4FYKDOeqyZ ETpKsgpfSFl5SNurNEFjXwG2VgkoXmTfIdjTt6Tshm6DLPKYONDEJtJbipD9kEP3JF6kOR9+Z58c GgyokS+DNxLUyIAk81FfZK51rZ0ygd3LxKoSwG2TATi4TYdJvGs9l/DeCNOYaqhqycWCcjWZTG1W lv+gz/PfAjx96nEXNEDblPv7u3wP+KX39H4wBkxerQAw6M6AD2RUPqjh12emBAq1D0p8Ptb5jKMG Foph53QmaOs+XpCMOXDGo9xI5zHeT3g6M9dlhCXhHmFDtCkNgws7WM4T21GOmJBtv+NQWan6ngKL a07OnTxqAXan9E+WN97ot0u+qY+YtD9AxgwsaExjqcrYzQj+UckYC9W/VgCe57KkJ03xZ3rY8ZbJ Xdr8eJbNrc1EZDjN3Z6HmbxMUC5EGzv3HPwVWahbnV0n6facoZ+WGM5NpQn5fVoKyKLcwqJbKuPN jrXc+fPhSzozsjsCh21KzzNAn8oRJxRa38IG7suphpNiJ90j4POzVa1RUL5x7Nco9Onn+nKoIw5y XqyNhF+fHTsxOlpftJGBX2SNMDADqxxE9kA9oM7iqiJzhWNt2UIQ2tUIW5KppBsXHqv9lf5fog14 xChkoTgSmeobu7kH2OAh0/ZOh3C43vBDpNVLUFSIduSZ4ea/Ey9oRDWjf6UHDYJuHSIUuFLyY/qg wPHxdCoXyzoQevuNwWyLKjEvUYTmTMW/7ltK1rC6PmxM372cEo32UKKp7Y/hHGBdFM6x8nrR0bq6 c1xpN6rx22VdsVmMFQJJbZqdLV+jhyjMUYfaUoaDmB3bnUGjNbzmQrIxGK6FddQqEvQDuVc6KjIb g1hfkJsXiolwK4lELPMhf/ER+06i3ov4fGMd+KYw5VraaPCA06+IYB+edwfBg7GHfSpU1X6qF6Lu hzYDKwIQMxytcdW/I4FqJfUdW+kPy3+W+ITcLq4gpsJekm+b7/VvkXQmjIo5RcE5Vj47nXfmtmir It5NRP8ryZlH+AqlFZTYnDWr1addxwkHzB/rtBq1AiBPA4CzZ2qgvgF5LQyVg2Em1vwuWY0V6aWd ITDmGtYHbMNoDoskoGUkm8CEACngdx3E7XcUQKjewmHFu5DT4i7tGMVrXmCIKanMfbtwNRPDMhd8 F5qLBJf31QtclOS0NZbaYskT92+9YDlFq9pcrAJxyRt+RVQDwFxyAwsE2jvn1DiHoCJDIaAosRpA X7A0xeiskNy8MMVsWjEKYNVG17F9P8pZrmIE69cLiLnWmIxpLq6oBuNxDY7gxLF/dCXqMzrDpRS3 XsBq6Xhbu3n7wILz//uu7WDr1MpPoSNN38kiHlkuQDZ7KKLL7v8Nohw7p6vqwiFG9DU8ngGkSY2b qTzZHt19mOCEjoIA91MaXnzpUxf1merKmA+lQfQKlxmfFFBv8pj3ac4FkQVcOeqRX7vDHA8bu7he kMzHyHKc5vomcffrwDSHY/mNRrPpy9Pe5RA27cWKBz2Rc6FyrzylJt6e7tReqShiroKlci18avoq /MpteEWVW7wvmYKIRd0zgXNddaK0RA5lbgGd1s7KZZGh0X/pI6Nl0ogDA9L5icGLRxk70FKjpegw hPLW9GCBHU4/UHosU+q6Su3JK87Yn00KozsGxn/pwvsrEy5tGrb9ujra3s7Nlvcbezwvqz2a6QBJ hjOrOBHWXmrh0u+Qa9kObbC+Om9BfrGRzWEsCeWxq4kHqOemjbW2wb6v6EP+nEp3ycXLYtQGAF8p QqgdkOv4SRHRY5cXjt0FQJY8D/HqpqVhM+CZ0AJtk3mOFwJ1iad7UmMmt1Tb5rhc1yyekkPH1jJm G4YOI3irdR3Y0xkQLwDJRar3epl/L/aNo14m/2E0WON7ID6cCD/v9gVQjr4xPV1tsl0Ejp97uyqE Ap0xi2S19026Pbb+/qk250VTZFrPXhiemoK+3VYF2MSKKRqW62lFYp9TbIMr4zsFKLHLBUoDkzJo lVonJzvnHvdTKYi0YKiVN071NQe5Cs4pPVm2yNSS00JdKhJMqKysdWLik0XHrHLUWMwVy6EOHl0x QSye6cdIMWKiOd2YKOBcs6awBwpqUO00VnKnyDrZ6qOJr6Hwn4/O2Ew1SoawKDVJKBuLCDI4IsAO tWJsRwg9z3CprLXQ+wSOw+i9PGpNYI9PyflsrCQ11u9hLoJ/Nf5WTLVixuQ6oDZCoPxViIX1A2hV 7cY/e++2mlXulWNYdw4jFnfUqeeiiiUYoA+8/PIrz1aHOaC7RJRa0AOjWY+Kv/tCtHdjN9QzM+YO Qpnr+tlxV7h11Uz7XP1znXvpqXJpeI8bYwX/vFdex99MN9CrwzRZO14KPE9vH3RMlyBVo9yIhAuw GxBpSOqIWwFjTf0LlXPzYfSO5UaL6pJRps6B5IpyvUb+B3bNvCQEvkmkMgEoUlnsreQeYyPX/f+s PH/s1c5GjztXwXIOuGm1RNy9GtP6zGfwvAhzBB2VGPLKOnJ4EIyxRxCN9F7YXKbiqcK/FWTiuPlc IDGCEoe6yOkz5QfOm+UyGhMcR87D9Plb+Xeds1I9rnuzlVDAjrXXg1/DPQ74utZV+uPPkIRLtccU YRHULVRfkB/+PiXslDJMR5s4mSkPSp3htdbLBkJLLffaFhc20heDYORekuw0JjPYFxYwVoroNa52 56qNzWbma9/quQ0GNjDUJ7KsUJh5drQLoFRRMj6E1FoWc3v7Hf7SOaDJ6lCRVPjqoyR5SjCIBe4s 4aH1DAz+8JaRXeeOvLg73H6q4ILOI6DJ0/bLSPqTYuiSIsowgnIkh5TSLJeDpHjR9oH6a52PtS3Y z5v4EwXbZ+FaE9eibJjQOWBwCjf8Tvz56cobpL6G23TWB408Zt/PPugAcU1mfd1/filnP4SoN1QX ehi9L4U8RLstTbsR/vygeefpqyivavxvrWhiZYn9XhEyVPFv3UG3brmAKTc1x+nUzjg6cUe2y4kK 2l+Ix43P7fczAqVXFiQSk2q51gniT+93ctW24GrtWPvltiVRzLxZiiMpEGKqH6vmHDucgwOyvLZE R2BDD+lspQtMndMW32Q5+GAULbLwE5XqP4vjm1N/BosN0LBtazWDXnvWP82vhSOSG9jKJUR8RFP3 dQOd36LnRqvHMhez8Q4zaj8BPcsM7hIqpV3zaIUHpzmRdwrDCECprYrX0t1sTBOC3hXMhBWf0EKJ tcqGUyKUXlQVy+MBci01Prg4dBqjGN834fxJPjWZeVI3ZaYQ+eAyQUYufkkRJB8o1GMTGNilkFdi gv1bH58uBxnbE+b9dUy5dn2gHI7jmgl0GGFavzHONmwiBMnGHiw5MN8dBBjOXQdlUlwa2e/bJTOq DWGCjdLvB3sVG9sUbCfb/679K+KFo9F7TePJ2UblpaG849BhGSvDncRC7lNComeG+bTCeGuCYFwT /n9iNp4J/dgSvh7ZhQfNtxUw6pms2qZmcFa2sHZoVB+frd9zBoowLEMdwHXzPJcQE8rcDMAZf3tE t3yvDTjk/RRZuPTnwWSIpBIxGSbqzJVvdZXb1GfM7tM+jDAI7O1Znxg4ZOQsY2WqoD8W/xsui4ML 19QqQUbSVzDy+406XpeoL0e/5ekRt0pPpMusnIGCnEGSCJFvyh0KDixYe5WUpFwrceLvPDf4V6YL Z3vuZeQpNQdsAdRA1fItaT9rrxfQzN9naIoaNo8upswZKHwitV+qzBX0Lwn8drZvLnG8Mit+Pxx9 ldinGprsc9VjVpQW6Fcstgyl0+D7B7SmO+ofQ6KcOZOsYItNID0I/3wDVWHtPE/fU45sVcBDhQch YR4Cebzdg3jV4NlLZGXcw84yzHPHhf9DZ4jhdmWNCT63fs9Q5sed1Qv1AeG61WwgGsgoxTsSxazm PFVyX3N6r2wm4CeirskDaTVQTdE2oD0qekJ+7pp7k0tyKbTszQremqVMHfR2DV99GUw0aI6GoMGK k8yioi4cQBP4Vyd2jwLfd7tZkrLQl8caSVpHFjtUx4VZ/mm99/x/WQtXQYV35PX/8pix6t7V3gLz L+2+oDTwVC2yKsOifpiTVpPc2bX8QPHwVPpdee4h4n4wOX/kGMOo2FiuwCQKy5kBQ/YTQlhY42vn o9Jy/toKHn4KqWsk6uFxk8/zwM0mwqLyd5yPu6/A4y8zrz3uDsLt6jXL7/QZ99PINR18kHRfkCNP bcyxHJ38r7/X5oe5gTHoz/UeoZOBVvIpKymVIxXtM+oMPKEF5vcHPjv22Na6R7OhuQpES7djL8NU wPxIk3Yh1/zS+IuO01NqwYS99Q114cnbd8TrVThZ8NViba9hZFPfo1EuXA0RNYylVHINyeFOxPue MGzKdPv1/donaimlVxFQNV5E2YWfj4R0TXDLClPQewCfyPByIYIvItvr9AUVWAwcU51FQjmFY8S9 LuzmhLK6afJ1LHl9qghG/8Mze+lOFiT266OIs+vBSzDw+BvCBOAFEL8nq/FPT7AAJHqC4NDQvorA ra3504nbOgxoU8ANsNb/PuNxKC0xhARjK0VXWSVXO8mTd36ZamAGtwQ+39weJr0pa7mcS71gb06b 0VJoHzpFj7i40PKG5Zi3X3Fs4qCJW4qgeumV8BJSpZEeIAl5efIBpQfgvSy8mNLy5d/iFQKJRbZU tKr76os2y+93e0Ubd4GlT2X+S7RyCistmMcbYw8XE28AgtvPCo0hMAVq96MrqM8skmXeNl1q8p7M 7XLC1NlTBvvZZ/mUWNCU9Ov36rRn2mtlFggC/lC/TLxK3MnjzKBdHxt9UhNuW0nPT4blCFHjaomg haJNw4bl4dfoSciHtJGzF1ZcxHKO4s1aXG+ux8SCP5PTfCQJhB9LH3zvYU4s5Shi94g1zxChpnFU hHg79D2cuILNqfg/EQG8LGnZNp8chICTXd7jBl6ZGP7D4/Gge860vkl2LOvRLFGb4MUx4iiBVm5f 8iOuOymOGgaB1/0oViJKf7ySWUyjz7QM4ALG+OvtEEXj25xF4a8/imgxM0Mbr/KDJkrTdqrZefJ3 JrAMb7Ks/FYxTEb34iNqdvbVsD7/LSMtqiLexPkon3SXJIq7g8Vlu9AXe9NYSKgFjz7eIK3zSoBZ oYLnEBt5+YD8iNlxSpxzsKvEMDDL7Jc5x9XWX33Iqh+yeW8uogHQ8bGv4CYky1SPt8R1UwKrMzfE yBRbt6BcXyU3Uu2xGyX2cRhNlnl77m5Gf4mF1XktAfC89G09/2dSujb8aZnLKy9CEWVBB1pkiic8 IZHfYhpWWPMFVjIj/3P9X7pHnhHeIYzfVS06+RR3VAoMwEKaSwqQB+zJvW6rSLDk4IppGPJFVQKu fJMHUeqk+99wKMU8hNFS5SCUlVBbZTYzc+dOGYGnmYuo11JmPi804i//LUYOks4qSa0cQFDenPH2 VR+DxqSqbSd9l4lGJGReZdvOfXQP5KltEBMb0l0SPChCvG9+em3NW+eE9iZHlmZvhCFl8y+T7vp+ ON+k1V/J1QHXcL1/UJxK4UeD6ZQnp7vf8Vbs9OvFIkKj/SU96w+NNjQQVcS1IgWg+NTAdW2X+YWx LFQHjACN6b9YQ0zropy6kg3KjNch7XebJZv6EtbbvTO/qouwy9qgucdjf9kDYIA21sO+TxMzNVr4 lqmm7X8BMdGr6Vw6kBHa6e3XJD07sfPhWtJV5mqWsKGSsQRudo07iviIlW4dvhNI8rXx1ab+NYLN VOwFtCK8J4oCLf8c5FqHHPtInuPy0eBNGngIpklP9qtkmHjZp987399uN/cQJWiWyCdHTtZLgmw1 qvy9+8nYdYfSlzOsCi2kBY9g58ivlzjN/hXR0b0AlEv94fXF8jp+diRcQGJFnJoLTPEX1+62nUv6 ex12aM0WdcB/P8CmXctuDQfTou5SLTKjP74R66Oz5OBdo3cHtTbyAqTzIBBpoCiIWAXUd7Vh0Oly HEZ0cg85ET4vKmvLvF5pCIqlGnITCUHVwlWaOIW7DucV9K3YMsKlS3wleWK18yZHFHxZRaGw0Pni jBxEq3eh0SCUTfPCuF+tfSTQwROGWwW6i7AuPSFqJhok58/aIxmSvYNS5uTESh5ZyVdwxWno2jR3 LwCRB7WOO/3GAl5QReHF4MEnlQZ9Fxtl/1SGB8NPlOIhwc5Jx7KX4z+DPUg7VmL9d69yg+BS/NOM bdjBEaymMkHEJHm0/debtYhTlhSbTWASN63Rod9W/wam+OmmjNaHH6dOg6P88RYZa4JWG5tyiXaf 4uxWVgEoziR3nAGd6EwcfqgomWSeIux2fz9ur6R1bsaJCH/VHQVfL80d68ODJMhh/NuberbsbaO1 7j86jYXIEU9fE+og5GnYi52s/6f0ZjH4f197KaGRGxZqzBAH1pXjlJS95ujC5AzZh39RTsxNNoVQ /i47dwLc1KLGj3tbRqe7B0dKvLDASq9FfdJFbYheRhSbe+UYXlHoXlMR0aMeHhPpCrJaMBmfrEdQ L8sl0r+7G2M+7/vrDNkyeS9uzRoSkt2dpHmVwvhuhsCd+urjQH5Q1uULmpBJi5/M6G0ZKIWHtxJh cGK2Xz9zMTXeQAfHN77EGHA4dNJ7hA/bJOZrH9Whl1lxAJW87f54Mmkt/1zRBDhldgOljHvEexJ8 oGnTZJK2k5JQ7QXuc46s59EyJn8Ohipz0J9uI4+6CRyLpmEZgwzBQVd5c3WS2z37/KrH2KATVVoW jSRHxCAPTNxTnKEtBM5TpRykaMI7lF2hxTAWR0qMdNkERWIuQVoVIgemVV6IakW/jfUiaRtQyORk Xnmy4IxaSzNfd6Ksboa5Mdvu89ipSYjbTJeZbvyu+wO0nRyJvFqhqp62U+JMTDrZzK92/YUSgnWK LyZrClsOFK4DDMZsl7tjzCHVtMhdBEK8G/5z3kawWmEXvy/TA+HQQ9D8aB28LHrpzP2KCyjCUe2y my/KwNsPF7ZhtVFhM6Fp55RDckziF2Yqk6vPvBhCw/a/sEvhjjZIUeKVkvt8NcJQj2Yk1NI9W6me mqZ/V4jup8rG68iwaSZnuQlFgiPcwyPmQfYlJR6Z3eSEG2yRyjwdoPl1gOvXS0iszPNkeGs56/ur JBzppjJIQfs7j6smsR1mmZmVEPqDH02tkoeAQQxl6Wh87udmfnL9KPfKJ1atmNd+yFHkMKDIExZ1 GKfOIykyFzdHXzbfb6nvbJk1pk8g5T7O1cd2x2bT9bT/CeEyOB3jkD+4a4bxVmUHvCljTjx5BpX/ L/UqqAOXs9Zm+/YkaaostDOFSTgsyxYbaoddRUJuGKOSHk58TR/cDGz8Vb23R/SGV7zAz0hrse/x OYVZJ1UC/VVQXRI4amSFCD3nVZ1BbrrogXPKErJnr+H1I1Nuwvl5jo43Jt2e9zJvQUcoVxr41Akd kLBc4S7DlJxLtxlzaeCt1ewRkfoqdjUJj3DrLANA0P/1lrY5dGFHSgAZU3Js3dYlYniHWLNPTxLI VrEbovslE5qEHOb2X9nG2Dk1gf6lga3GbX9LDY6vE+UwVOEhYRjSkNaOCEs7lpRn7nolYa0ZYUe0 4K4VhMkVtT2/1nsRGdsqP5UrFy/UInM8VX+uBUQ1W6xciCk3vI99rsb7f/biH7XQZelIg/80iXaY KTomYO2WDQAyMpuoNFZ6uCLHmoT7s6WNjtcWLaHctQlaaFI2pk6OeA0iCXg+O6bB5umuj/bsFQPR mqXWGL0na05uPEp55U3DO1RERAHCc8cpsHN2GuMLYJK5NyiVLzt0Pr8gVHPp16Ybp6/s0iBXwQZv o/bV72INoBTMmgRGzrvM4D3h+1/6LvlebPEcY3NwiYUIBasVIq5GHOwryNWS1m1CTGU/bwdfomvV 4Q2kDHaQH5SfITX9Y/DCFyfS+iQBbUsiatM2N1CaE1ZcT+kskN9ok7wGQEbhXq+6U4ekI1+JaUwr 0uJlRaKpZZGP1wTC/ooHHqhB1fFlOqiuIUNvuKXzJ/K4QffL0iaPQf8s/JPqDozWPo4mSv4XSX1x A5XcGekib4TwcY9Yvc5/ebY1Y9d79VE8zMjFnK15yBJzxfQtEVRSevpDTwlVuu+rpkRjVv16zmDo lCZbSNjigUf4uzbJuMwESunpcFxe5KY/XBbtcxd0c5KM0m+aIhvFs32xaa5i2sAC1utyMI/I2KR1 KPlvrj8ssJhqtrKniLAdG/eWd+HiMyZTG5ENsnzwuGhnCc08J+vvkoGr40LrW75PiLJeQPGCUjjS Lhl0pn2SWlQcibWxD1PYKMVd/0UB7+suJolxpJj/aWF6vFpZevJsvIpnqu4rm6DT9H+hVKaUyEeY F6i5JRssMQNgMQzYsxB0KuMcbz0akHdzIlCMWGDwk/WhVT5fFLy0EoOo5o7o0naL72TO4kpnuz2M ha2p0tV80p5IFKrs/DYb9nh13uqZjHAUeikUIxXi03sQKsZquSwRl+33LpjOfCEYZXaxnVELs42o K2UYeKFXGSNR6lTP016w3EOVdz3AXpAfDmFH7Ues374dY5hyrakAiAXSIDdR0XSpxaozo2/iY3Hq Qhm7NsiAXz8ZV1mJ7JPwFqL3RMuD8AP8WzwzXIpW68zlE4nR0VAbii76zsN5sn+3rnOtNIDNsxek X9I5GbnT0hSYzxFJPBEirXNuJqE7L9KxNEdEIKSJCyFbPLnljhjXRPbJnUE9f3NFnp77OaUCCvzx tWWd1tFsznDTwrtdQNVj5i50w3XnDotpuKPtY3aI//O9u03PAS5ZVd1zZ6prQ36M/TWxxpJXNtKR uOoSPEn6NwSCliKDUzrW/rCYFNErO+sakJY49YMGFKo45aBPn+6FIVogyzCzjWLbOcZKr8jSdlr3 ZifVPLQVXbZcfz4ULELIPq2M4VYFpkipj1nrlwONWol0hUF8huMAYrhofMCm4d/TrLVhfZ6RqeIm NNf4j4C5IOYp4PewnB1qdCGW7DvNUfaSal9c8JAba8s/BBxw0O2ALjZXxMlPNfXSXAi+vCcEF9qn C5KUuQ8zaRr2PO4eAqs25MmY9jUuPr7D9tb0IEeSZCqvRo4zwOQ0k0g5wu2hFXO2+ZshYRfUSZNb KYkXpjAPGE/B3/DajZCNVFsc+/jye/kKO8PGwXPUhtmq9WN0Yn/zROKk2AbFPYtWOELVlf8UmjqK DXXss0GkhU5q96xv0PNMXVjoYUlTREcwq+ppYzy4y6pSFL5zW5qAeyDzGWMWwFxjgqMAMUzkxAGK v5+DV4zeiOr4mfTLFafzDyl70y8FuIRbEJIhNBz1wjF+Az4iL5FE9lrA1Xlkk9KeeRjlQNzx34w2 t9NrMIecSkIMnk3vwlAhJ4cPQoFTo1mRDu2fCho9hYN6+R3bCFDZLjG41U1vr1VmYXMOsqgV3JvG cDnkNDDPL+oyDB5Nc0w3bHXtiSpVOyRh+U2Fy/AKlvz2TOhBo6NhTt2hcO/+jIZJFZR5xDE7hpRW BQ6CiE7OiB/YSdA9+h16U3exUMCt8PnWsRwB9ycN/UZex2VYsLhuCtqFXZOlWkfFD9EYfOWxmTZQ P2zbl1rGffZKAnVhvbLLl+LoaRA9wxZsQrafqO7WjhpZha+iu9p4YnC2IGUhp2b/yDjTGQIvZm6B 8MBv837KxwY62TXd00Yl4vsL3BAYwksMVAu2sI7C7/oDzWrk0pqS4D4DYB8XRuRbwuf/84fQNSI8 JhU2M34cEm6YNiy33yR0Igv0xPieFF56xSMOW3z98gElL/i6mIuCQIWgEJxZh0WmObUdEyZ68Yrl kFO6lK34jrChyhVE6CIWERwbZP5EVxWCSQjVr/iS6Vxg61NyNKob2fiW5N9Du2bTqiDb5JhKkCkw iPT/7hbgv8LlRsPYUBNtzYh2wvJezAgHcIee4y0DkIHu46A76wul3zAP7rWynzK/NulFf+tfeWxS hlbN8awDRi0sxMSU6FubT8REdgETq5+atXPA2xltU8rtqG+VTeuueARuKm1XgDcRsw/+q6a2fX/H 7sy2ENowgBkGwP8dcfk7G//QSt21LqaWB7sKp+osQXXRfKr+Xs5fV/+gnZoRzgrm5RkzXisOluBG dkaGwJWJkzIjlUqkV1+zUqi/f9JjgE2Jb4fx9rXpLzbu+EbNlI7Fxq+le/Csn4WLOzAEBTrNofnL A3a/RJNV7oW6alJut4QENOZwQKlNexViO4mYErwwCuWe9cPvAtbCbGHXHLk6TIhasdGQrMKbpXvF 2MmvXd+q8rpG4Ks4qt6GTRaKEVY+BpVBRUfLQvbE3tFxXlj1RooAUO8zSo+15nKOXNqzlJDy4Erq QG2AEHQIgVlrQZOGMvs4N5tpHn2eADHyTZZpjUoqQyC4XhpWIONjriq3bcm8w8Pfa2aswoulM/Qp /0gwW9yYBgUd3EPPPIYD5YG3x9h0/v5gSt1z7hFaBC6IQbGTYJLSCC1TYqSNuu3a7GhgeMUiOlKv cXxzqquW4BOlSvQXsyfCHxPQBOtGr01Njvg39Z5AdjgUxy+nVkJFMfWP7i2dnx6UROtIB7oUZ6R8 +nO+N+9m1eJ+Garr9S9fl/RFKkR+G9piD2M7i8eN+0Fuq1vTGobKtwOlv6t2hHuRXA4TvK57ktfb CUAXpcWYw7nz3VvV57yKRVmenu/z+wCAWISTGgxVYwLfoD9Fm/lZNGcmHJ64333EiOsgFdjBTEIX b4/c7zAxTR5/I/IeT9Lu4mF80rw8kJ4RSvfsGExnytwGj+APHOo0vg/kjRqCDOjxxelxJQ4CYUMN fqkSvIEZAWrBbV8CNTaxkzDTetpw5aEu5Ff6aGW/XEJ7PnVqZYFk6UvxJ7Sk/2den0obWBwm0loo rAATTJ3cYOSQaDVkdiXzTE8bxeXFYPL4AAYpNQtUqeAbDw41eLbc6c3EkhYfuRNBzfyzn6y7/r/X vs76fztDoz/JJ28gmlxeFbd+x9dynEOYRssCBC7sMrrOP1smTP9BtEcO1tR+MBSYtIj0SZuQ8fKr gOcxecFXfMGmapO4YXrAfa/+hjDB/ItLfYyvq4uSloA8nzznRbgUka91TVhNE/XIb0lz82hPDoNu grUm6Npu7Wo9h3Te8ovjuBM+LjvJC0o6OtXoFjJ3FxbnQHBz/71ZMnGreYZEYCR+dg8BZ5Utp+98 xZf06/58hVsxPL4pVeMqq3nD9Twp8q5f4del7Z9pBG7XzGkJnOFlsNeetGTIEdJJneBYN9IXuqgT 0H/CIWAlU+KwlgdlVYqjUBGKvcv+DSqOOAlTGsNxUS9iYO4w1ERdolpfaQVhJ9lfenZ+0VEQidWX +mjHkrPlSerKfTfl1NHJ8jgDE9hE2RbF7XONEyiMnCe+0OdVguKAuat8H87g8jCsJ0won0Xc38TI ymzf+Nkoedgy2peEquKlS4rPF/xEaH61vlde0RT+adDtZZJiiJsoL+FrRBeK9X60JnyZZIAGLQK/ BS+0Y6DsfYSDjVxbm6iu2Dah7aVL4SyK/jwoZluPrQxoqQZeYYoCHqKN7PfQPlM+MrZ/L+mwcCKv QeKpJcacKdA5490KoEi+hD15oDyU8bRMBo0+2UpdfsqXhi3pdXO9CrQtiiaJg0tX0A3WgrG/vr8t P2+/LalKuPJW19m4mcYYp+9qPCh7gDfQ+e8bixbYmCoVymWSfcPCXTFO+KbH/mHIKaImahgVrS2N 811oykjkKAFJewGbsklSF9Vbv0AT6iEOaGx7mvq1HFE5poEMpHu7N3u8k/IVsR4kli9eK0Y3lxon nXPQn1x4cWKPgNv1kkQR4KKCN9jKziYzjLcaZKyDQBYHl0x0UieSbprIbF6F8prTErc/sNyOI9bf yNyDdKCfNytV/dNfM+kN9FAjhWoYml4zCKR4/Dx8gMiOLTPPbwRcY/4CEeyR7F1vu95lSD9YG/4j +rKirmIM386XcrycuHh5v81ohlZ0enm46B8/IMCcFnhohLcngXTCmEGC9jFUoZnxIGy1CD7aE4W7 UkSvSe+mSv21MbsR25gw4HfOqU70HjOQOEaYM0SMYI7o+DAowBBv+4xYENXlEIqNoIhDQV1edeYF guCojQHIYkizcE0t7u8/zGBX3eoaYnE4Z2scQGPdWnpHgHFVGaitsR58gfexTWyydQum0fVS4TYs EQBGdR/U6eDSF0Z8Aj2iNMF2tA8q+UBhWu4Bti7iUhzhPYw+rDpIOhi1niV+w8Mh3ZyHfav4b4a3 tOzf4aUKvAsxgNAtqEl4FhnvT8w1uyN43KgkM+RG7otLEKIWc3CgjzoPDquwgq+jOlICgxV1WIps a+n+z4A6fM01Eu4XVPfMNrlF0wIOa70mJ6SHAvJNIS3JmrtTVovWxQKWh1SainFNTnM8g9OQ3G5I fA/7mP3PR6Lj9lG4IdOz8dCR3Je3ll4/OXF+WFLADFsEkyBAMsvGfAUhjZAJdPrKZPVS7Wf7lvsR jta4GxbO7wYDh7WygVREqm64DGUJI28wK5TuF5dm2Ws5gyE4m4UgadQHGSsXJ2Nv1sAb2Jea90l2 +epVZxa9EeJW69y59qbU9D2A9WAx6Kc1hhVZLBZivWIRxxwVv59YNe9LLfnzmBpC+8aShaUCSVZv pjC7hAvGnozSvXqwvdi3TKWjKPCxGzXVEvZJ3GWj0jn1WCFn1V16lP3K1V/iZAfICjeJBLgnzv56 l1hZ7YYoVpAeIjSREKbt1yi0GOEWCBAotUU4i0H32q0ODgWufKi1MoHBVIGphxeBGkIvPWPd4kXg HJy9cCKQ2BMfoNF07LX9M+opa3ONqRjFgbPa8aPtEsuY65MgOhxAGl3mjWk0Eq130RElY7tw02u+ 8DcRVzuizQNBtl2LgE+4gLFoPD7bD+MSYsfkFwlBsttKIOrsPMFFG1B63incMKFwudQ2J3RQQGlp Ajhzf5QYGw4aQTQgVRX2uvoIQhkW6G/Br3FA50G2IrPEExij3CGvpGRQ3ufNoAstTzwPoNZkwK2F G65Dnbz+PBIwFc/qfCUV24bQOT9ztAmscXjg9YoFohOACm5MsrDWS3wBcxSfKNPXw6jLfZsKgG3L UUlosTbW7sLPpQiIjV7S5BR4EpKtkJSnoV5VK/mCHEKEm382ZJkWW7RdgvbYZD2qooaZqIvRVSIh cKv4CLkbnOJ/LMw9r3N//S9GKeDvh+EuXpW9FNptLI/O75TGK2/bz3OIb9Rm+MIexF6Mdyhv0wRf ipUqw3dYT3CG2lwte1iBgAUS65ljR/DvnILOshFbX7ZfiSYBnxgMNIqsRh4WKlcb2j5BOcF1YiOm fn3xkZGdCxKCch8Cs0a1YZncdYaVQNcr9YsyQkYjd9WPw2H9a1dG326QJLTc1vyz34cMWkDK4Rvb xXAi8vTUImXmCcZNXkrqrRu+BefTRYvbta//ilZ7IzVzVMbnO/DWtQhuNPT43rTLw5m5e1SF4nlv Z+23ll3K/u2SJaVQ2414Sp00HNBYdq6B5zw8A5dj0iKJYxTwx4gqLV17+PZClcsR2w4JvZRiBt2F 9Tozg+mYaK9bIrK/FSYW7DNM4EKEr3w4OAkSYtRJQbZ8eguXG34IO3nNJFP/7NkDHclXVn7Nt3iz rXiDJQ62c/JZl9sXqffC9RfVdTY59o2/etM+lzN2xTLO9X73z/sndp6KihWL9KmHTKBoa2KFIyoy P5I7JnFq3mbls+nNp446iw3Gdc1ampAqcJfL6In4sGhl3c5hvOg3H9sMzDP+L2pLHsaNV9A55tnl AeRCGwhp0yC7KITcPLuzZrhDME+baymJJx7d5UT21to3wIOMal9rx8n4M2u3cve7pIIPqMPCZU4X gLCNfr6vbRaleLD911wZNNODtKGuRU2+ZekMKI+XpC2/AAbQHROQE2irGluPU8c3zevGxPV/tKK9 7LhpQAWEVcDdo2KtmqMCbSj1DUrvsgW3VqS8rwVhfTfIVdMDytZ5iFoTRma5+tcKycfArFJCOyGN 9GUrTMVnR5aqE+q0j4Zx9xpTEa2pxXc/juN2gmqT7fyMlr/lAykvdFfGs2Ui9u09CfolUdTwQe1B FGiIczfhE7RGja/oG5whWzuEwl2nxGUsLvHgbKClu5TLYKcEPnduXYvLBRPf8VMJUqoWSN/xNoa0 fGl/gWlPtMK1QUL3ez3TmyJy0xD4DsTQgAtLYtPIVkkDh+g0SIgRDb7l2TmG3j/vGtGGN+ClhmMm 8ra8NO8P9sWPeg2mJhOiupmpq2rFl0OjgpTge3djC0chQjOsYaVRds5wI3KOQi/VN/D/oHwqLp2H cxjlKCmJODP758yMs7yTmckb/j7XQ74SDupRdzCxYMWm3TtwgVmS0fTHOmp/dV1MsmmijOhnF5LG H8glZ3SNgc5R5nbgCcqGzlIn0tvgpoXiIGpGbSk+0YwlmjT3rdyUu0c017h9P3oDe1Rf7FQU/1jf 54x8SbS6IOXeISiy/s7eTVii1yseQRKZi0qd8RHIUQinN8RC9kUwEZenH6BR2aylCuTv8NZ1LylL kHQs4hquaf3w8pJRf3PGOPL37+4UcD3FBL4DS5FLfAlFJcwrsmv2EPdLjzA9sdLeqX1Pz1P/Sa7g rlmeiiYNiXMnfGwS+/58DeY4ObWeW7zu8gg+HAMwhVBdUryJ6jcqaBsT18JOxIsCkA7wMOI1FklO UEmGuA4MCQkGI0Dh6PeOg7+48qWuMXjJi7iVBZ5Ow/NupxuSOIIEdf3vFgszFn8iMpKW5dQcuqY1 Gw+3PXQYRsjbPlMr4vxNByMxVQQszzS2+ATWWYra/FBYZYOpKUPgGf1k3/7npxpw+0pyHb7THIG+ EWVgZcEQIVuyZNWD2Rx00VV4o+eZBfmQj3VSwnL9FTo9gYXdRAdsFksOWmh+J+RxXQl0P0xhZcQH u5lfgrhoUZWEmlFhZCUotY2fWEo4vka5qhntbY4BtOwg5ilEaG0MmS+3Wg96UHZbqrMkLTOUlLdY JoLsnUZ6Q9TfHp+MpPIoUNVWu0alS+JGxLZs/yIPEEKq8FnvNcQpUj88vZH/flDWwzToI1MdByMc Tb608nu1Mh786Y1X7qfJnveVDlPLGpH98Qm2FdzEG3Z1PM/H/ugglgm3YY6SSHlUuXTMR5jePYoH 6Fc3WR9hoKxI5SI3n+QmGYZtJGwpWpB8SAWm00txDf/T8+MMZO8rab+0Oh4/4qDwWkO+jZuX3qgq cMORmbse+qOAhwYdu9Ih1X4CZpJXD0y7vduwzR1Y7mbctEkq9cC4VJMSgtdA/r8D6iHVRPIC9Chm nNXxTyT8j88DFPj00qF3yh2iT7vUjWVPyC8B2gNdx5CeeaA8Bhd0mdctekCjv0G4LW3XfHF6q613 TKzr9GVYfXnzISXk5nDnVpDT+o9HevMVuEvErrdWgyVj2K45RDwYJFN5srEvhXTJNKyUCGEhjH8l Z2br6nYEsFKuFf2QFJ+DwRZ/Y9DYWLtAM4s2xgdkitaIJCCJKGsXVD/T9F6YAb4ZJy/gli3WXEBS xr/LaweQB+5qo2O6AahUWAlBYP/3bHO0f5pC1YdkngunS79ETxYYHOCtjxJIm99bXPTXUKvblehj BeL7KW0+j97l6bKCmGDbz42MPFFTVlOARDsWiZBkGUfwqPEWLfhXBE3OpTSlhinOnNYuV171FwoO UpB9MoVZ3BH1C1YQr96CdvuKiPRJQ8SQ4PBXUuXXy5Cq2V5efdS0wqXEhtc2EXbvFYEgDKipIJ64 k2wHBtArph71YjAO4HSGzO6BZabxBGXB440CSQay42KxLQT2ygnhCYd4/M5m1R1rrRKUCCjJXSns 7Eeeg/HjF5UPayVcph4AToaTB3GUBO1g4L2D3rYgJhEo/itlZ9sYUkAADYcbg3uz4Aw0nbvRlFWU UFybAVGNSu0nE71x8eCWcvu16NkltrOT1Xiv+L5UMVI+++vFdHqXSlNjOxpg5+/dqCcQTvHEr+eq EtWwYvFcsVqMWFCDZat+6AGLkJapCLb580mtk3Kf1IFfXcOwboxSFbn5bVccSkWY+wzxxyyntSd5 rw1dpQe1pUuh0sKILZ7ZLs0X1hpoIZExGkoMb7QleaBFvN0nR0HqzJYwOzJQVAFQG0sqTsTeNVuK IPc8qhZFkNTM57YDI16ZRIfiqrzkemgwlmvizsnHYNgdlhyXZv4PFr5IfXx9x4QRrh+F71y8etZr JiVq9kaiF3k0udRhcYPaRg4HM+BlMS28R1Zz96dobGIQoAy5o9o29BvUWvgV5pkHgRliEi2E/3o6 6nlD88Dqh5w2qOvZe62LPVFw6VHHrgh31pGr5W5qVtuHwd8AFbPRi9evQPiSYI01ifQpIkQPx0xE B7seAD7aKe1NnQIa/LRdOuZMoRRG4Z6ol9Mpu6Q/tqbqIK2i8pSAYhaYWrzjp0n8xDSjPitf4nBa 2hW2LkkrNHtu40nU6tqIZcc06Cg+Q9Uity89921X+LCw1Qa81sN/9SiBbIfJ7UQRUEsEafWR9jFw 6/O1aUOHtyqY3Q4nAuBDxXNHtkhyr05qArvogsAnjBoy5VZzr+Jd+5jAZxwueo3mZtgpXtKwJpeF kA/Mlh0XGSQZ9IPhGJf/nWcFa0Ppae8xo0Somb9wh7d9EMwWzGAmxFFx5+mT8o7+qNPhJr3joWdh H82vdsAry7Qz12mxlvQ9m9XGdgCm+LMNS6PNeew/9TieSfGNePZKo+hcVfJ/M1tSph/dJHRo/JQG ahurYewQKFK+SLkITWC2fRHzfOtCNeKxjbJEqydKo358k4zxYxy2fl93BL/HmMFmd2Yqth/CoEEy BldxNeeP8XGrVCOz+9/rEyE5F/MLIjTjpi4lJyxeRWO6n7gbcSBq6nHju76ffIHXvyEhl5PqU9un uRPTnKSXAk4DU0KFNPd4FIS64YnXqKzLmtRNq1Nbuhh8SSkyyvcSUTuzPhCp8dycq2QYYZYp2KiM 4iLyssPKQ6wrhskGHmv8oAuQbApYtdlXbTBAYrdKIBDqWtpArOh2MOx8r1Mg55ADi/Q2DNopFopB foOlGsuQVsro55K3QKDy68vLr24a41YKvaQPoySdq1/XL8Xu46qwk/XQ6fxInQLnXjRo5Pbc25yg DU/P6QEpAFS0i+wx/hHjJVmz78uIVsCrj3/+gR0BmmA7/XsOvUwiTZi/BcgWzZT3+b0QccfKEXqy WjwMch3T4fCcBS1J9RCMdZ28KRyGajzUcYRCQRZE7CTC3D5E75Gp822MhKmUsBlBcNOOaO/cakuG wmbtVZ++dTOgLtr2c+ku+hJAQQ9JF2Unz78/+iePvN1b5p3c6sgy3vwz7rCAymUuout/+7J415zy Xi5zb2lia7MgABKZDSM9SXznJNpaXFbNQ36LcLd4Qu6W+6XEq4corHNfn7ZIT64F4TwCuXlvLEDv nMAvfKBoCOhn3UpYBGZP8PI5F3wcdQdbadiokoUO4vUAMe/pxBsnhxgcq8IkcSceMLWd/qpaDfEE 5mIBWKTVMql+4/qVMRb8mopIF0ziezTcugdKVoc6Ch9utwZK9iocyvBHS5DWaQssfRGKBbPkkJfx xfB1zizt/ws2ZR/VdbI22rAL55MK0lrrqjsEL+5/keXAOaS6zVw8b3XqFMRomeP2qi6jvhj/9Q8J jHX9lvZGy9oxpSAc7u5w8OxW9iGeufzeIaFLP26+3tz93ZR1lHcUkzw2hDyVgIVIgQFRBzBvIHT9 J8KaiXj50z9BES3VZVdRIdZ3dE2BOBxELDvtSlSmAHzpe1r/20pDwL9QXms+E50aJxHBzeeRnE/H 7g4MUONtXlrNrqWQcZxYY6Ym94KnWgMLfws9m2/z/yql4fkwSqC7bWPxaLSj4NoMTGVB0VW1OH9r NDK8x0NbY5BUzGJn6WHPKfGQUFwBMHs+FELzhWFMGuR6rE8HzNvpPXSpCFvEoFfyHFddAnneCmtO SJKW690E6028jeDUyl3crvjiH0DSXrTNzf/83t/HPyjOhZTW8iXbv9FQ7weL69Vdg8QhCefmTsQk sjhb9rYfophuDnAgVvomzHF+dktZY1Bj2slr002ILU85sFYdEhFbgMC6nuywyq4l0mLa6RLAta3W VOFmr0Z12820JnfYci0szKNzuS5QDsvXZDDS924Gs6vZ3a5lpjPDtXZKU3hA/4dUzLrZ1nUrsWhG lqrkyCrJuKcuOmTNtsyZgI+G1hzUYRphGqLYrAXQ0GMM/9pnFMuSyw8hG98JxV0Piump05ZVs6Y1 Lr89ATJTXrCAjVDj59K97EnnoJ4U8BR8WUaPoFJ7KnawR4c0F6jFaNyjEQIlQatawmGA6LG3s3rm 50vfU/8elymd0eAct2mep/0SL0P1BEjasKyWJajtwfzXPJAWrkQfc21aP2Qn/WuFb5FGBfvZo1X1 PQcmAi211l6wpeN2CAjz+ECyVBQg3HSIKrvMZXPP0EkTOYeFA+dgnPS4ZV5Ivuf3k58SNhig8T4c T7bFcj+EmeZr1tVfeKOTlr7HVl33qrFWV3xXCfbmUukdzEzicMJKAP1oKlIljPHt87wLac1eUYoY M2/GrBCO2PwDajYABDGgOdILPocHNxFtvS9JjErF1iIX6hCt0o+d/4S9sOBN6Wu3+fmyPyWGYD8Q auXjDaEQZ1C4+HNocMZu2TPoe+btYUVgO2fMKFuJun+VGNVmuD0DOnSJHxG3MrWy51VcojXe7wII I8EKDASxpanAZFHUaelc6jieWIdLMheRG291cKvyBKewCM8qYOV5ZOzcEeXVb/QHgp0xkln+S30O IpoNE0/hC4rcFbXAUgHFW3Et1U/YUupxBxi5kaKuwBJxAFpUMYqk93oIf7x0ZfZ98JzqpZfwLLHO 8O6RHR3Q9nUYeRO0/+9S9qDbSzHgWw84ArlnhuSW/+0qd3AUioLX1mS9jiC1iy7WkJCc7Ct4/ne1 48p0twT2P3Ajpl+/MwZY0kJoQDMDONb7Vut4qBvZPVc7zqun7z6kmbpWSNbJYQX/yoPfqz9vh5KJ jo9zMCMPWo5TrgEpsLrMZtM34bx49wqvso0EggI2IggWpeIS2nhv+KiKSeelZxhrjWo+7IpDVB/L wffSS7l/zUcEW8WtGdYKplGKpWYvBs+74cB+akj3rbCGIHNaNUMij/qJLJ5AmqGKMvTtRuZA/vRH si8XbNl2S939Wl89MJfH2xZi1tNKQq8Lq8kxJJb4xQE4LwxmJIe0f8kJDyWnGXRugZF3T7cNwQrX EJi6J4bDbO/Ln+t9U4TsVXb45RYd07UlSSVd5PuGJj0SOZmZyT1HJRTpBFXEUv5OJKBooPZJ5FM0 /7X5zhD4nCFzA2ke0XoYSXPpZEvYe1GrioFo/RL684t+sU37NEWh/ScjR5HGS4rGKhbvjSG2Vfvm b9gMzGy1Mmh57bb45KuwsgT8UEoobAs1laZgWNBrwYQPZfmsA3JX6Csimor9qZijQ7eWLzZTdp2p ICrQZSmFdjJ1AVHW7U8LuJ381fog5kEuGTr7HH+L3xUIgbfLl4CP6HkwNDZEl5KrD6QasiK0SiTz iFT62KMHzXiLExSZdXlKTDq17H8AAU0uIclIG55z97RaZNFM4T47NLrSL28Su2RiIK1A6Qn0yd5Q rV27Ro5KfR5LsyVNYO4tuMuzC5S7tJRRh38FDIbPQDghB/ATKLo1XHPLxOaW/BfwXdvkgURF+4Wm DP/zj1nTX2esyuhI3GVOzQyT854NTtM2WqC0nHZU78nQ3x8LA63OtLtICdVUAQOIe2HWebucjCQG Y+ihwow6+5OQU+/we9Urcki25EnEb8rSmcvMr4Xf3WCTI08Rxsni/7xxCAdlzSgdZtv1hs9OsTuu zShWbpTqS6+Q4JHyRq9g02z0sElCPRmiJcjQWxnHmVmUBL2dxgYfA1HFugqqLnIf7RaYS7KGT41H hSfKd3jysUw4lrhx8UBlnT2jQs2sJbmesD7aQWcJ0UoXWafPrlQgi8lSPamljqml8sQqq2ybWBJY BwAWAdRuwaPJQIrRWoiuDXXgaeTXnL43KCin2H8bkQJbbNekpBchZi1aoIL/4iFHw20friaiaoo4 IYyX16V5HBk04rVg/N+sx2BSsrPp4rki8nXIhCCDZK54ZcX3JZhOc0Sh8czJ7fdYNBVZdnhFhkcA 8A1OyPRO8UNEE28aUe3WaqI3Wxa1NZL2vm90cKHvVOE0OWyYA66hI7cY0+EfznmpfCIqYHDs3w0m DfBVR9cu46kP/1l7cMM3EmUjHj1Qrdc2V9GLkr0NxYC4JFbpPFsi1ekoNkxx+5frvXneBBclwFxH pvLUcwU+MeLSjpuuCRntMCftCC3nX5ORf0Iw1dclkR4FRf/k5DnyHrgAo3eufV4coPfs5u9J/zio gDv8lxmtGlM5Tk+GjeL+U+6RK9JbSeGmkZt53T4mE1Msk1wbC60ByMkmfW4cPfWxJlq31RKGQ/fi 6+KIO8k5fOsMl1Q12IB3WMtPBs47YJBRxK6UcGjItlYKBVz+uuRrW2GV1QYybBkaj29mE3mtcVOv OFsDL+E6zbH25m6SkJDh4oi6tJww+kHxfBclBKd8jAVuD+Y6qS1J+Uu4cveKVLgLGS7kO/ewZqrA 864cjHhV+huTLFMVjMfK6HA+p7hhioM8FcnXspTgw9RKc6+eKgYiDCK1Zwnew+WBEGAQHAiFyUbX Ru6cvcHT9XPv2Gg4ZL/f3fcyZM8A1p0OXxxD/mHkzY9iRdWOlAJYbcZklnl2TkDAxThZhzfFhA3D bFpw5BtBJe4gnWXuYD+VcMJILn+0fbdDoPub5Rk++TsjJrMLCTUB9Aue2vlOiMRZK3ANCMqtSxkb w8E7UnQSOs09CvtZzOvdueFNkJHYok98woWa9xHQNxpB8ryQsdia2Pqnn0+1GXRSPxWZgmhuGU6f 5BWeQ7+1nzMYtdY5+21puU9zdWq5femECtmat4lXjytuj8CxSfYGu0AIqnZbuIhkq+ZMpvRHRjVx TK9xnPFJ6ZJbV5ZP4iMHd2cOw+3CD6Lw/AiSaTklZ7/Wdfx+ipwgFdJgMlHORCZFfAVKYDgcqvqG p3srRy+oWhx+rsckA0UpUsZnX+kMupAObxIJBgru4rvI9aR+F9GNYL0WMNk5WO1pj+jf5fyhpcZB hahTwGxhuybdd5Rw+F62SxJkFgt/MX9vlE0s+h1isbOcnWbYBGIgF7KHeM+nnT0Y1wYaYW3Xl4uA jiN1Z1jjWfLs+pG8T/ipqv5zTMXmTZYTUi4bf9ZjKNqixhDTNGQ2m+x2Qoz2ZfrDopgfNuejMOsN vGrS2rKXwoBLVafFvI61NRLJ9K4cozZYrmFQHdpS6CwJ+NrLkMq1ydMunTg0IH1MBdfGwU5BIDb/ z6LXNZQvlFd6Qobw66kpJBBareJ3OI88nTAwSKhxQKMFZAQsnK1uYx7She/jjF7+v3FYKlBoioI2 MLmNPxgAzQ9kYisKvu/Pf68ghzBih3sQqh6S3zA8zhPfYEsKVtBIN475B4CJgKRZwhTE6lA/Req3 +Zx6tQL27h19aLcdT5nvoGvBeL4PXFALXbVtrpHjWNsmRUDZzq0HEfKFa+u3w/vtPckebAalfmVo HNhSTHyQGEKb9zA7VoB/NVqk7zHpYBcbPqsiPkXUc3tXOwD566uNHC7jDr9pqxeEjFsD77GlpXbk 6sV14CPCkS39pF2DxZ5M6Q1s7idU8y7Zb1K62xJvggO67Qn4yfyUDFTETb88XCelI+ZeW0dUnWdZ 3zvEQKOhr9P5Dj9S041dTyzMgUSy0JmG51nfIiT+hveGLVDznw32qdrDOE2wGL4GMsJkzTDkgqdB +AaZY+4nkv8y0cPxTQ8nbpFliRsTdSTtmzqjWBLjJG6S33UJVt/ladFF1bEGHBT8g4bSVF5L2JJW kq+meUxJWrXiYc7l/q4TrY2MImgqRMeRxiOCaNWliAxxeJjTcgCCe6otTlYMF0v9UNkjLHH3sALC Lno9owbKrAhXK4NWojSJ4UO5+n60VQOXfCCi14DHYolPJjZUGqxUBAdG6RUjJ8OMDlxx3GczuEpK t4DJ+ZInI1hwXBGw8u2TTiBr9Z2mdBSHLhzN4bdMLgHVxyvfDMeSgmHh1bW6MMjeVYbhZq7HaLcd MXNxXBTw2s72rCW6Vf2F9vs68/WrFFZBid8/tphNAjmSMzTqAkc20L0+esTxLdyfhMrMH7B6KA7T gXEgAHD1zYj/apIAIDUpWB0j2Wa0gBRJxHbV2bLYw2zNasicGsHFMi8jk0rhri+P8gTCe+AUgPdo 9p40IEwt/V57MmjaUtmCaSE7xTRVqOy3hoZaLXEBJOJeCpHqG0moNj4FnWbHjzp17AGlRxPnTNq9 /kajQurCV3czWEEYnVwjcbK9ofbwBnaVonJMycK/uGf882FO69P18wy+CBQib2sPUdJ9pJWeJdSv RGAl7C+UqKb94Pep6GpeME5ZgvN7HQL3VdKrU218nPCydID608feX1T+o4FhxtJzgjCPF/aMdZ/Q +zjbwBbo1rEpK0EkixS5XcRNI/kzNxChxEnXqZRJw/G05xG4696niW4BceswLLZ/rD6R4jqc/AtI qoBU1hi+C+qt2cG7IK+s5TfEkzaYajBW+yHyAsiuo71kdoms9DRIpHYiJ/ImoPsi0ddRUQ0EExr7 iejziTbubFn0fKHL0Z7mAnbaew4OqaJ4HAvjRCaZjcHYQQCNgQJMagmWrdSlLHNbrMmTtIdCKGc/ K6stbALIarJ4fjJwipzJ4pmvJKzdDkaMiXFaqwMLcKREN3IlhH0BOZt9rOnMRNN7eLeXESV9hfnb A+4gMhq/LhxTQ1JOq4xGcGlB26xL8VNTwshRXvVk5281mVsy9aaBvpuRRcn4tiQ+4R5bBPCGX0UZ z7MTIhN3baqotGuT4Ee/3NQDXH16K6u1utte38w1uTYT1LUCumqZiF1/xVk3hgh0Vkh1bjkaHJ1h KXNHGb6+VNJH6f/vAXhf1l6CGfpg2RfbZcTpEoOqWItjt0ulPQNJ6tPztZUF9eHufDZdjUlrfCG/ CKWfAT+xhh6r2yGhj4aoRM1+0WJOomWDlXbAI3NHOxMgj0/uxcobpKpU8nlX7ROTcNe4+waxXpwl 0bqiRY9Q3qMMPbHjToiv84lKwarSvbExZaxBS2qzMKW96h1COHKuckbjEBUoUnlz9xyWe9qgyet/ OGJ7yVcOH2HWWtj+OMzwZ6Fwxg2Wa6W4XDcDAj2brwu5TcbnPvQNQDjdFTRfiC3l88JM+Uanzqyz r2EQSHs/oSlftGmT382ipln7AA19uzqxnaQstpC65tzcOb6nCiXcuklIy6nS5E6I+cJrI55oK8Px NIr2mMgFTSCbwAYbtyBOEQ364rYtfTVEGgBQ9JmPdpA55X+kikb+6U8Iy4ZCOGx6GTOZPxLg17T/ jnQOwpPs098HLAHlHIQLptHMzkjdKWv1DVkk5ZQgKqsBNrsF6B2uAwChQxr+IeDj74wqicIGby/C ngnrz8O8nueTFGfBs77JNa7Oju31yNlemH8N1AhzXiUxV7oTX8ixvmpSQcrT5+TPK5WBrZjmFhSo ZXqwtyYmlGoK8Tzykgll2Ayx9pt7YagkA40Opx7IjGvL+KstcSbj/kSD0FAoGRF2a6NG5+G+3P9L M/QP72TorMLlwyEk9ZmhU9UrFP+9ivC6CZt3sNsANo6x2E2j0FvBRrFONigRLcwk/ozsetozhZTl +Pi7gMxfnpHVmCzmaIbnjb8yJZpVksq+E1XCbXdx8J91P3NLPeeoikSYjQI5XpKYkZAl0BuErugr qjGtED8+3BZkl45Z4fsYQZsBYJKhYq1SlvEdpjFLZ5Dod2z7QdQyWL34nJwDrkRKw/Udagvp7a8K qD9cRsE8c64j6jOzx9ECRzx+BWtUO3RPRAy9GoXE2LilH4poaGusSQuIz0ELk9qRg1mV05qsbwJU QWmJ85WMImQBkGLS1+KHdsapP4iukmJtD9JpJFV5Z3fYmXyhFG1tYi0KjnoIUtpebWJIUWAjkLoM ckP9L1kxbqYEbo+MnBgW6GruHG9A2cfuOCE1GQm8N+/fCwL4mLkshRXrOYie07tz/23I8U50WFWY PudzyNkMYruysppBhdol9kI/nV/r8RS/gjq5Q6KDD7/YC13PtzOLSmXldH15HA+iv0TJ7+7lyXtD IAYI618TOHhKsXKalIGVGAWStzSfSd50FZtCDeB/17zEvVW3riyns572w9SAqSkHVN2ayFPxbMDD DclZPG6sP5v+2diXlpjrQ9VfvxUyyDi/FpRx4nulAs9gTXWVOeXfpU1vG78NtqizhHXcry8JLYng a3cuDPK+yfdZBaB2lVTveV9ZNYGe6IDuPyKjxl/xAEkox3mSaMIDXvfNFALLMWG6Ez9wA6+dD6Zw mi6JQO0fOWbrFsX5Ygt50MZPHsD4YOpYggHF5Py8G9WJPKO3vxtXLO8JYlX082TKteigSuH+GDcf +whqCP/7DizO/ZPAiauoGsKqeyXVb2lyiW5fKwkeKH7PeHT4plNizuCCT77FhVNhwCeQ8wyLwGrA h63H7oUP729p6J7Uu7Uri93njEJNCXPt9aZSExINqj0htTcqy+XigMZ+9fvLlCVBUU5g6sgMND7r xKx0o5pisUnSsG2wiBU9EZJtlB2Z/6anAuKfrFvxBLZOHzbPJd0TMw+n/e4jUEQWJu5Bzz0Sopah VQabzGjSqZxUkSi/9Bu5hYHpwDxVqY+RfLlKqrfKOevZr4kUnDtQu2EFSLTH8zge29+m7qloPs58 E1PGEJe3ZAFY28RUQ0bk8DlD8FpMWjAih56nHhiFEJrQYpJz8VEUfi3v/IdBTTlz2PwhU5WwAPGA 9IMv3olEtfThlJRNZB8H9kKcwVQnVHQcNXimG/9IIkYlWiLizvuomHUwUPUD18qDybVpujBv7DB9 FpVdMpFotQF9eESDQ6ogt0ZFTl5L5xxNLjzTDOsS8jpvl43NfsjKDoZEpAoxZcOGqU+P/Lsh9m1c RmplYkXtdx7BNuwguXG4AOvyxR0A/66AT9wtskmWaQwvzWTow6Rvzai/zmIA9wO/3m8VFCPNqX7h Y7ilWYTSHL9q+dbybtpdvMyrQ86NbCjqXd1kpMUt2MoheFTSWgFbOwWWlRqwVBxtIx/M1+7gvnWc o4SiohkU1FV4gEQ+px/5sv7PkoVgPMYnmcGOBzNEXb8xLGn0XMNbpyCncGcV0OZGvWj6ev+Posts IJ0N+km/ualrUl5BU+FypoH4Rwf3Rt0cPG/xoVqt8cuafMSElDYX8Po5TevcAGnk3r7AmL7U2imN 2Q560akMUmQV0f5AiOq3FOZzbThVzw8ZW/V3HAATEZJ85GNp4+g8KqqwVAUtF8Mlcp5O2tEBYUqq 02Z1wnr8FEZ8bEI/NQC3p8h8oqcCxgbiuQ5FggYbehXDmDT/nnIIEe5v/rIu409soMv1i8HkSHmS GoJ0L9DRdF2Pc51nSKwPHv3wLqpQo9fqTkFS/hljKTUK9PzraGFM65tI6Cbt26XtoY5URNXr3YzA Cw6fhfY0nuwolQf6eG3i9+iy0ogAWtZb32NVx5HCURaV6/oAmMU5sY/JTTj8cnWe+26yknoRbmdH oESaqv4zkvjdPbpGlMnWVJ3k+5dHVRLLMFjVbryi5wm1OIXxJwjXrCsCAfsJ2SIG4s5UWBaHL+PW soRNu6f2elXzYk/Z0WLqikG3f4lUl7SZ4w+QYlULgOWrzjXbgeIABNWZr452V0MYc69YepejDYv8 1d/e/wovG6DNx3YE4n9eosYTHHV30IP+CZLcO2COYyh2J/s8eCXpdFv6s1ZXKnbLIVjkyJBS/Wtw dMpAWaoP0TdbnPzXAIjrdjRAvELCPpe2Z3uVpWYMzPA32WiPmwV6MjnBdZJy3SqhiB3IuL3aFCMY +ZvzsvnhRS57ap43+tnc9c/b3bjtIE0UimzVeTnoI+pF9YB6d96ylFA5bzffnX0ie9k5bpbu6nfY XbtLO6p9QuYPiJTUxskW7y+GY/QLQ3vr8LoCElBGGXByyY4s5DGDdz2hXpsbv0sTCHPzxt7buTnj k3WyMca5vWvUDaiTwTWF/nPOpnCCMPOGIBxAJsDq7wlJlK/pccRuELXYa6UOfC1CJDAFw6u2I62I TD86nH0BSgoCAVe8yTAhFOFVnjkBljRAItbNWvcdp9ojgclY8VGY8AeCX5S7RHR9d+AKYO7neiIq YmQYBFT0lpwihhY2DDsLK2aBzxd1nc8I5WLljXhkHODCTITjj5WwO38Xst8chYEVB16/Z1TI1DcC hMx5Ywiqb9HTmFATT0naHq+TpGxlqrGFOGgqplQ6ToZd8o3B8oFPbjAfCMdzqs7/BSCq0nGGtK4D k6aBpEoY5PLV+9Qsp29UiJbbsCy5l4oqKveDoG3VZi90yF5FaQoBTtMWCF0nBsOHLUhqnXYVEI+7 /gponu00ZjgQrYqima0xW1FwxaNgtcH6Vi0+g++YRBY58seOAiNLM39qmSTW6c0HLYh/7tr6ZA2l /uBgPSzLpBv95FqtwYLREXY36hwmIvtfsX3tH6U1zSeS9E35oJt4tm3UX6anIKoecmUMuf8YYiHD aQfTngJ+d40hEfgSiCAR1ecloN8rNi4401/gBx/0icj8NUKB3N3B49xxKhgoWfJJsOP6GVeuuS1w pyB451cSa3d59jFzRBwMde49SPOm8uqCYJs2BdSBJ9K6i99XoA0oLtLsdDP2nwpRpaVmm0DHn6Oz jmQNam3cC2zd5wMUwBd9/qu8JCBYCzvyNKsE16ZtpnsX5GBGbi/JY6Ohncwk4XjXkVQrrpVYE0lg WdWBQDaPaqRfICshTxGV6BR+Ba0wEHkQcheOCd02IQurtvEdlZ7nsskfhPQ32vL73NNhwXLeEA2H Kd6wdQIUHMI2f3U5x3ar7uUxo5LbrFya7ahpt/fNaXnnYVPGT9itjVwWUPVWidGdWXi0vI6ptjC4 +HnxQLZPpoKeuWeOp9FKX4Bsv2o3Cx+c9LLbhpko0TQjx45678FJ5DB2A1F1sT5H+86h++pOy+e0 0V465WyckTyxWr28c/b5DtsZBNug17SI7LTPBcDYHhCI65aQmkm4V79GO3GNfO8oQYosbDM8fBbX QmNTDSvyvqoS5+06o7Hp83IA2hAy4Wv1sQ3t2COIbxTAKpLKKXR8tie/8NVt4Tf09Wv4tbwe4ymN pT3mbgs0jl8wOBFAXzit3RGwgMlsU66ZItZCaQtDLAjpky/JKtFJi/hzeC/piYBkKba5Hod5sknP OzZzu4Wly/m4AqHX0onsE45nFFA7dG/ati1+zThV5r8zM5yhO0I6O7lyebJ8WotRneivldab/zPg HjozFtaaf2u8BfFS/XBmUj7NITw6DBjpmk4PJUfcqieZ7UUK/hFUVsWjnpw25qyihOW9p2qvaHs4 CuHTMxfUutTxYE0kAbORsVBLevZ2WDPED4kAaCgg0yUUETedYIbiA0lkgbyx2IloliHTUi5CWR72 HX5b+1PfjoCLiU80Y6KjPt0ZA0nltfs7Vu/n//DxEnczChPHsqpDYf9ClLZQV2vdQCWrGk37j0xW nrRgq0O3rdoLI5Vn0ybwsIkuIT1GFcFpKnLvMz0wLfCRc0kxjzOWUAFRZRMeG0R1n1c1qTu+Losm SSA6FiAo4RvHaVzoLvjiDiV9ZDL4RZ55ZOE+RhlGorqb3l0PJAYmgTlnviw4rpJjTDvQVIDKrXgS h7TLq/bs7FBbv6ZQeTfBvBsMtiHiwKJuRBKYbWL6iZkW/TP2O2R2Rv1SEcw3N+iUQBQjJmmjd7eL OWumf485fdmA99YnwLIYZZAZ8OF/YnjmyHLcNaP3c+5FrRAlh864il9677aMfAu6rm3p499WJ2R5 Hu37Xw5WBj2dOw5iNgI4EFwQXi6klJOdQ8/GDsQJt1d1zL0ebgOm81YcPCI3DeZ9M/NKNFWGuR0K H6s7KDov7YdCKdsk2WTUicpqbKaLIfRt+9ppVRrkQYpb/IRtJ0Khm6p+hVM+nhZrMRIBZJaV2cmO AN7soOczRjsKceNvQ89h4WTkCCfkHbgz7dvXdkf7prdGT080NcgSPI3QaxKi+pJse8ZHxqyu/IrE Y6q7uWcu0RVkgah8v39CLbJw3il5Mwdqg8W4M3e4T9QC3oQzCl04BeGhXVCtl0cmPoq7tV9FGF/i vtNEGi6i35BeV+1NOix21Uz+vsmB+f8SYYb8/eOt9qsdusdt1D2GJg+Ft/JP9bCvvjUvkGAKMryx GqVcevMVB7N40TBERALagEXQSqHEdMjosU7w+0k8zkO4fTOQpIU5V3g7gsd0h1+fCoZbjIX9XeWg 2AyVzG95LpCCzDEa8vySrJEsyw4E+to6R7DgaCmf4PD/k9m1KUzUocJRnft3IoSVp9UBIKvx8Vud fSQ1845XFdQa4cbdQeQnrMtnf7wiFGtul2VDeiqbkOhv2xvnCem8DelbbgBr7cbHiFZmGmErE8e4 EfFZavadXvWzL6Vf9aJwlRw2SGnRPUR7OohJwNJrWNPyd9T+mKgcT6XRLqO4YrypHfZ8CzMMrsVY HX+ITpI8XhlINRRHS3w3MMQolr7a8dtW5DioO7nlKSYbYuH2khQ/lo1bW99vORsWD6vcmxBfB0U3 SA3rMgfkj4aSlZs8a4AsSQBGlDbX4d4qKYfdZCpeRp86wIlKhebR0f20Xd4QxTlHkvXy9fWh9Yo1 lD69Ez4I/1LgacOEt7p6Kkkg5RKC3KfyQMRTbk6LaMP+tfBQNlgVxMTqDp1ILK9FTLZK85kdoDrJ f16g9yfqPzZV85D6/HXLMZ84KHxwpUyD+eN8BwQp90Zx3aSnqvtK7RYRMNCReb6eBnFLuFY08v8A Hk3L16JQeEr7IaPQuprng6z9pYVSnBY6ekGaOzkOAmQpEhnVMemysx+UXyPreKDHoaInoAORtGLw aGusbxSxmeVQTLrhB+cIx/T2vpm2ddXGM1uOy0ar784vo7ND/lSBKquLL+0fRZym3TI0vEg025IQ Fi6yGvYLsUeL8SnRlJzrt4LFxJ3Va7+aZCOFjM7tWWjiZ+D3Kec/UrA/OZG0QGkIKSrDhfLPTVYA 3jZX5uv4cMViWXxdqJkZgKGGVUenGAtSW4Ww0heXVj2QthQeLnj8JtLSTo26tRWax0tJNXQHa2lu 64KFzTi4k2puU4tieOluPKDjMv7L/Ns54QzzOQINCxmABuLWGuoWpzSblqJ0iicdzSvZj0cTvivM OidHZ9JpC5i6z8x7hQJBAOD4CfutHkcNkvTZA0Uiaaw670fTWAIolKRkR+HJ7Pw14pGV4fG4GNR1 Ot+TWLwz/la2KJ/uTKDVR58cYbYTlIGRdnehd+zg60ni2I/Asb4803N6VgZQ/MS/fRAfUM4ZndfO 8gNGXRIrmN3Mx84IvkENMan5vNwvLoOFkFnm5twjwFWLMx7SDmT5piELtCmKBaBbav+3r5IdOzAD pbuZwemF+2D8idKyyf9dxxAnbolthSSWI3gDwzGnbCjQS60FN6rl65zSZJCl/T4pw7B9U+QFUfW3 Lkr9N/XZYknspE1iFhl/Fjij7mwBQiV9/jb5a+ELE3DLkuXowoeCciOzGuQY9oos5W83T3vJ3/zN 7YRhivi12ey83vFWDu9gvX1grsTUEVnjmf3pFZtW2XCGyRM/XnYOrPa3fEktL2cnKm4hbQpiX2pN bKfkKRfXeh9bEGUPIVb8HqNFjdmf9MxIaJ/jo+/XkW+c3OJIlWCyKt6JhiXhnoXQ3PbRTSTpzvKZ Ys6MUSOLk9/CLi9IEKaLeItjsFL9FPvndm+80WsXR/zBRWh27QVbpYdba5W37PrQfqKqMzAzPYzg of7TtZQmyyaUalPfUrQcx9giKDA5d/lyocD5PKTwhXjWE2/tEnlHNJw6y/Tb4BrLUWCFFNSHeaKp r+YslLRJgsLK0uEM/RgIGY/VBpYQSqdztj8OXjNSKNwOjNua2p0reSiY4bCPasQHYBL/n3819Y0P R5MmkNcHYhSQkK9KFdiUrv8cGOS7QHILcc7Og2hpXzTx6g2N6gO3k8wT5PhmaGsCzdgdmss+UQx+ CiQ0MSxzG0ssb5/PkTaCbUBF1hoBq8xMGM4/Nx9gI9BUQv5FU+XLd/Pnm7wZqSYPSeqTXRlzAy0q 4yVvl7y1g99iCVRrHiBG4CFjj66/grs7aaqJua0gTaKJKstZuwh5r5qrUF+QSR3nfzVFVrRqYavq fCgbXZ/h4Gr/DDeuKQjfxHbMLwkVWhcR3qkzYYqr7xmaIT6KGNWnNTBb1oJIamwxYJyzVr3YgUpk tGuIKGPyg38Xol3pa/p/skCQFNpRlu6L3QydcxEOgZe3tOqdIEFSkz3LIICI0hpjup8V+Fvv6RHp CNgEMlgPNa4TuIP+cHXI+zNgIF4eOo0A4LRXHssxM9K0ARwLrCkgbp6GQrUeg0pW4Xpyq16kvZHK 0ieJaLteddZwz+O+TIA8lfIRROWAal+dKkMptEOgJcuvg3e/rcpv0YqhzZKkIF5sx1YvkFW+JKmI 4r4ziW/JqD7zRIx3RgsIfOcRC473uZO68UUY6W2iKUtO/JPK9vWt8mm2oD+PhFjyYDfui591tMYr wW5B6xHgkUvW5u6uFj3cdmK4drEG0IriFL3iPJRLesJV0QyNLXaEWvj1yV4jip0se/Eafw2EnO7M +F4u8iPLgSSN5Trk+5qr8YCdlIqVsJahXxmUkCKox3BU+PRfQDgAdpfY9cZVnhayHSbnRsnd2clW FQ/xlzIjH5FjrRH5zbzlxXlpE+O1fkwIBFAN6HM6wO/Ei6HPjFXXPaLseCYNIm45gjsrUeurgA58 iLzq08onBtzwRTCFstmpSHya3+uhZd4SrWWitiNE5bA1PCu1hYaAIlJBW5cQJykGuQtYEQ4RXKHT vZPr4hyQtM3l+47E3RG4NwuIrcQUCeI4O+Rc/HNIV18S9jfT3/yOyM7ltgpxcCi1XiTfr1oqdQqK gUV0EFk86syMTn/D3nngFuKXl9sKMvk35d+eNerFmjr26RydYM1cL8oxfAM4V1rYMa0ohyGcC40T rRE0Td9pmsUMduh38vGtXwZh/tSvtzANVRmieNZZQbOYDyhRmHJOD9ysibGxBddFE81E/aNDokXk W0m7N9ZDc4Fk8/C5/Dtn+kc/v9FWuKDduIuZowjS3k9Kf2wO6t6MXJHm69BHOH2KR9pAeyRw7e/l VBRGIAlp8S+/ihSPL2HsAtB2E+LOVwDUGh7yG0vFEltEt9HemuUqraN3KWLLXgSmOvDh+nIiXhx2 vgwxdKkl/izHcAHk5KjgoqFjW8qH2gOnsqAnqTZIHS6K9o7qD0DPSR44sWnGVipdysHhAh5pteZC N8b77LKtE/k3HqaaFJK5FWlTotxZVGgUAjEkSViaFyIcJVUW517gqEa5LXUtlC7uKHz2zwc8BqW9 3A4ynznVdU36i/8LoMghQ7Zl/RSR6UulW3QckCgFNeSzKwP02q4iTO9vAX31ycF4d6D48tP7RRqI wuRuwx4kXJ7Y7ozGM8voXk7BZVp0iqU5LJabhhgiiRIGEkNmXqc1qvFx+2y9zyImKSo2SmF/pbm3 dx+fOcbfCKO1/ZMEk4nnxIAbwwZTEkjVGPZf4JqtGqDvkvtnjpDu1QYzz94KJJYRT8lb5PouYNvo zoUiMekTufiU9LnVkklzEaaILTja0/0PjyhF/paCjA/U5Vnk2OOrg+utBkGyDrWL23l77rcLkyW/ I8XRnBuH3Qe0VL0xcc7WtSLLSQ79yT1co1d55n5SzwSqI/4tKgWqw2sgPSpcfOztKoXTFoFEFsF3 wUjrEPh0J2IDjOW4G3fcRsaY5RUDXIe7D171H4nWzt0v59Inb9eWcxtcLUxi7w6v4jeLtvFSRRTB lR0XdTaodfuX2ZQ/BOSlCKGCS8DWc4ST7Gsp9qaPXrz5ggyFgKc/D/YEH3VpUGwfABmhvhJDm1K8 395/13KoV/Ranfw4dtADMb0T606RAZSz6k7nDHRfyWjUHIhlY6ytyZN172vtw9gT5vp9wfQoK2Xh RQU4WCk1Mcarc5+lHYce6QiLZLi7pFEuMYOPoW5B15Nwa5j6Y5t5Qou1ZgoPyCt8hUa0RC+tx5cd FpEL7gdGQIYMqiOpTf1SspgXtQFuoEknK9vSPdcdex50GZ3XA8J+I9AtTa8yWKLCu0mpJEp5b9mI +NKGIGPR20Km+zUe02H/4bBNy3J+5yEByd6CyfsnPXETipqdpidmk29qr6O6fpZjMfkeXDN9EjJU fcrBR6Uar5s/pGqBod0GDTDsE/aFGnOj9l8PUXVDBeq9qOeXMeZWVnoPtnpqh/phpxWouiItDgFW Kl/+fi7AOTetiB2T6ZwT5JbT3PkGSkhqV7mKTKK5GC4Mi5cWGEPZelk7mHA4fqVYhAWPqeGukVM9 B+xP859wXeCci2SR4wPjj0MiI71g3yc4DvDsAiUZvV4ugUnTQx3T171D5fRvTteXG5ZouaUOlTZN B/jessisHr5OA1G5AcZa2i7474R0JM/7Las4iVSPEzxc6HjDA8QK6SoNnGXOVGw9r+L0K3pLWQ7f Ycq6JUzVEk4wksEYhWaQ5ywPhXGr2slQmAmHEacbaVTWRubR/QY63jlEOCE3wnv8I7XhVRWTYNAb oq+Jwtngp5b4rRrn6w4xwBbZkQ4AsfvJYJHihwBPgzzXiM4y/U5R1p6+Oz/S5CV795i72i2Ri2Bx nGFzsJa27uLvicsZ7/B9i5QTC8XCzVo777T7ItjRmzGuuxm4k1mMFVMQaZm2eQbUtKP7uhFVyev3 2obXuwoOhUC1XVsHW1FtLu25Bc2PcHgQ42wBgJtlcAjsRuvf5xWw7fooILtfTmcuw4VGJDOu8wPB S8hL/J5zkRZ1nGqof741FyyGy+Ilz52SDnrQNhALFoF9A1RayHZ6lrvcHJwaBk30KiTz6zBwceWv biIAzYJRX7cYOwdfsMq6p2FjpcVBxG3b7G0QkSeuNxB6hmowVd76CZtQV/3qHB+yYIE0Jn9X74eV E/b6UOr9HELuufqTEsWPdbl+u7UM5CtcK6QikqQTKTebqY6dmvgBFUp1yw9SLeDf93+AZ8ozqQUY dzf9FPAfdJiFBt1TzBt0ghMPBqJgD3C7slX5+i9OropBzWAEPc37aVjbxouUuYweF/vaRTYmVbBH UcI4DcbCo0vxuJYVSP7aNJ7Kj2hQhKvQAy/2qTKgSLiBcvilAAvS/oaGJ5+LGybnYqLRN0F95Tle pl4SqcGd07uifXsTUxn777Clvw71jufdUnJzRuQnQjYa9WgnKLOW5Br6l9CRQjZUB/PikE3SeZmw pvcsnYNcORlfnrpf8wANnf9VxKw9K/f2hpt8S4cczb5oNG52VBJBSBBvIXNVQHpjfkMT2m3zSK2n A79oy1IpNf6KdJwLZ0D0lZTAV4mrUsRaqDbnJV+sAEjCG+rfbwXrr8OO05WYNfXgxQf0AYdJpHK1 np5ZKtRlrinDpjrUQUt2+dvrrEN5uqR248SX/ftQE5i1tC4U0RKYbdF/X4MGcTARNTxXs/creeBZ SuDDpJxVLThR02VvfduFgCZUwp4SciqoThlVBm6XrCa24xDVuGqKI8GVx9f3KlMwE2SD6OmVtQCS /p7opBO2g3gSPXQEuVrtJ2oDdaBmI+bEL+737rPnpWIrv+oTOLkT6NJvPxkga/Ui9019/HezthvJ CNwqFpyVpBpD9+waiV93xcPDP+CQza9xANA8SFBQmugQ4F2H/CQu9LzAziOaPcnqQ4PQGyUDQdP0 l8CJbDrKHHqckw8deoB0qP6V5k4AM3xCLCsBWgGHInMm3dg3LyiZ3NiR5JD2KqSYdW7DWhiajE3J X+yaGKF60+VArI3fwNbDDpsyHz9mYX48hxwkSD2IRkjbfMNfxRe/qbv5ZBws8JsajxfYnYvjrakG cvO2K65kqdfYULS5v/KaCGiixj29EXVLY6Ao3WP8/JCbo33Gxt4bKLB0tfJgtweoVKamlZwg7l4x mg9uwNthxnMCErQTYW/jE2oSXA43Px6Nm/DdQ3NGRqfkmbU99WO9BTgqE2KEEVM48WsOe+QYaodF iHRD9HU+Xgj2bzXrj8SnkslzwsSC61eyE0PGlEYp2O1cG0s+rB8sV87h3TXvjcnhuVmpBptFTSQ9 AaTu18kpGdslDgQ1kIBcXzSK3+1AwMHlIQgP0HrkPZU80s7laGPFxmgsEwZ7cRI1YiJLH98PuNNm 9Z4Wy8lOfqZqFraSMbF1J6SUF48gd0NdP1n1GPZfhkJYXNEu/prJDfWLcQDQz9q80DGVT31sQ4Aw vSGrjyOpzJpp4I7mYG4+ss+OYT3fswZy5YFR7wkByAzju7+3DaFdn0CS7KIouw6187qjk9InmcB5 gohFgCksLtCpL1g6QmdS6+iFXi+qBEwswPaVuY+Lxca2tQSFE9OR6rMKG3sBXS9Tc5f58tOtrOml vy/qwfC+8vhdqikVoRIAmzvNV0tyIyUMR8ML4ySsr1D3kIE9/DgnDtyM32f7cvNRvo7swg+8C2nu D5zO4icU84+V1TX4WugiVJ0mUz2CWpHnKc9+z320FRqWvJQ6maDEv5nIl3KpZXm2qriyzJhLb7u4 Qq1EdLMe9Wf0DJMyMPpgiCDBYZlGN/GuD2kbeYs1liCPvmGFCCNivda9ByoQX/uDGwNLGYGEi8KD Dxlo4bQOw1QAg4v1XOqzdgQc0JqaT+UEuYgx+v3obTDik06XhYwUTW+EiCItorligsiN/pdKbeH0 AD8nfK/uRffHPgXXapAi8yVq3qDochJVo+uGwOTJf7n/fadPLWSlwiIDWxc0sYWwCoigGsLJoP2i 8XGfK2S17baplhbeqkUpyBgr6ULmfTC1ApCxaZfD+GCKiIHSw/7rjDNwy97VzR6qLQFi6tnJzlH+ ObmhT90d3d0WXWspd3VIcdmKtEho0ZB+vALUssQsSVhp4zYXiRxxb1nTWJjMaap3vmAN1ZAqMqD9 7cvcGLwdOsVgY2ToJBbkDgNlycH8h6Di9XSoFzHGIKrkmcpg2cq0WnNxse3KrUEQAWY1RvZRq0zk LyrptA4SjI5mohj2Apy3oJNN2Gzuo4P9PmZAyLK2S5UzWpqvsFycdgt81IyJfGP4Pc1YXhuXoZVU PoJy3iGEVRk4wxCzrH/5ZpSt1EDD/SJPvxIdaJaIegOjI25N8lyfVqXLw2+XQX0Zjrvone2Qb28n QwNStp+B797nOv4E5TXVxryjOtkIFQytLDuxshvmmYaD+K3Os9MD74wkceFrnrYXU8pgEFtXlDvQ Ov0jHM2tL5wcmiu3tCjs6yAeMpiyXExyq9Kqv0s7xNiAo357kF03NlsXHc2t8d72pSBQelavZPVk +MdrPvHbd6A3YnNZ2ztuVY08fKSvFPLZG4q1aajgxVmVq7SZQuKHwKmYKPkBARZThKOJ0Ji3IXBU gK/TihgL7JrqTZSTQH6I9TzA24Adyxp2UsZ8YfKup7YbBzQK4t85nNooFz7/gTFScfZOZKPX9rTB xadoXbCyOHT7S7IZyy+HnbLYuGTUMLGkyPYyRnYn9Izxuuka0nZPeiQAUka0Mds4zI5JTDbSauBb Z8rG/ISszP4wsi880JwDGxWi7ac661PBFED3sLhNjcpF754G6uLKxWG4wIhF4/zjJ4HSShnJgSDl YKoZQrNk9PdH68DXm9o8qSFLifNnVqYk93RM/GXKHBIVLAac+nV/dUwXHRbcbobjq6n27OYUTD2b GkWtvMm60611LBq6VgAcm2GFbA1SgR96HavlOltOTAzNyTV8tBMq2XXW6iHE3D+92+pKKpRgG8EY M4lI9qJ71kLDeqwoz8IvG8LHYPvRpJUJlBjhAI/dDHhr20BvMBZtuJm9RDxpCVthTjEf3alt+6ow sMiUucLKUSH38g464HPYZLRwtIZFzJmrRd71udQolTzkaiQJcgQxZoSGluotMOPwwKQG1clIqMNa dOwMAtkUJwLuiU5+eez4vm2UqsoWzUDfwC5RFqfM7iO+EcZi1hxByrjrZAePqcUTLV8TQfcZ9BRd 4ZxoR63xY2frg7NZZ+I1eOtp4gzNvU4fcAr8oVpcB6c9Dxyuuz36USU9/SnKzxRfN+h5p0+YCGAH X6jEszI9BOoHyGaOaO3IYkMasIrQxfplsFOtkCVoxp6fpsJgBwgUfIuB8R1EVmZpSW07h6kqG7Mj 2z/sd7RmuWfZ/Q7KRROkAWxmeUdCxE7sC0DUmDFbXILoZrYOtbn+8xmtYCU/43Dn/PmZJ4lAupuB BzNWauzk9FsDD6Tik8LC9nJKURzmte1vqpHZg/eCm+bkCDZt4v8QPHS60m31tf0m4luPStMf+ZSW CyFTiNhQ3v0K4I1MBRIGZr2GzAu7Wz4t0geqIimt8kUF5mYW064yADjrokUEzDtlTf+CQdf5qtKC NfHzMI5ZAtQPU85E2NtmBWp3G+RYTPf6b9stjoQxQwGcLfxWIZWfrFLgAipAPJwvUD0vagj4ZTlE NNospeQf03/V67wdMq2Uo3fdrayOQ3nt7+AqFF21Skri9S+rCjBB2RUs7kCWggIYnYpd+m6F1WKT G0V5cThgk5GchfWGG+/GwqEfW+zwjFd5XBGKESVYym65BE2DDywiBgKVXIDAf64W0GXYNaDw4eu/ SQ/3s85TtlP4fvl1cBvtCUAdav/5Z5qdEwifuLDO+tTmc/upgNFQBLRcBVcP+ezhn9y0SAxKsysc rrgUR3qGPBGKGVLnzU7KMWUMneAaxxZ7QH0jchRLOIFt9FjgxTpWfx9+HIHrJrrIhq172lMlEDJn HhPbbg0XjNjp1DlzGNQGlVMjuBjAOPVWuOuCrnywAyonS+TPfD1aHIq5UrLqdsu3C00A6YpHTo5i HfUH2dfQzsE2ITBuGDmfjGfhwpT8sLmk7grrHYgaLO0n/hGEZL1LJWn6WoIv/dZvZBKckPfk83kJ Dn8CfKN+Doq9ih85nxU64+WaORR31yzINyPkwcURQZVwLclXhegy4eR4+FckP3i/0/Hf7e4btx1D +rwmaoRoSJQPv1lGNs9XOT2Ew8FVrhClc+UMqoaJaIe5fPAiMZao8jPYhkQuPyDuhBP4ucmQ+0a2 hoDSymF8r9m1WIB8dt5vNfXelkD4foUD5EDxx/4Us1EP6r3M2g/EQdd6cPjIpQQ3LOktB5G58OBL sv9IiCZ64pYXF2rd8VBjE5Fe84jBw3iK1FrZnCrOAw/YUKRXb+6vCGegruEs5nv3jo9YWO66N5ge DixrkACq84zU3kbYyOGblS2Mz/IRYZWGejjDu5+NQOBa1Fv7g3r0Gvmy6bdTf722IkAIVaJ6lqgH QyDcxm8AgaVULwrUI5HK8ux0abf5j7+GbpysMtGj6oMNot1UYv9CKq4fP28H1xWG2SHtOZQXmmxZ 3Qt2UvwP/mvbxbPf9JLfDYTz+1/NJZFxw9o6E4NuXSio5811sGSV5GiyS1LVXqIMAL5c2bnOapQK mfV4uJizKxOF9NWtySkI5K6shGHMpi4WOWwRmawy0RQpuS++hXotoC9t9ygXuU5kqEtHk4wtSbt6 Um/nE/ORAj0KHl6ruQqnpK1Fq556oOsXZ+rIiAx1I5AaKTqX70XKWUICMs9tcr0MsD1epjEan14u 2Hu8n1uh7Maa5vL+TMZgd40FTdJy5Ov7TY9AbznLw/EUhlNaJ4t2TTsNTkzkkYzm4SzwToYTPmus O5/rMKWgNOzd6LCF9UtE8TCc19MhttOZqMlnb21Pj4eJdYfKgFb1vmAxt6NuErVx+DB0lQ0A9HkX jffhTQJMRW3iEFTnKlaf0o97VJW33lH0QkqUDVH9gn2gIRUIrQq1ESysT9DoI1UGbF/k248OnRjt zt4xpk2K93RyC2iQfABoBCv+G0YtV65E80iYt7hsfeWmUVB/H4utCESUzXmaFFRuj46X4p+RMNAY B15d4UpGlUMAQ4DU/iDfRXW1BPh1yqrpykERo/ctIraqAM8Y+eHAB21c0QS0myc7QCDSirThPvsL zO3aW5duRcj0Lk1z9EbANtfUZyDod4BIxCWkR8NsoFyn2cZa+p31Vaxfe3p23ve4v/byHR/Tppi2 RK5rsHOb85LlmdXgV4iDx2/xH1zlvZU4RPAO7oPfqMe8lMt8uzzx45BBVK5qfF0vk2YyynEnweAG Q0YNO4z/KZhMgUtn3IKoDrlSLveJ7U9Ajq0UMP8mFR9CijbIxNm8Sq0KP0fjslS8v+2yP0zUGKoN sqalDIeFtAWlFaQlW/STCCtz9PEL+Mp/5pKdJ41pQmaMMUdbV4GHjEXzhNWtRSUqnuNBld1vYaBv ml4vu0EmDnCE5RmlG2jnhFvLj5Dp3afBHlwPsdK00717d+8S7asGzCUV2YismWmuwEn+eHgK0RYp LpClvgImv+YGXdykuj6ixSYb3VFNMDgsAihCWydQ4x+vWI4gcE8HZB76TrGaVwMN7/Cx2mMupTtW O0CiIKhyjHAWb5eIAMilIgELwmVxWwJ69o48x/wHXSnvycs0UrDVpZ05w6EkPAepDtFWOdt+5/bN G604ov5tG1Flouv2NQjao9uY5rCmk8mJ+WksmeJYzCrz8riGELTPQJQtn+Wuu4w37ElBtKG5YLQq AuIwyljGvAsE3xgQysPBeE0Skf/8GvXo5/CRYdNFyUGQ/A2wYY7oGOY/JoF7sM2CwzVXDa60dN57 ILUUfa6Mr69+CsXU/+H8gN8J1eHupM9uBS8JWugraeYaHtbrkNacRmfjy4C4XlcnzOxxeaW/ZRBs WAu4J2ld6UtkFkKMB+WU7ea80JBYbS6nmplUJAr03vnJSo6vSZpupKhADE568TV7LOyXBFmhOsnx pbLZ06f8gqLIg/jjH7vhYAp35cE0aKqqR5cxGnySnfxhgrtzg2ieaJvl7O6FPY4OhwWfl7nqgV8a Gt9THt4psoW0mlyb2BvHo9I+HLQhMdPZVf9Nmon4TJM5kbdugmwhRGq/WMS0ZGHH3Avmh6jD2cYc m+YrCT/ClZN7CxRVE3JzuX3JcG7s81/ynBB8I3K2v0x1nKT6YeMTBnkOgckHuF0TeBYCc63OHGvR RY2jJ40Jmpup9/ng6CVkGUQY6Y+3/3oXxaY15p8ahh+C/haW5R7jYdp0isP2P4IhuQ8freJXu6SM cFbNMRXFyYWuqFcM7ieO6qEsQhrP28SFYjiMKwxlqLE/9+8crpgI5PK0eoAREx4z5zD0vTgMoL0g qGPuB35W7Y8McF1AxLT7HpFD09C13fOtkZ+Fl7tCGoN5m91lpGE2+E68QJVVD/7z/fLvuoz/SuYf avhIIuzUu+cMUEywAfsqQVUH24jgvBpuXQAuH448pUZpxm8rtt6optbPQyxikKCRY623UOROYsp5 AwFEeOkw+VynmcIoDxFhloSXzp+QGokx/sXVCOoI03pnsfnGQzxoaMu3YR5wHBA+7xztIQO2kmrF LSDd+NpRuZexD1BgWhM/mX9p/a/oJx9aa6L0XevdzPprpOQWdHu8VIEj99dQ2Z019LEENnAc5aRS W2Iqp6RvkH68P9UIB7N6jykGyfyfZwad/zXys0Qb96bJyBtHH/2UhDOrzw1GO3LrObHr8YB92D3e yiR7t7iPsjz9BMcdPg/T+dpIKF67PLAhj+uoOe75YPCR3foxd4nVIW2YUt9Q4G03XPU5uy3BizEU lVauTfYdh6XI/BVbZT1eL6xt/lISz60AMhtQ2aF36RXuxZ8ZDSdbr8mvmrS8jZ3KsT/qQ0LEUo8w 2wbqwJ8W8ufYeYCkk4DqONcmfvnIZ0yD+/ToVNCdg6KwXlHcxJeeJZPzB4kkVAyd1/LCnITfOO7M CuDaMjMVErkEBf84vt4YqUW/7MzEcYD8n0mvF6kcAYXGpZJuzxbjWYwFUuZkRRvG9FHlHh4fxUmJ UbUumQ2T1xRBCva3tuERlhp+9DIUy/DkMPBsQdare6GwlX/tOzMwFgt4c+2H5qlGdCBtCq7UeKmK qHZcOcXeQSfjZTIN0GF5OPh5b93nRkkk+DUP2VEAoVqATbPZG7kgiHVdwkI4U+StqD1z7XJJwVyb mDa+uA67qDjSqgEOCuoqQmpHTCIWEz0hzRdL82/JKI3v4I0+9wfqi/vPoQifzavo7eIvhuZYACe1 IGjD+JcCoxCA3COZyukPCXN1ZsZuCninqTrQypPn6abSpjhuVqcRFxg9UAOYT8QDKTpWdFE9ykG+ K7l0f1Bgt9VJNiiTQvzjcI1hy74ZzZBCPiEy+7OWj6jgE8AONrfTn9ydMO1zEYaJM4yXbHjdfziJ pcgDa2hWFeaYBlW76Z/W1AntFn3AuwA4uqcpw6HBI2R1KCx7/okGy78ido1ydCHQxwihtspGv34J h+OZd24F9ktW6Ii+HJK2x7NeraLslWZXYcpap1dvJORK3hWetqnwYD4zFw1oY2rX7ngiUpel645K IWbTOmYg4UFHH6r6rOasfgpp5vSn+Oh0eQ3bcC9XBCANP3DXl2pXwxqeyvg1M3BdJy1DBtmfmPmV 9/vgkpTUH0/vmvB4fkv+o5k5DkLMatnHHXlNpArFUn/0OfdoUVailrBRSj9WzH50OSgDUD9WI8zg knWxsvmpVvLDn7Noo/Qi8W63z6DEe/BkDrjhesflg5yCeKIUk/MEbZoLbBCsB8RHDDXF+uWFMZpD pUjcOrmorsr20fwzQy1m/qE1vSRdYGHELWRwqAyEA/P51aqBr9DylyrkgHXPmIf3ZoJ2X0k7oUt6 8PsJx59dV+eIsXAF6sSYbvtdE/cNGIpBblGscy2biWF4Qc4d/xUmXP1hDFGMrx+44eZKmg7PW6Al z18ikpDvVQNQIe0T0rU+3cmS2/eyHb6wi2De9ClrlIFrd0miux6jJEHKhJGpuSB10B6O94np315F e2hP0Eg+k7xp7Q8nTCorujFNqC8roBJJmQYCtRlc2/JO2WqclrC3iZVwJB+2u8GfszWm0cNoGO7Q 7eOataGGUvfKEz9e+FstGfMntp+lWUnCsPU7dLfhAV2iSGphrhqILr+MgIn70fbMezYvPgA1P4eM 7SqT6eCqhay1CrKyzUpVHjTMqu5XgJuytwqtT6DagZrj4CLJKplUMWII1aedaDSVA+nOiX4/PMsj KTGqL1uXq178QUBYzToQ+y3x+6oxGrP22S0PkhXbTLNSUn44zfyFltVz3PuuQB6JNQPQbMuna6bI wPsUNyiuKdN9ijiPNNAIjGHxUdGV91PawvOtma817WZonhSOb46MDTDdEa1Jcy7gM6rJHJDMKmmi 48Am+nka4nFNhUn55ul5jhCwDNPgE+n+aFJzuWHlTH1AZaL/9eNY6ISSuMZ78F2MADf8aNq8TDwY 5xGtSkW2CPl1kplGGVk+im5aLJ2dzyj4grIICnpVHqYzLupdhs4OYWW99ACw1faKsEJNHlML9Keh j682eglcj1qJQlJTouacXLgc2PRg0uOMBg6454TYqNHA3SI8XSemhbI7ZH4AXeE41Rdrku/Igo5R 863HYnDGK+w1MmLdKzCEZkXryI9VtJkq/rWYzSvbbeGEKNmZzjfHcf8tg754v7SWzU20ZnK4WH7Q sqcn513iCvp/Huk70nPWSfzwQPACQl2+mBgmd9ONOEktW6d6SMZR3smnyuOlxKQ/suGlTQsgMtvx BWYbnzxBXvGiudBVvt2Mq/Xac9SQDHDJkbyXlAgqFzMC72nAKguQKM6zWXdH6EcBTV2A3++/mPgl V23tBl9IF3lI1x3Q0j9gdhzFuLGdjJuJSLsVCjR79GLLqKsr0lIs8Ht43W+cVt47yb9SUXnfKD0K SKqZS6xKO0LMY4rrlXpOnrYSvzdOPErNebLj7gH9o0PIBF6KRQVZM0xOCB1/apizFvan34dQV2d4 9zofuC2ORUXPaQAeLQzmfE4W3IdZ2X4j2MUqJKlYio3663XtfRJIctdk7yPH8ddiIibBCBcPAkne OnNa4XhUbO8Hvd/f6yojZulY5xZI90CKvCjDLNT6xtMsyH8rRxDn7xsIVrl2jaB4W0Ls0ls3Zl3x 1u2sX9DUhXOV/No5QFcgwwweEKC9UwxrgMIuxloDufrbF2VGYMFPRkopATP84xpr6IqkZg22lYpj mR5LeeOqOrjNXsZhM/1XtUNqLjhoqNOaTN+KOelv5tz49llZRFNwyqZP38zIoALGDvlzFrHn4i1b bOrLeSAU/QWXmCYwpxcKPZ2H0sOxyA5rLjJlrlDUUAhyMGnkzsmF/93ZZHMSFv52L04f+EGEcfbE VHb3zuvichtyZflMq8eB/Hsrjqpl4/Z6bBRdTAxMu0poDu52CVKvVoM3fI5UG7JORoHGxum+yj9q /lPa3+OSSYvfmxZKVIN/jypyBDtzyLUILyoCZdtNvWiNZXTjvQuyWKsty3LTeU/uLj1+Tht2anQc da2HTfinCYs1W3wgwAjqbTxcIMbUfn04wk84fqoToTqR+DAmUjmwIAp2rAdIkIcMnxWFNtjyqGiB xIfNde6+WKVuAQfC19B0EbZKAppMk1JOKqUusaJ+cCXPVE69rpWN0IsY83kUJn5K4f/Btltp4RzR bQBky5BybVtbkwHGeu7DFORXbryq9Eh7NepoMfUGJTFSd3i371VKd7RltPck8v/cBkbYqb1SDpJk 80/WlMO33pGjIIhZyjsyAgau0P9C95DCtJru60rer3ToJ8S8CovGo6JK7ZHSFqdga2O/gLxHIpwQ X1nQGsy2Ggc1perbs+AYp3zJrbjJ5/SLETois8NeAAWk4dWWpltxnTMiyBBSfos6Nl0Vn6k38nxb T8PaKtS3qLXlb+C95D0Y0Z9AmayUcJubykXQbKU5dW59zUeGrXHDoMV+1yapcZQEDOwX+BxcPa+8 vu+m2VRfO42uEICKill+kg4K9/jaHNfAtRGfR60+Bu232p5tT79ex2HxfUDNE8ybvILP066evSTa eUfuTvo+YCDecB+MOY08Jg7eXSE15p57Y2jpAI5eOgqeF3aPnA1atau+e4aKpktaSlZd6B6AMKon dpefuzucejBK9MeTuYNQBqhLyNg8SYT7hoYlcUUD8sITFx9CT2dKZahoECQsxAcjA9qRfhvcHibv 8nRRBjSytpom5zX9em4aVBv9oRtimWEzgj07q497VCOg2vBRFb8WOywWb6NirBA2RWVL5/HJCGgc rSbWCcO/4suClUZHIwoZb2Sqt9YFORP2fQt6PwmizXe3I9Cot1HNZiqhqRM4AbVubYN2O7dec1HU xc+4SGVbpUbi17x1jK7W3pqMIL6dZs/XseHU7ZHoMUE7qd2oF0FQ2pG+qZkpjIZGL2DdrIAgBzjM R+DZ+4zt8ljF8709dk73UdjBv0zADYu+WH/hShKui7UkBPh39kxRi98cMkV/o8VGd+arPeJEs6WA 9FciAcba1DFJ2BNYpsO2W1n28P2ToyJ3GpfCfAAemBnTRcrS+UB3jz/BdlskII2tXp6dygn3qgm7 xV4tt/KUeBXBNMpypwmp7ItPUBnh6PoL+kasi/9fZWWcFVrMPaNSLvVXD57WdiBQT1hTWA55uhNo ax8Fvt9uvdUSQoLA6HVcT10cr20SQ8oLNImJKM/Av6T49tAhK7MXUGRX61ViF75Zasvj1x0iHaMD x1vkAqU87r9pL0adwik8Fzf6C7rVXx4PG74rsSaeltu0F2UjP4UgHEQE+OcjwPyJUnEmYCWwlPKg cHfBy7AasrpXjaCI/HRfnh1hrYKG4OFDPqjutDMKPJ6EKkxfJTvyJK7CgCyz4Fd0b98gdIjL+m3K mY3bALedpmuGVNQ7PGKvukuGuFFEpkkFLoJ4JeqNrOeOIlp/yCMYGJp/ZCbbbnqZtJ47f03uyHN4 xW4Hj0OwFYTiIG0BadNPOBCTDWF4fQ9Nk/8Rr7T+SNim9pGDrsNfo1AbVbjH88X5Y0RxThFPpHN5 cb3b8nmtayr6gfn/BHF+SERVW6LyW9v6kd4lQ9mUg5fATxFbhTqrKJ/b14Dp+vNdZJ1fpLg1ubdr PfOyap4d6S+Z/Bzvu5lD90oxOGTNHal60HtqUQCw++12CPpoKkUDEEHSpocV2dCcR0+ySGA9Us10 t5Q6Rd8vbogu7kdolGMVvu9gY3rPcjrUg9KYqEwj3Xv12Yw1+68QgXGEwBIj19/6Aic1RGR78WwC ZWPPa3kYQr5fjgENuK9q7CweTkkawz5ArPMj/NRLSIIC2Wr5uNwhwNNUPyyxsV4hG7sjQUBRwreK WFMt9jetOuHaY6J2Kg5fMEs+t4boVDeZQfEpbs75GCzzHiN6L/Ffyt8p9rtcvIYsQtNYIxYA8LyS cwQEUL++X6+8fG7MmthBXQbSzGhEymhETTt+OodXx9q5t57RFZY4RoIgJ0/2uW07j7EuhG+D7qB+ 0EqCSU6ENkyTmbrBBE7NeN8nN/F0inhk1EKiNMyEs7gHFXMOa3LHr1VuPv5kQHGmoaHArEgHdyWR 7zSewsrr5q1dMpGrfQk5NCRKfdDzEBTRn9A8F+JzFlTFPTM/IawnmPcX0uodx1whndZjEwAL3TWS nGQR/3ClkF9rcBetlt0sX8CPzAYU+8k410Xfp8oT4LAUk0ByyYIUuUElPDMe+FTQxi0Vfmos0n4a Zm+06sndb/7cLvKmLr+LezcslhhzPkT+4AtSjy7YZi+eXkggS8XDVeG/HSGsPopakd8pC8NfMzGs 7udvmxEozBpAo5x4Xv8wfm3azGdYaoRzgLaqfI5bRZax//vKvb7KlzT81gLBsr7S/cWZTH+AaPwT c7YXah1JSzkr5GYczZN2EMcfrMReGP4nGdfwmqWyUsV/ukJ8Ec0sLtpVJ9ldQ7LV/lxQ/3lEZNYk 3L32P94ZjUFLtbGrnYipNcSjHUO9FEOXEmydunrqzN6/MdPSW/O4NAvR2gIBoh5TjvWs+/WjkbbO cn8+k+fjwROp61G6eYvbz1NVb6tuGTHLGA+xHGyp0TU9yuJj/QrTddNGQINrMIEEj2oe5bIRNcxL rkYtx6/litjn8/FQYA3ceFD0ZFeHeM0fzPgCZUm9k2UFwonp+Tt/92osgAqhpIQ2muL3/QqqXDci nZMZe9mSC7pSkdqFItgUFE+UTkb4dGKwZhM0GuWdwpHTIWVBnm/3WRU2x2rlCSApYEq7Y+oe3lZN 29y2fuDyXTR5FsgJJ4Za8TH7x8geaniDSVtQ0qTizB01aeEY+QTEtPJ/neDtvM72KH8uNQCEwqyj EjZCGWWksz5Ek1G6YXEBEJdkLYQoxDlVUxfjDm5AkoZFmdhkvxO8G7HpWXvs6Fd5NZ16OWKK/Qgc Ld4b7B1MuTF7QK7fQhDTpe66dB3SB88+f3c/292v6tpWiGZTrpLz9I0uE8uX5jyHisUiZYN4CAU9 V6U369E4rDjKRXgYNE51DF8kEDeDtO9NEcESLxHwWMShD8M8NoOGscQqLVbMfT8OMjD+u5fa+WHt 5f0yNM5vLgQ7HzSQSb2h7WlxzvfjisVGhpN6Lk6dWbqOrqYlPnAt1n3cbWTWO8c9u3slh5squv9x 4n/vrfT9pRMgq2F231JPsrty7k4RnPuD7Yc96ccdCiAk1c6T3s/2naegwGJQv2G+1K1F2ffYUy/N AWaEYZc5YshBbbeg6cAFllHtHGHHGnAMhVg61GBlO5TF4OOS/wEHeHlYDJXC6hR0Z4RsmTDtA/l3 Swp4cLaZxFt8LB0Zm7RztfeqdLFiRJNxEVtPIFR/ZEf2RofCbFxILuXUAQ3yPhO0is2YxOabcqMR XtYmUC9mK0D33R3qPRXoorSqr8rsBann4vKtjo0zm2GE/7IMdnjsvFCcecF8vjtL5fTqVakn92Np j87vRZNQNdYUFuBI8So8lF3/9vG6R3r0cH5s5JjJ7tkSKrExX9j8yF99JmrRIqauTxD4c+Gvr5SY Omw2DjIyIMLiDx5AJtbgm8GIvzp02iUBiPWRVFgXc0tVmQlohAz126FdFAshKkDhHYUluponNGoG i4TDgU2RrEj5XKWLcLweKy3V/Ubv9IEqpkNiMEIW83LISg6ff4cIXaOocpE9a7VRbiuJd10mEMGv rTuge7NWOe1r3xb+Y3EKgIco9O5GRB+XFNiSrjhgluh4XSesgrrBnn9OOJmO6GrEUjVyvfvPNgwo dPy4zUDsgRemtvRNp+pS/lWnLrimkOezXsVh6+cavTSxorG/6gp+t1uOrLmX7PborwNCpLJqQ7Ij ouaCKVPGMyPna4HjxGuzxApsIXFd68EjjQEKQ22QqCbrTWMipTpsRr7uXv82vpGHXI54r69kOnTz x0C3w1hpI6NYPjQTPYHQ0IB2p5Mdg2OKCHawzqyEwz7Hi8H4+bjaMYkLFvlxzIw8eOOjqe0pmD5Q sBJ+xEiV4aRdmdWAp1tz104AMjP/ZXfQDxP5iRQGe6VDUq9V0IXUtwuMaSvFrzDZs2WtkhD/ifNr cduKQOQX5s0Iab6MHDoCkg7JBoAeds8ExxOr1dulnrNDxWYGzmFxxp5c6auQdvNAHeSNlwkRjmI0 xSF2tR6vmE/6DDJZ0OIDhYpAn9DdxQcX8FbVJFxCBnIsI24Ir+iuIxFE57dK2od9OvCId4DvAM5U 99vWuPdWCL0tjJP6aqm1TlzrJHqoImofEqXKKj9uRxwJ3399FX0CylSGOuUOekk51OYPEVtkPaOR 8To83tUfUZsTDOVG7pKQliaQAsYL2cIbnraFo7pDougH0Wxgyzhab4XHPgJzwDI3Ud1OYl+ChHM+ NySd9yIXyxQK/TSEA+neebnLszG7vbDsvS/1/x8dgoD7x83WYsP7D5ljjn3t0LRqHLUZKO0ZOw0v JJZ7/F21Eg3LcIFDTOjZp3nKsL6uKXXQfePw40QPcFOrhxf+T8Z9vYejTsLmMcmtGeg5elnEKHCa dkxEiQZE0IqM2yr7Qg5BgiUe5+ZX/tzkyw/wvL4Pp+LYGXCweU1u0BTsNCOSe5wBT1MGX148eAB6 CHevmCespwvyqI69gsQZk6HxgdX1dNLN/eCsodPE21n88VBq/gKipZN0AWS+l0wnJgShBrdnVtG5 dGCwe+DdyFAO4zle5FozySWaR7HV7pzIKJodnteHZP8/UbMvbZYzA+efPMIciDlC5DcygeZtnMcV JVC4v7+mfx1PyN9QtiYeicuOrYXyT7a/l7Nq/EfnDuiw6EzStL6JWHZNHgaFb1Y0CGl/KBtk5fkV EHfpsxnKZGmF+LCgPMBi2BL6bliWiiWgF2ThEYJc1QxfAcmsgtykMMzelKCzvGMCY9MgQIKqlVpk 1Cot2LH7+M4y8wW8FVKqrBT+ernNiLfcd/UKrqHX6+cxEmva/Hs+bIaSkj3i0AecDufazW+BJvIV 309CZVx+wOVIK93/pK++nmjx7+wzEI3h1PK+5D3hpd4FaFdDRRyiB4PKkfFIN5FWyVVLDNhqdMLb crCUDwD1E9mHElRWHKSWtr8iQU6w/rxdGvywGelVHXfiSus1zvYajS5Asulfhi/lkQ9DmARDZ7hT PTbuiGba7uxH1rBKCZY97dUMGma8fPBv3MeoTmEYBHn1nW116+EKiUTNFa6FoeHRftCu5wbdRALB v0gnEv2sr507rO0MSwYx/F/iGI09OR4VPftxnESygM8hFgHvD5jGnnx9dTySMABsKE4gg7Tp8l/C S7HhwUt48E26MZSr+uVAJ0ZnXKneODdUqfGVjb+QEVY/ZVEIqNFIvBGXXpXGp51/1yyfakU2NUCI CoQ6kxnoWybMdnlaWczDbqYMDqTVN1+kgVLt/Dof5ARdQDxMkdFWJsKh/Xi/flvPq8FJ15nUYAUK 4dHAUv+1tV+TCzsT4HPLlMNJRD1uF5zxMw8SA95r8k4NDTPZ967eMAvcYHS9ai86CSzbcB0VwnyN T65Npi7Qj/FnWwur37V9T9695Svwtzzmj+Op6KR9QmISKGVdPNRygPbLz/pAJi25icFcdje0Cw0e toq0sIAoU6+u6/2bdkEZv50K5WA4x1nLf4oreTh5h7qTBfwaxX0YZiC1EN7izwR48rvVkDaBJS6h Ie2/GDXL/PcMFt9UgqywsP4Ay8KGnA4ndRrBmeyqxQ94xAlPdD0VSjxz7Q/zOmzmkYMgCpJomHoS 1qsrkAAuRVleqiWNwWHZO/MUV4lRH9pg9eLpZamMjvh/pzwjWgX8TYboX2Z50i6OLIDvI2SsjYwE XOrrNsDYhOvdSDHFFpTCgAYlDG9qi5lskSFmll/v3urr/6p+kAVMNC04u4RWAtTkUrB1+31YrRsc AGLLNEWsXaut1HHYZ8m3MWEEvZTkxUuxyQKX3GQuuq2y7b93bLicCZdrshfwx7/ywghABD3uHDXZ BCIDl/kQIysHU8zqv2qqTPKl2tPLRmPlvTiyTGv2PlmWjQIY6K2k9M8DhdrlW734SLuPMV9OEwMq xATDn0JjBsgf5FV3YzCw5J8tkF+IORFUo6v9qqE5022yHmBzCevQhVcKZRhybRYePNFcW9UcK/Bw +7fq9xwNWVWnFHWXGBN/EK1IPQBDNpkHVVY541vnl8UizToAvuNNqlXLA6pkd/FzXg6jw1ONbjkh YmR/LS6bHdkCBB/vLBhDcSGQlziEaqwVGbCE+dBSb/jN6T6b9TxJZ9r0ct7dAW7MLKrgjM9MHJGX v0EVLz0t95fANpMKyl/MmZjgMhoXj8w75LfW8CIEr7Aa/XLMXWFoRJdlGUKAY6rrjUmVcVngNR+2 tBVvjt2k4HprfsVJP3O8/uegpttIezRxZ7FsXjCDyYu+dKSCtPBGdvLsdzYKAOZZlf+r2a3ah7iR mFhGvz5Qr1rD8avD+a7dIicA3pvRazzLJa/dFuqD0mWNlFzw/Ib500zUd4rg+3hNG/BkLFqE0Kk+ n9LazvtdtILFhkZgIzP9OWJ7G42TjcmpXlUCnYWxaE10OtBtv/oSbKzSzCOhDV0Mm3nG7lxkHjIg An0zZOu0182YMEGL69Y3tK6GyDL/wK1Y+UIoWPPfIU6irrQLSx4CyCF9iKG7L+3FD7szA0bWWQR0 Xvv8Oh8jWj4/3A+PzUp6udhPSs5SerP7QfAbW/aLsldVk6fV15Jchcbe1bt/S4T2m7agpKl/zb9r N6iwxQf47SK0qR6RpsVjae08RgEWrrdYXa0usC6gWwMW+gxprfo9bPggAde7Aa95mAkHyATF0aGw ZB/pgj6CtAVCmLrQePWmwDDmapoP9H2X7pQOhWFmewr08VIp+YUv/RCaxwa+xMJnUoMVmk/NdQXT B+oiOnEZE5KK5Gpy7Jb7J7FEbc66FcqvXrhjFg8J86cBVbN6eXNqj4hh1nkLk3UVrCK9sdDIC3la uU5nhH85zYc6XUBVmp+Qhc6BXycz+eTrU7eACQNx+Uuzc4ohCIQrbuIdftFu50pe/Aeg `protect end_protected
gpl-3.0
luebbers/reconos
support/refdesigns/12.2/ml605/ml605_light/pcores/dcr_v29_v9_00_a/hdl/vhdl/or_muxcy.vhd
7
10361
------------------------------------------------------------------------------- -- $Id: or_muxcy.vhd,v 1.1.4.1 2009/10/06 21:09:10 gburch Exp $ ------------------------------------------------------------------------------- -- or_muxcy ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy.vhd -- -- Description: This file is used to OR together consecutive bits within -- sections of a bus. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 04/06/01 -- First version -- -- ALS 05/18/01 -- ^^^^^^ -- Added use of carry chain muxes if number of bits is > 4 -- ~~~~~~ -- BLT 05/23/01 -- ^^^^^^ -- Removed pad_4 function, replaced with arithmetic expression -- ~~~~~~ -- BLT 05/24/01 -- ^^^^^^ -- Removed Sig input, removed C_START_BIT and C_BUS_SIZE -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- Unisim library contains Xilinx primitives library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_NUM_BITS -- number of bits to OR in bus section -- -- Definition of Ports: -- input In_Bus -- bus containing bits to be ORd -- output Or_out -- OR result -- ------------------------------------------------------------------------------- entity or_muxcy is generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy; architecture implementation of or_muxcy is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Pad the number of bits to OR to the next multiple of 4 constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- define output of OR chain ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- Carry Chain muxes are used to implement OR of 4 bits or more component MUXCY port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component; begin -- If the number of bits to OR is 4 or less, a simple LUT can be used LESSTHAN4_GEN: if C_NUM_BITS < 5 generate -- define output of OR chain signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0'); begin BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate FIRST: if i = 0 generate or_tmp(i) <= In_bus(0); end generate FIRST; REST: if i /= 0 generate or_tmp(i) <= or_tmp(i-1) or In_bus(i); end generate REST; end generate BIT_LOOP; Or_out <= or_tmp(C_NUM_BITS-1); end generate LESSTHAN4_GEN; -- If the number of bits to OR is 4 or more, then use LUTs and -- carry chain. Pad the number of bits to the nearest multiple of 4 MORETHAN4_GEN: if C_NUM_BITS >= 5 generate -- define output of LUTs signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); -- define padded input bus signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0'); -- define output of OR chain signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); begin -- pad input bus in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(0 to C_NUM_BITS-1); OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate lut_out(i) <= not( in_bus_pad(i*4) or in_bus_pad(i*4+1) or in_bus_pad(i*4+2) or in_bus_pad(i*4+3) ); FIRST: if i = 0 generate FIRSTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => '0' , --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate FIRST; REST: if i /= 0 generate RESTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => or_tmp(i-1), --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate REST; end generate OR_GENERATE; Or_out <= or_tmp(NUM_BITS_PAD/4-1); end generate MORETHAN4_GEN; end implementation;
gpl-3.0
luebbers/reconos
core/pcores/xps_osif_v2_01_a/hdl/vhdl/mem_plb46.vhd
1
31388
--! --! \file mem_plb46.vhd --! --! Memory bus interface for the 64-bit PLB v34. --! --! \author Enno Luebbers <[email protected]> --! \date 08.12.2008 -- ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- -- This file is part of ReconOS (http://www.reconos.de). -- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS). -- All rights reserved. -- -- ReconOS is free software: you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ReconOS. If not, see <http://www.gnu.org/licenses/>. -- -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- -- Major Changes: -- -- 08.12.2008 Enno Luebbers File created. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; library xps_osif_v2_01_a; use xps_osif_v2_01_a.all; entity mem_plb46 is generic ( -- Bus protocol parameters C_AWIDTH : integer := 32; C_DWIDTH : integer := 32; C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; --C_NUM_CE : integer := 2; C_BURST_AWIDTH : integer := 13 -- 1024 x 64 Bit = 8192 Bytes = 2^13 Bytes ); port ( clk : in std_logic; reset : in std_logic; -- data interface --------------------------- -- burst mem interface o_burstAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_burstData : out std_logic_vector(0 to C_PLB_DWIDTH-1); i_burstData : in std_logic_vector(0 to C_PLB_DWIDTH-1); o_burstWE : out std_logic; o_burstBE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); -- single word data input/output i_singleData : in std_logic_vector(0 to C_OSIF_DATA_WIDTH-1); -- osif2bus o_singleData : out std_logic_vector(0 to C_OSIF_DATA_WIDTH-1); -- bus2osif -- control interface ------------------------ -- addresses for master transfers i_localAddr : in std_logic_vector(0 to C_AWIDTH-1); i_targetAddr : in std_logic_vector(0 to C_AWIDTH-1); -- single word transfer requests i_singleRdReq : in std_logic; i_singleWrReq : in std_logic; -- burst transfer requests i_burstRdReq : in std_logic; i_burstWrReq : in std_logic; i_burstLen : in std_logic_vector(0 to 11); -- number of bytes to transfer (0..4096) -- status outputs o_busy : out std_logic; o_rdDone : out std_logic; o_wrDone : out std_logic; -- PLBv34 bus interface ----------------------------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_MstError : in std_logic; Bus2IP_MstLastAck : in std_logic; Bus2IP_MstRdAck : in std_logic; Bus2IP_MstWrAck : in std_logic; Bus2IP_MstRetry : in std_logic; Bus2IP_MstTimeOut : in std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; IP2Bus_Addr : out std_logic_vector(0 to C_AWIDTH-1); IP2Bus_MstBE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); IP2Bus_MstBurst : out std_logic; IP2Bus_MstBusReset : out std_logic; IP2Bus_MstBusLock : out std_logic; IP2Bus_MstNum : out std_logic_vector(0 to 11); IP2Bus_MstRdReq : out std_logic; IP2Bus_MstWrReq : out std_logic; -- LocalLink Interface Bus2IP_MstRd_d : in std_logic_vector(0 to C_PLB_DWIDTH-1); Bus2IP_MstRd_rem : in std_logic_vector(0 to C_PLB_DWIDTH/8-1); Bus2IP_MstRd_sof_n : in std_logic; Bus2IP_MstRd_eof_n : in std_logic; Bus2IP_MstRd_src_rdy_n : in std_logic; Bus2IP_MstRd_src_dsc_n : in std_logic; IP2Bus_MstRd_dst_rdy_n : out std_logic; IP2Bus_MstRd_dst_dsc_n : out std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_PLB_DWIDTH-1); IP2Bus_MstWr_rem : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); IP2Bus_MstWr_sof_n : out std_logic; IP2Bus_MstWr_eof_n : out std_logic; IP2Bus_MstWr_src_rdy_n : out std_logic; IP2Bus_MstWr_src_dsc_n : out std_logic; Bus2IP_MstWr_dst_rdy_n : in std_logic; Bus2IP_MstWr_dst_dsc_n : in std_logic ); end entity mem_plb46; architecture arch of mem_plb46 is constant BYTES_PER_BEAT : integer := C_PLB_DWIDTH/8; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_PLB_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_PLB_DWIDTH/8-1); signal mst_cmd_sm_xfer_type : std_logic; signal mst_cmd_sm_xfer_length : std_logic_vector(0 to 11); signal mst_cmd_sm_start_rd_llink : std_logic; signal mst_cmd_sm_start_wr_llink : std_logic; -- signals for master model read locallink interface state machine type RD_LLINK_SM_TYPE is (LLRD_IDLE, LLRD_GO); signal mst_llrd_sm_state : RD_LLINK_SM_TYPE; signal mst_llrd_sm_dst_rdy : std_logic; -- signals for master model write locallink interface state machine type WR_LLINK_SM_TYPE is (LLWR_IDLE, LLWR_SNGL_INIT, LLWR_SNGL, LLWR_BRST_INIT, LLWR_BRST, LLWR_BRST_LAST_BEAT); signal mst_llwr_sm_state : WR_LLINK_SM_TYPE; signal mst_llwr_sm_src_rdy : std_logic; signal mst_llwr_sm_sof : std_logic; signal mst_llwr_sm_eof : std_logic; signal mst_llwr_byte_cnt : integer; signal bram_offset : integer; signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; signal mst_fifo_valid_read_xfer_d1 : std_logic; signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_PLB_AWIDTH-1); signal mst_ip2bus_be : std_logic_vector(0 to 7); -- FIXME: Hardcoded for 64 bit master signal mst_go : std_logic; signal xfer_cross_wrd_bndry : std_logic; signal rolled_MstRd_d : std_logic_vector(0 to C_PLB_DWIDTH-1); signal rolled_mst_ip2bus_be : std_logic_vector(0 to 7); signal be_offset : integer range 0 to 7; signal prefetch_data : std_logic_vector(0 to C_PLB_DWIDTH-1) ; signal burstData_current : std_logic_vector(0 to C_PLB_DWIDTH-1) ; signal prefetch_first : std_logic; signal save_first : std_logic; begin -- get byte enable offset from target address be_offset <= TO_INTEGER(ieee.numeric_std.unsigned(i_targetAddr(C_AWIDTH-3 to C_AWIDTH-1))); mst_reg : process(Bus2IP_Clk, Bus2IP_Reset) constant BE_32 : std_logic_vector := X"F0"; begin if Bus2IP_Reset = '1' then mst_xfer_length <= (others => '0'); mst_cntl_rd_req <= '0'; mst_cntl_wr_req <= '0'; mst_ip2bus_addr <= (others => '0'); mst_ip2bus_be <= (others => '0'); mst_cntl_burst <= '0'; xfer_cross_wrd_bndry <= '0'; mst_go <= '0'; elsif rising_edge(Bus2IP_Clk) then if (i_burstRdReq = '1' or i_burstWrReq = '1') then -- if incoming burst request mst_xfer_length <= i_burstLen(3 to 11) & "000"; -- burst length in bytes mst_cntl_rd_req <= i_burstRdReq; -- read request mst_cntl_wr_req <= i_burstWrReq; -- write request mst_ip2bus_addr <= i_targetAddr; -- target address mst_cntl_burst <= '1'; -- burst xfer_cross_wrd_bndry <= '0'; -- bursts can't cross word boundary mst_ip2bus_be <= X"00"; -- bursts do not look at BE mst_go <= '1'; elsif (i_singleRdReq = '1' or i_singleWrReq = '1') then mst_cntl_rd_req <= i_singleRdReq; -- read request mst_cntl_wr_req <= i_singleWrReq; -- write request mst_ip2bus_addr <= i_targetAddr; -- target address mst_cntl_burst <= '0'; -- no burst mst_ip2bus_be <= std_logic_vector(ieee.numeric_std.unsigned(BE_32) srl be_offset); -- calc byte enables from address if be_offset > 4 then -- 32 Bit transfer across 64 Bit boundary, we need to split this xfer_cross_wrd_bndry <= '1'; end if; mst_go <= '1'; elsif mst_cmd_sm_set_done = '1' and xfer_cross_wrd_bndry = '1' then -- if last transfer was a single word that crossed a 64bit boundary xfer_cross_wrd_bndry <= '0'; -- repeat transfer with remaining data mst_ip2bus_addr <= i_targetAddr + 8-be_offset; -- new target address mst_ip2bus_be <= std_logic_vector(ieee.numeric_std.unsigned(BE_32) sll 8-be_offset); -- remaining byte enables mst_go <= '1'; elsif mst_cmd_sm_clr_go = '1' then mst_go <= '0'; end if; end if; end process; -- command_decoder protocol to mst_* protocol conversion assignments mst_cntl_bus_lock <= '0'; -- never lock the bus -- user logic master command interface assignments IP2Bus_MstRdReq <= mst_cmd_sm_rd_req; IP2Bus_MstWrReq <= mst_cmd_sm_wr_req; IP2Bus_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_MstBE <= mst_cmd_sm_ip2bus_be; IP2Bus_MstBurst <= mst_cmd_sm_xfer_type; IP2Bus_MstNum <= mst_cmd_sm_xfer_length; IP2Bus_MstBusLock <= mst_cmd_sm_bus_lock; IP2Bus_MstBusReset <= mst_cmd_sm_reset; -- handshake output signals o_busy <= mst_cmd_sm_busy or mst_go or i_singleRdReq or i_singleWrReq or i_burstRdReq or i_burstWrReq or mst_cmd_sm_set_done; o_rdDone <= mst_cmd_sm_set_done and mst_cntl_rd_req and not xfer_cross_wrd_bndry; o_wrDone <= mst_cmd_sm_set_done and mst_cntl_wr_req and not xfer_cross_wrd_bndry; --implement master command interface state machine MASTER_CMD_SM_PROC : process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (Bus2IP_Reset = '1') then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_xfer_type <= '0'; mst_cmd_sm_xfer_length <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; mst_cmd_sm_start_rd_llink <= '0'; mst_cmd_sm_start_wr_llink <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_xfer_type <= '0'; mst_cmd_sm_xfer_length <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; mst_cmd_sm_start_rd_llink <= '0'; mst_cmd_sm_start_wr_llink <= '0'; -- state transition case mst_cmd_sm_state is -- waiting for transfer when CMD_IDLE => if (mst_go = '1') then -- new transfer initiated? mst_cmd_sm_state <= CMD_RUN; -- go to RUN state mst_cmd_sm_clr_go <= '1'; -- clear go register (REMOVEME) if (mst_cntl_rd_req = '1') then -- read request? mst_cmd_sm_start_rd_llink <= '1'; -- start ll read elsif (mst_cntl_wr_req = '1') then -- write request? mst_cmd_sm_start_wr_llink <= '1'; -- start ll write end if; else mst_cmd_sm_state <= CMD_IDLE; -- otherwise, stay here and do nothing mst_cmd_sm_busy <= '0'; end if; -- transfer initiated when CMD_RUN => if (Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0') then -- command acknowledged and not completed? mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; -- go to WAIT_FOR_DATA state elsif (Bus2IP_Mst_Cmplt = '1') then -- command completed? mst_cmd_sm_state <= CMD_DONE; -- go to DONE state if (Bus2IP_Mst_Cmd_Timeout = '1') then -- was it a timeout? -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; -- set error and timeout flags mst_cmd_sm_set_timeout <= '1'; elsif (Bus2IP_Mst_Error = '1') then -- was it an error -- PLB data transfer error mst_cmd_sm_set_error <= '1'; -- set only the error flag end if; else mst_cmd_sm_state <= CMD_RUN; -- if it wasn't acknowledged or completed yet (i.e. new request) mst_cmd_sm_rd_req <= mst_cntl_rd_req; -- set read and write request flags mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; -- set target address mst_cmd_sm_ip2bus_be <= mst_ip2bus_be; -- set byte enables mst_cmd_sm_xfer_type <= mst_cntl_burst; -- set transfer type mst_cmd_sm_xfer_length <= mst_xfer_length; -- set transfer length (in bytes?) mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; -- set bus lock (always 0?) end if; -- and stay in RUN state (i.e. wait for acceptance/abort) -- transfer request accepted, transfer in progress when CMD_WAIT_FOR_DATA => if (Bus2IP_Mst_Cmplt = '1') then -- transfer completed? mst_cmd_sm_state <= CMD_DONE; -- go to DONE state else -- otherwise mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; -- stay here end if; -- transfer completed or aborted when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; -- go to IDLE state mst_cmd_sm_set_done <= '1'; -- signal that we're done mst_cmd_sm_busy <= '0'; -- and not busy -- default catchall when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; ---------------------------------------------------- -- LOCAL LINK INTERFACE ---------------------------------------------------- -- user logic master read locallink interface assignments IP2Bus_MstRd_dst_rdy_n <= not(mst_llrd_sm_dst_rdy); IP2Bus_MstRd_dst_dsc_n <= '1'; -- do not throttle data -- implement a simple state machine to enable the -- read locallink interface to transfer data LLINK_RD_SM_PROCESS : process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (Bus2IP_Reset = '1') then -- reset condition mst_llrd_sm_state <= LLRD_IDLE; mst_llrd_sm_dst_rdy <= '0'; -- not ready to read data else -- default condition mst_llrd_sm_state <= LLRD_IDLE; mst_llrd_sm_dst_rdy <= '0'; -- not ready to read data -- state transition case mst_llrd_sm_state is when LLRD_IDLE => if (mst_cmd_sm_start_rd_llink = '1') then -- if we got start signal from master FSM mst_llrd_sm_state <= LLRD_GO; -- go to GO state else mst_llrd_sm_state <= LLRD_IDLE; -- otherwise stay here and keep waiting end if; when LLRD_GO => -- done, end of packet if (mst_llrd_sm_dst_rdy = '1' and -- if we are ready to receive Bus2IP_MstRd_src_rdy_n = '0' and -- the sender is ready to send Bus2IP_MstRd_eof_n = '0') then -- and the sender is done sending mst_llrd_sm_state <= LLRD_IDLE; -- we're done -- not done yet, continue receiving data else -- otherwise mst_llrd_sm_state <= LLRD_GO; -- stay in this state mst_llrd_sm_dst_rdy <= '1'; -- and be ready to receive end if; -- default catchall when others => mst_llrd_sm_state <= LLRD_IDLE; end case; end if; else null; end if; end process LLINK_RD_SM_PROCESS; -- user logic master write locallink interface assignments IP2Bus_MstWr_src_rdy_n <= not(mst_llwr_sm_src_rdy); IP2Bus_MstWr_src_dsc_n <= '1'; -- do not throttle data IP2Bus_MstWr_rem <= (others => '0'); -- no remainder mask IP2Bus_MstWr_sof_n <= not(mst_llwr_sm_sof); IP2Bus_MstWr_eof_n <= not(mst_llwr_sm_eof); -- implement a simple state machine to enable the -- write locallink interface to transfer data LLINK_WR_SM_PROC : process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (Bus2IP_Reset = '1') then -- reset condition mst_llwr_sm_state <= LLWR_IDLE; mst_llwr_sm_src_rdy <= '0'; mst_llwr_sm_sof <= '0'; mst_llwr_sm_eof <= '0'; mst_llwr_byte_cnt <= 0; else -- default condition mst_llwr_sm_state <= LLWR_IDLE; mst_llwr_sm_src_rdy <= '0'; mst_llwr_sm_sof <= '0'; mst_llwr_sm_eof <= '0'; mst_llwr_byte_cnt <= 0; -- state transition case mst_llwr_sm_state is -- wait for start of transfer when LLWR_IDLE => if (mst_cmd_sm_start_wr_llink = '1' and mst_cntl_burst = '0') then -- single write request? mst_llwr_sm_state <= LLWR_SNGL_INIT; elsif (mst_cmd_sm_start_wr_llink = '1' and mst_cntl_burst = '1') then -- burst write request? mst_llwr_sm_state <= LLWR_BRST_INIT; else mst_llwr_sm_state <= LLWR_IDLE; end if; -- init single transfer when LLWR_SNGL_INIT => mst_llwr_sm_state <= LLWR_SNGL; mst_llwr_sm_src_rdy <= '1'; -- ready to send mst_llwr_sm_sof <= '1'; -- signal single transfer by asserting both SOF and EOF mst_llwr_sm_eof <= '1'; -- do single transfer when LLWR_SNGL => -- destination discontinue write if (Bus2IP_MstWr_dst_dsc_n = '0' and Bus2IP_MstWr_dst_rdy_n = '0') then -- if discontinue from target mst_llwr_sm_state <= LLWR_IDLE; -- reset back to IDLE state mst_llwr_sm_src_rdy <= '0'; mst_llwr_sm_eof <= '0'; -- single data beat transfer complete elsif (mst_fifo_valid_read_xfer = '1') then -- if local memory read has been completed mst_llwr_sm_state <= LLWR_IDLE; -- go back to IDLE state mst_llwr_sm_src_rdy <= '0'; mst_llwr_sm_sof <= '0'; mst_llwr_sm_eof <= '0'; -- wait on destination else mst_llwr_sm_state <= LLWR_SNGL; -- otherwise keep trying to transfer single word mst_llwr_sm_src_rdy <= '1'; mst_llwr_sm_sof <= '1'; mst_llwr_sm_eof <= '1'; end if; -- init burst transfer when LLWR_BRST_INIT => mst_llwr_sm_state <= LLWR_BRST; mst_llwr_sm_src_rdy <= '1'; mst_llwr_sm_sof <= '1'; mst_llwr_byte_cnt <= CONV_INTEGER(mst_xfer_length); -- do burst transfer when LLWR_BRST => if (mst_fifo_valid_read_xfer = '1') then -- if a word has been transferred (i.e. we are actively writing) mst_llwr_sm_sof <= '0'; -- deassert SOF signal else mst_llwr_sm_sof <= mst_llwr_sm_sof; end if; -- destination discontinue write if (Bus2IP_MstWr_dst_dsc_n = '0' and -- if discontinue from target Bus2IP_MstWr_dst_rdy_n = '0') then mst_llwr_sm_state <= LLWR_IDLE; -- reset to IDLE state mst_llwr_sm_src_rdy <= '1'; -- and properly terminate transfer mst_llwr_sm_eof <= '1'; -- last data beat write elsif (mst_fifo_valid_read_xfer = '1' and -- if this was the second to last beat to transfer (mst_llwr_byte_cnt-BYTES_PER_BEAT) <= BYTES_PER_BEAT) then mst_llwr_sm_state <= LLWR_BRST_LAST_BEAT; -- go to LAST_BEAT state mst_llwr_sm_src_rdy <= '1'; -- and signal termination of transfer mst_llwr_sm_eof <= '1'; -- wait on destination else mst_llwr_sm_state <= LLWR_BRST; -- otherwise keep writing data mst_llwr_sm_src_rdy <= '1'; -- decrement write transfer counter if it's a valid write if (mst_fifo_valid_read_xfer = '1') then mst_llwr_byte_cnt <= mst_llwr_byte_cnt - BYTES_PER_BEAT; else mst_llwr_byte_cnt <= mst_llwr_byte_cnt; end if; end if; -- do last beat of write burst when LLWR_BRST_LAST_BEAT => -- destination discontinue write if (Bus2IP_MstWr_dst_dsc_n = '0' and -- if discontinue from target Bus2IP_MstWr_dst_rdy_n = '0') then mst_llwr_sm_state <= LLWR_IDLE; -- reset to IDLE state mst_llwr_sm_src_rdy <= '0'; -- and mark ourselves as not ready (?) -- last data beat done elsif (mst_fifo_valid_read_xfer = '1') then -- if this transfer was successful mst_llwr_sm_state <= LLWR_IDLE; -- reset to IDLE state mst_llwr_sm_src_rdy <= '0'; -- wait on destination else mst_llwr_sm_state <= LLWR_BRST_LAST_BEAT; -- otherwise keep trying to send mst_llwr_sm_src_rdy <= '1'; mst_llwr_sm_eof <= '1'; end if; -- default catchall when others => mst_llwr_sm_state <= LLWR_IDLE; end case; end if; else null; end if; end process LLINK_WR_SM_PROC; -- determine whether a data beat was successfully written mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n) and mst_llrd_sm_dst_rdy; mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n) and mst_llwr_sm_src_rdy; -- connect burst ram o_burstAddr <= i_localAddr(C_AWIDTH-C_BURST_AWIDTH to C_AWIDTH-1) + bram_offset; o_burstData <= Bus2IP_MstRd_d; o_burstWE <= mst_cntl_rd_req and mst_cntl_burst and mst_fifo_valid_write_xfer; o_burstBE <= (others => '1'); -- delay read enable for edge detection and prefetch mst_fifo_valid_read_xfer_d1 <= mst_fifo_valid_read_xfer when rising_edge(clk) else mst_fifo_valid_read_xfer_d1; -- prefetch data from burst ram for contiguous writes prefetch : process(clk, reset) begin if reset = '1' then prefetch_data <= (others => '0'); elsif rising_edge(clk) then if mst_fifo_valid_read_xfer_d1 = '1' or save_first = '1' then prefetch_data <= i_burstData; end if; end if; end process; -- on the first beat of a back-to-back transfer, use the prefetched data, otherwise use the RAM output burstData_current <= prefetch_data when mst_fifo_valid_read_xfer_d1 = '0' and mst_fifo_valid_read_xfer = '1' else i_burstData; -- generate address signals for burst ram burst_addr : process(clk, reset) begin if reset = '1' then bram_offset <= 0; save_first <= '0'; prefetch_first <= '0'; elsif rising_edge(clk) then save_first <= '0'; if i_burstRdReq = '1' then -- new burst request bram_offset <= 0; elsif i_burstWrReq = '1' then -- new burst request bram_offset <= 0; prefetch_first <= '1'; elsif prefetch_first = '1' then bram_offset <= bram_offset + BYTES_PER_BEAT; prefetch_first <= '0'; save_first <= '1'; elsif mst_fifo_valid_write_xfer = '1' or mst_fifo_valid_read_xfer = '1' then bram_offset <= bram_offset + BYTES_PER_BEAT; end if; end if; end process; -- multiplex burst ram and single data register to bus (possibly shifted) IP2Bus_MstWr_d <= burstData_current when mst_cntl_burst = '1' else std_logic_vector(ieee.numeric_std.unsigned(i_singleData & X"00000000") ror be_offset*8); -- implement single data register rolled_MstRd_d <= std_logic_vector(ieee.numeric_std.unsigned(Bus2IP_MstRd_d) rol be_offset*8); rolled_mst_ip2bus_be <= std_logic_vector(ieee.numeric_std.unsigned(mst_ip2bus_be) rol be_offset); single_reg : process(Bus2IP_Clk, Bus2IP_Reset, mst_ip2bus_be) variable bit_enable : std_logic_vector(0 to C_DWIDTH-1); variable assembled_data : std_logic_vector(0 to C_DWIDTH-1); begin for i in 0 to 3 loop bit_enable(i*8 to i*8+7) := (others => rolled_mst_ip2bus_be(i)); end loop; if Bus2IP_Reset = '1' then assembled_data := (others => '0'); elsif rising_edge(Bus2IP_Clk) then if (mst_cntl_rd_req = '1' and mst_cntl_burst = '0' and mst_fifo_valid_write_xfer = '1') then assembled_data := (assembled_data and (not bit_enable)) or (rolled_MstRd_d(0 to C_DWIDTH-1) and bit_enable); end if; end if; o_singleData <= assembled_data; end process; end arch;
gpl-3.0
luebbers/reconos
support/templates/bfmsim_plb_osif_v2_01_a/simulation/behavioral/my_core_wrapper.vhd
1
8558
------------------------------------------------------------------------------- -- my_core_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library osif_tb_v1_00_c; use osif_tb_v1_00_c.All; entity my_core_wrapper is port ( PLB_Clk : in std_logic; PLB_Rst : in std_logic; Sl_addrAck : out std_logic; Sl_MBusy : out std_logic_vector(0 to 1); Sl_MErr : out std_logic_vector(0 to 1); Sl_rdBTerm : out std_logic; Sl_rdComp : out std_logic; Sl_rdDAck : out std_logic; Sl_rdDBus : out std_logic_vector(0 to 63); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rearbitrate : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_wrBTerm : out std_logic; Sl_wrComp : out std_logic; Sl_wrDAck : out std_logic; PLB_abort : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_BE : in std_logic_vector(0 to 7); PLB_busLock : in std_logic; PLB_compress : in std_logic; PLB_guarded : in std_logic; PLB_lockErr : in std_logic; PLB_masterID : in std_logic_vector(0 to 0); PLB_MSize : in std_logic_vector(0 to 1); PLB_ordered : in std_logic; PLB_PAValid : in std_logic; PLB_pendPri : in std_logic_vector(0 to 1); PLB_pendReq : in std_logic; PLB_rdBurst : in std_logic; PLB_rdPrim : in std_logic; PLB_reqPri : in std_logic_vector(0 to 1); PLB_RNW : in std_logic; PLB_SAValid : in std_logic; PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_wrBurst : in std_logic; PLB_wrDBus : in std_logic_vector(0 to 63); PLB_wrPrim : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to 31); M_BE : out std_logic_vector(0 to 7); M_busLock : out std_logic; M_compress : out std_logic; M_guarded : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_ordered : out std_logic; M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to 63); PLB_MBusy : in std_logic; PLB_MErr : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to 63); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); SYNCH_IN : in std_logic_vector(0 to 31); SYNCH_OUT : out std_logic_vector(0 to 31) ); end my_core_wrapper; architecture STRUCTURE of my_core_wrapper is component osif_tb is generic ( C_BASEADDR : std_logic_vector; C_HIGHADDR : std_logic_vector; C_PLB_AWIDTH : integer; C_PLB_DWIDTH : integer; C_PLB_NUM_MASTERS : integer; C_PLB_MID_WIDTH : integer; C_FAMILY : string ); port ( PLB_Clk : in std_logic; PLB_Rst : in std_logic; Sl_addrAck : out std_logic; Sl_MBusy : out std_logic_vector(0 to (C_PLB_NUM_MASTERS-1)); Sl_MErr : out std_logic_vector(0 to (C_PLB_NUM_MASTERS-1)); Sl_rdBTerm : out std_logic; Sl_rdComp : out std_logic; Sl_rdDAck : out std_logic; Sl_rdDBus : out std_logic_vector(0 to (C_PLB_DWIDTH-1)); Sl_rdWdAddr : out std_logic_vector(0 to (3)); Sl_rearbitrate : out std_logic; Sl_SSize : out std_logic_vector(0 to (1)); Sl_wait : out std_logic; Sl_wrBTerm : out std_logic; Sl_wrComp : out std_logic; Sl_wrDAck : out std_logic; PLB_abort : in std_logic; PLB_ABus : in std_logic_vector(0 to (C_PLB_AWIDTH-1)); PLB_BE : in std_logic_vector(0 to (C_PLB_DWIDTH/8-1)); PLB_busLock : in std_logic; PLB_compress : in std_logic; PLB_guarded : in std_logic; PLB_lockErr : in std_logic; PLB_masterID : in std_logic_vector(0 to (C_PLB_MID_WIDTH-1)); PLB_MSize : in std_logic_vector(0 to (1)); PLB_ordered : in std_logic; PLB_PAValid : in std_logic; PLB_pendPri : in std_logic_vector(0 to (1)); PLB_pendReq : in std_logic; PLB_rdBurst : in std_logic; PLB_rdPrim : in std_logic; PLB_reqPri : in std_logic_vector(0 to (1)); PLB_RNW : in std_logic; PLB_SAValid : in std_logic; PLB_size : in std_logic_vector(0 to (3)); PLB_type : in std_logic_vector(0 to (2)); PLB_wrBurst : in std_logic; PLB_wrDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_wrPrim : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to (C_PLB_AWIDTH-1)); M_BE : out std_logic_vector(0 to (C_PLB_DWIDTH/8-1)); M_busLock : out std_logic; M_compress : out std_logic; M_guarded : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to (1)); M_ordered : out std_logic; M_priority : out std_logic_vector(0 to (1)); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to (3)); M_type : out std_logic_vector(0 to (2)); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MBusy : in std_logic; PLB_MErr : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to ((C_PLB_DWIDTH-1))); PLB_MRdWdAddr : in std_logic_vector(0 to (3)); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to (1)); SYNCH_IN : in std_logic_vector(0 to 31); SYNCH_OUT : out std_logic_vector(0 to 31) ); end component; begin my_core : osif_tb generic map ( C_BASEADDR => X"30000000", C_HIGHADDR => X"3000ffff", C_PLB_AWIDTH => 32, C_PLB_DWIDTH => 64, C_PLB_NUM_MASTERS => 2, C_PLB_MID_WIDTH => 1, C_FAMILY => "virtex2p" ) port map ( PLB_Clk => PLB_Clk, PLB_Rst => PLB_Rst, Sl_addrAck => Sl_addrAck, Sl_MBusy => Sl_MBusy, Sl_MErr => Sl_MErr, Sl_rdBTerm => Sl_rdBTerm, Sl_rdComp => Sl_rdComp, Sl_rdDAck => Sl_rdDAck, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rearbitrate => Sl_rearbitrate, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_wrBTerm => Sl_wrBTerm, Sl_wrComp => Sl_wrComp, Sl_wrDAck => Sl_wrDAck, PLB_abort => PLB_abort, PLB_ABus => PLB_ABus, PLB_BE => PLB_BE, PLB_busLock => PLB_busLock, PLB_compress => PLB_compress, PLB_guarded => PLB_guarded, PLB_lockErr => PLB_lockErr, PLB_masterID => PLB_masterID, PLB_MSize => PLB_MSize, PLB_ordered => PLB_ordered, PLB_PAValid => PLB_PAValid, PLB_pendPri => PLB_pendPri, PLB_pendReq => PLB_pendReq, PLB_rdBurst => PLB_rdBurst, PLB_rdPrim => PLB_rdPrim, PLB_reqPri => PLB_reqPri, PLB_RNW => PLB_RNW, PLB_SAValid => PLB_SAValid, PLB_size => PLB_size, PLB_type => PLB_type, PLB_wrBurst => PLB_wrBurst, PLB_wrDBus => PLB_wrDBus, PLB_wrPrim => PLB_wrPrim, M_abort => M_abort, M_ABus => M_ABus, M_BE => M_BE, M_busLock => M_busLock, M_compress => M_compress, M_guarded => M_guarded, M_lockErr => M_lockErr, M_MSize => M_MSize, M_ordered => M_ordered, M_priority => M_priority, M_rdBurst => M_rdBurst, M_request => M_request, M_RNW => M_RNW, M_size => M_size, M_type => M_type, M_wrBurst => M_wrBurst, M_wrDBus => M_wrDBus, PLB_MBusy => PLB_MBusy, PLB_MErr => PLB_MErr, PLB_MWrBTerm => PLB_MWrBTerm, PLB_MWrDAck => PLB_MWrDAck, PLB_MAddrAck => PLB_MAddrAck, PLB_MRdBTerm => PLB_MRdBTerm, PLB_MRdDAck => PLB_MRdDAck, PLB_MRdDBus => PLB_MRdDBus, PLB_MRdWdAddr => PLB_MRdWdAddr, PLB_MRearbitrate => PLB_MRearbitrate, PLB_MSSize => PLB_MSSize, SYNCH_IN => SYNCH_IN, SYNCH_OUT => SYNCH_OUT ); end architecture STRUCTURE;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Dispatcher_uCode_0_0/synth/OpenSSD2_Dispatcher_uCode_0_0.vhd
4
14096
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY OpenSSD2_Dispatcher_uCode_0_0 IS PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END OpenSSD2_Dispatcher_uCode_0_0; ARCHITECTURE OpenSSD2_Dispatcher_uCode_0_0_arch OF OpenSSD2_Dispatcher_uCode_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF OpenSSD2_Dispatcher_uCode_0_0_arch : ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=OpenSSD2_Dispatcher_uCode_0_0.mif,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=64,C_READ_WIDTH_A=64,C_WRITE_DEPTH_A=256,C_READ_DEPTH_A=256,C_ADDRA_WIDTH=8,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=64,C_READ_WIDTH_B=64,C_WRITE_DEPTH_B=256,C_READ_DEPTH_B=256,C_ADDRB_WIDTH=8,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.700549 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 0, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 1, C_INIT_FILE_NAME => "OpenSSD2_Dispatcher_uCode_0_0.mif", C_INIT_FILE => "NONE", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 1, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 64, C_READ_WIDTH_A => 64, C_WRITE_DEPTH_A => 256, C_READ_DEPTH_A => 256, C_ADDRA_WIDTH => 8, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 0, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 64, C_READ_WIDTH_B => 64, C_WRITE_DEPTH_B => 256, C_READ_DEPTH_B => 256, C_ADDRB_WIDTH => 8, C_HAS_MEM_OUTPUT_REGS_A => 1, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "1", C_COUNT_18K_BRAM => "0", C_EST_POWER_SUMMARY => "Estimated Power for IP : 6.700549 mW" ) PORT MAP ( clka => clka, rsta => rsta, ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, douta => douta, clkb => '0', rstb => '0', enb => '0', regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END OpenSSD2_Dispatcher_uCode_0_0_arch;
gpl-3.0
luebbers/reconos
support/refdesigns/9.2/ml403/ml403_light_pr/pcores/lisipif_master_v1_00_c/hdl/vhdl/lipif_mst_pipeliner.vhd
1
5772
-------------------------------------------------------------------------------- -- Company: Lehrstuhl Integrierte Systeme - TUM -- Engineer: Johannes Zeppenfeld -- -- Project Name: LIS-IPIF -- Module Name: lipif_mst_pipeliner -- Architectures: lipif_mst_pipeliner_rtl -- Description: -- -- Dependencies: -- -- Revision: -- 25.4.2006 - File Created -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity lipif_mst_pipeliner is generic ( C_NUM_WIDTH : integer := 5 ); port( clk : in std_logic; reset : in std_logic; xfer_num_i : in std_logic_vector(C_NUM_WIDTH-1 downto 0); xfer_adv_i : in std_logic; xfer_nxt_i : in std_logic; xfer_req_i : in std_logic; xfer_ack_i : in std_logic; xfer_rdy_o : out std_logic; prim_valid_o : out std_logic; prim_last_o : out std_logic; prim_ack_o : out std_logic; prim_nburst_o : out std_logic; pipe_nburst_o : out std_logic ); end lipif_mst_pipeliner; architecture lipif_mst_pipeliner_rtl of lipif_mst_pipeliner is signal num_prim : std_logic_vector(C_NUM_WIDTH-1 downto 0); signal num_prim_n : std_logic_vector(C_NUM_WIDTH-1 downto 0); signal num_sec : std_logic_vector(C_NUM_WIDTH-1 downto 0); signal num_sec_n : std_logic_vector(C_NUM_WIDTH-1 downto 0); signal num_tri : std_logic_vector(C_NUM_WIDTH-1 downto 0); signal xfer_last : std_logic; signal xfer_last_n : std_logic; signal xfer_comp : std_logic; signal valid_prim : std_logic; signal valid_sec : std_logic; signal valid_tri : std_logic; signal ack_prim : std_logic; signal ack_sec : std_logic; begin -- Connect ports to internal signals xfer_rdy_o <= not valid_tri; xfer_comp <= xfer_nxt_i; prim_valid_o <= valid_prim; prim_last_o <= xfer_last; prim_ack_o <= ack_prim; -- Next xfer last state calculated from next value of primary burst counter xfer_last_n <= '1' when (num_prim_n(C_NUM_WIDTH-1 downto 1)=0) else '0'; -- Pipelined next burst signal should only be asserted if a transfer is actually pipelined -- Don't need to check for a new transfer being pipelined this cycle: it can't have been -- acknowledged yet! pipe_nburst_o <= '0' when (num_sec_n(C_NUM_WIDTH-1 downto 1)=0) else valid_sec; prim_nburst_o <= not xfer_last_n; -- Generate next counts for primary and secondary stages process(xfer_comp, valid_prim, valid_sec, valid_tri, xfer_adv_i, xfer_num_i, num_prim, num_sec, num_tri) begin -- Primary Stage if(xfer_comp='1' or valid_prim='0') then if(valid_sec='0') then num_prim_n <= xfer_num_i; else num_prim_n <= num_sec; end if; elsif(xfer_adv_i='1') then -- NOTE: This is synthesized into both a subtractor and down-counter. -- May save a few slices if the down-counter is removed. num_prim_n <= num_prim - 1; else num_prim_n <= num_prim; end if; -- Secondary Stage if(xfer_comp='1' or valid_sec='0') then if(valid_tri='0') then num_sec_n <= xfer_num_i; else num_sec_n <= num_tri; end if; else num_sec_n <= num_sec; end if; end process; -- Latch next counter values for all three stages process(clk) begin if(clk='1' and clk'event) then if(reset='1') then num_prim <= (others=>'0'); num_sec <= (others=>'0'); num_tri <= (others=>'0'); else -- Primary and secondary stages have next value calculated externally num_prim <= num_prim_n; num_sec <= num_sec_n; -- Trinary Stage if(xfer_comp='1' or valid_tri='0') then num_tri <= xfer_num_i; end if; -- Last indicator can also be latched xfer_last <= xfer_last_n; end if; end if; end process; -- Generate ack state signals for first two pipeline stages process(clk) begin if(clk='1' and clk'event) then if(reset='1') then ack_prim <= '0'; ack_sec <= '0'; else -- Primary Stage if(xfer_comp='1' or ack_prim='0') then ack_prim <= ack_sec or xfer_ack_i; end if; -- Secondary Stage if(xfer_comp='1' or ack_sec='0') then ack_sec <= xfer_ack_i and ack_prim and (not xfer_comp or ack_sec); end if; end if; end if; end process; -- Generate valid signals for each pipeline stage process(clk) begin if(clk='1' and clk'event) then if(reset='1') then valid_prim <= '0'; valid_sec <= '0'; valid_tri <= '0'; else -- Primary Stage if(xfer_comp='1' or valid_prim='0') then valid_prim <= valid_sec or xfer_req_i; end if; -- Secondary Stage if(xfer_comp='1' or valid_sec='0') then valid_sec <= valid_tri or (xfer_req_i and valid_prim and (valid_sec or not xfer_comp)); end if; -- Trinary Stage if(xfer_comp='1' or valid_tri='0') then valid_tri <= xfer_req_i and valid_sec and not xfer_comp; end if; end if; end if; end process; end lipif_mst_pipeliner_rtl;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DPBDCFIFO36x16DR/sim/DPBDCFIFO36x16DR.vhd
4
33448
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
gpl-3.0
zhangry868/MultiCycleCPU
Multiple_Cycles_CPU/simulation/modelsim/rtl_work/@a@l@u_@controller/_primary.vhd
2
228
library verilog; use verilog.vl_types.all; entity ALU_Controller is port( ALU_op : in vl_logic_vector(3 downto 0); ALU_ctr : out vl_logic_vector(2 downto 0) ); end ALU_Controller;
gpl-3.0
luebbers/reconos
demos/demo_multibus_ethernet/hw/hwthreads/third/fifo/src/vhdl/fifo_utils.vhd
1
44568
------------------------------------------------------------------------------- -- -- Module : fifo_utils.vhd -- -- Version : 1.2 -- -- Last Update : 2005-06-29 -- -- Project : Parameterizable LocalLink FIFO -- -- Description : Utility package created for LocalLink FIFO Design -- -- Designer : Wen Ying Wei, Davy Huang -- -- Company : Xilinx, Inc. -- -- Disclaimer : XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2005 Xilinx, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package fifo_u is ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- data type conversion functions function to_character (bv : bit_vector(3 downto 0)) return character; function conv_ascii_logic_vector(nib:std_logic_vector(3 downto 0)) return std_logic_vector; function to_string (bv : bit_vector) return string; function to_string (b : bit) return string; function conv_std_logic_vector (ch : character) return std_logic_vector; function to_std_logic_vector (b : bit_vector) return std_logic_vector; function to_std_logic (b : bit) return std_logic; function boolean_to_std_logic (b : boolean) return std_logic; function to_bit_vector (a : std_logic_vector) return bit_vector; function slv2int (S: std_logic_vector) return integer; function bitv2int (S: bit_vector) return integer; function int2bv (int_value, width : integer) return bit_vector; function revByteOrder( arg : std_logic_vector) return std_logic_vector; -- arithmetic function log2 (i: natural) return natural; function POWER2 (p: integer) return integer; function SQUARE2 (p: integer) return integer; function maxNat (arg1, arg2 : natural) return natural; function allZeroes (inp : std_logic_vector) return boolean; function allOnes (inp : std_logic_vector) return boolean; function bin_to_gray ( a : std_logic_vector) return std_logic_vector; function gray_to_bin ( a : std_logic_vector) return std_logic_vector; function bit_duplicate (b : std_logic; size : natural) return std_logic_vector; -- FIFO related functions function GET_ADDR_WIDTH (dw : integer) return integer; function GET_ADDR_MAJOR_WIDTH (a ,b : integer) return integer; function GET_ADDR_MINOR_WIDTH (a ,b : integer) return integer; function GET_WIDTH (i, a, b, m, RorW : integer) return integer; function GET_MAX_WIDTH(a, b: integer) return integer; function GET_CTRL_WIDTH(ra,wa,rb,wb:integer) return integer; function GET_HIGH_VALUE(ra,wa: integer) return integer; function GET_ADDR_FULL_B(ra, wa, RorW: integer) return integer; function GET_ADDR_MAJOR_WIDTH(ra, wa, RorW: integer) return integer; function GET_REM_WIDTH(a: integer) return integer; function GET_PAR_WIDTH(a: integer) return integer; function GET_EOF_REM_WIDTH(ra, wa: integer) return integer; function GET_RATIO(ra, wa, par: integer) return integer; function GET_WR_SOF_EOF_WIDTH(ra, wa : integer) return integer; function GET_RD_SOF_EOF_WIDTH(ra, wa : integer) return integer; function GET_WR_CTRL_REM_WIDTH(ra, wa : integer) return integer; function GET_RD_CTRL_REM_WIDTH(ra, wa : integer) return integer; function GET_C_WR_ADDR_WIDTH(ra, wa, mem_num: integer) return integer; function GET_C_RD_ADDR_WIDTH(ra, wa, mem_num: integer) return integer; function GET_C_RD_TEMP_WIDTH(ra, wa: integer) return integer; function GET_C_WR_TEMP_WIDTH(ra, wa: integer) return integer; function GET_WR_PAD_WIDTH(rd, wd, c_wa, waf, wa: integer) return integer; function GET_RD_PAD_WIDTH(da, db: integer) return integer; function GET_NUM_DIV(ra, wa : integer) return integer; function GET_WR_EN_FACTOR(NUM_DIV, MEM_NUM: integer) return integer; function GET_RDDWdivWRDW(RD_DWIDTH, WR_DWIDTH : integer) return integer; function GET_WRDW_div_RDDW(RD_DWIDTH, WR_DWIDTH : integer) return integer; end fifo_u; package body fifo_u is ------------------------------------------------------------------------------- -- data type conversion functions ------------------------------------------------------------------------------- -- duplicate the bit value to specific width, e.g. '1' -> "1111" function bit_duplicate (b : std_logic; size : natural) return std_logic_vector is variable o : std_logic_vector(size -1 downto 0); begin for i in size -1 downto 0 loop o(i) := b; end loop; return o; end function; -- convert a character to a nibble wide std_logic_vector function conv_std_logic_vector (ch : character) return std_logic_vector is begin case ch is when '0' => return "0000"; when '1' => return "0001"; when '2' => return "0010"; when '3' => return "0011"; when '4' => return "0100"; when '5' => return "0101"; when '6' => return "0110"; when '7' => return "0111"; when '8' => return "1000"; when '9' => return "1001"; when 'a' => return "1010"; when 'b' => return "1011"; when 'c' => return "1100"; when 'd' => return "1101"; when 'e' => return "1110"; when 'f' => return "1111"; when others => assert false report "unrecognised character" severity failure; end case; return "0000"; end conv_std_logic_vector; -- convert bit to std_logic function to_std_logic (b : bit) return std_logic is begin case b is when '0' => return '0'; when '1' => return '1'; when others => assert false report "unrecognised bit value" severity failure; end case; return '0'; end to_std_logic; -- convert boolean to std_logic function boolean_to_std_logic (b : boolean) return std_logic is begin case b is when FALSE => return '0'; when TRUE => return '1'; when others => return '0'; end case; return '0'; end boolean_to_std_logic; -- Convert 4-bit vector to a character function to_character (bv : bit_vector(3 downto 0)) return character is begin -- to_character case bv is when b"0000" => return '0'; when b"0001" => return '1'; when b"0010" => return '2'; when b"0011" => return '3'; when b"0100" => return '4'; when b"0101" => return '5'; when b"0110" => return '6'; when b"0111" => return '7'; when b"1000" => return '8'; when b"1001" => return '9'; when b"1010" => return 'a'; when b"1011" => return 'b'; when b"1100" => return 'c'; when b"1101" => return 'd'; when b"1110" => return 'e'; when b"1111" => return 'f'; end case; end to_character; function conv_ascii_logic_vector (nib : std_logic_vector(3 downto 0)) return std_logic_vector is begin case nib is when "0000" => return "00110000"; when "0001" => return "00110001"; when "0010" => return "00110010"; when "0011" => return "00110011"; when "0100" => return "00110100"; when "0101" => return "00110101"; when "0110" => return "00110110"; when "0111" => return "00110111"; when "1000" => return "00111000"; when "1001" => return "00111001"; when "1010" => return "01000001"; when "1011" => return "01000010"; when "1100" => return "01000011"; when "1101" => return "01000100"; when "1110" => return "01000101"; when "1111" => return "01000110"; when others => return "00100000"; end case; return "00100000"; end conv_ascii_logic_vector; -- Convert n-bits vector to n/4-character string function to_string (bv : bit_vector) return string is constant strlen : integer := bv'length / 4; variable str : string(1 to strlen); begin -- to_string for i in 0 to strlen - 1 loop str(strlen-i) := to_character(bv((i * 4) + 3 downto (i * 4))); end loop; -- i return str; end to_string; -- Convert 1-bit to 1-character string function to_string (b : bit) return string is begin case b is when '0' => return "0"; when '1' => return "1"; when others => assert false report "unrecognised bit value" severity failure; end case; return "0"; end to_string; -- Convert std_logic_vector to bit_vector function to_bit_vector (a : std_logic_vector) return bit_vector is variable b : bit_vector(a'length -1 downto 0); begin for i in 0 to a'length - 1 loop b(i) := to_bit (a(i)); end loop; return b; end to_bit_vector; -- Convert bit_vector to std_logic_vector function to_std_logic_vector (b : bit_vector) return std_logic_vector is variable a : std_logic_vector(b'length -1 downto 0); begin for i in 0 to b'length - 1 loop a(i) := to_std_logic (b(i)); end loop; return a; end to_std_logic_vector; -- std_logic_vector to integer function slv2int (S: std_logic_vector) return integer is variable S_i: std_logic_vector(S'Length-1 downto 0) := S; variable N : integer := 0; begin for i in S_i'Right to S_i'Left loop if (S_i(i)) = '1' then N := N + (2**i); elsif (S_i(i)) = 'X' then N := 0; end if; end loop; return N; end; -- bit_vector to integer function bitv2int (S: bit_vector) return integer is variable S_i: bit_vector(S'Length-1 downto 0) := S; variable N : integer := 0; begin for i in S_i'Right to S_i'Left loop if (S_i(i)) = '1' then N := N + (2**i); end if; end loop; return N; end; function int2bv (int_value, width : integer) return bit_vector is variable result : bit_vector(width-1 downto 0) := (others => '0'); begin for i in 0 to width-1 loop if ( ((int_value/(2**i)) mod 2) = 1) then result(i) := '1'; end if; end loop; return result; end int2bv; function revByteOrder( arg : std_logic_vector) return std_logic_vector is variable tmp : std_logic_vector(arg'high downto 0); -- length is numNibs variable numbytes : integer; begin numbytes := arg'length/8; lp0: for i in 0 to numbytes -1 loop tmp( (8*(numbytes-i)-1) downto 8*(numbytes-i-1) ) := arg( (8*i+7) downto 8*i); end loop lp0; return tmp ; end revbyteOrder; ------------------------------------------------------------------------------- -- arithmetic ------------------------------------------------------------------------------- function allZeroes (inp : std_logic_vector) return boolean is variable t : boolean := true; begin t := true; -- for synopsys for i in inp'range loop if inp(i) = '1' then t := false; end if; end loop; return t; end allZeroes; function allOnes (inp : std_logic_vector) return boolean is variable t : boolean := true; begin t := true; -- for synopsys for i in inp'range loop if inp(i) = '0' then t := false; end if; end loop; return t; end allOnes; -- returns the maximum of two naturals function maxNat (arg1, arg2 : natural) return natural is begin -- maxNat if arg1 >= arg2 then return arg1; else return arg2; end if; end maxNat; -- a function to calculate log2(i) function log2 (i: natural) return natural is variable answer : natural ; begin for n in 1 to 32 loop -- works for upto 32 bits if (2**(n-1) < i) and (2**n >= i) then return (n); end if; end loop; return (1); end log2; -- a function to caculate 2 ** p function POWER2 ( p: in integer) return integer is variable answer : integer ; begin answer := 2**p; return answer; end function POWER2; -- a function to caculate square2(p) function SQUARE2 ( p: in integer) return integer is variable answer : integer ; begin case p is when 1 => answer := 0; when 2 => answer := 1; when 4 => answer := 2; when 8 => answer := 3; when 16 => answer := 4; when 32 => answer := 5; when 64 => answer := 6; when 128 => answer := 7; when 256 => answer := 8; when 512 => answer := 9; when 1024 => answer := 10; when others => assert false report "overflow or input exceeds acceptable range." severity failure; end case; return answer; end function SQUARE2; -- convert binary code to gray code function bin_to_gray ( a : std_logic_vector) return std_logic_vector is variable b : std_logic_vector(a'range); begin b(b'high) := a(a'high); for i in b'high -1 downto 0 loop b(i) := a(i+1) XOR a(i); end loop; return b; end function; -- conver gray code to binary code function gray_to_bin ( a : std_logic_vector) return std_logic_vector is variable b : std_logic_vector(a'range); begin for i in a'range loop if i = a'left then b(i) := a(i); else b(i) := a(i) xor b(i+1); end if; end loop; return b; end function; -- generate the address width according to the data width, for FIFO function GET_ADDR_WIDTH (dw : in integer) return integer is variable aw : integer; begin case dw is when 1 => aw := 14; when 2 => aw := 13; when 4 => aw := 12; when 8 => aw := 11; when 16=> aw := 10; when 32=> aw := 9; when 64=> aw := 9; when 128=> aw := 9; when others => assert false report "input is not acceptable." severity failure; end case; return aw; end function GET_ADDR_WIDTH; -- generate the major address width, for FIFO function GET_ADDR_MAJOR_WIDTH (a , b: in integer) return integer is variable result : integer; begin if a < b then -- A's data width is shorter than B's data width -- Then A's addr width is longer than B's addr width -- The Major & Minor Addrs are positive. The major addr is equal to -- B's address width result := GET_ADDR_WIDTH(b); else -- otherwise, No minor addr exsits result := GET_ADDR_WIDTH(a); end if; return result; end function GET_ADDR_MAJOR_WIDTH; -- generate the minor address width, for BRAM_FIFO function GET_ADDR_MINOR_WIDTH (a , b : in integer) return integer is variable result : integer; begin if a < b then -- A's data width is shorter than B's data width -- Then A's addr width is longer than B's addr width -- The Major & Minor Addrs are positive. The minor addr is equal to -- the differential value between A & B's address width if b > 32 then if b = 64 then result := GET_ADDR_WIDTH(a)+1 - GET_ADDR_WIDTH(b); elsif b = 128 then if a = 64 then result := GET_ADDR_WIDTH(a)+1 - GET_ADDR_WIDTH(b); else result := GET_ADDR_WIDTH(a)+2 - GET_ADDR_WIDTH(b); end if; end if; else result := GET_ADDR_WIDTH(a) - GET_ADDR_WIDTH(b); end if; elsif a > b then -- otherwise, invert the result -- It may be zero which means no minor address exsits. if a > 32 then if a = 64 then result := GET_ADDR_WIDTH(b)+1 - GET_ADDR_WIDTH(a); elsif a = 128 then if b = 64 then result := GET_ADDR_WIDTH(b)+1 - GET_ADDR_WIDTH(a); else result := GET_ADDR_WIDTH(b)+2 - GET_ADDR_WIDTH(a); end if; end if; else result := GET_ADDR_WIDTH(b) - GET_ADDR_WIDTH(a); end if; else result := 1; end if; return result; end function GET_ADDR_MINOR_WIDTH; function GET_WIDTH (i, a, b, m, RorW : in integer) return integer is -- m: 1 get major address width; 2 get minor address width -- RorW: 0 : Rd 1: Wr -- a: Rd data width ; b: Wr data width variable result : integer; begin if a < b then if m = 1 then result := i; elsif m = 2 then if RorW = 0 then result := SQUARE2(b) - SQUARE2(a); else result := 1; end if; end if; else -- Rd > Wr if m = 1 then result := i; elsif m = 2 then if RorW = 0 then -- result := 1; else result := SQUARE2(a) - SQUARE2(b); end if; end if; end if; if result = 0 then result := result + 1; return result; else return result; end if; end function GET_WIDTH; function GET_MAX_WIDTH(a, b: in integer) return integer is variable result: integer; begin if a < b then result := b; else result := a; end if; return result; end function GET_MAX_WIDTH; function GET_CTRL_WIDTH(ra,wa,rb,wb: integer) return integer is variable result: integer; begin if rb < wb then result := wa + 2; else result := ra + 2; end if; return result; end function GET_CTRL_WIDTH; function GET_HIGH_VALUE(ra,wa: integer) return integer is variable result: integer; begin if (wa > ra) then result := wa - ra; else result := 1; end if; return result; end function GET_HIGH_VALUE; function GET_ADDR_FULL_B(ra, wa, RorW: integer) return integer is variable result : integer; begin if (ra > wa) then if RorW = 0 then result := GET_ADDR_WIDTH(ra); elsif RorW = 1 then if ra > 36 then if ra = 64 then result := GET_ADDR_WIDTH(wa)+1; elsif ra = 128 then if wa = 64 then result := GET_ADDR_WIDTH(wa) + 1; else result := GET_ADDR_WIDTH(wa) + 2; end if; end if; else result := GET_ADDR_WIDTH(wa); end if; end if; else if RorW = 0 then if wa > 36 then if wa = 64 then result := GET_ADDR_WIDTH(ra)+1; elsif wa = 128 then if ra = 64 then result := GET_ADDR_WIDTH(ra) + 1; else result := GET_ADDR_WIDTH(ra) + 2; end if; end if; else result := GET_ADDR_WIDTH(ra); end if; elsif RorW = 1 then result := GET_ADDR_WIDTH(wa); end if; end if; return result; end function GET_ADDR_FULL_B; function GET_ADDR_MAJOR_WIDTH(ra, wa, RorW: integer) return integer is variable result : integer; begin if ra > wa then if RorW = 0 then result := GET_ADDR_WIDTH(ra); elsif RorW = 1 then if ra > 36 then if ra = 64 then result := GET_ADDR_WIDTH(wa) - GET_ADDR_MINOR_WIDTH (ra, wa) + 1; elsif ra = 128 then if wa = 64 then result := GET_ADDR_WIDTH(wa) - GET_ADDR_MINOR_WIDTH (ra, wa) + 1; else result := GET_ADDR_WIDTH(wa) - GET_ADDR_MINOR_WIDTH (ra, wa) + 2; end if; end if; else result := GET_ADDR_WIDTH(wa) - GET_ADDR_MINOR_WIDTH (ra, wa); end if; end if; elsif ra < wa then if RorW = 0 then if wa > 36 then if wa = 64 then result := GET_ADDR_WIDTH(ra) - GET_ADDR_MINOR_WIDTH (ra, wa)+1; elsif wa = 128 then if ra = 64 then result := GET_ADDR_WIDTH(ra) - GET_ADDR_MINOR_WIDTH (ra, wa)+1; else result := GET_ADDR_WIDTH(ra) - GET_ADDR_MINOR_WIDTH (ra, wa)+2; end if; end if; else result := GET_ADDR_WIDTH(ra) - GET_ADDR_MINOR_WIDTH (ra, wa); end if; elsif RorW = 1 then result := GET_ADDR_WIDTH(wa); end if; else if RorW = 0 then result := GET_ADDR_WIDTH(ra); else result := GET_ADDR_WIDTH(wa); end if; end if; return result; end function GET_ADDR_MAJOR_WIDTH; function GET_REM_WIDTH(a: integer) return integer is variable result : integer; begin if a = 0 then result := 1; else result := a; end if; return result; end function GET_REM_WIDTH; function GET_PAR_WIDTH(a: integer) return integer is variable result : integer; begin case a is when 8 => result := 1; when 16 => result := 2; when 32 => result := 4; when 64 => result := 8; when 128 => result := 16; when others => NULL; end case; return result; end function GET_PAR_WIDTH; function GET_EOF_REM_WIDTH(ra, wa: integer) return integer is variable result : integer; begin if ra > wa then result := ra; else result := wa; end if; return result; end function GET_EOF_REM_WIDTH; function GET_RATIO(ra, wa, par: integer) return integer is variable result : integer; begin result := (par * ra) / wa; return result; end function GET_RATIO; function GET_WR_SOF_EOF_WIDTH(ra, wa : integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 8 => result := 2; when 16 => result := 2; when 32 => result := 2; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; elsif wa = 16 then case ra is when 8 => result := 4; when 16 => result := 2; when 32 => result := 2; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; elsif wa = 32 then case ra is when 8 => result := 8; when 16 => result := 4; when 32 => result := 4; when 64 => result := 4; when 128 => result := 2; when others => NULL; end case; elsif wa = 64 then case ra is when 8 => result := 16; when 16 => result := 8; when 32 => result := 8; when 64 => result := 8; when 128 => result := 8; when others => NULL; end case; elsif wa = 128 then case ra is when 8 => result := 32; when 16 => result := 32; when 32 => result := 8; when 64 => result := 16; when 128 => result := 16; when others => NULL; end case; end if; return result; end function GET_WR_SOF_EOF_WIDTH; function GET_RD_SOF_EOF_WIDTH(ra, wa : integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 8 => result := 2; when 16 => result := 2; when 32 => result := 2; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; elsif wa = 16 then case ra is when 8 => result := 2; when 16 => result := 2; when 32 => result := 4; when 64 => result := 8; when 128 => result := 2; when others => NULL; end case; elsif wa = 32 then case ra is when 8 => result := 2; when 16 => result := 2; when 32 => result := 4; when 64 => result := 8; when 128 => result := 8; when others => NULL; end case; elsif wa = 64 then case ra is when 8 => result := 2; when 16 => result := 2; when 32 => result := 4; when 64 => result := 8; when 128 => result := 16; when others => NULL; end case; elsif wa = 128 then case ra is when 8 => result := 2; when 16 => result := 2; when 32 => result := 2; when 64 => result := 2; when 128 => result := 16; when others => NULL; end case; end if; return result; end function GET_RD_SOF_EOF_WIDTH; function GET_WR_CTRL_REM_WIDTH(ra, wa : integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 8 => result := 1; when 16 => result := 2; when 32 => result := 1; when 64 => result := 3; when 128 => result := 4; when others => NULL; end case; elsif wa = 16 then case ra is when 8 => result := 2; when 16 => result := 1; when 32 => result := 2; when 64 => result := 4; when 128 => result := 4; when others => NULL; end case; elsif wa = 32 then case ra is when 8 => result := 2; when 16 => result := 2; when 32 => result := 2; when 64 => result := 4; when 128 => result := 4; when others => NULL; end case; elsif wa = 64 then case ra is when 8 => result := 16; when 16 => result := 4; when 32 => result := 4; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; elsif wa = 128 then case ra is when 8 => result := 16; when 16 => result := 8; when 32 => result := 16; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; end if; return result; end function GET_WR_CTRL_REM_WIDTH; function GET_RD_CTRL_REM_WIDTH(ra, wa : integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 8 => result := 1; when 16 => result := 2; when 32 => result := 4; when 64 => result := 3; when 128 => result := 4; when others => NULL; end case; elsif wa = 16 then case ra is when 8 => result := 4; when 16 => result := 1; when 32 => result := 2; when 64 => result := 4; when 128 => result := 4; when others => NULL; end case; elsif wa = 32 then case ra is when 8 => result := 2; when 16 => result := 1; when 32 => result := 2; when 64 => result := 4; when 128 => result := 16; when others => NULL; end case; elsif wa = 64 then case ra is when 8 => result := 16; when 16 => result := 1; when 32 => result := 4; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; elsif wa = 128 then case ra is when 8 => result := 16; when 16 => result := 1; when 32 => result := 4; when 64 => result := 3; when 128 => result := 2; when others => NULL; end case; end if; return result; end function GET_RD_CTRL_REM_WIDTH; function GET_C_WR_ADDR_WIDTH(ra, wa, mem_num: integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 8 => if mem_num < 8 then result := 13; elsif mem_num = 8 then result := 14; elsif mem_num = 16 then result := 15; else result := 16; end if; when 16 => result := 13; when 32 => result := 13; when 64 => if mem_num <= 4 then result := 11; elsif mem_num = 8 then result := 12; elsif mem_num = 16 then result := 13; else result := 14; end if; when 128 => result := 11; when others => NULL; end case; elsif wa = 16 then case ra is when 8 => result := 12; when 16 => result := 14; when 32 => result := 13; when 64 => if mem_num <= 8 then result := 12; else result := 13; end if; when 128 => result := 11; when others => NULL; end case; elsif wa = 32 then case ra is when 8 => result := 13; when 16 => result := 13; when 32 => result := 12; when 64 => if mem_num <= 8 then result := 12; else result := 13; end if; when 128 => result := 13; when others => NULL; end case; elsif wa = 64 then case ra is when 8 => if mem_num <= 2 then result := 10; elsif mem_num = 4 then result := 11; elsif mem_num = 8 then result := 12; elsif mem_num = 16 then result := 13; else result := 14; end if; when 16 => if mem_num <= 8 then result := 12; else result := 13; end if; when 32 => result := 12; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; elsif wa = 128 then case ra is when 8 => result := 10; when 16 => result := 8; when 32 => result := 11; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; end if; return result; end function GET_C_WR_ADDR_WIDTH; function GET_C_RD_ADDR_WIDTH(ra, wa, mem_num: integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 8 => if mem_num < 8 then result := 13; elsif mem_num = 8 then result := 14; elsif mem_num = 16 then result := 15; else result := 16; end if; when 16 => result := 13; when 32 => result := 13; when 64 => if mem_num <= 4 then result := 11; elsif mem_num = 8 then result := 12; elsif mem_num = 16 then result := 13; else result := 14; end if; when 128 => result := 11; when others => NULL; end case; elsif wa = 16 then case ra is when 8 => result := 13; when 16 => result := 14; when 32 => result := 13; when 64 => if mem_num <= 8 then result := 12; else result := 13; end if; when 128 => result := 11; when others => NULL; end case; elsif wa = 32 then case ra is when 8 => result := 13; when 16 => result := 14; when 32 => result := 12; when 64 => if mem_num <= 8 then result := 12; else result := 13; end if; when 128 => result := 13; when others => NULL; end case; elsif wa = 64 then case ra is when 8 => if mem_num <= 2 then result := 13; elsif mem_num = 4 then result := 14; elsif mem_num = 8 then result := 15; elsif mem_num = 16 then result := 16; else result := 17; end if; when 16 => if mem_num <= 8 then result := 14; else result := 15; end if; when 32 => result := 12; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; elsif wa = 128 then case ra is when 8 => result := 13; when 16 => result := 8; when 32 => result := 13; when 64 => result := 2; when 128 => result := 2; when others => NULL; end case; end if; return result; end function GET_C_RD_ADDR_WIDTH; function GET_C_RD_TEMP_WIDTH(ra, wa: integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 64 => result := 8; when 128 => result := 8; when others => result := 8; end case; elsif wa = 16 then case ra is when 64 => result := 8; when 128 => result := 8; when others => result := 8; end case; elsif wa = 32 then case ra is when 8 => result := 8; when 16 => result := 8; when 32 => result := 8; when others => result := 8; end case; elsif wa = 64 then case ra is when 16 => result := 8; when 8 => result := 8; when 128 => result := 16; when others => result := 8; end case; elsif wa = 128 then case ra is when 16 => result := 4; when 64 => result := 8; when others => result := 8; end case; else result := 8; end if; return result; end function GET_C_RD_TEMP_WIDTH; function GET_C_WR_TEMP_WIDTH(ra, wa: integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 64 => result := 8; when 128 => result := 8; when others => result := 8; end case; elsif wa = 16 then case ra is when 64 => result := 8; when 128 => result := 8; when others => result := 8; end case; elsif wa = 32 then case ra is when 8 => result := 8; when 16 => result := 8; when 32 => result := 8; when others => result := 8; end case; elsif wa = 64 then case ra is when 16 => result := 8; when 8 => result := 8; when others => result := 8; end case; elsif wa = 128 then case ra is when 16 => result := 32; when 64 => result := 16; when others => result := 8; end case; else result := 8; end if; return result; end function GET_C_WR_TEMP_WIDTH; function GET_WR_PAD_WIDTH(rd, wd, c_wa, waf, wa: integer) return integer is variable result: integer; begin if rd> wd then if c_wa - wa >= 0 then result := c_wa - wa; else result := 0; end if; else if c_wa - waf >= 0 then result := c_wa - waf; else result := 0; end if; end if; return result; end function GET_WR_PAD_WIDTH; function GET_RD_PAD_WIDTH(da, db: integer) return integer is variable result : integer; begin if da-db >= 0 then result := da - db; else result := 0; end if; return result; end function GET_RD_PAD_WIDTH; function GET_NUM_DIV(ra, wa : integer) return integer is variable result : integer; begin if wa = 8 then case ra is when 16 => result := 8; when 64 => result := 2; when others => result := 1; end case; elsif wa = 16 then case ra is when 8 => result := 4; when others => result := 1; end case; elsif wa = 32 then case ra is when 8 => result := 4; when others => result := 1; end case; elsif wa = 64 then case ra is when 8 => result := 2; when others => result := 1; end case; else result := 1; end if; return result; end function GET_NUM_DIV; function GET_WR_EN_FACTOR(NUM_DIV, MEM_NUM: integer) return integer is variable result : integer; begin if MEM_NUM < NUM_DIV then result :=1; else result := MEM_NUM/NUM_DIV; end if; return result; end function GET_WR_EN_FACTOR; function GET_RDDWdivWRDW(RD_DWIDTH, WR_DWIDTH : integer) return integer is variable result : integer; begin if RD_DWIDTH > WR_DWIDTH then result := RD_DWIDTH / WR_DWIDTH; else result := 1; end if; return result; end function GET_RDDWdivWRDW; function GET_WRDW_div_RDDW(RD_DWIDTH, WR_DWIDTH : integer) return integer is variable result : integer; begin if WR_DWIDTH > RD_DWIDTH then result := WR_DWIDTH / RD_DWIDTH; else result := 1; end if; return result; end function GET_WRDW_div_RDDW; end fifo_u;
gpl-3.0
zhangry868/MultiCycleCPU
Multiple_Cycles_CPU/simulation/modelsim/rtl_work/@ex@number/_primary.vhd
1
386
library verilog; use verilog.vl_types.all; entity ExNumber is port( IR : in vl_logic_vector(15 downto 0); Ex_top : in vl_logic; ALU_SrcB : in vl_logic_vector(2 downto 0); Rt_out : in vl_logic_vector(31 downto 0); B_in : out vl_logic_vector(31 downto 0) ); end ExNumber;
gpl-3.0
luebbers/reconos
tests/simulation/plb/mutex/test_mutex.vhd
1
3092
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity test_mutex is generic ( C_BURST_AWIDTH : integer := 11; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic ); end test_mutex; architecture Behavioral of test_mutex is constant C_MY_MUTEX : std_logic_vector(0 to 31) := X"00000000"; type t_state is (STATE_INIT, STATE_HALLO, STATE_LOCK, STATE_READ, STATE_WRITE, STATE_UNLOCK); signal state : t_state := STATE_INIT; signal in_value : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal out_value : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal init_data : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); begin -- burst ram interface is not used o_RAMAddr <= (others => '0'); o_RAMData <= (others => '0'); o_RAMWE <= '0'; o_RAMClk <= '0'; out_value <= in_value + 1; state_proc : process(clk, reset) variable done : boolean; variable success : boolean; begin if reset = '1' then reconos_reset(o_osif, i_osif); state <= STATE_INIT; elsif rising_edge(clk) then reconos_begin(o_osif, i_osif); if reconos_ready(i_osif) then case state is when STATE_INIT => reconos_get_init_data_s (done, o_osif, i_osif, init_data); if done then state <= STATE_HALLO; end if; when STATE_HALLO => reconos_write(done, o_osif, i_osif, X"10000002", X"AFFEDEAD"); if done then state <= STATE_LOCK; end if; when STATE_LOCK => reconos_mutex_lock (done, success, o_osif, i_osif, C_MY_MUTEX); if done and success then state <= STATE_READ; end if; when STATE_READ => reconos_read_s(done, o_osif, i_osif, init_data, in_value); if done then state <= STATE_WRITE; end if; when STATE_WRITE => reconos_write(done, o_osif, i_osif, init_data, out_value); if done then state <= STATE_UNLOCK; end if; when STATE_UNLOCK => reconos_mutex_unlock (o_osif, i_osif, C_MY_MUTEX); state <= STATE_LOCK; when others => state <= STATE_INIT; end case; end if; end if; end process; end Behavioral;
gpl-3.0
luebbers/reconos
support/refdesigns/12.3/ml605/ml605_light_thermal/pcores/vector_heater_a_v1_00_a/hdl/vhdl/vector_heater_a.vhd
1
21648
------------------------------------------------------------------------------ -- vector_heater_a.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: vector_heater_a.vhd -- Version: 1.00.a -- Description: Top level design, instantiates library components and user logic. -- Date: Mon Feb 28 11:50:03 2011 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_single_v1_01_a; use plbv46_slave_single_v1_01_a.plbv46_slave_single; library vector_heater_a_v1_00_a; use vector_heater_a_v1_00_a.user_logic; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- PLBv46 slave: base address -- C_HIGHADDR -- PLBv46 slave: high address -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator ------------------------------------------------------------------------------ entity vector_heater_a is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 32; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 0; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 1; C_FAMILY : string := "virtex5" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1) -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of SPLB_Clk : signal is "CLK"; attribute SIGIS of SPLB_Rst : signal is "RST"; end entity vector_heater_a; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of vector_heater_a is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant USER_SLV_NUM_REG : integer := 8; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space ); ------------------------------------------ -- Ratio of bus clock to core clock (for use in dual clock systems) -- 1 = ratio is 1:1 -- 2 = ratio is 2:1 ------------------------------------------ constant IPIF_BUS2CORE_CLK_RATIO : integer := 1; ------------------------------------------ -- Width of the slave data bus (32 only) ------------------------------------------ constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Reset : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; begin ------------------------------------------ -- instantiate plbv46_slave_single ------------------------------------------ PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : entity vector_heater_a_v1_00_a.user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_SLV_DWIDTH => USER_SLV_DWIDTH, C_NUM_REG => USER_NUM_REG ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); end IMP;
gpl-3.0
ayaovi/yoda
nexys4_DDR_projects/User_Demo/src/hdl/ADXL362Ctrl.vhd
1
44750
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- Author: Albert Fazakas -- Copyright 2014 Digilent, Inc. ---------------------------------------------------------------------------- -- -- Create Date: 16:48:39 02/20/2014 -- Design Name: -- Module Name: ADXL362Ctrl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- This module represents the controller for the Nexys4 onboard ADXL362 Accelerometer device. -- The module uses the SPI Interface component to communicate with the ADXL362. -- At initialization time, the module resets the ADXL362, then configures its internal registers. -- After configuring its internal registers, the acceleration will be read on the three axes followed -- by the temperature data: A set of 8 data bytes are read: XDATA_L, XDATA_H, YDATA_L, YDATA_H, ZDATA_L, -- ZDATA_H, TEMP_L and TEMP_H, see the ADXL362 datasheet for details. -- Reading is done continuously and an average is made from a number of reads. The number of reads -- for which average is made should be a power of two and is determined by the NUM_READS_AVG parameter, -- by default 16. The UPDATE_FREQUENCY_HZ parameter sets a counter to a period of 1/UPDATE_FREQUENCY_HZ. -- The state machine will wait for this period of time before starting a number of NUM_READS_AVG times -- data read procedure. -- Before reading a set of data, the state machine reads and checks the status register to see when -- new data is available at the ADXL362. Therefore the real sample time depends on the ADXL362 sample -- frequency, set in the Filter Control Register, address 0x2C, in this project set by default to 200Hz. -- -- The module consists of three state machines, named by the signals holding the states: -- - SPI Send/Receive Control State Machine: StC_Spi_SendRec. This state machine creates a handshake transaction -- with the SPI Interface component, using the Start and Done signals to: -- - Send the number of bytes specified by the Cnt_Bytes_Sent counter (3 when configuring an ADXL362 internal -- register, 2 when sending a Read Command). The bytes to be sent through the SPI interface are taken from the -- command register, Cmd_Reg. -- - Receive the number of bytes specified by the Cnt_Bytes_Rec counter (8 when reading acceleration and -- temperature data, 1 when reading the status register), when reading is required (SPI_RnW = 1). -- The acceleration and temperature data is stored in the data register, Data_Reg -- -- - SPI Transaction State machine, StC_Spi_Trans. This state machine controls the previously described SPI -- Send/Receive Control State Machine, using handshake with the the StartSpiSendRec (write) and SPI_SendRec_Done (read) -- signals: -- - Prepares and loads the command register, Cmd_Reg with the appropiate command string (configure a specific -- register, read data or read status) -- - Loads Cnt_Bytes_Sent and Cnt_Bytes_Rec with the number of bytes to be sent and/or received -- - Activates StartSpiSendRec to start the SPI Send/Receive Control State Machine and wait for its answer -- by reading the SPI_SendRec_Done signal -- -- Note that between each SPI transaction (Register write or Register read) the SS signal has to be deactivated -- for at least 10nS before a new command is issued -- -- - ADXL 362 Control State Machine, StC_Adxl_Ctrl. This state machine controls the previously described SPI -- Transaction State machine, also by using handshake with the StartSpiTr (write) and SPI_Trans_Done (read) signals: -- 1. First, Cmd_Reg will be loaded with the reset command from the Cmd_Reg_Data ROM and the state machine starts -- the SPI Transaction State Machine to send the reset command to the ADXL362 accelerometer -- 2. The state machine waits for a period of time and then sends the remaining configuration register data from -- Cmd_Reg_Data to the ADXL362 accelerometer -- 3. After configuring ADXL362, the state machine waits for a period of time equal to 1/UPDATE_FREQUENCY_HZ -- before starts reading -- 4. After the period of time elapsed the state machine reads the status register and, when there is new data available, reads the -- reads the X, Y and Z acceleration data, followed by temperature data. -- A number of reads equal to NUM_READS_AVG is performed, i.e. Step 4 is repeated NUM_READS_AVG times. -- 5. The data read is averaged in the ACCEL_X_SUM, ACCEL_Y_SUM, ACCEL_Z_SUM and ACCEL_TMP_SUM registers. The -- NUM_READS_AVG is power of 2 in order to make averaging easier by removing the least significant bits. After -- a number of reads equal to NUM_READS_AVG is done, the state machine updates the output data, ACCEL_X, ACCEL_Y, -- ACCEL_Z and ACCEL_TMP, stored in 12-bit two's complement format and signals to the output by activating for one -- clock period the Data_Ready signal. -- After that the state machine proceeds to Step 3, in an infinite loop. The state machine restarts from Step 1 only -- when the FPGA is reconfigured or the Reset signal is activated. -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; use IEEE.std_logic_signed.all; use IEEE.math_real.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ADXL362Ctrl is generic ( SYSCLK_FREQUENCY_HZ : integer := 100000000; SCLK_FREQUENCY_HZ : integer := 1000000; NUM_READS_AVG : integer := 16; UPDATE_FREQUENCY_HZ : integer := 1000 ); port ( SYSCLK : in STD_LOGIC; -- System Clock RESET : in STD_LOGIC; -- Accelerometer data signals ACCEL_X : out STD_LOGIC_VECTOR (11 downto 0); ACCEL_Y : out STD_LOGIC_VECTOR (11 downto 0); ACCEL_Z : out STD_LOGIC_VECTOR (11 downto 0); ACCEL_TMP : out STD_LOGIC_VECTOR (11 downto 0); Data_Ready : out STD_LOGIC; --SPI Interface Signals SCLK : out STD_LOGIC; MOSI : out STD_LOGIC; MISO : in STD_LOGIC; SS : out STD_LOGIC ); end ADXL362Ctrl; architecture Behavioral of ADXL362Ctrl is -- SPI Interface component declaration component SPI_If is generic ( SYSCLK_FREQUENCY_HZ : integer:= 100000000; SCLK_FREQUENCY_HZ : integer:= 1000000 ); port ( SYSCLK : in STD_LOGIC; -- System Clock RESET : in STD_LOGIC; Din : in STD_LOGIC_VECTOR (7 downto 0); -- Data to be transmitted Dout : out STD_LOGIC_VECTOR (7 downto 0); -- Data received; Start : in STD_LOGIC; -- used to start the transmission Done : out STD_LOGIC; -- Signaling that transmission ended HOLD_SS : in STD_LOGIC; -- Signal that forces SS low in the case of multiple byte -- transmit/receive mode --SPI Interface Signals SCLK : out STD_LOGIC; MOSI : out STD_LOGIC; MISO : in STD_LOGIC; SS : out STD_LOGIC ); end component; --************************************** -- Constant Definitions --************************************** -- To create the update frequency counter constant UPDATE_DIV_RATE : integer := (SYSCLK_FREQUENCY_HZ / UPDATE_FREQUENCY_HZ); constant SYS_CLK_PERIOD_PS : integer := ((1000000000 / SYSCLK_FREQUENCY_HZ) * 1000); --ADXL 362 Read and Write Command constant READ_CMD : STD_LOGIC_VECTOR (7 downto 0) := X"0B"; constant WRITE_CMD : STD_LOGIC_VECTOR (7 downto 0) := X"0A"; -- Data read will be always performed starting from Address X"0E", -- representing XACC_H. A total number of 8 bytes will be read. constant READ_STARTING_ADDR : STD_LOGIC_VECTOR (7 downto 0):= X"0E"; -- Status Register Read will be used to check when new data is available (Bit 0 is 1) constant STATUS_REG_ADDR : STD_LOGIC_VECTOR (7 downto 0):= X"0B"; -- Number of bytes to write when configuring registers constant NUMBYTES_CMD_CONFIG_REG : integer := 3; -- Number of bytes to write when reading registers constant NUMBYTES_CMD_READ : integer := 2; -- Number of bytes to read when reading data from ADXL362 constant NUMBYTES_READ_DATA : integer := 8; -- Number of bytes to read when reading status register from ADXL362 constant NUMBYTES_READ_STATUS : integer := 1; -- number of command vectors to send, one command vector -- represents ADXL362 register address followed by command byte, -- i.e. one command vector will mean two bytes constant NUM_COMMAND_VEC : integer := 4; -- Number of reads to be performed for which average is calculated, default is 16 constant NUM_READS : natural := NUM_READS_AVG; -- Number of extra bits when creating the average of the reads constant NUM_READS_BITS : natural := natural(ceil(log(real(NUM_READS), 2.0))); -- after each SPI transaction, SS needs to be inactive for at least 10ns before a new command is issued constant SS_INACTIVE_PERIOD_NS : integer := 10000; constant SS_INACTIVE_CLOCKS : integer := (SS_INACTIVE_PERIOD_NS/(SYS_CLK_PERIOD_PS/1000)); -- To specify encoding of the state machines attribute FSM_ENCODING : string; --SPI Interface Control Signals signal Start : STD_LOGIC; -- Signal controlling the SPI interface, controlled by the SPI Send/Receive State Machine signal Done : STD_LOGIC; -- Signaling that transmission ended, coming from the SPI interface signal HOLD_SS : STD_LOGIC; -- Signal that forces SS low in the case of multiple byte -- transmit/receive mode, controlled by the SPI Transaction State Machine -- Create the initialization vector, i.e. -- the register data to be written to initialize ADXL362 type rom_type is array (0 to ((2* NUM_COMMAND_VEC)-1)) of STD_LOGIC_VECTOR (7 downto 0); constant Cmd_Reg_Data : rom_type := ( X"1F", X"52", -- Soft Reset Register Address and Reset Command X"1F", X"00", -- Soft Reset Register Address, clear Command X"2D", X"02", -- Power Control Register, Enable Measure Command X"2C", X"14" -- Filter Control Register, 2g range, 1/4 Bandwidth, 200HZ Output Data Rate ); --address the reg_data ROM signal Cmd_Reg_Data_Addr: integer range 0 to (NUM_COMMAND_VEC - 1) := 0; -- Enable Incrementing Cmd_Reg_Data_Addr, controlled by the ADXL Control State machine signal EN_Advance_Cmd_Reg_Addr: STD_LOGIC := '0'; -- will enable incrementing by 2 Cmd_Reg_Data_Addr signal Advance_Cmd_Reg_Addr: STD_LOGIC := '0'; -- signal that shows that all of the addresses were read signal Cmd_Reg_Addr_Done : STD_LOGIC := '0'; -- SPI Transfer Send Data signals. Writing Commands will be always a 3-byte transfer, -- therefore commands will be temporarry stored in a 3X8 shift register. type command_reg_type is array (0 to 2) of STD_LOGIC_VECTOR (7 downto 0); signal Cmd_Reg: command_reg_type := (X"00", X"00", WRITE_CMD); -- command_reg control signals signal Load_Cmd_Reg : STD_LOGIC := '0'; -- Controlled by the SPI Transaction State Machine, -- the command register is load with the appropiate command signal Shift_Cmd_Reg : STD_LOGIC := '0'; -- Controlled by the SPI Send/Receive State Machine, -- advance to the next command when a byte was sent -- to count the bytes to be sent -- Cnt_Bytes_Sent will decrement at the same time when -- cmd_reg is shifted, therefore its control signal is the same as -- for Cmd_Reg: EN_Shift_Cmd_Reg signal Cnt_Bytes_Sent : integer range 0 to 3 :=0; -- Load Cnt_Bytes_Sent with the number of bytes to be sent -- according to the command to be sent signal Load_Cnt_Bytes_Sent : STD_LOGIC := '0'; -- controlled by the SPI Transaction State Machine signal Reset_Cnt_Bytes : STD_LOGIC := '0'; -- Controlled by the Main State Machine -- will reset both the sent and received byte counter -- SPI Transfer Receive Data signals. Reading data will be a 8-byte transfer: -- XACC_H, XACC_L, YACC_H, YACC_L, ZACC_H, ZACC_L, TEMP_H, TEMP_L -- therefore an 8X8 shift register is created. type data_reg_type is array (0 to (NUMBYTES_READ_DATA - 1)) of STD_LOGIC_VECTOR (7 downto 0); signal Data_Reg: data_reg_type := (others => X"00"); -- data_reg control signals: signal EN_Shift_Data_Reg : STD_LOGIC := '0'; -- Controlled by the SPI Send/Receive State Machine -- Shift when a new byte was received -- Data Reg will be shifted when a new byte comes, i.e. Shift_Data_Reg <= EN_Shift_Data_Reg AND Done; signal Shift_Data_Reg : STD_LOGIC := '0'; -- to count the bytes to be received -- Cnt_Bytes_Rec will decrement at the same time when Data_Reg is shifted, -- therefore its control signal is the same as for Data_Reg: Shift_Data_Reg signal Cnt_Bytes_Rec : integer range 0 to NUMBYTES_READ_DATA - 1 := 0; -- Load Cnt_Bytes_Rec with the number of bytes to be received, controlled by the SPI Transaction State Machine signal Load_Cnt_Bytes_Rec : STD_LOGIC := '0'; -- SPI Data to Send and Data Received registers -- Data to send register will be loaded together with shifting -- a new byte in Cmd_Reg, i.e. its control signal is Shift_Cmd_Reg -- Data Received Register will be read when shifting Data_Reg signal D_Send : STD_LOGIC_VECTOR (7 downto 0) := X"00"; signal D_Rec : STD_LOGIC_VECTOR (7 downto 0) := X"00"; -- SPI Send/Receive State Machine internal condition signals signal StartSpiSendRec : STD_LOGIC := '0'; -- Start SPI transfer, controlled by the SPI Transaction State Machine signal SPI_RnW : STD_LOGIC := '0'; -- Write 3 bytes or write 2 bytes followed by read 1 byte or 8 bytes signal SPI_WR_Done : STD_LOGIC := '0'; -- Active when the write transfer is done, i.e. 2 or 3 bytes were written signal SPI_RD_Done : STD_LOGIC := '0'; -- Active when the read transfer is done, i.e. 8 bytes were read -- SPI Send/Receive State Machine status signals, used by the SPI Transaction State Machine signal SPI_SendRec_Done : STD_LOGIC := '0'; -- Define Control Signals, Status signals and States for the SPI Send/Receive State Machine -- From MSB: 6:Shift_Cmd_Reg, 5:EN_Shift_Data_Reg, 4:SPI_SendRec_Done, 3:Start, 2:STC(2), 1:STC(1), 0:STC(0) -- bit 6543210 constant stSpiSendRecIdle : STD_LOGIC_VECTOR (6 downto 0) := "0000000"; -- Idle state, wait for StartSpiSendRec constant stSpiPrepareCmd : STD_LOGIC_VECTOR (6 downto 0) := "1000001"; -- Load D_Send with the next byte and shift the command register constant stSpiSendStartW : STD_LOGIC_VECTOR (6 downto 0) := "0001011"; -- Send the Start command to the SPI interface constant stSpiWaitOnDoneW : STD_LOGIC_VECTOR (6 downto 0) := "0000111"; -- Wait until Done comes constant stSpiSendStartR : STD_LOGIC_VECTOR (6 downto 0) := "0001110"; -- Send Start command again to the SPI interface if read was requested constant stSpiWaitOnDoneR : STD_LOGIC_VECTOR (6 downto 0) := "0100100"; -- Wait until Done comes constant stSpiSendRecDone : STD_LOGIC_VECTOR (6 downto 0) := "0010101"; -- Done state, return to Idle --State Machine Signal Definitions signal StC_Spi_SendRec, StN_Spi_SendRec : STD_LOGIC_VECTOR (6 downto 0) := stSpiSendRecIdle; --Force User Encoding for the State Machine attribute FSM_ENCODING of StC_Spi_SendRec: signal is "USER"; -- Self-blocking counter for SS_INACTIVE_CLOCKS periods while SS is inactive signal Cnt_SS_Inactive : integer range 0 to (SS_INACTIVE_CLOCKS -1) := 0; -- controlled by the SPI Transaction State Machine signal Reset_Cnt_SS_Inactive : STD_LOGIC := '0'; -- Signaling that SS_INACTIVE_PERIOD passed signal Cnt_SS_Inactive_done : STD_LOGIC := '0'; -- Signaling that SPI Transaction is done signal SPI_Trans_Done : STD_LOGIC := '0'; -- SPI Transaction State Machine internal Condition Signals, controlled -- by the ADXL 362 Control State Machine signal StartSpiTr : STD_LOGIC := '0'; -- Start SPI transaction -- Define Control Signals, Status signals and States for the SPI Transaction State Machine -- From MSB: 9:Load_Cmd_Reg, 8:Load_Cnt_Bytes_Sent, 7:Load_Cnt_Bytes_Rec, 6:StartSpiSendRec, -- 5:HOLD_SS, 4:Reset_Cnt_SS_Inactive, 3:SPI_Trans_Done, 2:STC(2), 1:STC(1), 0:STC(0) -- bit 9876543210 constant stSpiTransIdle : STD_LOGIC_VECTOR (9 downto 0) := "0000000000"; -- Idle state, wait for StartSpiTr constant stSpiPrepAndSendCmd : STD_LOGIC_VECTOR (9 downto 0) := "1111100001"; -- Load Cmd_Reg with the command string and activate StartSpiSendRec constant stSpiWaitonDoneSR : STD_LOGIC_VECTOR (9 downto 0) := "0000110011"; -- Wait until SPI_SendRec_Done becomes active constant stSpiWaitForSsInact : STD_LOGIC_VECTOR (9 downto 0) := "0000000010"; -- Wait for SS_INACTIVE_PERIOD constant stSpiTransDone : STD_LOGIC_VECTOR (9 downto 0) := "0000001110"; -- Done state, return to Idle --State Machine Signal Definitions signal StC_Spi_Trans, StN_Spi_Trans : STD_LOGIC_VECTOR (9 downto 0) := stSpiTransIdle; --Force User Encoding for the State Machine attribute FSM_ENCODING of StC_Spi_Trans: signal is "USER"; -- Data from the ADXL 362 will be sampled at a period defined by UPDATE_DIV_RATE -- Divider used to generate the Sample_Rate_Tick, used also for timing signal Sample_Rate_Div : integer range 0 to (UPDATE_DIV_RATE - 1) := 0; signal Reset_Sample_Rate_Div : STD_LOGIC := '0'; signal Sample_Rate_Tick : STD_LOGIC := '0'; -- A number of 16 reads will be performed from the ADXL 362, -- and their average will be sent as data signal Cnt_Num_Reads : integer range 0 to (NUM_READS - 1) := 0; signal CE_Cnt_Num_Reads : STD_LOGIC := '0'; -- enable counting, controlled by the ADXL 362 Control State Machine signal Reset_Cnt_Num_Reads : STD_LOGIC := '0'; --Signaling that a number of 16 reads were done signal Cnt_Num_Reads_Done : STD_LOGIC := '0'; -- Summing of incoming data will be stored in these signals -- These will be used as accumulators, on two's complement signal ACCEL_X_SUM : STD_LOGIC_VECTOR ((11 + (NUM_READS_BITS)) downto 0) := (others => '0'); signal ACCEL_Y_SUM : STD_LOGIC_VECTOR ((11 + (NUM_READS_BITS)) downto 0) := (others => '0'); signal ACCEL_Z_SUM : STD_LOGIC_VECTOR ((11 + (NUM_READS_BITS)) downto 0) := (others => '0'); signal ACCEL_TMP_SUM : STD_LOGIC_VECTOR ((11 + (NUM_READS_BITS)) downto 0) := (others => '0'); -- Enables Summing the incomming data signal Enable_Sum : STD_LOGIC := '0'; -- Pipe Data_Ready to have stable data at the output when activates signal Data_Ready_1 : STD_LOGIC := '0'; -- ADXL 362 Control State Machine - The Main State Machine Internal Condition Signal signal Adxl_Data_Ready : STD_LOGIC := '0'; -- showing that data is ready, read from the ADXL 362 Status Register signal Adxl_Conf_Err : STD_LOGIC := '0'; -- showing that a configuration error ocurred, read from the ADXL 362 Status Register -- Define Control Signals, Status signals and States for the ADXL 362 Control State Machine -- From MSB: 11:Reset_Cnt_Bytes, 10:EN_Advance_Cmd_Reg_Addr, 9:StartSpiTr, 8:Reset_Cnt_Num_Reads, -- 7:CE_Cnt_Num_Reads, 6:Reset_Sample_Rate_Div, 5:Enable_Sum, 4:Data_Ready_1, 3:STC(3), 2:STC(2), 1:STC(1), 0:STC(0) -- 11 -- bit 109876543210 constant stAdxlCtrlIdle : STD_LOGIC_VECTOR (11 downto 0) := "100100000000"; -- Idle state, wait for 10 clock periods before start constant stAdxlSendResetCmd : STD_LOGIC_VECTOR (11 downto 0) := "011001000001"; -- Send the Reset Command for ADXL constant stAdxlWaitResetDone : STD_LOGIC_VECTOR (11 downto 0) := "010000000011"; -- Wait for some time until ADXL initializes. -- The sample rate divider is used for timing constant stAdxlConf_Remaining : STD_LOGIC_VECTOR (11 downto 0) := "011001000010"; -- Clear the Reset Register, -- then configure the remaining registers constant stAdxlWaitSampleRateTick : STD_LOGIC_VECTOR (11 downto 0) := "000100000110"; -- wait until the sample time passes constant stAdxlRead_Status : STD_LOGIC_VECTOR (11 downto 0) := "011001000111"; -- Read the status register from ADXL 362 constant stAdxlRead_Data : STD_LOGIC_VECTOR (11 downto 0) := "011000000101"; -- Read the data from ADXL 362 constant stAdxlFormatandSum : STD_LOGIC_VECTOR (11 downto 0) := "000010101101"; -- Store and sum the received data -- If 16 reads were done, go to the Done state constant stAdxlRead_Done : STD_LOGIC_VECTOR (11 downto 0) := "000001011111"; -- Done state, return to stAdxlWaitSampleRateTick --State Machine Signal Definitions signal StC_Adxl_Ctrl, StN_Adxl_Ctrl : STD_LOGIC_VECTOR (11 downto 0) := stAdxlCtrlIdle; --Force User Encoding for the State Machine attribute FSM_ENCODING of StC_Adxl_Ctrl: signal is "USER"; begin --Instantiate the SPI interface first SPI_Interface: SPI_If generic map ( SYSCLK_FREQUENCY_HZ => SYSCLK_FREQUENCY_HZ, SCLK_FREQUENCY_HZ => SCLK_FREQUENCY_HZ ) port map ( SYSCLK => SYSCLK, RESET => RESET, Din => D_Send, Dout => D_Rec, Start => Start, Done => Done, HOLD_SS => HOLD_SS, --SPI Interface Signals SCLK => SCLK, MOSI => MOSI, MISO => MISO, SS => SS ); -- Assign the control and status signals of the SPI Send/Receive State Machine Shift_Cmd_Reg <= StC_Spi_SendRec(6); -- Shift Cmd_Reg when New data is loading into D_Send EN_Shift_Data_Reg <= StC_Spi_SendRec(5); -- Enable shifting the data register from D_Rec, shifting is performed when a new byte comes, i.e Done becomes active SPI_SendRec_Done <= StC_Spi_SendRec(4); -- Transfer of the number of bytes is done Start <= StC_Spi_SendRec(3); -- Send the Start command to the SPI interface --in the stSpiSendStartW (writing) or stSpiSendStartR (Reading) states -- Load D_Send with the new data to be transmitted Load_D_Send: process (SYSCLK, RESET, Cmd_Reg, Shift_Cmd_Reg) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' then D_Send <= X"00"; elsif Shift_Cmd_Reg = '1' then D_Send <= Cmd_Reg (2); end if; end if; end process Load_D_Send; -- Assign the control and status signals of the SPI Transaction State Machine Load_Cmd_Reg <= StC_Spi_Trans(9); -- Load the Command Register when preparing the command to be sent to ADXL Load_Cnt_Bytes_Sent <= StC_Spi_Trans(8); -- Also load the counter of bytes to be sent Load_Cnt_Bytes_Rec <= StC_Spi_Trans(7); -- And, in the case of reception, the counter of bytes to be received StartSpiSendRec <= StC_Spi_Trans(6); -- Also send the start command to the SPI Send/Receive State Machine -- Note that the signals above are active at the same time, i.e identical. They will be optimized by the synthesizer HOLD_SS <= StC_Spi_Trans(5); -- Each SPI send/receive will be multiple byte transfer, so activate HOLD_SS Reset_Cnt_SS_Inactive <= StC_Spi_Trans(4); -- Keep the Cnt_SS_Inactive counter reset, until the transfer is done -- in the next state the state machine will raise SS for a period of SS_INACTIVE_PERIOD_NS SPI_Trans_Done <= StC_Spi_Trans(3); -- Signals that the SPI transfer is done -- Assign the control and status signals of the ADXL 362 Control State Machine Reset_Cnt_Bytes <= StC_Adxl_Ctrl(11); -- Reset the counters for bytes to send and receive. These counters are reset -- at initializing, then reloaded at each new transaction EN_Advance_Cmd_Reg_Addr <= StC_Adxl_Ctrl(10); -- Advance the address of the command vectors, to load a new command StartSpiTr <= StC_Adxl_Ctrl(9); -- Send the Start command to the SPI Transaction State Machine Reset_Cnt_Num_Reads <= StC_Adxl_Ctrl(8); -- Reset the counter, once at initialization time, then before starting data read, -- i.e in the stAdxlRead_Status state CE_Cnt_Num_Reads <= StC_Adxl_Ctrl(7); -- Increment Cnt_Num_Reads after a new set of data come i.e. in the stAdxlFormatandSum state Reset_Sample_Rate_Div <= StC_Adxl_Ctrl(6); -- Reset the Sample_Rate_Div counter before entering in the sample period wait state -- i.e. in the stAdxlConf_Remaining and the stAdxlRead_Done Enable_Sum <= StC_Adxl_Ctrl(5); -- After new data set come, enable summing Data_Ready_1 <= StC_Adxl_Ctrl(4); -- To signal external components that new data set is available, coming from 16 reads -- Load and shift Cmd_Reg according to the active commands Load_Shift_Cmd_Reg: process (SYSCLK, Cmd_Reg, Cmd_Reg_Data_Addr, StC_Adxl_Ctrl, Load_Cmd_Reg, Shift_Cmd_Reg) begin if SYSCLK'EVENT AND SYSCLK = '1' then if Load_Cmd_Reg = '1' then -- Load with data if (StC_Adxl_Ctrl = stAdxlSendResetCmd) or (StC_Adxl_Ctrl = stAdxlConf_Remaining) then -- In this case load with command vectors Cmd_Reg(2) <= WRITE_CMD; Cmd_Reg(1) <= Cmd_Reg_Data (2 * Cmd_Reg_Data_Addr); Cmd_Reg(0) <= Cmd_Reg_Data ((2 * Cmd_Reg_Data_Addr) + 1); elsif (StC_Adxl_Ctrl = stAdxlRead_Status) then Cmd_Reg(2) <= READ_CMD; Cmd_Reg(1) <= STATUS_REG_ADDR; Cmd_Reg(0) <= X"00"; elsif (StC_Adxl_Ctrl = stAdxlRead_Data) then -- In this case load with command vectors Cmd_Reg(2) <= READ_CMD; Cmd_Reg(1) <= READ_STARTING_ADDR; Cmd_Reg(0) <= X"00"; end if; elsif Shift_Cmd_Reg = '1' then -- shift to load D_send with the new command byte Cmd_Reg(2) <= Cmd_Reg(1); Cmd_Reg(1) <= Cmd_Reg(0); Cmd_Reg(0) <= X"00"; end if; end if; end process Load_Shift_Cmd_Reg; -- Create the address counter for the Cmd_Reg_Data command vectors -- Increment by two the Cmd_Reg_Data_Addr after a SPI Register Write transaction is done Advance_Cmd_Reg_Addr <= EN_Advance_Cmd_Reg_Addr AND SPI_Trans_Done; Count_Addr: process (SYSCLK, RESET, Cmd_Reg_Data_Addr, StC_Adxl_Ctrl, Advance_Cmd_Reg_Addr) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' or StC_Adxl_Ctrl = stAdxlCtrlIdle then Cmd_Reg_Data_Addr <= 0; elsif Advance_Cmd_Reg_Addr = '1' then if Cmd_Reg_Data_Addr = (NUM_COMMAND_VEC - 1) then -- Avoid to address Cmd_Reg_Data out of range Cmd_Reg_Data_Addr <= 0; else Cmd_Reg_Data_Addr <= Cmd_Reg_Data_Addr + 1; end if; end if; end if; end process Count_Addr; -- Signal when all of the addresses were read Cmd_Reg_Addr_Done <= '1' when Cmd_Reg_Data_Addr = (NUM_COMMAND_VEC - 1) else '0'; -- Shift Data_Reg when a new byte comes Shift_Data_Reg <= EN_Shift_Data_Reg AND Done; -- Read incoming data Read_Data: process (SYSCLK, Shift_Data_Reg, D_Rec, Data_Reg) -- When reading the status register, one byte is read, therefore variable i: integer range 0 to 6 := 0; -- the status register data will be on Data_Reg(0) begin -- When reading incoming data, exactly 8 reads are performed, if SYSCLK'EVENT AND SYSCLK = '1' then -- therefore no initialization is required for Data_Reg if Shift_Data_Reg = '1' then for i in 0 to 6 loop Data_Reg(i+1) <= Data_Reg(i); end loop; Data_Reg(0) <= D_Rec; end if; end if; end process Read_Data; -- Count the bytes to be send and to be received Count_Bytes_Send: process (SYSCLK, Reset_Cnt_Bytes, Load_Cnt_Bytes_Sent, Shift_Cmd_Reg, Cnt_Bytes_Sent) begin if SYSCLK'EVENT AND SYSCLK = '1' then if Reset_Cnt_Bytes = '1' then Cnt_Bytes_Sent <= 0; elsif Load_Cnt_Bytes_Sent = '1' then if (StC_Adxl_Ctrl = stAdxlSendResetCmd) or (StC_Adxl_Ctrl = stAdxlConf_Remaining) then -- In this case send 3 command bytes -- Decrementing and shifting Cmd_Reg will be done BEFORE sending data -- through the serial interface, therefore load NUMBYTES_CMD_CONFIG_REG or NUMBYTES_CMD_READ. -- The condition to end SPI send operation is Cnt_Bytes_Sent = 0 AND Done = '1' Cnt_Bytes_Sent <= NUMBYTES_CMD_CONFIG_REG; elsif (StC_Adxl_Ctrl = stAdxlRead_Status) or (StC_Adxl_Ctrl = stAdxlRead_Data) then -- In the case of read command, send 2 command bytes Cnt_Bytes_Sent <= NUMBYTES_CMD_READ; else Cnt_Bytes_Sent <= 0; end if; elsif Shift_Cmd_Reg = '1' then -- When shifting Cmd_Reg, decrement the counter if Cnt_Bytes_Sent = 0 then Cnt_Bytes_Sent <= 0; -- Stay at 0, reload at the next SPI transaction else Cnt_Bytes_Sent <= Cnt_Bytes_Sent - 1; end if; end if; end if; end process Count_Bytes_Send; Count_Bytes_Rec: process (SYSCLK, Reset_Cnt_Bytes, Load_Cnt_Bytes_Rec, Shift_Data_Reg, Cnt_Bytes_Rec) begin if SYSCLK'EVENT AND SYSCLK = '1' then if Reset_Cnt_Bytes = '1' then Cnt_Bytes_Rec <= 0; elsif Load_Cnt_Bytes_Rec = '1' then if (StC_Adxl_Ctrl = stAdxlRead_Status) then -- In this case we have to read 1 byte -- Decrementing and shifting Data_Reg will be done AFTER sending data -- through the serial interface, therefore load NUMBYTES_READ_STATUS - 1 or NUMBYTES_READ_DATA - 1. -- The condition to end SPI receive operation is Cnt_Bytes_Rec = 0 AND Done = '1' Cnt_Bytes_Rec <= NUMBYTES_READ_STATUS - 1; elsif (StC_Adxl_Ctrl = stAdxlRead_Data) then -- In the case we have to read 8 bytes Cnt_Bytes_Rec <= NUMBYTES_READ_DATA -1; else Cnt_Bytes_Rec <= 0; end if; elsif Shift_Data_Reg = '1' then -- When shifting Data_Reg, decrement the counter if Cnt_Bytes_Rec = 0 then Cnt_Bytes_Rec <= 0; -- Stay at 0, reload at the next SPI transaction else Cnt_Bytes_Rec <= Cnt_Bytes_Rec - 1; end if; end if; end if; end process Count_Bytes_Rec; -- Create the Sample_Rate_Div counter and the Sample_Rate_Tick signal Count_Sample_Rate_Div: process (SYSCLK, Reset_Sample_Rate_Div, Sample_Rate_Div) begin if SYSCLK'EVENT AND SYSCLK = '1' then if Reset_Sample_Rate_Div = '1' then Sample_Rate_Div <= 0; elsif Sample_Rate_Div = (UPDATE_DIV_RATE - 1) then Sample_Rate_Div <= 0; else Sample_Rate_Div <= Sample_Rate_Div + 1; end if; end if; end process Count_Sample_Rate_Div; Sample_Rate_Tick <= '1' when Sample_Rate_Div = (UPDATE_DIV_RATE - 1) else '0'; -- Create the Cnt_Num_Reads counter, self-blocking Count_Num_Reads: process (SYSCLK, Reset_Cnt_Num_Reads, CE_Cnt_Num_Reads, Cnt_Num_Reads) begin if SYSCLK'EVENT AND SYSCLK = '1' then if Reset_Cnt_Num_Reads = '1' then Cnt_Num_Reads <= 0; elsif CE_Cnt_Num_Reads = '1' then if Cnt_Num_Reads = (NUM_READS - 1) then Cnt_Num_Reads <= (NUM_READS - 1); else Cnt_Num_Reads <= Cnt_Num_Reads + 1; end if; end if; end if; end process Count_Num_Reads; Cnt_Num_Reads_Done <= '1' when Cnt_Num_Reads = (NUM_READS - 1) else '0'; -- Create the Cnt_SS_Inactive counter, also self_blocking Count_SS_Inactive: process (SYSCLK, RESET, Reset_Cnt_SS_Inactive, Cnt_SS_Inactive) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' or Reset_Cnt_SS_Inactive = '1' then Cnt_SS_Inactive <= 0; elsif Cnt_SS_Inactive = (SS_INACTIVE_CLOCKS - 1) then Cnt_SS_Inactive <= (SS_INACTIVE_CLOCKS - 1); else Cnt_SS_Inactive <= Cnt_SS_Inactive + 1; end if; end if; end process Count_SS_Inactive; Cnt_SS_Inactive_done <= '1' when Cnt_SS_Inactive = (SS_INACTIVE_CLOCKS - 1) else '0'; -- SPI Send/Receive State Machine internal condition signals -- SPI_RnW will be controlled according to the states of the Adxl 362 Control state machine Set_SPI_RnW: process (SYSCLK, StC_Adxl_Ctrl) begin if SYSCLK'EVENT AND SYSCLK = '1' then if (StC_Adxl_Ctrl = stAdxlRead_Status) or (StC_Adxl_Ctrl = stAdxlRead_Data) then SPI_RnW <= '1'; else SPI_RnW <= '0'; end if; end if; end process Set_SPI_RnW; -- SPI Send/Receive State machine internal condition signals SPI_WR_Done <= '1' when Cnt_Bytes_Sent = 0 AND Done = '1' else '0'; SPI_RD_Done <= '1' when Cnt_Bytes_Rec = 0 AND Done = '1' else '0'; -- Spi Send/Receive State Machine register process Register_StC_Spi_SendRec: process (SYSCLK, RESET, StN_Spi_SendRec) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' then StC_Spi_SendRec <= stSpiSendRecIdle; else StC_Spi_SendRec <= StN_Spi_SendRec; end if; end if; end process Register_StC_Spi_SendRec; -- Spi Send/Receive State Machine transitions process Cmb_StC_Spi_SendRec: process (StC_Spi_SendRec, StartSpiSendRec, StartSpiSendRec, SPI_WR_Done, SPI_RD_Done, SPI_RnW, Done) begin StN_Spi_SendRec <= StC_Spi_SendRec; -- Default: Stay in the current state case (StC_Spi_SendRec) is when stSpiSendRecIdle => if (StartSpiSendRec = '1') then StN_Spi_SendRec <= stSpiPrepareCmd; end if; when stSpiPrepareCmd => StN_Spi_SendRec <= stSpiSendStartW; when stSpiSendStartW => StN_Spi_SendRec <= stSpiWaitOnDoneW; when stSpiWaitOnDoneW => if (SPI_RnW = '1') then -- in the case of a read command proceed to reading data, if writing is done if (SPI_WR_Done = '1') then StN_Spi_SendRec <= stSpiSendStartR; elsif (Done = '1') then StN_Spi_SendRec <= stSpiPrepareCmd; -- Return to send the next command byte end if; else if (SPI_WR_Done = '1') then StN_Spi_SendRec <= stSpiSendRecDone; -- Sending command bytes finished elsif (Done = '1') then StN_Spi_SendRec <= stSpiPrepareCmd; -- Return to send the next command byte end if; end if; when stSpiSendStartR => StN_Spi_SendRec <= stSpiWaitOnDoneR; --Send Start command to the SPI interface and wait until reads a byte when stSpiWaitOnDoneR => if (SPI_RD_Done = '1') then StN_Spi_SendRec <= stSpiSendRecDone; -- If all of the bytes were read elsif (Done = '1') then StN_Spi_SendRec <= stSpiSendStartR; -- Return and send another Start command to read end if; -- the next byte when stSpiSendRecDone => StN_Spi_SendRec <= stSpiSendRecIdle; when others => StN_Spi_SendRec <= stSpiSendRecIdle; end case; end process Cmb_StC_Spi_SendRec; -- SPI Transaction State Machine register process Register_StC_Spi_Trans: process (SYSCLK, RESET, StN_Spi_Trans) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' then StC_Spi_Trans <= stSpiTransIdle; else StC_Spi_Trans <= StN_Spi_Trans; end if; end if; end process Register_StC_Spi_Trans; -- SPI Transaction State Machine transitions process Cmb_StC_Spi_Trans: process (StC_Spi_Trans, StartSpiTr, Cnt_SS_Inactive_done, SPI_SendRec_Done) begin StN_Spi_Trans <= StC_Spi_Trans; -- Default: Stay in the current state case (StC_Spi_Trans) is when stSpiTransIdle => if (StartSpiTr = '1') then StN_Spi_Trans <= stSpiPrepAndSendCmd; end if; -- Start SPI Transaction when stSpiPrepAndSendCmd => StN_Spi_Trans <= stSpiWaitonDoneSR; -- Load Cmd_Reg, the Cnt_Bytes_Sent and Cnt_Bytes_Rec counters and send -- the Start command to the Spi Send/Receive state machine when stSpiWaitonDoneSR => if (SPI_SendRec_Done = '1') then StN_Spi_Trans <= stSpiWaitForSsInact; end if; -- SPI Send/Receive done, -- Discativate SS for SS_INACTIVE_CLOCKS when stSpiWaitForSsInact => if (Cnt_SS_Inactive_done = '1') then StN_Spi_Trans <= stSpiTransDone; end if; -- SS_INACTIVE_CLOCKS passed, go to -- the Done state when stSpiTransDone => StN_Spi_Trans <= stSpiTransIdle; when others => StN_Spi_Trans <= stSpiTransIdle; end case; end process Cmb_StC_Spi_Trans; -- The Status Register read will be on Data_Reg(0), bit 0 shows if new data is ready -- Adxl_Data_Ready and Adxl_Conf_Err will be tested in the ADXL 362 Control State Machine, in the stAdxlRead_Status state Adxl_Data_Ready <= Data_Reg(0)(0); Adxl_Conf_Err <= Data_Reg(0)(7); -- ADXL 362 Control State Machine register process Register_StC_Adxl_Ctrl: process (SYSCLK, RESET, StN_Adxl_Ctrl) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' then StC_Adxl_Ctrl <= stAdxlCtrlIdle; else StC_Adxl_Ctrl <= StN_Adxl_Ctrl; end if; end if; end process Register_StC_Adxl_Ctrl; -- ADXL 362 Control State Machine Transitions process Cmb_StC_Adxl_Ctrl: process (StC_Adxl_Ctrl, Cnt_SS_Inactive_done, SPI_Trans_Done, Sample_Rate_Tick, Cmd_Reg_Addr_Done, Adxl_Data_Ready, Adxl_Conf_Err, Cnt_Num_Reads_Done) begin StN_Adxl_Ctrl <= StC_Adxl_Ctrl; -- Default: Stay in the current state case (StC_Adxl_Ctrl) is when stAdxlCtrlIdle => if (Sample_Rate_Tick = '1') then StN_Adxl_Ctrl <= stAdxlSendResetCmd; end if; -- wait for some clock periods -- before start when stAdxlSendResetCmd => if (SPI_Trans_Done = '1') then StN_Adxl_Ctrl <= stAdxlWaitResetDone; end if; -- Send the Reset command to the ADXL 362 when stAdxlWaitResetDone => if (Sample_Rate_Tick = '1') then StN_Adxl_Ctrl <= stAdxlConf_Remaining; end if; -- wait for about 1mS for the -- ADXL 362 to initialize when stAdxlConf_Remaining => if ( Cmd_Reg_Addr_Done = '1' AND SPI_Trans_Done = '1') then -- all of the configuration register data were written StN_Adxl_Ctrl <= stAdxlWaitSampleRateTick; -- into the ADXL 362 end if; when stAdxlWaitSampleRateTick => if (Sample_Rate_Tick = '1') then StN_Adxl_Ctrl <= stAdxlRead_Status; end if; -- Read and check the --status register when stAdxlRead_Status => if SPI_Trans_Done = '1' then if Adxl_Conf_Err = '1' then StN_Adxl_Ctrl <= stAdxlCtrlIdle; -- if error ocurred in configuration, go to the ilde state -- and send reset command again elsif Adxl_Data_Ready = '1' then StN_Adxl_Ctrl <= stAdxlRead_Data; -- If data is available, start data read end if; end if; when stAdxlRead_Data => if (SPI_Trans_Done = '1') then StN_Adxl_Ctrl <= stAdxlFormatandSum; end if; -- If a set of data is read, -- add it to the accumulators when stAdxlFormatandSum => if (Cnt_Num_Reads_Done = '1') then StN_Adxl_Ctrl <= stAdxlRead_Done; -- done 16 reads, go to the Done state else StN_Adxl_Ctrl <= stAdxlRead_Status; -- Proceed to the next read end if; when stAdxlRead_Done => StN_Adxl_Ctrl <= stAdxlWaitSampleRateTick; -- Wait for the next Sample_Rate_Tick, in an infinite loop when others => StN_Adxl_Ctrl <= stAdxlCtrlIdle; end case; end process Cmb_StC_Adxl_Ctrl; -- Create the accumulators -- Data_Reg is shifted from 0 to 7, it means that after reading a set of data, Data_Reg will contain -- Data_Reg(7) = XDATA_L, -- Data_Reg(6) = XDATA_H, -- Data_Reg(5) = YDATA_L, -- Data_Reg(4) = YDATA_H, -- Data_Reg(3) = ZDATA_L, -- Data_Reg(2) = ZDATA_H, -- Data_Reg(1) = TEMP_L, -- Data_Reg(0) = TEMP_H Sum_Data: process (SYSCLK, RESET, Data_Ready_1, Enable_Sum, Data_Reg, ACCEL_X_SUM, ACCEL_Y_SUM, ACCEL_Z_SUM, ACCEL_TMP_SUM) begin if SYSCLK'EVENT AND SYSCLK = '1' then if (RESET = '1' OR Data_Ready_1 = '1') then ACCEL_X_SUM <= (others => '0'); ACCEL_Y_SUM <= (others => '0'); ACCEL_Z_SUM <= (others => '0'); ACCEL_TMP_SUM <= (others => '0'); elsif Enable_Sum = '1' then ACCEL_X_SUM <= ACCEL_X_SUM + (Data_Reg(6)((3 + (NUM_READS_BITS)) downto 0) & Data_Reg(7)); ACCEL_Y_SUM <= ACCEL_Y_SUM + (Data_Reg(4)((3 + (NUM_READS_BITS)) downto 0) & Data_Reg(5)); ACCEL_Z_SUM <= ACCEL_Z_SUM + (Data_Reg(2)((3 + (NUM_READS_BITS)) downto 0) & Data_Reg(3)); ACCEL_TMP_SUM <= ACCEL_TMP_SUM + (Data_Reg(0)((3 + (NUM_READS_BITS)) downto 0) & Data_Reg(1)); end if; end if; end process Sum_Data; -- Register the output data Register_Output_Data: process (SYSCLK, RESET, Data_Ready_1, ACCEL_X_SUM, ACCEL_Y_SUM, ACCEL_Z_SUM, ACCEL_TMP_SUM) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' then ACCEL_X <= (others => '0'); ACCEL_Y <= (others => '0'); ACCEL_Z <= (others => '0'); ACCEL_TMP <= (others => '0'); elsif Data_Ready_1 = '1' then -- Divide by NUM_READS to create the average and set the output data ACCEL_X <= ACCEL_X_SUM ((11 + (NUM_READS_BITS)) downto (NUM_READS_BITS)); -- 12 bits ACCEL_Y <= ACCEL_Y_SUM ((11 + (NUM_READS_BITS)) downto (NUM_READS_BITS)); ACCEL_Z <= ACCEL_Z_SUM ((11 + (NUM_READS_BITS)) downto (NUM_READS_BITS)); ACCEL_TMP <= ACCEL_TMP_SUM ((11 + (NUM_READS_BITS)) downto (NUM_READS_BITS)); end if; end if; end process Register_Output_Data; -- Pipe Data_Ready from Data_Ready_1 -- to have stable output data when Data_Ready becomes active Pipe_Data_Ready: process (SYSCLK, RESET, Data_Ready_1) begin if SYSCLK'EVENT AND SYSCLK = '1' then if RESET = '1' then Data_Ready <= '0'; else Data_Ready <= Data_Ready_1; end if; end if; end process Pipe_Data_Ready; end Behavioral;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/c_sub/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0.vhd
8
8572
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kH5AvYXLJKtKt3lF95aLTslez0CbITS7FFXtek7WGNqCWSvRq5FJkO6/W0sCePwT8VKIhqE8hXeA hXp6aQDi4w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DSSGvl3GM3AP6FmDEQdfa8zIOvV75TA7d2Hg4x/XjW2Jwbdvqwr8Wh0maHFhC1aloCk+90G7zmnL Q14DcsNDiURctwXJwGz4pwjugsvhHRgntsBTpT3nQPXoVN2xnd3VksAKNTuetKaXgLl2slbZu/Wf W3ql1RzL8Sih5vUkNMk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NsaH29x/5t3d6RdGCgaUR9uvoSiExxDRy6kInAh6eUH6362S2UBWVnhXJBV8yFBkmvImooQu54I+ WagBIeGVi5NFHlOHfYLebsdBTJXQqmbMx0dYBWPZYjA/Q8WvwA9JLDMAbGznZYgeRLkS3OtU/ib+ +KVOJTieWil5l8DdwH9BsNvj1Eoj3eguAyPSmAVgfzEa6dQmvZXIll9xJnBH5n9aQ3PMmbagk+o2 AjsnfT0dUSSgyihbBRfbyQ66ym3OnU6QCxTbh7AWDcwf+JMgfZYctilypbUDxySVBWRz2MguhEVe BDlsAqcAwTI71iMGbX5tfsXWiSs0HhZYNpgQ3g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kd2NHmLImLVB7fkhZHQlU0GNe8JmmZIEzR0vNRS3IQq0o8PLdYieWdVYr1pL/lIBFK8hzDorXTs6 ABhNxNzOIhAkbghObN0DMue82jS+rBgnTFyZYWc3iF9IlTJwMm6B7x8Kcvp+Rl3mdB0GjBVoQLcc FMGP+J1NrlohnOi8EWA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X4z0jkLtsUY78UDfL3q/vheZgKXMp+1lVdLMgvTMFkokqGAt5gNFki7Yw73qtUt0IcyUhgNyudCu sgZX5pWAHO5boy4U3n1VLw0raENVCYdgmFrZTSP6i/3oQIHvrzTBsyQ5AwbUffDdfKsDuEJvKXi8 XxVH5Cs5YOpTHnqDGxjO7g8GTsTcf56atjMA8txJ7BRetCah69Lqj7Y1gSWVhU/SjVpRVT54KL1F kbNSfL9HwsEsku3K6AAoTWJaWWY8wkz8UcNbx+y3/p4E+QB1JntJCLaMeslc+yRh4kffj873O955 5VtA8buE1oey69YKaEL32nf1RvSJ0jysqIiMlg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4608) `protect data_block jyT3JQ26dBbRjAAM1G98/JCxTRbbJuHBL4QB+QrrFBCEhnU5D+EktMeAix84+njrLqnWnCq5FNvn n/B4yMv1+qvN/rD17h5yFeHm0uKIvunY91CR7TUDh8ciZOeurgebQ3huNbvjxRdIg6ou4QmpKAZe 9jdRBNJa8YlKeWyZlvs3qH9VH0A3ovcoVh9xzq8z9l0mQNv+7E+NabUJbKfQIdoFnnRV7MtYXMFw KMU4HM1m69fm56mmy2zUXLDNpFeyyagbIj5wVKIVTCzTMpUO7iDBI9Y86olwHxenLdG+cBpnfN8k 9fXv5YwHLRc9SuDHamUu1hIK4HYkAMOsEyQmrSrz7pRNlD2bGRpBrtSq9+eg9MB3iR6TFawO2TyT gLIlSXdU6dv9Pg1PzHjglX49kFuDvMrukn2XeP7l6D6Dxs1aAKg73mKwzsRFMqPl8h4udCmEqlcZ cTTqrQ1CYBV7PBj8U+fQ3axGAtWDF3Yrhp0/pU0HpD3NQz7lcb6sedG21OSVlZUBbW+5ZktTXGfI JTzxwwAN/2Yv/7b23KgIQIE8Zgm/KcMDfu12C4kBoyI9aL74+NHmXk72d6GYC+UUGEH3eUCuuQji pEF4ueXemLl1QB7UXbIDhAmt6phuiPYm+mxMHFPQeYX5ELAQupDOmA7cWnO+Vz9HQCpTURCAmu2l Fk7urp51/yHJJ6E2se7bXQOLvL3rlr9Sw4iKgqeI1md//7s4c+Ce1zxEYCPaoSfj1VNFjeV7lsur YIS1tzbQq8276HE3T9B2gcblHvgD3FhJENq6RZe84mOQOH7XnOHY+pQgUg71vRU+Nqr5Z3zS100l CLSoqqvjVEINRkizBWt61m+lD/HLibBo4NLS3I6shKZVXkttAYmW2H7K2Nmu0/q1/pjwmsckxm6e sDalPA+Hpuh3uHU0DK9DtVf8GkA5ArJNtedzIyA9rZaCFZY0zNghl+wNoA++VBEJt6T3nrmt/tDI VbQi+/8Hdab3EeEztdKdl8zLEPRdwN6zSTNzYVGolz5dET42MbGxAORTXHZ1Hy9cPvuBLOeuEvYk uG/3voZvwxF3blQVRzZxffC3EsV7yIDBu+Hn65zSuK2Cii9jxnp0jkCWcS7UgRPyeiRo+/b9aFrH xYZIhGPD18hes2PcnX2fy9ISAjN2psi9ig8ls7WOgwjlaJlRMPyrGgIhseruG7G3OiSgZiA4XuVj Zmb+T68AdKOIp7nmntsYggX8vTfnrM58QQTjNWRTsZG2fjANkcQy2dP0qqLHae15da9SMKhOFUDC ggSzjmERK0CcX6Az4hzpHZwMixklRf2jVXu7bD74tbN+Hqxo+6/JBNIyx79IEw0GYvM6tfo9KMf0 I7MbRz6VKLDIhTHJQjveoU+SSA3A7H9jQGNNt3uULk7UkKIijf+Qyst1c8QsotBQ84NqjHuXLdE3 MHDuJcC1WehBTd+urL+Isit/W5bWCMeOYtoKHTCt8OPGGa4IcDw1Aw1Waoo0+hj6gZWuFOMVxate ptiVCzr/vFDAV/Y9dQxNmkXl91QtIb6399sQ5izQoXA9/AOPaTwU9q63hXzy+krbahoq2rhiUl5F mAgRhGwM8IkROE+qTNtgceacoc/GsLtzqasMLghyQvqqdkVNwSLRBoFEjTQX3MwhiwgOSg5SAdUT mqJhVF/MHW+d65emfH33DR1lpq4ogj8mn/OjyaFDnQiymbUUhNxiaIV7wo2u+iiqCVmZ+EyDwl9f hUiPpS63gH4VZmnG7cxCWSXzxCGoA0LjLJZuOXl7d/jbIuJUANU8ladYgjpL5VJwL250L+d5KGBx WgkXpnk0vfvd+xpgr4rY1ATbY4UgDvWHs0IxIVhiob+21eP2mY5qHWVbCKfXfTLzhbVFJ5sOlw5i s1ZkOM5SIVGVov7RGQP0IFXERxnaF9T/RJ/PbRpArWkcu408iNKk7ltXJHIMH3+/toUfW00yvkW8 kDmmAYa0iBIXflC3Au5fcRHmHyXzZkwenRMw1XfQOLlyxTKqXiAfQ5jPdLRPQVJIwaBQhnkvwuZ2 e6iCNOvyxw6orcG+wHBmMVQ4ee0SJHqECeOpUCp6G3dFSwXqEL1wOqDJzmJkBDFeHApP57AWQXZd KFnu80p5dxnk9EtSZ1Z2IlGpXM15ukrpDu1Fdqlyks1MsW6jDoz0vp78JAduSHVtcabQGKCMRRVb fN8vpNizF9jdnn1VCgYehh9tayfZNWdFr/u6Ct2KIqGeleGJZ1xyA/dfdmrF9Xjj263jj8I0xPv3 xCt0DpfOoEKwHUCyfeHF/OVM8HJvTRyQYbkIU7d8VWUXHOf0U6mFJTOQW2WrJcl2tlNqP6SaOgJd nFP05PK4GgR0po3fv+AoMVCIWp2C3xcyhGCcbOlWh3RXYvCh9wnNrp6FLj2/0omu9br5ylSpwK7h LX1It5pmF4l4U/4OGBY49xQErfPVxl9FBSElq1bbCaOqMF22zM/0tlb3oVi9a7fy/bBEKb3gPWjG 6ta8pDLs+5wnSFiu51fFrFU8uoen94Jm6H2TaGVzbScqoR62aHt6LOkm2m1/7jZkZaDyUDPQ8mhz X46tCX6cVZo11jVQEvCnsN0U9yMIf/7GcCSNQJJ+2Vj+ipYbvARYyc9oUINzT/24g7Irs+BnCJhq UJCLsdo5OPN+lykn1C7e49GQDPoAJEel+uY/5eM8NYuOCR+c7l7VAHmEjE2YdB/5P3JtfnvqYAgQ HlN0MpiPE9h34zI14rKqgAJgolYcNn1YYj6VmYrc7H2/evDRxOl86hlcOw5y8yMgqP/1DnXAhAYs nAnNYjob3+5BILDj46KfSe5oNCg2hQODVo6ICpeKJcn4T5sKoKeQ0umhpphOMsqAxeOLdoiZ3JkV +ImyufeopvNgiFmRSzWaSMOuGlxC0ARygxYFTmL4tAM6jX70g8F3cZYLhKzhXqlkdD80yVjBbiZZ y5JG0oxDesEdv1SwMmvRZcyET3Km5WOqy3eoMPTfGxsMZIfyiL+rT7qoF98riapeMijAjYmWeqOr YaPSg8AJGtKEWeQGM3XaHjLuZNm3sTudBfUhfoxxq06DuCxv5O+wx8vN1Rgs0lX3e/C1AST4r3UT XPQ8et2bnVc17MSGodCMCxyNdqkCsWuKFsn6E6+RIk9TEf+nNYIo9rTXFBPYFLI+LmE5kuK9+RCT 8UJxW0MLNIOH4GW6gOz2t2xRCqKmj7w9sGT5KuXCIydHPxryz10GajWb6RhZ7w1GIHEMXCtfM0PC thbOOb0Dv9+zB5bETrJjNYTsK4IBthvqspuVOu6H0GiAtgjMNjiey7MiWgn+/wbWfE6fbixLb8eP NEH2QA+TrxZdyeapSGURORFhzg05/QMLx3YLWlYqZrm9tmXhDAYUc8uXYbKrsxohNdvyQ1YFIIbf ujYrAFwgEZdNWFWLPa9iFxjkR26NWNBHNc3LQ8gqMDmRIW2x0R3I1ilQcdl4LDx5h4ak3lHlhHye aqN6/SxvaqC5g99gPGsobGagImgLbuhUrL8TzejoVEXuYnZHaCGRtcKSoZHLmVcKQkurnBPQI85h vKQDeqRNHrDpXdBKbCx1K42R1+UMkIb4gLUmOd4LwuiiO83GVFLPfdVrRQaZACLBSh5IA5nahqXn NGH3fQyyWk0jm9M2hUQddXVeaoenIWPeI8taczHoWjrACgsN8DGRI2Ugwy8s/uEOClThHf4Y7dK1 +gqhUlbt8FNYqFk/EjlrNIL+mTem/uT+ot71GeN34xlaSdh3sB/PLjag4mGLEKeMg6xbJWipSOr8 wwVR0wzX6w+WI0xVVITYQOHoCW0HFzKhlbrOaX9idw+KJrtYOD/X4cSsHWGpU7sD9iv4yugG2DnC wiF5oFQxGCLcvMSLn0ZyLtZt+WkwZZlzzf0HQVPIRlsHqIbEjnTFsN6aeg16ktzNAE4QBaPLDIdu NNAxFPGwk+MbL6NGILN7I6K5jC3CialERSTOqPn1UjxIXEkm35oT1FN8mZPyO8HGrQ6U5OUK4FwD 3MsKJBHUQigpkuMFcOg/UCGvYhEfL0j9wmX8pfoKs0tytFmXiqWL7Vy/0hEVFTRQMJ0U5EzSXHP7 cn4UvsJMSACgSvaHcl4m6+jF3V0x9tTZsP/9FQLMP69HEaPGvQ6AXNtuDbM+Ga1Er9EbmGravSv3 Mx272eYQ9kG5WN+D5yRK6EZLPjLgiNKdtjYN1LzOtYFYOBS54Sn9Ja42gMbzBTRFKlGmvcEJjKFP Oj2X92mFvhY1IizOI9YbUvFxd3Z3v9z9K3YC13XAp+ycKUVZ57u5Ni8+X5lRpAgBlkriFWv8a1qy XhQLzwinhPwjoQUabMLh7LNRChn0MMqc9bN0tX34TA9tk5N7rw9wPARmHqCPX0et9t+oxJ1PTFfF vgb//JcPxmuqpuC5QE3meLhehFOsydQLcE8Xn+xqOHkyZCmANPQB1ve/Dt62F16iCGXgliDp8bKY kFJKMugRwJwbo5z/18XrRm7l8kQLdXFOPYIwYbyppzTUHtfJGRXggJQY20RKke/3suvoB8Rxdmuf PoY5S4ZVzNA+QrvJk6XF3KfwDkSOv0EjQuXPp31QmiMPCHE0FYCBndxlC6fifqxG8eD96GR7gI8H InGjWPc/W+KsWH/pT6A49B+xjHv4mVcunQKpdX4tPwPu1Rbw+XloLv15c7sx8CDFXcOxxJS6Pprn PfUG6i/W6KFdpcJYW2hj7OmKS5rgK/AClrbnpR/E15bFNoW+NmvHkW5ZBRt0GvnDd4aH7xgmtTWR xWgHbE+GLkyTX2btXNvdnHdHi7AipJJ7bq5DKKZCPXJ3ZCgsNDqloweecxREYEsASuUticcY++oo V+mBZtCRKxZ0Rlafn35ZKMEi0IU+RVAXKlfg0UNmiM+PqaCt2w9dM5Ax18AZeu+B5GmFkxoWl7kl lwML6cjvytMqp5/GEK+z3i+N8kODY/nUVSP4CLF8/K3/DUhSrV7TGC7pgBEOU49laKBJ7NfmBJ2u A3C6rPIZFnhdmKTmfAiTEROTy03MOdRCwOzeL3KPPCa5vl4gde3jxcGIS0SBD/Y9L8m+uDTy6NpC 5De+grAs9rmF7pWbnq4h9Nt0YoUyc3+PyvoRZEZhh2y2I7of1A2YErUnd6ObhLZgSzL4kG5xm6Kg BARKS77hYoJStf3zxur/EFUs069Fn45KrfDdIZIW7i9fQ1Pq/Gc3BzXhFBNX69p4tvmQAaHdejBu Ee5PE1daazvANl2U6zeBEPTxQEFIdmOJqAGDLzyO+QFXXvQPznB5yUtCV6NuMg8XX38mUDraEzn0 T7tQS672cdgSl6s+0AL/R4bMHne55DwtuJ2jvYspxrPhz9lgsZ1owStqef6aT8s/Q3+YII5sP7SG wIW8jCbARQuvu57TQ+on4TjBAwUAGNPdalWMvGR7nKRmCCe6fVL1q1ABORDbw55T69l9RMKK1DMl vRA0uswqF0HZyb8NXVIT3qS09uvdPrsc/xKCqmFXmI/8+NK68JdHK/r3gRfUqkug4/l8ogBstarA MUjIrtgsuUKSeqd9fK0fBMClpjouf2dXrUrDMyr9DS7mdqJBurOX22GfnvUy0McDkDlp1rtZnJa6 wyejQdnRaKf1OQ/5/qWUrVdvO63Xn2FFha6H5H2ebsud9u7w/j05GOJdY9UM/mtWmkKCCTnuF2d4 C1vJLKFxjtYnrIbe6779Ixds2aFD6ROBsyqEdRIg/xUThBRjut4Fbwu+59B2uOZ7NL5R8U1DlWfB QS2+YCru8nPU9EDpm8PoJgyQBzjpHI3ZJ+PGckg/gDjau7kb3UYxG3MyjdL1Tw2RiAHMhXtxbnlX vJ/SCxqtGNFL1ybz4t7DF5/wwFHBhSXmjSUFaKaj9sFTFxb7sb4RedXXx9//6Qy/zmOp6e2AGB3v DOwKLeRVrPqUnBWgvMrv+H/1hxI0tlat2HXateHwkxceDaivgDX0hSwn7aNqloZVjNwDIgrc2I2s iprappCgQF3yozwJUavmi37vBhy4iqlSLwyJYo+30Byi9j7jyF+L6rCC7GWsSAA7FkHGq4YHn0YV sbvGSqGf7/xU+1DoUJxnKllPZB8LMyciBFIs6mlGaVLU3rs5rcLJsv81Ruu+AZwE `protect end_protected
gpl-3.0
luebbers/reconos
support/refdesigns/12.1/ml605/ml605_light/pcores/dcr_v29_v9_00_a/hdl/vhdl/dcr_v29.vhd
7
12890
------------------------------------------------------------------------------- -- $Id: dcr_v29.vhd,v 1.1.4.1 2009/10/06 21:09:10 gburch Exp $ ------------------------------------------------------------------------------- -- dcr_v29.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dcr_v29.vhd -- Version: v1.00b -- Description: IBM DCR (Device Control Register) Bus implementation -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- dcr_v29.vhd -- ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 4-18-02 First Version -- ALS 4-29-02 -- ALS 4-01-03 Put in work-around for 1 DCR Slave problem with -- NGDBUILD -- GAB 10-05-09 Removed reference to proc_common_v1_00_b and pulled -- or_gate and or_muxcy into this dcr library. -- Updated copyright header ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; -- Removed 10/5/09 --library proc_common_v1_00_b; --use proc_common_v1_00_b.all; library dcr_v29_v9_00_a; use dcr_v29_v9_00_a.all; library unisim; use unisim.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- C_DCR_NUM_SLAVES -- number of DCR slaves -- C_DCR_DWIDTH -- width of DCR data bus -- C_DCR_AWIDTH -- width of DCR address bus -- C_USE_LUT_OR -- use LUTs to implement BUS ORs instead -- -- of carry-chain implementation -- -- Definition of Ports: -- -- Master interface -- M_dcrABus -- master dcr address bus output -- M_dcrDBus -- master dcr data bus output -- M_dcrRead -- master dcr read output -- M_dcrWrite -- master dcr write output -- DCR_M_DBus -- master dcr data bus input -- DCR_Ack -- master dcr ack input -- -- -- Slave interface -- -- Note: All slave signals are concatenated together to form a -- -- single bus. A particular slave's connection must be indexed -- -- into the bus -- DCR_ABus -- slave address bus input -- DCR_Sl_DBus -- slave data bus input -- DCR_Read -- slave dcr read input -- DCR_Write -- slave dcr write input -- Sl_dcrDBus -- slave data bus output -- Sl_dcrAck -- slave dcr ack output ------------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity dcr_v29 is generic ( C_DCR_NUM_SLAVES : integer := 4; C_DCR_AWIDTH : integer := 10; C_DCR_DWIDTH : integer := 32; C_USE_LUT_OR : integer := 1 ); port ( -- Master outputs M_dcrABus : in std_logic_vector(0 to C_DCR_AWIDTH-1); M_dcrDBus : in std_logic_vector(0 to C_DCR_DWIDTH-1); M_dcrRead : in std_logic; M_dcrWrite : in std_logic; -- Master inputs DCR_M_DBus : out std_logic_vector(0 to C_DCR_DWIDTH-1); DCR_Ack : out std_logic; -- Slave inputs DCR_ABus : out std_logic_vector(0 to C_DCR_AWIDTH*C_DCR_NUM_SLAVES-1); DCR_Sl_DBus : out std_logic_vector(0 to C_DCR_DWIDTH*C_DCR_NUM_SLAVES-1); DCR_Read : out std_logic_vector(0 to C_DCR_NUM_SLAVES-1); DCR_Write : out std_logic_vector(0 to C_DCR_NUM_SLAVES-1); -- slave outputs Sl_dcrDBus : in std_logic_vector(0 to C_DCR_DWIDTH*C_DCR_NUM_SLAVES-1); Sl_dcrAck : in std_logic_vector(0 to C_DCR_NUM_SLAVES-1) ); end entity dcr_v29; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of dcr_v29 is ----------------------------------------------------------------------------- -- Signal declarations ----------------------------------------------------------------------------- -- internal version of DCR_Ack signal m_dcrack_i : std_logic_vector(0 to 0); -- dummy signal for NGDBUILD workaround which requires at least one component -- in the NGC file when C_DCR_NUM_SLAVES=1 signal dummy : std_logic; ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- -- Replaced with direct instantiation 10/5/09 --component or_gate is -- generic ( -- C_OR_WIDTH : natural range 1 to 32; -- C_BUS_WIDTH : natural range 1 to 64; -- C_USE_LUT_OR : boolean := TRUE -- ); -- port ( -- A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1); -- Y : out std_logic_vector(0 to C_BUS_WIDTH-1) -- ); --end component or_gate; -- dummy buffer for NGDBUILD workaround component BUF port ( O : out std_logic; I : in std_logic ); end component; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- architecture imp ----------------------------------------------------------------------------- -- Instantiation of Dummy buffer to get through NGDBUILD ----------------------------------------------------------------------------- DUMMY_BUF_I: BUF port map (O => dummy, I => '1' ); ----------------------------------------------------------------------------- -- Send the Master's Address bus and read/write signals to the slaves ----------------------------------------------------------------------------- ABUS_RW_GEN: for i in 0 to C_DCR_NUM_SLAVES-1 generate DCR_Read(i) <= M_dcrRead; DCR_Write(i) <= M_dcrWrite; DCR_ABus(i*C_DCR_AWIDTH to i*C_DCR_AWIDTH+C_DCR_AWIDTH-1) <= M_dcrABus; end generate ABUS_RW_GEN; ----------------------------------------------------------------------------- -- Daisy chain the DCR Data bus from the Master to Slave 0, then Slave 1, etc. -- and then back to the Master ----------------------------------------------------------------------------- DCR_Sl_DBus(0 to C_DCR_DWIDTH-1) <= M_dcrDBus; DBUS_DCHAIN: for i in 1 to C_DCR_NUM_SLAVES-1 generate DCR_Sl_DBus(i*C_DCR_DWIDTH to i*C_DCR_DWIDTH+C_DCR_DWIDTH-1) <= Sl_dcrDBus((i-1)*C_DCR_DWIDTH to (i-1)*C_DCR_DWIDTH+C_DCR_DWIDTH-1); end generate; DCR_M_DBus <= Sl_dcrDBus((C_DCR_NUM_SLAVES-1)*C_DCR_DWIDTH to (C_DCR_NUM_SLAVES-1)*C_DCR_DWIDTH+C_DCR_DWIDTH-1); ----------------------------------------------------------------------------- -- OR the slave's dcrAck signals to generate the Master's dcrAck input ----------------------------------------------------------------------------- M_DCRACK_OR_I: entity dcr_v29_v9_00_a.or_gate generic map ( C_OR_WIDTH => C_DCR_NUM_SLAVES, C_BUS_WIDTH => 1, C_USE_LUT_OR => C_USE_LUT_OR /= 0 ) port map ( A => Sl_dcrAck, Y => m_dcrack_i ); DCR_Ack <= m_dcrack_i(0); end imp;
gpl-3.0
luebbers/reconos
support/refdesigns/9.2/xup/opb_eth_tft_cf/pcores/opb_ac97_v2_00_a/hdl/vhdl/command_fifo.vhd
4
28636
------------------------------------------------------------------------------- -- $Id: command_fifo.vhd,v 1.1 2005/02/18 15:30:22 wirthlin Exp $ ------------------------------------------------------------------------------- -- srl_fifo.vhd ------------------------------------------------------------------------------- -- -- **************************** -- ** Copyright Xilinx, Inc. ** -- ** All rights reserved. ** -- **************************** -- ------------------------------------------------------------------------------- -- Filename: -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1 $ -- Date: $Date: 2005/02/18 15:30:22 $ -- -- History: -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library UNISIM; use UNISIM.all; use UNISIM.vcomponents.all; entity command_fifo is port ( Clk : in std_logic; Reset : in std_logic; NextCommand : in std_logic; CommandNum : out std_logic_vector(8 downto 0); Data : out std_logic_vector(15 downto 0); Address : out std_logic_vector(6 downto 0); ValidCommand: out std_logic ); end entity command_fifo; -- Commands for AC97: -- WriteAC97Reg(0x0,0x0); // reset registers -- WriteAC97Reg(0x2,0x808); // master volume (0db gain) -- WriteAC97Reg(0xa,0x8000); // mute PC beep -- WriteAC97Reg(0x4,0x808); // headphone vol (aux out) -- WriteAC97Reg(0x18,0x808); // pcmoutvol (amp out line) -- WriteAC97Reg(0x1a,0x404); // record source (line in for left and right) -- WriteAC97Reg(0x1c,0x008); // record gain (8 steps of 1.5 dB = +12.0 dB) -- WriteAC97Reg(0x20,0x1); // bypass 3d sound -- 80000000 -- 80020808 -- 800a8000 -- 80040808 -- 80180808 -- 801a0404 -- 801c0008 -- 80200001 -- 80200001801c0008801a04048018080880040808800a80008002080880000000 architecture IMP of command_fifo is attribute INIT_00 : string; attribute INIT_01 : string; attribute INIT_02 : string; attribute INIT_03 : string; attribute INIT_04 : string; attribute INIT_05 : string; attribute INIT_06 : string; attribute INIT_07 : string; attribute INIT_08 : string; attribute INIT_09 : string; attribute INIT_0a : string; attribute INIT_0b : string; attribute INIT_0c : string; attribute INIT_0d : string; attribute INIT_0e : string; attribute INIT_0f : string; attribute INIT_10 : string; attribute INIT_11 : string; attribute INIT_12 : string; attribute INIT_13 : string; attribute INIT_14 : string; attribute INIT_15 : string; attribute INIT_16 : string; attribute INIT_17 : string; attribute INIT_18 : string; attribute INIT_19 : string; attribute INIT_1a : string; attribute INIT_1b : string; attribute INIT_1c : string; attribute INIT_1d : string; attribute INIT_1e : string; attribute INIT_1f : string; attribute INIT_20 : string; attribute INIT_21 : string; attribute INIT_22 : string; attribute INIT_23 : string; attribute INIT_24 : string; attribute INIT_25 : string; attribute INIT_26 : string; attribute INIT_27 : string; attribute INIT_28 : string; attribute INIT_29 : string; attribute INIT_2a : string; attribute INIT_2b : string; attribute INIT_2c : string; attribute INIT_2d : string; attribute INIT_2e : string; attribute INIT_2f : string; attribute INIT_30 : string; attribute INIT_31 : string; attribute INIT_32 : string; attribute INIT_33 : string; attribute INIT_34 : string; attribute INIT_35 : string; attribute INIT_36 : string; attribute INIT_37 : string; attribute INIT_38 : string; attribute INIT_39 : string; attribute INIT_3a : string; attribute INIT_3b : string; attribute INIT_3c : string; attribute INIT_3d : string; attribute INIT_3e : string; attribute INIT_3f : string; attribute INIT_00 of u1 : label is "80200001801c0008801a04048018080880040808800a80008002080880000000"; attribute INIT_01 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_02 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_03 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_04 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_05 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_06 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_07 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_08 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_09 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0a of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0b of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0c of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0d of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0e of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0f of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_10 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_11 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_12 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_13 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_14 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_15 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_16 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_17 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_18 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_19 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1a of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1b of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1c of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1d of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1e of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1f of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_20 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_21 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_22 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_23 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_24 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_25 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_26 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_27 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_28 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_29 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2a of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2b of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2c of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2d of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2e of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2f of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_30 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_31 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_32 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_33 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_34 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_35 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_36 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_37 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_38 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_39 of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3a of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3b of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3c of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3d of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3e of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3f of u1 : label is "0000000000000000000000000000000000000000000000000000000000000000"; component RAMB16_S36 generic ( INIT : bit_vector := X"000000000"; SRVAL : bit_vector := X"000000000"; write_mode : string := "WRITE_FIRST"; INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" ); port ( DO : out std_logic_vector (31 downto 0); DOP : out std_logic_vector (3 downto 0); ADDR : in std_logic_vector (8 downto 0); CLK : in std_ulogic; DI : in std_logic_vector (31 downto 0); DIP : in std_logic_vector (3 downto 0); EN : in std_ulogic; SSR : in std_ulogic; WE : in std_ulogic ); end component; signal xram_di : std_logic_vector(31 downto 0); -- BlockRAM data in (zero) signal command_addr : unsigned(8 downto 0); -- BlockRAM data in (zero) signal xram_addr : std_logic_vector(8 downto 0); -- BlockRAM data in (zero) signal xram_dip : std_logic_vector(3 downto 0); -- BlockRAM data in (zero) signal xram_dop : std_logic_vector(3 downto 0); -- BlockRAM data out signal xram_en : std_logic; -- BlockRAM enable (always on) signal xram_we : std_logic; -- BlockRAM write enable (zero) signal xram_reset : std_logic; -- BlockRAM reset (zero) signal xram_do : std_logic_vector(31 downto 0); begin -- address (need to define) block_ram_address_PROCESS : process (Clk) is begin if Clk'event and Clk = '1' then if Reset = '1' then command_addr <= (others => '0'); elsif NextCommand = '1' then command_addr <= command_addr + 1; end if; end if; end process; -- Define input signals to BlockRam xram_di <= (others => '0'); -- no data in xram_dip <= (others => '0'); -- 2-bit data (not used) xram_en <= '1'; -- always enabled xram_we <= '0'; -- do not need to write xram_reset <= '0'; Data <= xram_do(15 downto 0); Address <= xram_do(22 downto 16); ValidCommand <= xram_do(31); -- Instance the BlockRam u1: RAMB16_S36 --translate_off -- Note that the these generic map values are used for simulation -- only. To insure that the simulation matches the actual ram values, -- make sure that the attributes used above are the same as the -- generics used below. generic map ( INIT_00 => X"80200001801c0008801a04048018080880040808800a80008002080880000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0a => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0b => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0c => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0d => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0e => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0f => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1a => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1b => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1c => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1d => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1e => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1f => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2a => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2b => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2c => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2d => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2e => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2f => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3a => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3b => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3c => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3d => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3e => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3f => X"0000000000000000000000000000000000000000000000000000000000000000" ) --translate_on port map( di => xram_di, dip => xram_dip, addr => xram_addr, do => xram_do, dop => xram_dop, clk => clk, SSR => xram_reset, EN => xram_en, WE => xram_we ); xram_addr <= CONV_STD_LOGIC_VECTOR(command_addr, command_addr'length); CommandNum <= xram_addr; end architecture IMP;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DRSCFIFO288x16WC/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd
61
90319
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bNIbShH2EA0CHyFd3tcKzqAAHVrbIPwWhMG9NsC+dQUSMA6xt4c379IBpTIXbcWcRu47Z+xjBDyZ pmPIKJwXiw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aHvYZyL6jZFeED4yBNrYXGt5D78L6XKvfv3d1wuLye6gycFxQz5GvWsSx0S6xMB9xfjAd58Otvbz klFCQAqOIJ1v9j3fyjGrdYiRUTQuApDhC+FsIz/c7IXqHLMU7bYHwJKasO9SrDTWvXQ7ih9U0p2k 1AKMnh+qiHrYpQorG5A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RiEdSHs/Bt5umPP6K79selbyluJtARUHU0yj5nYfHoqZIAm8WpvDCQm54C/KO7nPLeyv8jHIHHlo ALGpGGe0PjfMvHDpFSP2vV238cyunFX8V0T9k8bl6wjYh6At9VhihdwfU2o+IX5VBj8SP9UjNVm7 vVF4zMGwAkPIQLbID37yUDY79ZMmCkWbDezMLjj3KJUww291O1rtjgyC9U405d49Oz2JWy3P7QMn 8qdrMZbOorlxSjkf+hkEIpgWhS+pbRjZ8wYGv6o7pRDkDsG3+S5QG9lWf289rXA2RQvNu+gKmbHa +29rBsgGnvv//KXcwxU1LPRwDeg4UvorpCIeXA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1kXEElfRhCg/jAKI1qgX87/xWqRpS0e1DlrBHXO8aH5H5hRB8yNxfJpWnAEYapsnx3bdBnU1AAyT aS1HwJVWR+nZKer5YXEg9XX/LwYQGdvNDMOsfvUNry+U7z6Kbe/UEvv6lt1y8KsQyYySOWeC/GkY gvuKcUlrP9I2nyTJMAM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mYglWFHrB9KUMFOAglZNRnS7Lnvi5gZL2XwL13GIjD89oHQqVk29jGx2KCeeLHh/cuuqyyaX8cnu wVXmf6095a3qNER/BkizDns2ON7gXlfqDwAiwRQlnbHJVhuv339KnW9GIEeggUZhg16lG/xuic29 kcyTsJU92tL+0bqVkxdCDfWly3o+vB011FmTnOJvdxGOerq/smn5f+CNTSqTx6aWySd4focWp3FP 1IRx8Cjqp34czZQNDbnzZ90IVxyJuFmmDpW8roK21NFNjW7dbg4hrFdS8qcX75ES6c0+1Ad9MDUf dJImpXUL0bDTxErqK6kqA1RFpvNA/Wd7osKy7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65120) `protect data_block UZbNrxS4mSBhmAa3/X3oJLd1xGA21L5S7fYTS3C4Pl00SQLq0khiWZDE/rMLjNoEn/Q9gqXAkf5r dS0XkN7psKi4vAgvXgDb6jUyeJQcZaaPu6bEMK2QiZKC2z7AfnWk7MweZnbr+H7GReUnwdm2DK51 MDcXAb9rAcRjGckM+m4YyD4npVSOWgiFba/ZCF/kZcw7zFb9ijQ+VQdl8daOjRzJKdrHK1D2eNf9 0PnNyiU1FyuhGX1RchUAy3ee7ah9wpq2y/z2eKsBDjl6E9tYZixrW46WC7vks9Zm75taH1XlwaMO CKYBXLPHXoS25FIiforI3jJ4ChKnNpdDRHq7DJkvcgDCtf128Z+0cYoEj4i0Uiqk+PHV2jMY56CZ jLzIOGBg2v1Cb0GlAZ5JpxHOs+tDnmbC1dfN9ZQxWmmt6lYd38K7ObLvOLlb+SZfrv/mvMLFMOZh LCWQNCb3wXzbOHvo282/PfA3FRKlCCkMgrpTDuUOJC5r0wN78UqM0P7h8qdPaYt4Gft92w6HbWfl 8SWFMZUVrsEluKz7uqIwA5Y1MBFonm9lvHoe9slSuI19S3eThAFja8oX2KVPB0r5a1M1ERQDMyUO YxKUgM4reBTzabbXjxvtUmnQSZjA+vsOj+knTLArWKOR6keaTAnQzjX6p+A5kfObnm9bFjPhmXDJ iWX09FLa/hRH+lEPGs4TRAJvnoQoxgOmk7Cbi8o8sIQ5tRbeQPPW67NP10qeReefMGC1xARho2V8 JKgB5ykmD73sQobWmfG9ATGJLk724K2u6RKyzXvaJRSWh5GLMDLLWFm4yoUo23sQjxmOc1YWy42G xN5jtvItXgIDYyQHCFHsmt8Cf7InFR4/ipGwwhI0yIewnOpTdUHhU63Su/X16kcX2kdjZa+0ipef PQJh5HcnenyIgnD/QV0j3cTwiDlMLz0FMnmztT/kIgCpoAtAWGkskdopjV8bSN4XD5FVdayIcfNa s9Z5730GrRHDCsA0SqbY0AtL6TwO91nARi8dxhEbBBIEUUALlWfUovxkAWJE5Yctz+WCJlP6jkqW rFvUOzzXdgfJN3JdbNxXxUXXT9YlY8/N4CmgcX2gMkhHD4OpWQ6TBbN52XaOVlg7V9fvu4w55FHi m/cVGzCN1EPT+61xCn31LxgxoE2JVJAJ544iwW2NvF4B7qV0StyyPNf9xmQcf1DSaaCWIpUOEkaZ UwfZ+UHM97i/RqQcfgYIzcPonzsncl9Hdh8RvrLNatNqz7PRxkJ2t6i0vt5Jw4FxE1LIjqJp37lX E63m97NCllBg+LCSOiYUBCGEBgl08bv/00dWT4zCB8rv4R04hAGUy4Ir7UHfQgXqfyoW8Cjwz0jL jqrKh9LKITt02SWJUlRYpEfE0L1kjf8ZllJGoHVtiQRrbgFrl4myYmb76Sol+0Sn0jLWi0C3Wai4 LoKxAvicVXyC7SdwKOY7Hq7XdzuCeV9YfueO1D5IcvfRxQwYBmTXrdE/1TL7vY2Ria00EBfrJT6o QabZw4upbGGuBE/ofODUWCT+iUy4nH7ohJgWtQ3nXbcMxGd/x0azGmLwNOs1Rd/aDxDJ6qgflrMv m9xUJmNjQlJt5+gaoJZq+8zXK2l8AAMT9/5HBPNJiYIsAIWteyv91YzOtXO5Ni4sv4W8FSAo5V02 VUWp6ZGYEWnqDHLbygEZj24es+kY726v7PZwffJ5tHxDnrqYIjCFRipPQVvw3juT8Us8WzRsVXhx aYa7iz+vGaDoVySo1M7nUbExvslkbSmJBH56nAxtjX+nv79/w/aB/o9xFBPGeYGXQnbbuZs/w82x /HJYz1chqR1opC36GbHHxnDBGdXUeE0/eL5Qe0jLERRMuXleX18gIOhvIZdQPe255isxx0HydhAq WPsH9IFZ5/GRmyUYYpLPaRrKvoItNs1j+5JHqKbhk912CuCFKAPo3V8vMsca1necdW4sJrejr5d8 sIyNo1v9Fy+ytjx2mlt7/I5u0nuV17+retHsEELPd8xps6xXcT4kGXIs3oqMu2QPtfb9FcHzSxba +i0/Ii6oI0e3iJKrj5nGDdDw36xDPiudwP6tgaexhfzcsz/CoO+Zb6a9XEdFUFJFZPHx975wfOj6 /XULNYhnno8vXhao6J+HcywhnsvXbdO9qLur8ljzAjALm2zSqr1jokwJqGlYM9N6bMDFQepDXUFx GUaE2G/Kxn84JlxJgLzMYSl/2gNomUVjvGOVdLLqIaYrS9Gc63Avw/cG0Hu1AAI2RyPhWheLaTAm Vns+bdl8N3uhotXMGr3UpAwepwqlzuHh5syyEKYXL+JAs6TlkWer8oGJsKdRuSmrsUQYOZ0btvvt hDQTSy85DsP+0BP32TseifmlDIiDv+CjMJt+k/vSmyU2FAkOH72wVG0qBzRKbUC6IPlYrnaYJLsS Y9jLu4+OSZI1FQHnHStL994BMlgrvvUpQSU7YqWXVRmsuBc9dEP+3D/eMWqJ5vXxAHThoU/1AJ7e SRBzpf7g7uT/VwwWIadimOrsdJvZ5A3yH8xbMDjo771psFV3xH/vs/6eeZIpaVOl+UoY6nCiJgRG npoSYiVxXG6RkPdI0s8pvfNBFLvNQus/Aisf5w7Px3pV2RCEFjcUhqdjgyRwFOOwhkhf2/sd2osj 6s+gogUydB6QITrIe104Jo3cqzc/nI4/fowat9+lLRbrTjcoJtxqUTBYpDFuh3v4ipP41DneFEJt DyqdPG5mx7GuuXk4bjGwn8n6cy+K/kfYun1U/eZ+HPU09iVCQv5EAk8yjOlvvTDcH6QmVRM6NsZI PleWfMb5hg4AD8KqBd25vxamesKjqtZKR7H/we25CX1/+a838gzulGPzqb7U4rpL5DVTxac69aEm gmvGHdWHieSsgoAKMmvtvNx/RHjpxlM2x1JOEAkdOdeZu4TDKAp/N/LMaqk5uXMU10fv3SFnvIUC +MxlAF4s1uF4w/rkBP50WNAbhKsnJhosP4leXbSocFfjSVBUulaAaD0dIrsoUZ9IpjZYbxUxYpZk p+m9P21xIdRTGLZ29Yifp65VcNOjA7uszdrrs6N+1QKJV+7frqHcdE/d/tyBrdy4EQyRdDQqnW5o WhxtFb5DX4pS6YQJ1qO61KXA66W9h4jbhAKqGKgOEwjQKAD9G6LEAYPF/wWdp2mob/G/hfnem3Dr boGSAzj3DACHF1cWhkgQXdbiFxuS6USN5amcsjZ0W/pEduCBDjoKumcNGfl86FqbRr4NVYvJWmyE xydb48w5sBxM3CwlI4vgJMxBXwAp87YoHNkAtLdMOUcd3BCCVUqUDF3xGKovIlkbf8bwKCKNXvKM 6iy576fCjZTe32MCGigVBxJTTnVY0LmvtYicYG83a+8XmYkKAIsBniCTEsbKBnG1wsdEBLBgX+QL U1IWID/4tqeshDpcdfA8dDz7BelrtuQTOrU1nQgoRabFRTlF+q+jof4TZd2TK1o2iOAYCjmy6Cp5 q0FmFNlJaiJmgxxn3+g/+sl1Pd9zEWlqulbTZFb+YQ+UcHkvpVDAgZSgEXhMriD9x4xF3+F9RHC2 ztaWGpSpLaJkFT9DAGLv89suWCU+7m3XZLT73HVbmVdRJ9+8GE495u6aralHA3UHw8xsRIAm0STC 46HUHc2zpSgWCw7VbfUJUdqyj277rEn5aatMXNTDiotCNEL7J7RU4lGUVE38xk3BroOUVdASwUts Dub7eGlXF5BfXHgXn0Fml/d5uCpqstDBMhulEa1s4ak/btXL4YyYlD6zu/QVoNsYdzDuwHp2/Oet PPP5wyb7BY+YFkbLoLKMv92SPY7QAlkbC2yxqyqRJuzyhF15VDq6lknh6blwHPx1AzTfHisXe2n9 BML847+c0MkZwBPnqDTQuEXUvYmJcA0kSEDtuUXTH+aFICXnsOMis+Jy85iFc/MXYn5aKl25B7P1 +PdBZYngWUQlG+M4CVlNEsy2/nJ/F6QZdU6vWv+qDKowCG+n2Hrf2BJXFQiky6uoKO2fzGYpP4/v Y2Lw7jenBzZu8G+8s6lPgVnLMJimQSILbTAbOBTzGqYthkso+zXwakQUm7V6tiCeujAatEucxj5X V1tXxSJyK4G/+tH/R5eU5bt05FaEJUut+8HuQFxi7Iz25YO9qKu5GwG3A2JV4lWB3tqEvbJABNK4 QRqTHOP6IY92Ry5KR5mJb7NpJfVURUM4R7yVG6aD5DM7IOiPY2805lelOkJQahAXz+g03ROvEj7y vRHj+dHBQLVGvNvl1jfKNGCUWn3ahrxI7A2eGU0vUVAhs4nSfgG1QjC2YMqEVG/xn709uoaqiN5p Lde2mKjMevATOn/t3UMXfOl2yCR86PWVSfaqrhiTKTt4sjAYRbx1siAApqDDoOjwNulB+S+2q7Tp HJydgu3j9O6ib2GBO2eAMWef3H0ZWyIGZSqBaOWKbtklDAiL5S7rgafCsOzyZqtIbh6KGZYmx3oo SmUuVmQetapF88SP/tfbL7hC4HkbWJbZ8Hp55H+EVmpiugvkaD69Lbzv2nC5bS/OgXZwQhmQ5hNU IvENlcBCa7geKJFb425glhBfBSGMOK3om8tPyiXWgEQ+As125H7eFgEJ+hhQOGE4p9xtX2LZlbFI 2M5hht49GC7YwHbrnJMPznwVKOPlQ/KsfqBuSa3M7tlxeSGyko+jk/5YvDbhG+wQvCYjGoGiM2CB fajXv4ZrfoPeu9/V6gX91v0Ewqsbhu3LvCoVZ509iYfn2g88CIE/zzDO7vSwqZ45nQebSn/fqTrj BA7YW267ZwVJF8oor9sPAEVPx2H1R5PzDsK0CUeoTRDRZlUsjAI+zwLzpj3ZmO769fL+5bYUWWSy tmvN/btFTV2LCxc13WSTJzE9sbzO7tKZbsaN5LwDtc7OBLK6rPL+jttKGzeQ4Qqd18xwh2Pwp31z 7zOVyPpTNAc3D7p+sc44vLKLfznV1/n8h9EEY8FSq2caFFdilsx97NjgiUg79nwtQoIO+cKI/YYv /TFOfkySNpeBRj/wv7BES3Uetjr1tJhl3A3ZkE675+PNgGEVV7vfHbWK5Sx0NCAy5uiqUpfsWAp7 a7xeRYJ/ZKkgdL2ZG42He0y+HZ4g5gZEdyb5OKerKByCh2LbeS7hzrfAERY6nuKygHtOzAFJVFyg aKtSApm+ZuSJ4ASdd7VxcEkP9cvWMb+lQH0PpdTiQsBSwRnPXngzZcapZ+IeDMkw113YF0wMFDzK Vt+x3extWVm5p5cmyBcRgtbYpsFiY7apjZjSgPGEciyF1wMNC/S+BB7ScFyHqvZQj4Iw/lyEKJE6 nzlzBb4wyIAqmz5UoX8lKk9BSLenOUrRR24F4JeGTjBPRw9h8eaoCB+abt7m8TQJIL9PKGtTSGYu fTuRH7Ii4jqxVIZCdYzbzTkwsbD5FEz4dlRAfVnP8Mjsa1nvzhS0A2Y9xeBhHTm/EVXGt5mSAZ1v HJs+5xtysvLLL0F/vfcWixXPhbgkoCRGHhdjJi54Blz2Hu8yAVzj986/KeyS2+VHqsSQZbbW5gds n0adW+gJ00yIlKA5qseWI4H6bSNSILoDx8lgcpyhQjfhphOVe7LFlqUa7BuB5CxFJVjVeOCDv0xf OsYO1DXb9rGJ8vO1MFCMhvpWUxKXeB2Z+Ti9P7qIVFYY3Dvzb/aJFmF3l2pm2sKcJo9OA076HY96 0D/OUyYxuorREJWJDxeYecBqFdszCm444cHaCpz0ctDfgK96D3BSSBkLGPmTAVSR6TGezmUBF2Nu wYZwiBTQkAZ8ocsmyg8IuKyML/hbmgvVO9pANxCKU0JUYcwDv5pniaoqolmg42cM2sgYyBOXsLZR yv60V6ucThqDOPe7wwfq5IMfNiaJeR4fhHdcO8P9AW4P8tzZPk6n+ZXN63vQgiYLeUP/Q3hCJjDN N/hfPLtf44uL+yse4IxB5qdcCLnmpVEvSyjAHR2nU6JY4NwMoKpHXi3EG4TLR5y+hY0vqXOEKaD8 +fWIHUoQZ9dSpMOkcWoTVBqnSH+XIXoGkkqLwZ5P0X+sC1TZRFS7EPIHj9gDw5JgfPf7VVjcnNg+ bJRjMXKp0IrPfrjxi/gNAHT1eChjLxvXFq94QOmQGqoJM4415PDw63Sg8e3JjK2q5VbKREQTwJJP S0ArGMgkL7+1mtC2i6LRE61rfRsNbfsMefi04m0Ngl9q5qsbBEaIgDFJf+Usu5VaOCn9dHU07CFN Bf/MpBf5RPJVth0aLIRTTrzlyhtMrDrpzCpzKVxd85+vyhVXEVJCbOyXd7DCojgbSRZbQ7lho8y+ 4gfOdXjvtFOh9tPsbqu0FPa4BYi62hjZRH2lj+MsHPJ9MuopstdikVUaNz4tHmiADX2MTdlZzG1j ygGByxv6g82ugU5Lncor4W2u0U8dM34qRbRgSNppCiYAt2nwo4w22mSR8rLxo1vKSKArvwRni/bf hYcpDmMxfuDCQ9iUhku/pKQS7GQxgb5BmGBJxsLOqn3OVN2sucpIQijd2Hw8IbFtKL0pFIWushji 4uGy4xGJVbDZ1bVxnXiRtp8JLTDSAQVfraIfiwOupbFyUcfdtdgIv/STLHHyeppgsQvdzY5B+vAH rdfoNn/1wP4HxjjfF9V2kOkLdmei337qXahXZIQITExpCj/yhfmGKeRfYSbAdF2dpImmMm4a9ucK 3KoEVG0gmFClz0mZe+nper0zn/RY8+HoH4BmFZkNtMyoOwUmc+eGWhnay2KQdbMYC7ZVIMRkwv1L dyobYj3RsC9KE8gK49K/XwDtQ6HCAz1AXzV5u62iLDSWznRAiAZgFqbGmByUKTd39xemCVHXyFv+ x+AEjeD+7jHYEn8C1IIldJ5HxDapb3f8xo8FHjfugNnZIeWW5OCnNlpj0GjrgDc1RiVWnPn11iq/ sgZg9jPi29ZSzmm9oI0gnMrpTZRuoEsg6QNFkU6boHnEcK4Ic6RcxQ8gc6taVW959XUzpX3CLiFP dHaZ92Zk1H9d9oVd84oDJq2kCykaiJhZdBve9qA0M8ViZ+qCzwchvI6rNL9DQtQwokIxtNoEDWyy tdJLWBXFRma4EXv8W/dIelkwwS57d1faEqJ2gxqQ6B8ZrtUAgdw5V58SZKRN5tqeRvK0GjfexLxU wodaVKtC5NcXdYpa09HJB3uLd53aojfo7pDMcrYUVQ2Qm8KXQB/M/mRbP1JTUTlbor6h262q5rWp pezDMR5riFeaf7n8jgONnzbT7d97NKJhi3djXOAwtAooo/kpJxl4gNhZgy17OWJ9j+I2HFDR/dUk qah2loubyR5OfnmtAu9yA4GFNtUQph4Qg/rwfN+pi3HH/Ehr9V9F4zq3f6srXc9s9sOph851EOqh q2mFrP7x16fXpjbHPklmTiugnmq45r02GK3GIuKLFFa2nSkjHYCCO/80gexGiioZWWKxS4lKYn2A 8jr49pOo+m1IrDyU8fdNZTAMLVfzGXRokuj1m2kOH0gV/14HVcBG4uCl9oq3RZ9eNQOIfhEmHH80 ZbR0Jhyqi2jlQMUhPGjVA6QLZpD3sG3zYKGpWmVxGxAeJi0vdJJofxzYNOQ5p2EDluUG/sklzxuo YRauV8B1EUkEPfQhQ6C17zr8523KMuDNs13Kk4NVHZz0mEivfRBDvwElesF6g/hxHlc4tH/zBXoF 9lEw8ScEnMOiM/XbCVbjn0fnBvwF55LRE2Sr9WQHi+YRJRcBBRQE8mXSgpUU0454G91TPhsQ7WZZ dKyOfRS1B9JVDMAQRTfx9eAbIP7yIGnXzmFEGGk7F+YWWV9chsFBAA8MNvzrMG40N1shPJk5WUb9 Illkg1a9sbLrHHB8ql0WePNb/bHw1yXQggBmuHCtgOhU2FRWOms1W1omAkXe2Abq+7GSh9VZGq+u m+y35O2AssAaQoiviQyRN/CkBs1phtTja37sAFKp64FOlKI9aHvNlLjW3vul3iktNWmESt9Wd1+c Al7wNbiczlTD7yqqKjJb0h00sjS90vbQGJnhE+K+LBKg//d6+Yf1b9rdbuG2HNHD/kvprl+ymywX QCYOPK3+u00SolQIVCndmBO++CvbNn7arLyP1Piyte6qq22A3tio4pyWl4s6CVoW1mnay0p1qLHe Sk4qvDYQnplJNWZfTSQsH87GN1XtXFbot0XqHSuFyjbwi2dzA4rrzVdu7vq9hSODnMLWkn++2Bhc LsXCq5pYQh+fd1ShtgAf1rY7Jp3ZyouxUN96s6fsQZ7VIjEYSvkAgRAHtLS34eYzBTrzu17rtikJ hUGqS9kS8fL4Sgj5Gu+xvNUuqCeF0ox/FvrPNoaQc3GP8sqPcnxRftYVHBSvlE+ph8F08qNy9USp m1+G2pqXyTH0GGw+sFR+wIaz/WXqwphROhLuYXsKNaJmu5CjAl1NIGItFZ7sAM4iWupJnnaruVK5 xAw7k7mglVBa4ZXRmtm6T51VBmeGzQ0M8F8z9B1uWP8z/o8r5MMUlKSUsbHbOzO/PFtmVMRxJy4r 1Ngudi2v+ub3ILDqUwoMWRLRjkqGb+BmE6YuypKe0BJc8391MJP63QBeFJEQyA+iuVxOK1LW9Uow fVxHb6UA0gxmgEx7Mt4x/BoGKo16utTd7v/EbEVycAkOWZcHKKhO9x8dMKODuH5oZrk8WFIBj0c/ h154CjgTvPobOtu0ILQVNHdeV8CWmzWZWxcc18YvshnjLu1v9MrIce78BblkWJO3DTnKDihVFhgI AM9IeW8hqL11rYVHz1lJM739vF0nFnwTRo2HHJFu/6lVbfy6sLAmqOID/bl+OcbBzDO3UrPRoyGv d38sczo8R6uJr8frv46Pg//kgIrEpn8Hc9f3zFqbiO7DitL+EHIii4auBg/pC8BPSunD6yNNu00u 0+A8eJDCRysalxz1EwQukaFfRFR44nZ7WW0v1wrGWnFl6W2spgkHngnAOmcHW7NG238tvyB9HW3k 043/8v+XIkD6z4CZJYrrhF5niwVf/HXL/eS3X+y+HGvzE/dhxnDBpF7x34hHILmW9/xz3kWVVQLD yHouwsoaZWq8ahtulUrzTzkaxJBlVB62Fqs9hJXO6fk7Kq3k70vVMnpzRjBkW0saCEUjqV36GpVW 2iuEW5ud/9WGyYHFzSg2FYjADHokQjs4dLypj4KMQHPwpwdVzAMsWwqE8vytsUV/TIuGpLY+MIdZ 8uaxXge4ZJ+JXFQqeICq2Fhv7/Ihs6Q3P6UTlhnGHbxQlP0QzJUEo5TXlXa8ujalLLOoB68Y+J8i /8TRyHda0SVHyHWFyp7maDi144yAjbS89xpSHuD9lNgLXMbe74AZ55wAgD/oCCNDF0SPBPky5qma KqE8WCV6h2CkN+HrC6AgHF69mZ+qjmMRO5h2P6bjb+os8snBp393jiFuewhEbo/xsBYIhBFN3Tvm M72P2LEVnMyguso5WnkEpfP1S11oZpSul4YdJs1QPNhmcr4jnpAN9bEjSldwL1FqgC7PYp9bDrbe TJoHTKQ36ctwAR6SRjpoPxcmWrUMnoI0rcieNLKLN7vZajvi6SGODkBHBON1kTJ0el7zOYWztfdU fgjatO6dE2od8CSLvBqR7dVVpGIiCBGw+g3MwAgyCB1QNvyoc2nNM8AcqTi0GCljfK8QvunGfiDi JqI3uO2zNx+QZcCxXN1IO6bSUYI1c1TimmRPs2a65vELggupdWgeAheBPO0OatgA6vev2gWm/q2w WhCQeHuNZrMDVdir2LckagkMvipS5drgPUQpfI3SJOgupgrdKCfaZSsoWKfe/cbNp9GXk6Hb7L9q xkbsIrfdIef9k6Zl3+JRzdXyP5kjno37rXAKC4WZYI7ePFxPrSz7hL1b9+S8ua0kRjkpxrmV0dUe LK0fizjuTqPl+gKW21aBBUbipvFCqUrqdmXkn9QoHHUsEgf9pDaL1IlTiacBX+Di9eP75WzctYLI 5HdKG7DxIevBFr7xlkRr55jk1zDUN6ht7wgs6RtxZjuUv8PpjtrCmcOO8D5OxDa2z5uPB713+wwR 0A9KGC1OvrDf7/+YLO7KR+OkR1LPlkCZJk6HWREIsCWGPiHCYxyDxvwkyFi1qP5kW3SFfpDLBTg/ LhuczbVPmDQjR7xD9JpSk4n2BqXuZ6t4JsuFBdZ+TnIzZwXpppqSAsLEpM4WTgwXkI5pKW4VW2+a 5vOIkuT0Fz8jIPeGBivWQYWTsro1Hj1+6RSZhlpHFMFfO7E61O/p+somAucX0HId7q1wlIPS0Eqh +Rwu7xXBjHlDTJ7Xv78r3EAQwM9O5lACgFTqbvulO253T0WzZZLxPk/J2/5aN0wY1wtsVFeE53yK ktnD9VHsLhnU8ZaXRFwOEELEWgFrtq3Asz65AjWNGCj52XPAk7hrHw+RCARvVVZ7QZGu7IxCjiWo ev7CtHwE59aOQaqWD3Zi5IbOVL2wTWwWG3nYj7rgJFcBNSi4m3ItYrBKZ5pPwZBWPPWuhffsxkRS LI3BghBde/Clan0gANntYwWk1XWGvdObj7kHutb8rcLOthMKCU6xU+ddlBor0XPB3D5SxG+M4hKY AZy+7OZuUUKvk8iP4s/kG9/Pojhfro+zkGgzoxS0prXUEAgY9oO+jhpwbYYkU24gefSTNTkMbfx/ zlEOwwY+Na/JHaISwLE85B29SeIAakE58oEKZnNFgEytDIIQ/KUhjppa3IVR+vwwCrW1AyyCdeOW gEL7sJfHSLwKCZUzMbSAo0IjRNC1ecDVo+JVA/kIiLzjAcgWamBvKQUd0qxyIi03lsPi8tmN65Vc taZL/cGfrrYy0NauwnWhmcxRj+cfWnBKyLVz9njMM32vwnK8MAeo8Kg7KZ+bd6DocmCGDK4Bcrm/ i2k3CphYWrZnOXeeA42kQ5Ah8eWYpRcSYJpnEXviu5wryqveyGTphRPz/YxrDD01IQ21SPR48Tfb 9p8tU7TybwioXm6aSft+Y2pSaPmGFzxemPofQPhrdqd8vSCm9HO4jukqt1feYJX3L4gtZiSnx2Bq +kqLL9TXLdD7FfecWElHWneatF7jvDsvXd/5HBDwcJINuZsksFA4aj69sXRlkLma7ILyimXkqZo6 Pc6AQI6mIoy/+Ztzq4YJCWbUlYvCEjQBtLjPdGPLe5SMkrDYeYuCfeVXW74zO4huH46K6Cn/LVdq mwsDBbI8ugnPI3vezMen5nYv1375K3S7UkRX21DkppG5trB9yLMosTqTCa/dZ6oS7KJ4S3cnTQAI e9TzHpoRaIIVi8tARoSPzLS2HzJGwbzFyBmB/DQrMBkaoH/6hqNiiwPpipgEVt6Vp7Z2mIY5OSvy /YJUr/uTDy9GS6uXQRRZoWd3yhJfATOvf6xOXsE60aGJzNI2Nzla6Z6COpwPPaKxTJZ8wuz5sQLB b+GBROHxVV3wC/dTBZ5Dc2aUmpkGy3IjyMnCgGMemv1fH4I2wtnwocyu8rbMFSRsRhBQOzWlKYKi doplWxzWUxfU9XGQNcyjMtHWYjrYHPqV3tSAsj/o6lQoV+RnQIWd8aQqXTEkhJIPqTYL5h/Y+y55 tEhaQ6KpbbxbH+WmismLgzy2vNeU5HTkZaLj752GqDbzZEAw61Z+1AehFFm6ocFUVDs1XHji41IO A5XdyXXsp9TepCe5Hf3LzC3IAqtOTb4obx9gqOiqEYGqC9DfmNvmscd/8Q/17tdX30VAjT+gQsUr ABj/EBDwgi3gi5+/AxMXtdrt/U71Wdc33QZinDE5qIEQTQ5wwGXosJRPKA/5tHUbC48BRYhgkINj 4HissckXbaIGb0QOI0v59JoMfx671D/Cj5wt8k9yvi5BXIgRvCuBZmXY0FLIN7xtgogQzQ6cXEPF 8lcguHNpQSO5S2A6zUe7giSaUJmvexC5W5sQ525klbNWVkkFMTDei7BmSUkGXTA8z6TOqTsUI0iy YUW+b430k0CAzJlgyPoLlhLJZp39Ha74l1STT5xvm/jZnuwnq2LVF1booeNo5+pj7MpS7iibMwE0 fsTiH4W+mUIyJbwvHxSf4hGSHFTNji16oM9HGtuyrXcUjftuoN3f8S/LX7OX3yVBLKCOAUcqXlFi 7kxKDDMogenDjZbCqRtmxahQMYeXo3kBQUwhwTr38c7ka044BRR5Z31Ps777JblJ7W5n4vDKDCnF NIh5GBE9e95yzht0JbPiQfNO44s8CmnU0zzw3yqBPLta6MV4wITOStc3ZT6KlQjq7cXOJhm7Sed7 4/9+gWEiGbTXVWIGkt3mfE7GJJ/Swyv1VWZFJY9TKo9FQHGxtSPDQeC9eqkCaR5uZ8cSOd/fHyEd xxNp8qRcvYD5QEBS1qATVEuHOZ7ksXdhmXKe1dr9F6dbIqXZUIxeUzjMAG6jT6T71FIkFCjHl5+Q M5q59QCLpzA0CfoNkpdPtDtbTswqxRUFkSdusVnxtPWiJUAltyA0R31h9Oi/Irulbygl6YkBtSQU G7+PVHiqlYTV1TLfYCQHyK/h/JTiAltemFhKKO2Q0cv87Z5N9WWx0oQTgXpWQ7HMl7OCo+NAbpQO 3Ibdn6/0HbgMg2SCSggkJOwJzawR6LRVHySgbTtr0/2pDKdvq4RBaWv5apqTm7OeErD+BZMj4pqY sbpzoUxsQQq5OP2d/FaSBrbHIIL7v4UXpvS9jbWD0mMHZDsoNF8AVcgbaiEfTnIdNT6VKJZnc+QM nnKl18wiSadDzYSo6PgUGqHNklw5M6MBuQbcusRzvVblnmkmDVy14BtzPIBvm4BYTo55mJiokJZU 1eTqmggnPas0HaO0ybaCq+aodhWuv28u2uYGpbZnffNg9q1VtBJnwiZNoCHNKmHqO9DiqHI8ytBg HqquMjbmz1tDwcJPBjmnPzMPd6aEnLF7Ax7UQgVJgB0IOyP8oqc0D0WxcTVX5f2EGqt60CF/HL+8 oh9lZHC0kOewqyxnthhNDw6WQDA9Y1U/a1Bxx/20ax4EiwUfrGORjRJKOIiYg48u7VEAL7P1CsmY GUzvm9p3XR0dwps4Csv5ozki93T5QdfHXwa7/nugrGpZMtMwuU/kLw3IxXkNjAUT8Z8Jzj2Xi9+G hBWV3TyE0jIhlGIrpfXHy7a4MtP9zGpL7WE70H0PMlmcwats/LfajQ+6pK5Ajg3XiFc3+RIZM7MZ 8Fi2N+JE3CKqnSC8aAfwCU4WnbridEwgOBbTyT2Nw8r3IyBHQ3jzCAYksJl10UGgmBhBQdELIzCG kW4mmbxAAcGICdtW4+BNIyT9OTVCT6YWmaI0NQ6e1v6bj1hgLDfix4Rm2rqxuaCEDONdjuVU3dan V6dVTuhMM4+HdnIQ0pLFWs3SsCPl5SG7ymUdakcg60M6zcQHG9ZmlzMq0s2SbTdfftMsYVQyfZrJ GF1kVCw7j8o7o85qlugX2ThKTx2VO8/SultcF6CWhLzjNSxVRlZS25oxiTl3o1mk7CcRQG0tn8cf 7NV7IRZz87Fl4186CQOCNye/AO+wzgQZJzEJi8Arp1EBo0ueFe0IhrdldtEC/5ewiyFYMPe4Opbi vYmfU7s9kV5c3itgGiF9jEr0C07TZmihszSJsm+uphcxXXDsgBc7SfnEhgOn7bfWdmMnbvZE2KTr 4MeAtoX3jxRbcyFDoNhdr0e/lHVmXtqvuH/JJTYjadx4APrwyvJUFoSQhPFqiEgcL6qtMqjN2/Ba xKwSv203q4b9h3F5x6tNp39oD/LPXfVWtM5nybxglAXh6WHa2ZITrLincgCFFONqqakU611gMRfI +noZVA87fQ89Be7+5JyCDiryYbhRaxY6R0Vl7EyjPnaVYDcisY0/iV65mhXFbFHhFl9uOLNnokKO XqevUk1Ip/XQLvshi5xrqqwg1jjttGxUGq5VCJYhp4CrHX5gIY69Qw12vZqYkCH2GYdtNoa7FXjT Jzj5UWZscux/QkSrYJ02jwFi9NGN/Je/pUqolxs822D5Yjvv2tVF7rcqe4kOOxu4K8RJ/mia2nrL 5J2F4QhH/F/XYBUVFAwIlKhkaC3zVFOqpU615/SF8gJQWOCLKfwVCSpVRoiahlxvY+v6Mpmeg++4 EcG0CqgytttfJzpHCi47B392sJ7tNxDVcKM/AqIDKF3UzmcSOIh34ZVhIZoAczyghIqdtYBGXtWk d/oBTKdVxwNCMHRmQF56m78bfKOOCVv80QPttKC6AMcq1K+b18T3S9Upau6iGOiaUgbtUFwG7w82 VPvzV9I8XNAHfhSpzuqegXq9Yhq6l5+gU+0MxQ5IYZJwLD3PitkeAQ9i2Ax/p37FABe9oytEYQCS HBaj6re9PwlIgZzb9s7RxbRd8MjrXOmS7VwmM9x6KVgYn4lZw6bkJ+/91qu+69rsaIaQQdDwc5VC co1/sk7BHDvALpKW/HQRjFhn6nZrQJhTpI6Nqen5Qcocpicr7V0YEONCJlIjplr/n/X2zYWdXhj4 TdfWQaUghQxhRPTEfR0FiKpYnnP08C9+T78UtHKWeOPmzrF+M9OAF+atFLy2vv45ewr/gaFSJ+vf eJAn4IIbEAU0COIQCo/cdnr58pFhEFvHHOXTjHIAveigqUnNsUCiulmnAP0z9Fl5vkzmL/gE6L9P fYUTcPttu0aI0jv/Z/TWjKCrq+EFTDyWmw+nnKvRO8KIBb5Sk7mJfjNA/5HG6KKkY+DNvXS6tCHC d06Tv70sJXt9N56Xd+ZxsZ15hOPpBPARrVyekSDCW5I/GrsJxw+JvMZGSGXSMt8gZLB96B7GtGJm fUNPal4lKozB8SS9N6B/tG5HAi2uDzyX1LCzulMvZ8REbG30ewnxjekyPIS76DpP4HT0Klrg8lXp kVKfcbl5IPNaQgVd+ZmcbtbYOnVvAY987MW8yZWi0H6xxT6eccxIpii4C930Xx9mK29wYJN0XkNt X+7MxS/aJsVDauXlkL2ThzYjaMLEzTkvKu6LUvSeFoX5ruqjOE3x+tgbhc0taQQT8dhPsOgbxEIv VMXm5PIPkGPdXpi4pnb+Lq8jSlRu4VFCfWWukbQAi0n/VdwywmiZgmcEpWI/pQqZZbGy407to3Zz mhgIw3Na2jub5ZyW5XE+0jBuRCfZVFB9nXMXTuyBcVMhnWVKHetfF4i4bKeTXRcMCwz/zwg1+dpN YjpR3DoYgsZstpclqJZnqA5XErt2hyFJooI3CEB880Aj8UTb9in6hj+AAwmZF7jEgcsQo809S9m+ etEFCRmjbF/kOhQEjzvTULbrVpEmKfsSoqboB3fGt8+/wtKUE5M5BaKfsAbhVwTmZX2fsxwQSI/m pp7auDoOgzgcgPXrFItLHcdKUgxN8VrfVDg5AheJQH/LITf9Xz4u2vCoh3ax0KIJAgZ395MD/VaE 08Tl2i+jwNAY0f5Ji36f/MToPew8TqKu98EbmCkbm+SXjCeGAZOJHJe6FtxlrplXwwvPX77xUISO 0IejfaFSo3XEeXayUgWgZZKdST+7ggVw0U4Vo4slhdkP9KgkwuczlKHx3c00zDRYIm2O6mnUAAcG hOXCIsYPZXFC0ftlpOU9vqivArBAfoRprAPzyDsCfMlFFC0jTNWt/8Ht9tMKwGqJ4uQL4tNizY9D h6jUov13DVQQdOeI/mlojuGTlFjuZ5RNwtmNm4NaYbFQgQJgOv/EI5lTJE3ngGyrAudiiyK7SHnq FMuI1THnPqJIRsSQH3+VdMD/6m5nAdzPlVeXauWlsue5K/5wrJklgehRYV/WDAwlnCYB3DuXwGci gF3Ug5BVi/puLx//Eori7uSgwd7tP0nGBnFiHstjrwGBRnSEqK88ELf9RPf0kQWjIutD3QhSzDFI 9PuV1Xik+q1EebA8Y1MU3MBqxIoXPx1xP4mRHHvqt/335ooJPKPcoXEL7eDYwXHTpj7QdeHehZYu Hksw2P7cmH3IlRvcQGYnTB8Q2fLsWeInuorqn5navSgqvXcy+ga+V1iSeK1VVZe2CkvZlRfReH8t nx+YRSjTkB8U3QqzLe9xrS7tpMKXVgQdrTFn87WDveHLskcdr7L+A7zCqN57iA1SSuFUZPT0U9FH EZvajRp7WbmzJQlWE4n69r48a1VT2zWwMlE4CzDthg5dfn9gsqV8OssM8eVFXMj+598dq48ZC6vI aADCQm4m8xgKwo0FR6gGAecUltX+ICTxjpDCvALBuMEAATbji3dz8TRdW4MBu3nyEUjBXxq6rhml 7E1yOKBz3ArvGhEt1Uwge+EbvBbnNHSoB3pOxXavZtOegaBFeIE4cVO7/TWUADWgmaX6j8OcGw+u c0iZQc1TQZQsP6ZtHt4SfrtRgH/JHQn63USj1ofIdjaY3jJB4W2OdY/xEQ0QNGvDeRimUi2YI7WF sFmYKpgfsytwqwgtWDCsTjfKSuDMVn6unfZI88Dl37NQE8fpHTiLPSyktWXomOykCpCeyFY2kH/T BZ/n//GcF5Ews/9MuXcqqy1e3fWM16x5Gnq2vaPeovKyikzjPTuN+MQ2EqdwFtnHOk8Zna1YdjL6 T7wDydDYarqz8IZ/S6uWW0QHTFuOn0wLyEo2TzpbbnCDxkxE7+xHWy/e7dEtc6x5ZjqpBO7XaDEJ JRl3HJny5xCUveviFPjoStiUiLGYWVS9UOjOEV/7fQznpnfM6lUeJtFL49oK/DwJWlVnDjibhWw8 PGB3e2K1AsUg6RTpHa5wUUD1qLYHjO0+bsE/C+crcFulC4tTVxD8FTdUTexaNDQ8csfFQ95g2rmP N0A06VCH91GJXqUHrMGcOA5eD4CKJeqV55xnXnJT0UvJJOsmv1j50YwRP5KN6xPIH+cU6gJENXY+ b6TkXfDsgYM9UbFHy/BG36T2o6Xbug4JB4WmgsnWmFeZp+V+tr9+xSMeG0BqKSq+1Xf08TN0c9Ri nBl1td4EFR/5NvMOs8G823MEAajz/S3mEJh0WCLJZRH+zoRqC0e6FPdgS9JaFITM/TSCqM+jK7BX tC43Szc4mxSPpB2ZvbKzrvZ9IToOTxsiHY5txq6XaoVAKdzstgefxJTZpCBe9gmCusj4/0EcpKHJ iYGCphv8iXzcdcV5ZcddNq0QT7bL2erdzxrd+uhSS8SHsF4sCGt1Q9azTlx+PXp28xfHC9JoqwLE ia83uM7VWLGPDlLNFXruHA2pefXoQYoljCwRkhZF74IIB7zM1VwgDVkIafkhr6LTyef9qw5OJuyj QOyYu7SxSiyHMW/iaZg+B1JsTb0QAJoVgRd5g0x5ibiNYhQnyDdZpNBeSE2hzq6C7RbHoVEKL5m9 EkbytjcHzDG3jtB034SKLmWsoTvSEHoS2TdkGYJbZuRhcN2bPM4/njTEjh8orBRh8TxzbAcTBQqf hvI37n6Tth8X8L/vUj6QLSwNuIdiciPR8wQCLpnCR2bFzI7YoovYKtu6SWSyMKPcLsVWwS3QbrIn WPhTdkdzDixjOkv2qyOmJB8YEWN4lLJADbqpxUgrhtPn1c34YXsGPZiNUFbkG/kM24zP4UylZUV7 8SBsV9nL5SP013iv1Ov9sl9UVv36nZ8yGi1gZ4v2ITTsaGA7cDGg4sgyu0lMJYn1kyvfg/lFNG8r I6YiGPaSMmAzz6pChdVFNTduO4LbFkadGmObmznEPohA0dv85ESJF5/ZcmsY2uIo1Bog97v2fVuN 2TckuVOg/RIqvedYpFP0QVqBCkXUKzJUFm2Z09uvVzn1oTCW1PeXoljE59xyWDkfn/5rkTludr2X jDRsykTLpLKjNJDT0W2y7jKrA4g8i9TT5eYbGVF2bIaMIv985pRQzSOP9fFjKzGnnYICE2gLpla3 S+RSHwIkvvXx9kOocjLf1paTqTAJOcQKGSvcA+g9s93opGR/RRqZ8qpmXp1lHV0Mk+EjLVpNDk1m pmaihwvB3DI5gG1vJYwlOdadeaZ1JJ9EvnMrf5NSwWKWnf2mRulWXDm72fMi1CeNY68ahhplCJGg PTn8wvtwQNnz0+LXkCUQUpvCKYiz5YENuvoWFFbPU8DtCC0Mk7sstasbGnnz2NEyQ8lPUBu9HRDP wQClK+yARLTWMdUcDkYCQpsFx1jVmqph+eKMkEbR2XY1yMqnj2ZSur1/zCPZfcgDulA4fbIAOaRO czrTbDCVebrzOVCqfFLFjo8YdGP/iP04cff5pAO+JfQbQalk1kGzn425LRyeAEHWNTZ584iP7r2N CzEsQsg9oJiiSP9e+XURTsqSFTCtVEWw79Qm7+uLmfKXwwJ2VUlmCLYz9T1DW17Tu53ZpPmA27xi PNXvakQt3epBXOmO0LSvntLfL1dz5pQlgReBrBY0QRxD1AV/pEzAeb0veIMChrMZGr5LnFM9uZQb QnREVEMtsUTMmqaFhDyWMXsAFeBg0mUQSBECs6rAeJD3NHmpOWPYcV66JQ9MlSTs0MzsP3CKyKcr 5WRheTJQy+1Usx9jXVZn4naN5wTeb5i36iEJ0ZPz1sgYsP9WojUVHqsQxgYFmgdAlbj6tDry0N3j gm3zrysvEta5j71yNIhhAS1D4vX+vkDR5x//oSNMb4zAZuawQDchxAbtn5b4ffua4MjGf6DvfuW5 +Ji3/80Lbdf6dKII3RBTNawAN86xvHA0LV6qyLNLA1KR3uSyXh5LWoIWtwdTbVj9/XSQilnrIw6+ OaMHMz+o43oqdvFKQLriDEit+rSTNX1OVQzB4lNJwCeLzKmzM/wbu2AUYYHv+/+6AfLGZTg1yAV9 OI73+NXZ2UM1wkmKV1GJmZx9igNHnZf1E9MeGMa4kAiqhK4WPeYy2J2umhSpl8tkNcbAnV80fvd1 yslU90yacbWnw1CDlN9cJ49aVtnR+N7QUVpxf57lU6PA2scdQwnqnYF1bmOoeGHouBPgBJoMnyaz twB4kjLKVs1ZjTgiOte4YA0y1WMY20rOjinIyMr6a4mFiz8FXo3BRjdWIrd+kWGX8UhdAI1x1Xy9 Sjwpm9DwSRTBTR4jiKEn5IL05Bee2d15V+LRl+102gT9AsKmB4VoPPxwWrsbgug9pI/20m02jKb2 mK1sQqYtDlw9sw6/MKqT/BKbN20ylEnyqObB4egS8BfgF93gKPta/kn01eTZvmAtpAJR4C8hF54p GfYKegNntnmVCJgjNDndbZYvHQ2ad1jvSpm+pBXI2a322rIXqhM5nOv8fL5ogsDEk2Ki6XQhkKtR NC1xDfVZmvGmZ0RqY/drQxim+fSzvv4KqBJx6vpnwotPqYvfHQmz0B0iJteBVFuSJDD2iuDVaZwl cLH0bF6+vrJEAJGAepeP0wrZY6h4VXUGY4UvFOuCaoCU9wYbpYfPGNYUkm/PZ25X6cCcP0DPs+Oa eZMjpeubWFz8pIXpSNkn96lOAdS5VcgwNo/UGP+KC776/kV7dR2tjvCGEuaoRW/yw10yIXZqbbR8 fhU3kCfkBeA5Bxb85/aPsaEmagmIVY4lUjSQZFnSzTcn/h0VhDW/bIaQ8cnP/2LKL0AAbNLNoEhj UvL0U6X4J8RseyMglhcGmEHbcKmvsgWQLYHdiQZO6q8B1QLCSOIDxtydK5EceJcFXSGlxALBwiEw Q6PwPc7jsrsVZnHWjhM+YQRrHWoYPTWRyNcdnJPBrBsFyDEvxJH5Vhroo/Y71AK2GvcmoZfAsjkn PBUIawQGy5vKPFtFngnFG0zzrgn7s/iHP6VdKZ0r96RgUKnmp9EiPOgW6HAJ+iq2BCT1sWM7pIyz 1RX1j7OgsFriAMtKUhIStRcKtViYvJYaYoeFN9n7QnAk8DhuSdh90KNlLXIvrHcl0tCcw5glKw5n Ok/7uPGBpo0pRympUMzyg57zkgIqhbXels5BOJVio9YMwScheaGD7PjigPvqYvfMPMFgwSHm2Hs+ y/0RfsNPYuGW5Qpz8fBkA88vJIcp6b5Dxns3MDSISRTpp22gbO7AfIlKwLp5z2//wr2N/y2+5sxv 5cU/igy1MRtBSzwk6pHV3QOg0j7c4P/wxPzLsBWjFZ8t6mA+wDtkP+9UHRhLwrwJplIqGHdrxlOQ cCmctKGM+XFR6UmUaHjvG76cDDlPGGgX7wsKvC3w+rzooyYEydyUtEmlstSGps00n1efBONSh0C5 O8snSTVeQPltY7VQwgKyA85czzxIGQN3h55G5xFBhXmTEu48WtxugmBy27I/u1FSpNJy4az99tzd Ych0PRPrSdK4hNDQaQKU8N5ZnYEJrKC056s5ruvPdecIms5BsagVUNSmCbHSkPvPcP5OpqTu0cGH wHKegh6th34Iu6Q8q6ovrGOmhJJyihQxjGXsfF9PIaNLYw/fC3ZuuBBof99YrAuYe12JYpmI0rxX 9QMg4prlN6NQMtjaaBtbajWprez284YPWOlhE1/TGQZ/lAMgzQxyT3i4uFU7YJ88T7b7gmV4K4bO RX5iUSICauTQ11L7LzFkmfheZhHKIQ5w5zum89+n+jSrtlAEGjGWmNHH956DjeLQGGg9FEZey/2d 4ctsMLr42NAdBddvClnGIu6HiZqpiUpxNsEgCEw6U6zIa/Wm1vDyRL419mFFuJwQajRHPe1QLIx4 6zImXh0x3/5gUj2o1ft8+EzSOLlvQ+ZdSi2Fc7kPlMT1JDGLPUmZHFTWXs8xocfJmUlOUoXwo/Ae mYv2GsUepKQdv/e6Zsi/qExj9w/l1CZwJGm5Bo20mAR+HL4XqHdIeu2nf5es7p3nbaKmhtW+Twt1 UzkpiztNgo6cVask/KHgR9ugageKx/y2jZZ6WZ6MwSWqSARZIaGFQ7dOizV3R75+cGslfYndDJti D3q8Htv/m8FHF11In5H0f0iGmXFxHmfpvdljJDh076x85YHfi88RWXDDB8u25VGnpt6prF6XGv4u EfzojsQmlbMhM2UoIwgglK3lpmEBZLQ0sBUh+XOotrah/Fi8eMfXzV0MDM8MZUuzvRvqiqZB9XF1 K2lK+H+fu4PQ430u9DvRUm3oylt1o3VvlfmYx0gJoWdUcJVfXsnNML0G/hFku8PeShjhTU9flUNM KPhtXotB4QeMpuXyDx/k3Hinh8v+FwbX3puIDEoqfOj3vuhwHf1lezRXCGRC2J319ufDOu3dl57L C8mZgRU+Y3Hcq4Fvd5RRXR3HqXGCJTat9C3kIaEEWpMx1mNB0xnIx2+I2nM/YW3lneWT8dv47hki CRbDtN1EJ09rOg4Imb4CfxJzwDmDFScllSATPLRQhQ7GamYZH+2+oVH8UV1I57t//eNethXXWzvJ TFaIESUu8BCTsBe9TuqayOP5+6UKi3aYL6nsr0bJD+IXSq0WDxEgWaxW4KSgaG7tnttmQoRvkhT+ G8Px4VGxEoZSYFCgwh/cueaZud93KvIeMPV+7CMAqMtpwSnYlviZR9NCoVkelNNFBnJ/NsgnZaEI P7Qr+VTeMcSyDT39PzcfLMWqlgB12FJg3dkaUIX75Z/DQxUaVZ+PYPRdpC6AStI9342h3knYGaLP 70O+WCoEZBAr0T3RL9X973fZfKtmE2qF5MwKWxrkeTORmE/3A8yzEhl/A27s9UHyZflUxsPVoBHc tOmuhN6W2RnscaiuL3l8OPq0rdM25c2FCK8eF6args80DxdCpqygUw43TbliYqFvP9w//Cwc7nHN LtyF9VrHroiqAiz77AaaH4hobRhiZMloGlxmrsYw5ArmlpEv2GLXnowwAexfWXWWH+AXtwrTrs0y DVr+vjIEj1F1GOjRJaAq0C0sA5PJv4SpeSmuiEMqnBc3E6OROl0PJsUSAfRIk66/5GVrv9qYPQ61 K2AEsqm3oKScg1byB8LfBscnW8STatYIO8FkSuRka201HlXAWU2iY1VivMywyt4B01qNl0mLSATi 6IN+vp5/kRODj6noXBQQtJE8GQ9zRa6TVoMhMz2NUJpG513yHxpS7waov8RGQ2qMvftMeLn07ier 2fMilHE/y75+DXYr4POc3I+NciZh2alugJKLp+XbkgWgQUDJKX/KIp8o4twUWxmLFYtCxjHOiveE L+KP7bzIQIR2L+b3cz/mKjMWEUSorCCnavS/1TOiISruQvy/Cubv8bMoHhGmmZQuBW7n4Z3PVWH9 VRmwsjD4h6CMR2VdlZ7Z0+pu6CH4d37l6pVLGSflsGcAqFreQdZ6yXP4uH+yBq/ovTWQcakpM2gm f8iNiraGDbkjHuGDLHhh8KUnN/ZIWbhP/l1fs7pkUKnfpDbsWy7m0D192k8ZR+EAOJW53FU6dpzK 7zYRt/aKbJwH/RA9xDNSiKl0vdgLbHNvBNSvyvmwpTSsA24Pbv88nhflUh92dAY5HekmMD3Io6qn u22qjQp67/BSUA5IQrZOVm1nmfXRcVpW0iFkLT6PB1x8U9OusaUx/WwRssnRRB5hQHXMjTaOzudK FuRLc7y5wJsz3RDSEW7g4CKhAkJz4nbxQYHTqRuN56c0W+CTwllVVJ3C/UGa4ixDFR4rCIqN9NJv gXSN9jwgdKz9yUk9KQx5wbEZKvTrCgVVyd3Gs1zho+FmTM6Fbnkib8FZZ4+hp+QAORGiKCfclp2U PvyE2dui8HENm0kdY+LHa8B8JHEuJhLathEr+el50TVALigepUUtfLPws+zpds60843uau+8k1nW ccaMNLuix3fHjv/m/ni7OSswJzwhzsOP9Vlcp9UgLfXRx4k5xJnrb+UX+XVEPdMcP+aQD+PUBPlT oFjcGdMHezQqF9j5X67RGlzdttkCmXxIPO4n8PFFMl57DlrpXhn+eZRSZVsbHvGWJncQi05Df9xt aFcUqgygN5YWTWrtCKL3DxgI3A3+n75DnATlwo/z551L9JgLYHG0Il29NLEfVAxFaglT2aQiK7A6 Sf7pEfbuvcpNqa42q1LWzi3Jl7r4mT0jbMT7oyuNKOpL77VpF2XyP5StJOLLT7Bc12ei+tAan9+L Hi7p/vb9IPsLgF1BSNrgdx2t4XwGVJfFn83A2hHe/9eHIRpD9a2jMjCbGVFQftw3wO/0cBnffYJA PuK53PmRrRYIe/GQ78tOvah3bHmSeoXpYo9VmCOWzTxlYKJVRKUc4pw4b+1s8GCmf4Ub+9USsnVe FxixLmEzGtU8oX7P81uSGcUNXz6xVChCU/9J6ziHDk6BvGCk4y9evpcZmkzUTZsOtfL4COdK7DKF HB7stRL3aHM0sojmQ6rBkLPHSvSzJ6/7h0xWxYYlOIDYgTOvrvmmHl5JOUR1nwEN5IqEwV9v4MpC S+9c5yArufI0ls7vMotcsJ7Op2Z+2UkQJXOg/o7pM3opz/0afciamG8ywfySIMWdFcQSocjRrmSi 9Fo3ycpzFRpfxqhiBk0tAvfAB8MSWvkrNBPNEpzvsIQfPDSECkk36CYW4OUriAesWlPwoWpdLXhJ LgKYBBuuForXm4+JQxeqAlU6vebHnSupAzA/Ln91n+cMNclcgDfX/9KyxBKLDoF1mBnhMZf0w27Y dU3wIZv+64WepSwQiOuScH35wIk8WjVen/ZLkXMRzrp3/QDhPIdQvs3xGk32QNxguILoBllxNX0D +Youx1gN8eOblOyvkf5VlwG8Zo9ZAeqaU48/J3iFzPlqwuSrcqNKEK3d/rUX4tJfVI4ROKgJUcO7 Vd4BD4kZcZNe88KcHOksDIWRQ+nr8yVKeai1PHbZPoUAeKoC/EFOdEJENKDbJFaNANGmYdVULsLb /vdU3J6MB2+LnesSLumuZTg6Yy6l/24JOQWjNks+FYzJv/XXxUmXHvDka7xpUB+ghUG4/oUZAlNS ZHUIXxP4or3QMbMmfS7kHvqQLTC1Z8dZLhjk5UDo+PrZVp6Zg8ZPt5sff/P72Hz6oSE84nXJxKjI SIvwNtxuuR5ngJUzpkj4c/BLWxXIH4z/cHYch6BzcsaJ1lsJYmV8jaJ46yfjtzO/5fD3EuczhNq5 Ab0f7KyDrPYNPvSgaTgQ1VPQMj8p0EYwOSN/yVW1bi9uWxKQMkefGQYXd7xl9bkEdlanZSrBosJF tnL4gyw+mUWXo6p6T4Z5U4jnbFasmwzR5KKGYeUd4x59kN6C0uFRT4RPlx/KDkr9NtVKnfdk8UCX 315/AjPG+LC47tXcwRLbU04wHEM/Y5bHBAKyaLuWESeQ1xwpl1bzcsWm+3GfHoLLlahuvC9R63Nh ub8S7UZXnAaQfqrtbzWkRih1dBNRgT3j3mYjqsFD4VER5tgCvHgtB8+oX9KXYeD2OQa+NjBYJokF 8mZ+YJeozJG3IUguj74KsdJzAiHlhbbauV4UsM32PFLhvaoI8Q2XL8fuhsvyWg86XwFl7ew0Lo3Y qq3yCLnlgL/FuphA38kyINFP2Kk9/Y3cvUHL7qXuXYECyEN8Yka/rr8qYyzbzvOWpCGcdNCYCg35 DsjZ7Q/CCjxT/1ea9vSXqDAdnLqunpCWIr6eIda/g78knkGZbzTxbaUGBnHGkg9slmOhDY+KZwBW Z14BFnQjAqQnqRQ8Y0VsM7kqRbG2dKU50jQaKExucaWGd0Vw4D1dhKsce4mcIi5HYlqgzv+u2hC0 bWUzauNSd1M9STjOHWA4czZCvzA3ET3SLHqp08TMChLN8x0WdCuTrs4sz3hQTsyFhumijDVvgvwf TgcRCt6rTIzrDeumFDC3TFn1jzBwdunpzGkkTtSneYLtK+9SDMeswfvWqzHkWiXJ+BWpb76j5zM8 DvxZSK8mmvqKa3fJXazGenP4U/BuquIYJLUVAmgxN1lgtgl6QnfVlI40VOnSRAdXKHsKBGQktfj1 pI05+yqS2LP97p7a6uJiBLhSWNWKT/kCV1eWICj2USldyZqKfHpve7T0gJlxlbEsdFejpobTknr1 qhOPWQxVP3os5B/4+8DVVuiTqGWGxwx/vo10bV0mWtpIiFjJQNjtiMMMrDI3ia7cn2zgSnAP5ion cIoAnydQAo14CpYT0gua/bew9J3w1ku8zWhwbsPMyrQBTZnAVAILS/1POyvlx/Y1I9YSTweixAj2 K/I0symDFhD4K+tfa3zh7oq8s85A8w6fJnxt75Rs513R7xhscVdJDnc9lE/gQoR6136/ddS8ADoZ z1heI38Mo0W1KoDcNs0HHwZ0jyiNIKiDVuDyHfNfaJogcxz9DCwQMo6AaLNC9gqCAWNNr7yjW6GK g8eLXZMKLUIsBFTpMTlVeGdE1oHZRXBaQ3RUe8TVWLl7ntqRJ+HiKKQU5SEYfpXM7/FyCXEEM3Xg raQzma6/QYCeybLpcyYxzMPXS6HZJvuYT9uP/KZvS8dRa0k/THdraQz2KWmmGW+3YWKaEh1HVF0M p2Qqmd6Tr90ZgU62bXfkjwj1HjaGdLjtq8cOz0l7CVjsyhVAbJvtfqkiPg+/BdlLWZhGw4+69Wlz dUEzpSYMZdCNW/FIIM0HjpQU3687eJ0IViN0dXHKR6Hb4Vd/AU808QT3XZ328pNZBMX7DgnETc+i xC+Kiovyx95szAsxRAsjKYQ2lv9Pq8JCWqPUk0jLxbertDbPRwXsA4uPyoRCY54xbMItxRLfMTGW ZeQI8X5dDFKLD19i/KmlDdr1mTvzpfSeHMjmLEtzWSO7guHBCMOgU6e5RMlQFYvcftzu3ADwH737 WoElUYfqvnHuYA/D/XbDTvViShTAaKApLTucrppzIdVanHVh+cvuU5nu6nmtbopaxYcfqj3j7LPO bGzNioO+GlgiGwn91tVgrDcwrOv3UHfDpZ6ZXkjkGRj1jg+NDiOTSwF7lDlGM1AEWzotgiTr/6hr ax0TVYKnXP7hZNe3Kv3TPqYIkBV2GBi0wlfoAK0r/BAoxd8yB0yuseqwVzENE/d6+rlwH96lLM2I e/po5BEm8dUecPQ6sbYBFBd2nI+Cznab1IZP9X6kjiJRKs0a5IYi/obkuX0t8pT1CRhXe0hEx3nu C3Ub0kx2uO8YFeQOMKg/TgWP5c+X53WmWPyQNAuV9F1IG/hQqjRozYerg8jhcpZR5aVTEqqLJhCg DdTk677t9J7imV8BsoSjrRChUM4ZvMEEkpMkOmbMInnYOBjc4CPc2aGvHVDUC9WE+mXydA4LkSvt 3df7xeNR7zyIVRY8iEck4yMMiLIqxXMvEct95I58uCe5/r5jZWpibQAkxytwRLUIMXzLbLAlZPyp +jmpRXP3Mwg0OqSdjYLxhlz/ATqNCU9U8gGTlsQ4/yGVW5IldFf9UE/Y65wxaLEq+IQh0UD+i64j FCGrHhSunAQpjso9r8cH15K61PN3yMmztgPSPdzb1XB5QIqNQqJgNt84/U73o1BrUu77KMCu7eef q4mOusTAydpHPiK7JJdQc2Nl2gppoDN4LIBV4JCmDd90xnkfbraKLRzTlZ6miznoFgR2d+LmOtIP trgwIwQAYYhDt5alpuI90U6Io97OXtD7hJRqPHgc0KnZBA0Obvz1OIcCJNfCdVyc2c5Wk6v4t7qM NM3mBQub/vE4XxFPQtVIRMkL5sbT2Y1gm9OrtrkHngALgJEmtunFKcO3d04h5D9wqVl7FoiaSH2p y+EG5ays7tEnrmVUyXFWo/an5StV2PxjdtN2yXlluVImfHCAXIFVz7c0m/zvQnPlukZrBtFG465Y mUZFbnwClzWUEhhxsmxT9Zj/V/Aseb8uY3eBn3tT4p1VtzKtR0twvCmfAzCW4m4WM2l2AR/prHow 5UZdlSPg6oCZt/HI+LtmTL2313FxwgTNfdlsnWGfCyrIsH+fo5gFY5YO4wp3kilTwo9BmeqTiaJ4 qXyQscGSKJeUrT++yGI4s2x3iL3tsaEVEeckiGd0kwMmbX0t06VUknwlCu1zgcsI8Nq67QHWQHc0 waWJ9Ef1ZocqUQdegMfpuFcS/hDEDcthrSLSndEDOxIgjiUpAiXlAGxNZHRHm1/YtAAyQ9GgseRC K8kx0rlQR2v+Fu+o2WWIyIxUAXYbbm1RsOZQSolkzQ3VMtMzM+a7vc5swfkrCXUT3lgT5RV52mko s6hpFcdVNTD/AkNYVwsMtUhv0K7GlI0aJ0JLdu6Gsu3AL5OMNp37FU3qy6N8MqsYhm/Nhz6QslJE nMLOdEJp8FzrDLGwwynDUAhjL7NBormkTjxcD4jDp8XmSzDqkPi7LOaDTiz8r0ah7TrxpByn2MQT RVgivUrPIgEIMSLXfyRo5/9jVunIyizt86fPTg6emLbora2z1ZIW267TXIp8uREX2htu+qq3Z6sa jIs0ukCfFXQgomrQDAe6T1fenmRi9g/5kZOqmao0P3tJcU4C/C2BbQtwN8MKcOJkDtAa9dwX+OmK SLAAqreMnRus0Vg0JciwS35zfTMn5FQRTSE4huIU701EM049+e8JFKRoRkHx+0KFA4QXEfMjerps woFdZXcaAzzXFHEB2Y9BBgrpU+xropB7rp2FOtNYydhT0OmhnzQ61cE8sbr9IPbvHNuwdlBJkTqS 3eAjw+9aLSmAthTGiWkB93lQGtp03O8OuRSEToBu1pwnRZdaM3ovku14xFl4hrJcruK66JM09cZf 6s6WTb3iaqyZFP6uymAJ6rx4tNuF2yPLJ9OSMp63yKPi/b0t2HulonTrHV+nr0BleipoghzKYEUJ OQhsWonk0fMmpgsJIQmiJT5lRmjeWjJGvPAN3HU/QEISNh1skL2GKzsa+2hSSvWEarLHFv3F3j/K l903ArKB9wJn6XE5WsCGgt3SWY8rUkPqEjYxtY/NtIiDM2MFzwNag6XjkStdPemzgVXwLxbvPnN4 Oo3M82tCZoN9Gbm6xs+30aYjMWpUhlMXFkbal+43NASFPsEP9CblmmprOq0xFP736t4rThmT1O3/ i1XZIHtPvcfp9Gy7JQ22AywhiNevZTxoFOvBbrdmpyLEFP6NGWqNS5YuFLdxGsoGU8+TpeiEKZ/N ZyWrkpb2yua71PUvYNSsGCnGoio6V5rgLkUPB77QsIsrWRjjuJq/nv9zuLZ6Lv8u7i+jIjnD/4kz Q5A8AICvf6k9zsXrF5n/c2IWb78OwX4bk3Te1g2jjqcRk6AinpP2t2z2XC2tfZOrWXjav/K88FhA RfPIQByt2N7yC+3sLNIX1uDjKFysXdUkBPheZ4HIm6jgtyJQEJWmLU1JeBcycPxWY129YKO0IZJx 7WVjcHq4uXhGTEaH1LUwBh7IZ/TYhoOZ9UaiIKavD7qnIMNIZGDcbuDPkYkyfvT1GngwWnhNSPyx a2Fv6pGEp225on54pySh81fnTFGXqcW6FTmmDw/tWf3dYV4TVT1FbnCegb8AfKuxH1EGV7tmXclT h1Qu334L/IgzS80VZjD9UIFLxt5L6SfQ8gTUvWy8voOfi6yaYh49Z5Eqy71Rv6fVbpOZyXzTQxRH ZJG5b0R14kjDLC+ROf3lWqOMd+5fgGS4TLqtky2Bk9aYUtFNzvAPB6Q/xlPBi+B48e5x1mPXR3oR ZO1XW9nZE0Uvijt8sdCPKzIaS0LkRMUMPn2VY0kbyFECdThv8dAjs8hMgJe79ON5DwCJgRaoKB0P dNAqyP/n4eTDI1hsa/JYoiDJpTPH7CwRL8StTBBSGWzRKpc8CfsH/gvqWE0visSmYyQhXPXn6nJO D3myws20JY8sUXiydWkp1kvITTR9OkNWRU0N8NP2DNeijZ7Z70fHAvLkMG8WKCNoVnDMQn5UBHDp Qrz3hCOaPVXHoqK8yzORYCQvtNyzpYEl258C7vZc4WVhBegGkYhVd8a3gHvK63lXcNOe6IZ7KSQL BfrA2NnH/7wbRDzCRdcLHLQKTK3AtHzBl/0f0e3fIv+3BP2Fea3E6C2AdpPMnoDO9fn3zQ44MW0I 9KgwF5oq7gJqnY3xkFssEucV+x5ZGGv2q3y7vi8GBeJAH8KwgudfOwy/GbJvIMYxlHMwLBBjUHR3 6ziKyJkuX42vnsfKZUbR+r/dbMqHToKYdvcZmBsDlSDEFZvKz54T9Sk+uk5RRJmPIEWLnh5rbO0C 9FZBxo5UnXVBOU9dbNEjAMhRNlQxH+n9LXn0m7f2HP0RXv/CXT62IkL7ia8SpuJLb60ZPZ3a2/Ad wxRb1DdT8HuMTtyTSz/Srxf9ul3L8m1tHU+yWhM57Ea40CWmIpjTWYSIqOkiqkEIaApuB/IteyU0 2lFSXs+JOkqWmuKDrqL3K/sd1iddMRbYW+cvyrUXSZbggVBxEjOfshUJ8y2maaiFVCCS1KYV1zRw q+O1CVGNm6dESdI42LDt9acfS/aI2Q6LTC/aMiw/7fSa8S3MvIXaVj/OnSC+z2jXdiBlXAhHzRtg +BjsKvvOo5cFQ/Arwgu15I+7OziqySZx3sbNoWgrjRemM2G3FCvQvx2B++F3Iti8SK6uhwi4r9jm NB4NU51HEcI6R8eL8POexwW4/XPOHBbD16uemW0xmpie3v3/B52YgCLf3GN/PE9yNoAQKGo2Dcj5 R2G4vm+WYI+bgSqOrHJPtV3KOiCKiYSxnMz1/jvbRc/2SCAZLm7D2NieMJY4eLbNIAUfXWLDpO3n S1JNr1aKPggwIFqUtn8kYegUVe+bWlP2GKe1SQVNjwlvJNHG8VVl21spb7HIvPwUJRV1bcUYkESw jBuKkyrHxmANiGsCTaFqkY7qfDhrg6QSXFgbN6QQZUk5PG7gDwIgeyyO7xoC6oeBkWqLhIayIXk4 aqLoPhNGHlIExF4LUIij2GP3u0Rc58xCTZ6tuqP0A3WOWlsleMG4zWbe/k2q0yoyckvQcVx6DOsQ UErQ4Sz47oN8mqvkAcTx40l7ShSt2Ns3hJwfHHKC6O7ZxX/jpABhGuoTcb7i3Nxm2f5CSaXTCEtI WWC4wDu8rnuDo/mc7rLcl+OK9QX5yVMPxLUf1XNKMzdrcZoCrJs2c9ofFhTSSsXbaxV0pDbBb3Hu +1Ff54LEBQSGhuNtXj8MY6tw9tHz/kRmQTsrZQLe+cjeLdh8xi+Y9c/+/smi/UsfE5TdzYZm3umt ftpgkn61UZ94FehkVPnDZJfHsdBxkh4BMiYuEDjIp1xuCF1WmjUkgDw7Do3ZdzI6qYRleHCGEjvl RvOYyFjyWPRXMJ3WqLWztd08V3nLy48tpHxpJxu2FMC4krOsTJa6F79TfJudnZJ+6302IxtDhB0R bh5mHZCRUB9D+Y3cfbgxouBQ+H4PLEnSmzLq+JZywgt1RlI1U+kgWqGR9ZkzEPhAZ2s34vMd9zOr et68PqatOWOMUqnlRABaHIlIHM+pW9X/nHpNNtNHG0T/W8oil/+VuEfqGJFm9DA2GW8b/Fm5KEL1 roWyBlPFDPWXwl7JjhwYOf4r/Klb/t7DmplCBMZlq8M14bBuyLg6zKlH6uZ41iKmnp12Rh63eNPN G9V+BG14BvYD8aN6M5rf2gvMmO5F/ClQIEeoNy5Ad8BRDXU0sphpALtiNr4Y1tvmRh0F/K1aE/9j Sr8gfAhi8hTH1fSFWoPCFRFGtv5E5XOk00IlexO3myOris5L9jYF9CbYyKPIw1JqdW/uNpY0IubE KsaE6w1pIhI/kr0IzZEu2lQQg1g04DdiS2BgiNxMoDd7+l2tc7LCLF9trXZ5D1Ntav1kbEkqIWOg VrNPGbUeGwykfVSDFQX+V2pYvLNj2M9IAmqOdx20SkMmWSDik19bqQpqSDEFcDwKfei9kAlKBWJv IQIS0v7pzA6zJYO6XQ+5mrbcCVKVwIzJhcOFOy1ET6ArumP6PK2HCMfo+y6j4CxEOBqT/jSO340s y+GtZ1Mp4zj1fymnh6OL0OeQKBwqQXSyPK62ysi4t1kIwnn221ll5+w86wzi5EH+3VNHRVWwYl23 2o0WtDTKOcVMfgzga4gaX4IvJcvHqNd7fgHSbbtKYc5kiHYJs6e3fP376Hr6E73xbHHYMeCZmHSr 6I2qQsC+roxejSUn7bt+FxN8/uaJLkCguXy5GWTOie2llGhLL9UEzie+Zj+DSw9c0AXPv3JnG993 vaKUR5KOt9jNT0TizGPbqV382ukm71ZN8z97eao1iiUFnPOYguZQoU9CrU+1RnqxMwwV3h76mRm8 1QJ/W5NLeBxG7Z7LEdIWlwwhBelTXiC53/QtjH6tjGwLFtbZTW1XGaNae2F0O5j8/DON1W5ow+31 Clxf2ojonWrl21sTpFaOR8MxqdQDZfggustV3WYsxJzxrA+rTWK940cI6Jz9Z29FpbhnOiGndEd8 2x6jf9/7QoDbW3ZCXE2zokG6/HZCvPf/+SRX15R0TN4B6T7T/T8EL+LgnKT270jA5Fq0Lv7PlamB yoc1lXllegWE69vzy/LVWbq/OV0jUcscq5Qp0VdABtedNWi0bML+T3mJUC03BnbkKOLs9YEsOj/t 4DxKPoMlfelQ68zeuE0clVl6a3KVgmibivB/21E1riMye6z6M7IAoKIjftOyz9ITuoiDqt3dr11t dKAUR88VHpg0bluiUEeOxMnlrSZVnvQ+Lr5Yr64T6ezVHsyj5T5ru1IlE6glaT8CWzqLHXDZ8pwk 9ia+VoLT4bPgkkp8GghzIPIDrUkHbGbHR4sl0ccxpZm8iEcZUPCfE70dd/tenehcHL4fbywXP/xg BGylIuLebZO9uf4MtQZiBcvPSDujW3phW2wslgSBPMZj/MEfSRpbyqBQyQoe5k9HWf3Br94nJK4u iVbUcmFCju9PnDPwthf0wakqvWFfK+oNKAJAiZScfpdhcVHcGMaw3nouGz8gyi7CmGcEbaYUM5qa dCtQu0LcsVXHaTcivW4I5tTm+/u9Gbri8mfeLIJFOgng1pEBoIzl/AdC1VkSppl3vlzFn9Mbp2yB oMz1S4Ax49WLo2/IRuXsj0y0RsqIh/5kn1SdVwYZ7oPwcKHbzFupwunw9EhRURrJwmdvpD0mZBBA VuvFuT4Va0R/SEvIu4cmabSWiIKXaa3Edq+qubD9fSysgRGo3qsi9uRo5gTjo68hsZxtijrAbKMn vr/HJsTLpJxLnLBJUf++ba3XbGnFx4Emwl0393A7NKSCm2ayI/6a59GJ5RKE3Lst0TJsKxWEnR+o +3det9xTaGUSzmdsoMH2ruOUo/6+fyJQcsmFlepsi6XSxeEnpF5qeUp768wk4rHwJ+1+pvbuNd5J 1cv9GuJOMPre3xLMMRJPbYknlakOGlGS7CjMuQrtdapUXfZQP5pjPb9Qd1Cxb6zEB/inE9aiw4Oi Fvqcm2PENdJYvEs7i3mz0ycOaHM2WLn+XblUwhPXZRGwUIRLVna64K795xuQKCMz0R2c4NWvfZwh cWuGHZMh5MV5sNU4TU+UVXcq/8r55FXSwMNpS/VIB1NkUDb7HyKTTo4j9A+sm2Dc9ltBF9HhmmtM CVdiT8KwXWdwNuZU6Dzn3UtGt8GNjiiqpII9n2nP4kas63d37/CzFy8EnZ1Z45z9hWRc2Hvd/93l LBCTTuEG/xcyLoDAnhhWZ20+5BoZbXZXASOxZfJthfZZq/zw212ZnmCjW682JGFGpHh1lW+H2WOH 70Q4f7hevEVFh16jpHh4NRdIN3HBWEse65shCWwkf1/HG2io44vjK9M7veTLgcX7YrQK8gOd/gJ2 BNfJVJQn/+Oodi7ri6v+HOk6LktDrzpb6dhX4BDGyemCOnVc+lWSwPbwIWYgPUuFFZIqEYnHifDv PepHtDW1b7KQVb0IEiJhoN73E3EaiqJeVEiRn+CUIK9VZgsYHiH+SQ4qUT9BzZF0e4GvxTaHDPDw ixfkZrEJ7UQvVYqvjV28pUtKEDtlH/S9423Xh8wxo0vhqbd67mPgP3tzqGzF64UID0h2WNJh3pBv RhHmGcmCR2kdHS5aVRwJhmZIMSfNono3hT51HZ6x3lfnV/fO2Mfn43maSrjgtJGRPAbnPlBlkEl8 gVE2F4ImNKb5toCKYqWuk2pa/nOlm/AslPBN/MTSRucUVOaIkLpJ9DuRAJiFP0AfjhHbGkg29V9E p+b/WF9Am+H7VX3BNFobgBPJ8/WCCnZ6V0Y6WNV2xxJtlBDSxUb6xmXYDP2swo+8beRp7ExVZHdT 4RUnYalKTIEGf8Lj6lZj1xO/1J13NuK4dSM2BHcByxF4TbtEoBE3la8Z7sfSxbg1FRYhpBPdcFRW 1e0e7dq+/4bcirbPBeLgiwELXOm4JllDMq1jusiy8RX4CmDhJ6/h8MjH9vQeh5qyw+s5Duf7RUyX THXQKFUnWIn6udaqIQIbvjTMQQ7t492VkCoV9+KLay8/7lIO0rfjV9CkF2RYL73HW9cBNQlAvcxI 7nwGjSUDHM8rpVTHcSGY8ZxJgtb92JAAppwMHeiEXEaA9Hw4eGMNbiZLGHBITK4i51SuTYtshVKx 7Qxw1PiuqJlo4AsllEr7f2BrWBV2gjpt8JVdD6reavGITArhzlP25gkc5ENYXnebRAqFRI8TFshO gzll55+6F1jSSY147uz5yHqQaij/nj0Qsq8BB/qnFBBCHg3NUj0ED8624kUkFqmKL1UA8aL3Ttp0 hEmPP+XtPdD5/2oBtHjoh11qotOZYcbm89k8F6q5hPt3Hv+0ByfxdMkSoGi8DP8o0isXThIRA5S9 Ae/xMszcOvJKxNuBhpK8spJXuqIyA9WZ+NaVmu23bSgsng51lttLAjwAfH+EOndu0Od8CnH86sNX 3Nmnw519xpKydRV1B2b9pWq/IxYoARJAgVAqrVrL9Q7RICycdhnmVIpuDF6dUnGSg5IvtqygBzqi b/WO41xorGWucDZgWh9RKBcIwgW2lcbAo4vUsNm7Su80+IGK6mCN2BGjYMmVtyz85EAkioRa2asy cg5pFLnWkbKS1B7YszSL2MRGhf3eLURhI1mS+8AiOhfR8Cw04TFhf1SGe3I3vex3sRVlXBGjbwDT MlpN/Q3EQqnRkcQDE7vqpo8IJd489OAbreDeUDP+uwT3ZnBL20mkW0KjJbn1DUBrXA0ZSKsMODKO EaehPkE/cIuOaRyhzBN5SSmIJU6u3B+/2NGRNPbB5/afoXA6UMV4KKp0WPVjJ8lmh2bg+8fxey9S NdvJFwGrcZnegVgAVXiJd6+kwim5+JdtsLPQ5VxJuEK7N0fczearXNSXdMK6crxKkZM58ZViai9r q7AMrOvSU1pxqftmal4a01cYLNjoraf07yWRxr1iW2Ij537OulBf+mE5kr0QOwmVPbO/6mzgcokv ENgc/4fUHXNlZFctyPu8liHAzOs2xdmC7ccvS6wCtvrjPHRUznSQeZaHBsdWDTDS7eu8kJ4Lcmjw UZeOgC69IsRVIK/4GVzw4q08/QvQlI7MqtFYFLHu0b0tLf0Hsc7i1Q6U7MOT9b273m0QmObSS+Y9 FdJiPWXiQwC24bMuW/GPDVlrze258kc7kwKZXBftzmyp8JQgeO6fVFfcDtgCPRW6FcF+q2T3xTxV scc826oh2VweP2BNzopp/Hyf7CA90Ly0fuKm9+1M5eG6/YfzwGuTBOiPfl3JVd+QH5auP6/qAIJz ifiVJNCg0trxsUkG5ij6wu0bXWDBEauV5wZKqLF48iS1osiPTbnTMRM4lvskCNY8vOxS+647Wo1p gipCdjwzodQnd+NeaTwP5KXNT8e936drGQP3tHYlYzo3UBOppjyZGSAs4CsLVqe4i6o4iclCk/+Y G1mGIkhorUrlAaDjXqZdhBzfe24R7jDWw//3aLFCwQMQ16ODsOuvO7e68qTVT4i7sKiq4eItvrAc XKAoKugfZS7PKaofRUE9lq8vQsUusBWTdv6pd45MW579gYZqcqJW6zfHop1TZ40+RhKalwo9DI73 T9Tpxl91mcAaKXRlP6jIer8fGv12AR8Of48wbO2gLyuRlpkOnBLcpjP9GuPquBZqK93BU6d5eN7V SyxTw9V7Uq9u0RQfclT96KrSgO6ASjXNyKPBL1klsGJ1qrvQZe2RpZDKpdArVINw4PpC/Fg/Wrfg CIeOb/h2iHOWELaTw99k7lH3Noqc8rPJP4jtRIJGh94NwOewU7gmLHtcaQDvTo8GIxHtlGA7NC5g BYRX12RkIZhIZcFnjtKinVlwmdLe9Hr+TgaLdkgFdBey8QLWxmiP1/NKfil2EcLgXpUYxSO3tylo +OrWOupXexHj6Ct3O5fUF6txNcxf0ZmtEzAfY5Njq/Cfa38oMcmobhqOsAWgtAyH8JYlf2pbyOP2 fYfIeGiBWbXgjza1Bn05tKBTImTRzLmXfTFKiMUjUPdd/qksO5vymZ3zLHnfZN40BfBB1nahSQ20 d+Tn3OpHZA96G8egObirtX7LlL1vSWAo2sXOvu4/ALl2TuXqcQzuR6OTHw/ibtTCnEvPC18VB6Rc 0ZKZXhk+en2r2o+pC9tWvVLH+n7bylpwKZvHGbRTZbSSj/2E3TPkGPvGer9POrCJBq+1N2hUAQKQ vwcXentC0IvBZ70rpQP9Sfpjj0T1t1bdt1yzN9+AUpfOE+BWTqmswyvQMi9+EHsSkMqnd/Acn1uN wgSvdKowXRSZxxTg62/gd5pn+FSaYxQV8K3BE5jPYJgxREBoGlxtz/e9w6l8/rLrC6wJ+m5Qw5r/ kVmTGNMflbBMzzTpZHeiOwslvRhuMNbmgdJVAI1nFYpYpdgaR2T2+01UIw9+5JOaKFzJaJGhpbFz ALKVfgTbZbtH5NouL8/QkU7NTNN08GM2LqXbyMkGePyn6E98tbKA32wgSYL/OFohKM7rdRkWHIQy Z17F3GPvXXhDdJ5MUUxmGfSPGptdHowtmHz3ZTN8aDMYijQjdOkSsVluhfF3N7Fh8HCleF+11UEL W9CYGmJyPBA/sFb4zINpr6hXo2oKUk2mpGLWtEooUqfV4BIiI44WDtYfv4vhF20WAZw/MES1u9uz cMvCbovl+1xVIbKgcEwQsIZZ22OM8BfQTMXiK2FSUQFgCilnIHnAXsPXnuMmNsOC392QyA0eTTOn ojH2kAwoTnTczvhajKhjdqQeswKXErOuf3TInE1wRnO0HbYhwqQPkOZP+lM2nDYFYN/gZO7DhxwH obSREeVZn4pNzCnaylleFor5ZRf38lvCfjfip5NWwaj236gjH+9cn/SDiu6kBFGJM7w7nWggClZN b0ZZBvPbPTCxjwIUUtBjv+Hw35aF/idEKKqBbTluGQArgZAtOJT8f32QVfxUN6Cyj4kLKQA+Cv/4 vAgah2lULjmfnATzn/tdSWFoe4PuzVPgps7B0YUPq1dH4VeX67SxeaPnaq6RXSpW1IoQ9gW41P/B SgXBBWtBogL/rzEWU+mAcZ9YIvc08XYkBVpPqqFkCd83Vaf0jGctGiVI0zblVTBQqPTLyDpPX9oq f0XEfbY5kAbl2xg4mQGBEWkKsiC+pF2AyaOFEOxcgGgw0bLkGrwVBPIh3HYoQfQiB5VUmOl7LyAv AK9DWI6rYbM6k70HIVANyv/9PlV+bwe5raJ5P5j6mf+1zQ+LiGbKavSb2BNGgA9S9ewX9XStiJpm gHRXofqIybV8fn2gYuCx3Oo00A7cJbu7oDgRBiuxPJlNjOJzq7RtaDT+Fe3qwRdOzAKMxGOUu+1/ tELz05dTnVFaCj5Ni2GrF4dCTMvcm3/SB2l4j+r0re42Th7UobS2vsY1BZQMh+hHyZsD3BOLxEwD g6MHhyJd7V3mPjTyPrtLh8jXCE4aDjyBkR+5k1c3ltjH725wN+H+iTke9PHx2YE6QQESvPgv+6AS 81zNdFdo9KRiTBa3z6CRhXuXKKJWCO3I7uHCMI+Ysd+Y8NYkQv42AJVfqzi11JAkVqFtF05RzR57 sd5H3YfHrY/4q2TV3RV2Ql1NMSvzQafD54oku7jX4rpIGshpBHBaBtvQgTVQbl5bWW5PjA5FtSqU 3qjKjIu6EYVIwdYoihD30uuk3ETQi6y0xRoydLLI2ORVHuD3znf4cKGyOEdKSK1DCHav6v15ZoqX 2gI3DBgltO84xlGH7QIJSsL5yBeYDc8AbemJ5p5yPpf0ikc53Hde+Z8OKoeoieFo/njjRPWIG62L GIv68Ks0wLucpnXxZdtHY7w49ObgoEhv6o1J2NRywTffemejoCWV1nNfZ13REDt0smL0IDKakDdb ssOkQpNq+MPK7ix8np+4NwSuzqGG0UZGgGUzt4t6Rj4ZSZhwYk8zBr4lFKY3SuirQsQy7/F5MWRY 9fEGBZvM0ryfYVe5dzQYPl5O1zPUyKRC0/Xa/SxTvv7lZhi6ibJaFmgPBlIlnn7XOIZiOGBpj3ll y80sU2a1CNHUCs+axY/cfg2B+UzQPQOGHvhPBmSGCDtjMTtSfrCLNBuqJmuFkbZzh1kDy4GINaBA QG+k0ByKSSoTtliTGOL7Dwe666WbdwfLhXvoYVjDX7p+FwHYv/OyJ5eNPNN0aZsj9rV1KoKpyK5s Q0lbY8h3TR9GvUN2ueG6tg+O4mBd452veXaA9Xo/saGnsyqmjq09ZJDcB95vlf+0rPM5SVtxgRJX bkZ5AsVKilPF+zVzYHfUj/O25b7FQZPIaFxMnNYriZy/UJ2uStG+SpEN+wUFJKMQAOIJ2CgCxJpp ZwoB+UrBJgzPzEjt6Z2y8YvPwG5IfcLiH2YXjdfWFiR0gOmJtYDern28S9T8C2NmfTZd+VTlx2XY l2MTZk4rekI9ZvH6178hE52lPeJK9SM49+DFXazBKEiIIsOum76TWhbMGPOqEki3izZrLSjvD1ry DE0xVa94QoqLtLXpaY3/CzJr3zTg+J5gNcsRDmTTeJxQ73lRJc8iGd+ejlNv4iZ+NKqerg73EKy3 zZqos/gaj921yChu8hDgq/FRqBaNuOamKQKPrKIoY4/o+40dE9RFcrktpTlU8t12RiC3p8fcGMUX 02ZK/mGpOhKF9jNQQ9CuSMzv+YW/gPvlznY/GOaEvty7/4Qj80muvku/PwNWOCgs0gprRfXFtyUF HEaxEKSd6E0SohD94akHJKi7dUovCa5nwZAFTo0z2fSt9I5wHhfBiH7EQs3tZSu58c2LDSSlCXAW 3mhPDT5N2QBCSdFnvcC1w5T0PRXygGyNqPcTGSvO9OgusGQDjGmmK3CaEjofmkXkFIdnK4p7+Rl0 Z3xxyz+eL7BCE3qu5q3NFf8Lsq3+7c1qya9/iybfW8Zeyxb32R9M+Zt+Eoui+WwrHW210PUT5Wy6 0iTHWXmMaXCk8wDUGLw5QsX1L3KJfnBb7CPr1mB0x6XKAXHqAd0xCFhBAwxR56Bw0z4sOOWhSfUe A49RKEdwf1JmPIPPyGfNw72YVPAN8cGbbXoGCnMTnCFy/B5mRpS5ts9blXB12nF+pSVbjmh1ysn8 FHoEbXvVYYYNl19btLV0i+XNwj8z6k3z2lUPT7zSoCfb7sgAcVfocr27Wmprk+itD7BqgbfUrdV4 nUsVclsV5tUMTW5MVFyBeHZG6TVDS9dFfecDGBTUaU93lkuD25aATEEccFPZgg719fojNah26wjn 93XVpRpo6hGHPtqwAIo78ZAfRQmY155viCO8vDM3YkkDzsmJeXHNqRjSGIoAmWbQ0pxKan+5ZpY5 1RMMWdbB+ynn7FoJ3J0WImfzi0BcU5/ph+WAF+W8Ei9k6bKJhnwqTebD9cxHbi0Zb1XMI3oZv9Ha Epouwi/9ZTaja4QMQd3H9/bhDZpaBCd5HUZKBh46MReVudxbqSkvbxv3wJXFIfFTNrH78bQKvnjG pQwXLo81L99U/yDlZKmWAJzUHY/atWYxcV3Hnbo/vGfKY0u0qicxZbz1gndWrTX0GCE5wKKuHIdZ xZzGWeENiwHvQ1ZBIp+h9e2rkAEL5wJPnVh95jwiVk5STi1ltyCTwLh4jEnNeWzSI0iZY8VJHnMt 5vxVLh3z1ZZ8TtAB7pSHQQZ6PCFTh2JCJUdXyc3h/AkAPmvczyftVFM03VF8mn3XxsdIHpbqg2KR PM3Dko9w4BtuvSpFCInh7djoJhcI7brDEo43M4RrkJNWbVGj9SPl49Tsx70uv4WfsBxSjLgebJZA VTWoSbrjZA+yAvg63zpUjQysHxf7Ofqyva4eZFEX001U+U+svso3ghWogp/ewcdQaRYIGw3cbSQY FOqXDkgdLa9vwBlifr1wG3hLfcL66FqjcRoQrp99G+CcSObKjN4hhTIMIkB2WyFo0slOAlu/2SVQ lkotSJbsQaC9ZmN2/0Dm45nYHDKzdC/Bq4vT+3AkDpabVOCTaXjwINdO8dq6DZqlDJHU5/NeUa3T +pUufnLcy7mw0G3W5nIyd9htxfj4czzgK2Rr1PS0kxb/Xyh9RkX04M6iQHtvwMGcEDOvzO4PKJd8 pIVT1Ff3rMBWA00ShAwxXh7BMA4VL0HmJ5nkVjcJRhKz+e0GFCxIwU/KlSo4bGS6tadh0ngEqocG zNwRVTYn5QrUt46LL5O5hQIvq4QMWfN0l/Dfy2elhSXlQWEhYO1z/qYAsXH3k4stJnNqjL2SfLDE VfJ3T8KwUSvEedwNWOKoWPORpPo0rJwhjj+Do1KSMBnP9kuZwTpS6i0aAnRCyZv3HV1oDRYH2JGW 15PAixHWjl2USd6i2On+4IVm/bTDgGse2KGzOXqQXAqHAgQB6Vrqae2pJmBrlyCUKWnEV5Mx7I6M Q8Pk8pwgG3yZZ3uvHfMxrKdYZ6Dgpq+w6ej5Tx7jBVayhOZtGIfRY7Cq5c1hm/S+FnOagjlfhDy8 IbvuLrsckkgBjbWOSwOUfqskkMz19AodEHg+xxOngMXNZ4iwfGOPaPtP+0G6YjM3qmGG11LjINLG FzU3qZ/VNIVX9UvpX/54m1Jan5oSlgCF3ZiAxA6e7gEfmw+Tn8ECkBJyHFRg7q8cAAuKhW1qDpGN GEhxVDtikTIgaPy1hbwQG2SZigpXbvLsEqhFUlhqhs6XxqyJkLV4sVOemNDABDf6We5pPmVnUHrd GgFKwkJtEazJFXVCCRzXIT2m99zBJfF+MJ7K1C7VZ5ybEtNMLP40YV2c5G1SQ4m3JMiTQflFCqO3 mxrsyAWgV7L6eK24YYr93enI2XS+CV6GCv3PQxlGfBAxxDKu4Wu1dsL7JAUfSH3Rocq1Fso52dwx ZGz4Hv3kXn1Pwmw8ijneuDvHKTiTZetafRk8rhP2VGvcdQa51Vz8bPWqZvyFp8y2DWyh5gcoypyZ pkeDjf1sW3Agq331rvpfrP+Xc1atoI7dc6ZydeywaPRFnAKScSFZXeDVp3W4p3NTYXoU8v098q3e 97wsgu2+xUL/ZGjbEFEN5xM0wkBlFKmAfBEN0dN2Ga2FV4Jhn7hUkMdEjsGR9IKIcYRBjOaYm2Y0 +8bygrky8wA0YXAmb/GKgpsceOi5PgiPuQQAkBsC2s5E1FeDXlcqQ9V32AGMBTWJyhXwnHvR3X9F 5xM/dYhMHnRvkP4VUNNv0KqmGl364zXdUXR8VhWGHHXUkzhpJp9ebeMgmKLS7eS0bxvU/swSZlVP mSET0gZfagl7laRFJNGH8gmTf6J5uarq+AlXfviCZV1+j0uqCh9oFzMFK7OjtBrB07GuaYcvhjVe NWlFd2m83t4SvHmWVDiHweKtHX+TrqCSgjn/3xQAau2LCrJtQ5+44wWnX9FMjlyh4UC72BJAYcdz FEt+/94SjJEqL+rXspp+gjXrYz2McWseMYp9XTAHnkIg9/0IJR0cwj+xPZpe84geipYaifgxBukK t2d1E6vlgZxGqQsDPaXTCmhNqj31MFGN8s8gRRh75/8nEoAZPAjy+2i/c849h0DdtR2MXhn0DMLr GqZczXEF1pnK/sE7/8LfwJIrd/2V9q0H/3rYtctVZbPuaSXUHnZBhGl5BsBh0u+2I7VIihK+WWZR trUEVaIJdzP3aKPanbW0xfvCxCbuOCp6TYcZhFnQZy/zjpQ4nRjUrtl3U4vEzRyf+wHb8ZKof9L/ QC4q8emIy/Qg70CTIxPGR3XxMo5YSdj6caU4xqZWwINp70kpb8C6kOlKw4fnoLbsX7c+zx+cTSD2 lLfPf+sfdLL0IfLEJIpCQFzxOVAfEamt9FCQZKAhmenrjnJjeGEaVgbTUJE3xkFcvNzyqDzu28f1 72fW7FDLpU+gs3Knc7G54m4Omj19tRk4jrU4TIxMWayQzBFvF701jOV9opVxjKwqOZErHqMFriQW sw5Qa8H28+TkF9Pa6UzI78dl9u3grb4Ztxt769th08QLqKB+IL3CoCldpbPEl5K4fFnPocVnarRo drSXwlDWgr2A02DYblaRBLyGDaaV3xcYfF5IEo3WkTD38bm6PfsYPpnPgyjYz0/pe6p6YrdBIpnC +BfN1BlvbFCSzZ1l7HfqBNgAH9HQO3S7apdopNciDdkX02imCJ33upO2FyLoT16SvwDNhX3CUF7E c4MmuMTSEOpK1wraLRkotQOAx0HxUAAfxS3oFp/5TJD8SgEtdusgpkvSEB5DSVTBGkOH+gsJWW3P aYI1sHqXx9sL2hlaUqW3utQDApyxotdcAimcZQ+oaoNbwXpzdayT/LlNQwytjfrp64EWQJBvXPR8 wWLa50PjFQNyOLcXvL5P9Ov60S7gIvkHa0D1OTVYwNqIpITYDRSKzE9o+T9S780spr2L4lYiOJaa ZfrcL26/5AOxYHDgYLu3Ri6KT6nnm1N1gANHvwgTVt/e7ZJf/RfVB1OvxxJg7O5R92oQvbllbW1u VYYDi0531I/WUVqjIHGLlS7173YtAAK8br91SXW9rTVuqINsGhgPm/XqZxd3o69n7XXupqkMM7di ch/mWRfAU0p3Zs/guong0y/EyUzi2ufEO0WzzgTqPzmR3so8H+dLgMYDlFbLfQwoVRZZWiWlIuWH xPtLp8NAdl+1iAM0j129aTP4BxDb8qq5B+nL9yy6aAzHG49gvkZBRIVXl3hUVoSGyU5dxSVJ2Arx Gvz/gw4Oe9cF2nwOmd9E3kPHeGFUWyp+uFQm1FlclG+aQPyjgRBW+4w5Fsw8frGJQ+6EjPdEq2Pq lKMYAojvd95MSic9qk2ElTUdWQe/UBbR/827bzYu/Kv/6atiLMrVLYb2VKrTei60FxUJZl4hLk6+ ZpB+pDLZgZKT5fItmwVJXxeruCEL8gAfsxM/rDZZi0/ixeVCutdBekKXHRYYEzeF5YFPwcVRkvqW vGqlYgpWcMuLq/wUM0n3Ol37DJDTKsVty4N1tXs0OnOhXckhakn+ZeCg4wRMUzGg/huAYU/aP2Xr S4Dxm189ZG+lOMD+XX3AJdQTq9F1hWtrC1GgNmhmeRgCt7NuGx+i31JhtCe5wAGTjX4GyQZ3ig0N csvF0N/b95vNuU30qRVYYpPLjzuXdFrQb205S0i+e8P3u71dMaMreyiDTOZ43hQzYsZ+8xMMgi6/ zN4B6NsEivIRHMtCn9rHk6WsQn6Mnekp0npkwwCJJQ1LMvn5FaR1YYxzVStATUX0MO0qJ3HEoDtS I4AzlJNyZGcUWWlVrBe3t+Msg+TIb++zn4HJl6nP4tYe/tovG+GNvqA8k2KfGyv70ght0nIm4Dh7 dIFc+yq207ZbnjiiEUp+yY1S+X6D9RHOx6ZweDDUXT5WbNJMJapHlg78wJmRDGv6FAuO3G5aOhii NrPSm0bnwXBOj15SfXqq2VmX4TZ50qfPsTSJL6d0Hdh3vEcGwV8HP16oB8zVmAzyk+L/of1hZ/OS 24VSZYSkmcgCNmwDA3vm1y2Qzpa4MfHbTaWYueRjNTLzD8DDEzphy8talhkw99vru7LqhlGI/e2U syLUi442Qjq9phV0MExPbAW19mAplLD2aKzfy8DMQznBACjDa0Q343LYIFuOu9bMJYjS8oUQ0zTl 3cMSw8U9RsMRiFtkLxEVRy6jL7zy9Jc4Eh80Y1VNqyOVPv7eNj0Q3hmOSlhWNnRAJnL4EeXAaU0R DK3BlmMIO4VEC6Ng69nuCeNnshYhUjXUZQrHfWruFTX1cloixNu0ZViomcAEl37iX6oihYQNzUv8 a6EYUsQ9ydD2q9EgXgCDa3C4ktE6Dy9TEiBgkETLkLNj4Z9SjmKqWiols7PsjFMZyV2+4hgyhT/z Yv8QgNGyhv9yBwaO0FEv0y9blsMeR14Odp0d1OBIzRuPIkegXZ9dP92oo91xRUJpr8ZTPWU/9HJ4 +scSUlT46WctViJ1We3SxIvQYULyzpKvw+hWIJAv+QvFtIwMIhmVU8Cyf1l5qbRpLmBfZumd1pib 5vvjp9L/Ahse2iae1R/khnxN9iv5Y07MPYqd3PE6PtOAe/hKsvv/Tpo/vL5ION/UpwJT7dZCbYSU MFttxysxwxZIAlZaYNiISdEQWTIdDlI41xI2sMuP/+BsORpStAE2pdUC32wssLskWO2Kux2lZLxc vNn6HuTQPNqSE1uPf4vtk3YZfQ7f8Qz4ht8Y3uXxM7MS6aGdiDCsEMCfVMlOPvuuyzeTYII+giPg VqScBPZpmI71ySmN0bUUgyMQ/9CZLukeIyP75UqZ09pcHAwMw/7/Tw+7ArC7sUMaRh8Bxb51loY6 HFN10l0rD0VmUXEU/a9C1j9YcAxsPjqRpm6G8etqTE8y3yObyxUAMFhhT/ZferlOEANc470eioGU DZF8d0PdaTEpLjbG6hgxj40ZY47UW7swV3i8l1UmHqyaZFstDmePk/QrLE6IVEp2EM0TGeEboQ+A wU1832ReYbXC1TEC7WQi3ArX/Uan+t7mXzYw4tsA4kB36QnhrOi/gNCBoKdiVBzeXKGaXEdSJJn+ PMGfandLYTlXOMRp4RePirfLDvJeejSmintls4hhw+/OSBuyPhpQd5eth41klYYJi5xMWWWQlaPM avnZmXp02AdPYGZav3O1DlwZe5jTyqInDk5kHW0xrufW2IW0xK+A6jCuSV9pnLB4q+H+/+aK1Ouc cXyl32UyklwEui6runIZPQLtzxvwT6v4JezBSLulroGzkb7K23hT9bBmXgUtY6McSzTokMXoZiCU URvYSVujEh5SI/ZWMzLuUrQgiZEI8FIISXiEOD4iNmgDgazOO9UXCD+Ml/uVWvUOswWW8BCtfSL8 cYwjxTG1qR7Fy1wx2U3x4XM1T16+0x56FLDzfF4wOVc4sGkXZt9yYjO+Sal/F3nxSLdF5sfJ6q/V ZX3R4JBIMlB/QrHJTvMnYHY2W+pl4jij+UNZAI86agRkc/WadfM1tgrr/8se7bl+KUJvc4S3nlDt inDwcIHMLGw1lBGomR3BqYxNRiTWQkxggkPFqqSA4RQrWBwB6DghTbkzVXMiSnCNTQlDtTDCZhD0 7NpqAYFeU3WADHGnDQfvPSNi96RKseRs4cSqsVj4E0b+A3TdUh2eVkrG1Kvt9B/xbhlja5k+YJ7D R062qWT/P9jOd+2Qgz93D6trUrfgd4tCywDJ3fL/DN1/rZFlVI7mCDWlm6qW42zpSM22EBf9ooko WoBEVYG9SDeJ0WHcxY6xBUN03O78QDaEFIwTPPv2Hsj/tX4tOkHhE2Is9pOPdKNRrATc2ymrHxtf 2D/qjUq3ctztcXDGqXMz1TjTu60RMYERv9xL74S57GYjRWrEf+xmZ75iJjzIgMlIZxs9oZOo2F2d ETKyUnNK1y63/ibuuYDITR0zv+9LFm8JC2v7WkTtIMAKMkOc8TDtJp56+DRZiulDS4Y2omcefjJd 9Y9E4z7ZMp2geLZZ+pv+RSYyhBcQxxRqUnwvie7m+Ipg21kOEIFwFOa9Ls8zP7MNYfuI9b7hha/U 0PjbDK3v1li7WAYNOYwSZd7i/rzqeHPo25uK5uf4QbEauBOvEN8XLtr+XOvPdVLRbgs7Okj3i45D hjuVd2gvy+IZcrITwZqNh59ZM48b+Mx56YyH9jJxdpNPYDcuOpnwDVz+S58V0SAO92Wdvfe3e3j/ dueOaPyrp9iDqq3X3N3GvCwmvS8TR2XTS6dTCQygW97wjyp2UamPzbYFXP3SjXni2Ui5sI0ly9DY BVCVFC6HdyN6NV6oVLxpQ8SmonfT5ZV24a4/t4VEXks/LUVMiS7RzTeCqgUofT/bgrU+wt2UUCE+ VPpjSdd0bXp33aC26si2SaFdrlUqLTIXAJUymUHC1yJ7Abn5/CdfpqFXj4wdi4q43EVXw7ZgcqEY wIWEE6myrR3SkXZA6c5M16Jy0Fdk4fSE5KPB2Di/AYm6dTjkxQ4wDZUmQL/u8TPRPr0x1vtChJab Yb7sZy6HUC0KRayNeXLJAM4NABvW6E2UXWdZq4bqQa0elgCkII80YpAC9rZvH2oPMH9u8HdPyt/M NSr4+E7WqEDwxyEA0MqBtbvcLAGEJYz1U3/PziyvlfbXWAOye3lo2AZ/x6tJcHI2kgbyhgebeLAF +qv//2fFtlTh9pC9JttYv84qY9Wpy/TzTeKGDXFqHj5Wxd/uYLtpo4xSIrWMBDufKPTeFUlkw/H9 ryLJHqapesx2wyjd6hNxc7iAnir2XaNwI1HiR9uKQExmb4pY/2wnYE4dcew4OWwTKyLHoR4a+4UQ f8vYtr3FJgfaaxPBgq02Uo7t05eHo+44oIWFxloBooAizaryfF+1m1iK1QYp+Rwg1aC6nT/A41uD Js3Rh5WtqPva3GgVUbsYK9H+C807T/xlsrFnlbpwgyDg0fVURvwI4YMvpE7U3MZjAFFOzY/aSib/ qbLRpr/sSyn7yLk+ZA4Y3k7IaIyrlkvd5xNoRzudBoRLlmnB0kLrqRf1uf/I9QsleCbO4t/0wMSV zCffmB1OwXZ5/bJBYdrJqXxCLVXNHgfC+IoSzN/kTRhmUMwG5wUvyHyvRjOos6PGQeNq4mTJeozN IZnZUJ0wv+xHJQ3FDctS3J+Z+eQzfw83CMTGZQcIHS+YbgTluwG3YPUtAo4R/zKKbWICeQcn3vMv sNW7F7hBPdBO1/EZocKsPDH8KiLzZf82qXYc3YPDs7mFJPpLhwhVHYdYI2Ax/Apj5CfKpkeU0sh5 7o23A5P9NvPO/2h4AlFQPBPnTrUfIZ15RjmCTRzf0hGcug3YI4x1eMJOgTN+ihueLRPMre5aFih2 9wBddZZvJg67iCDvAKQ+fMm6JPm5C/ziDQsK7UcKhJ/g+JmfugCM9BpJXHP5LiNGxaKWN5xaL3pl E68/JtyPBFh/TaVR4cH30fa5/59Z7jbOoDN4PDetgYDh+fdJ4SFf0gsY1IiMCYYNA8SRn0JdSdK7 fxIGRWUYkZvbTLPhhgZX9XhsHNkU0nVwtjUX9DrC1y3YAHY6RI+A99QODJbpHVACs4dg2JtcZVsD c+7a/m49NLNbqmedQ2erUbW+CjqJ5w5w11T0Cw7GLw6AlsWgetchjJKafSLhbxC1cA8Dn4Fs8NQU CKQzjmhEn4GcsJMzE2aMuQumVzOe0WERqYpme1fqh3bkP+IY0FL8nwCFd4af+r/E6t9ffxiZ9StK SuWAwZuFzxvtYMmcBly8fa0YYiQDyd1gBD97rFx0s/In3xByWgf0ImfUHKXAmG7fb64xL9FHLHyV e2ITPoKQXjZrIcJWmjabw7wLVg3I2y43Rq+4FRTInMirUE5IosdjHvskyBW+TEgF/FoJcHhD/VDr vSr53r/zbPI4lEyoumwfnXbWh1OGVjnT/WGcX6tZypdtVpWOWdeOtiV6jHl05fQMqwladQAcqc5X PLlyQ4t6VuFZ76+70TrN8x+zE3LioXyyq/2YY7TdIQP3CeR669i7KRFfqVl6/Q8Fi02LztMTIAMS Tc9b7qxcTaNhzEBeYPKyUQpesEsrzFNy2fWP7/uctogngxckZO8piYFRsZT3gR3w1ChE/0BdPCRJ 7NDxs5D+9522jCdRY4mV800qaWrOwRoWdeew0fh6WmKNuTiQLgD8/nBfvyV+D24CFsoobhXgtGjf UZh4nRQCuZfcjNjWPxBpHT3oNLSaCHE3QgWUVm0cBwigk9P4/E8g1ckLTjeHE8/CFfLgJG8LpjQl aP6CFEFGVuvfeXuZvzqfyn946vFilRsj2UaHOrHQMnw75KAQi9eCuPDoUUxvV5vNcy9H85Ci4CcB /W1jCaUoMM96HWj9a9pCo9P2riJcLWImhtiBM7LZ7VdmMxmsllN9v4Z1LHKAV4ouEvdCclEgF5E5 nimSvAysZDbysBOSxhxrJ4KAKwSHBhyrEwAqj8UbXRneqq0Jzrv2VdCv30NabLcVO7z9y6QtwcKX 6QXTgpX5K8Wn/+7alGKh9k069O3mPs3c9IVTzd19GpZ8iGcpvQeP8OrFDE6ndmp/51PteUyRahDc HaZmIdvSokwahfgPclP4Mu7VjtnSJUZB+Av6VKCtLOOWx9p7rAa6ClNrjbVov+NTmIZuxdTeefnO Xk7/hUnzwGjTW8DwwhrfVtJiFHmQzlQU/ZB3Ewn3vZ+3PpLbKrBzlorzVyVpx2oqK7WGBMk6G1W2 q1zCb1Tpydp2LelestY5dwuYoZsQPf3kJruqBEohVXmI/+jY4ffpnsUzbWTDpM4IuQMQ1lbJhPw1 hNNO74BgydHkqGD7SELo4kQUG+XtbRZrTg9LA+LSlQH8Pq8Te+giQLcLqFAEVnBSc8aA0MCQ+9XL vhW04c5tBmW7yuoDFf4WVf9ll1rvVvL6qqf4DTIX/Yc0lXvexsU/tjPeEywm5nOuH2NVvnVVXDWO cDy6uQSiyDbegOsgDRXY6rZyDIhwsbLJdzhdiG3YUd97ZyD188rXRDchlLXPs9xguOUS7Odx+dKq 26dAtWE5pNqekel3994ymbg57JOexyk1ntuk9aubJTWji/M9TFhKujv+eJrM7Sar6RU3ScnA1413 YC1fgNjqJ7OJlud8/gWbPoEH5D9OvJO8TTLnL62My75XlbQF3fp873jHMYDT+rdWL4BHQaUOSjiO seFCxdpO8aevOsRQPESLy6ZHbVUB+HEDua5VkSlH5k+ZaK+9L/p0m+rZKMeymWGAu3nFjLJa9GBT 6BFzzhIxBVySdfA2cqMNX0yF8y0B3ZGJRIiMEhpwBnCH8gs76j5ipYcIHtBgYF5Ff9oJMMoHYeAm 1o0RfWIzUY6gbEc+OlOcnZZsWHFOpmoAKE1zdnBUxY8w75A0gFrbLbD/Nzjxhog+CLNGCi652+CR k//7h8N5Pv0tLdXP0c7P7qnnAHKmBOXFYvLY1sxTI/WSZgfXlc86zwTvpDBnW/M9Di4G4TPTHKZ7 4dwJ7tChd+XifSGv+2di+tug0kCLrTB16MqAbTgomOPuQlvZiiJ+ie+wWjiMI0PidcLrVvaKHU0U iZJ47msjh+7UrqjOD9Jf60T8+tgec+OleYMduSyoZzOxbvqwSNUBYMqHXV93oRl1zZ8ZCFEI92i6 aH3/V6mboMDzSHK17QTUTkFg1Q4eD55I6/LED8dWzCkMDmZPkSwLUP/wJchmYjzk/Pb76V3K65mK sn2n2zvM9uTithjrJKj2Ym62Na2JglKvHy7B/MVYjQIcnZRgguNbN/TWX4iVYxmhYOna9oj8ja1p PhRb6Bb1ax49tQmgDyxPCduNNBCwyKbEM4RHixnUyWNCnhJxztVSOK1wUnOv8DiiE00e52JLHBDL TrPdxTskydYIvBrmGEQ77EFdTCQQuVGK6lH5BluE1cr91Vg6Aazf9GNc+q2rHB+hiUnp63AJS7zK nl+yXOxX08EKFiv/Vef4jGV6DmSsDq6iHi0efWk5tEFB2njZgUQ+9OyS+AEEPw31OARLjyi7gqet qQFii/QrmVIrNm8vZ7dKGoDssmrRuBPeYcxzFrAg6igkbdhbHTXg154EH+YkMfUVB06X9Zb3dVW0 UMdZcJ2Eu5np1aAD2Bd9h87m5FyQLe9NfRRayvk9TWlgqPeHLKYy2zZ5AJtAoSGX8IVNFyPl+SIt xZNYHQkLN8+9rL3Qio0aZWa/zhgnvZxkDzRb/d04Tdt7G6WDZroZ0wdhBi3JmdPqP5vaSC5m0NZI mmavN8u88urWACFjjQ26jKzN/5PjLuZSY3C7aoMFA6avTVB5YxMpppty1WZmND+6gDNQvoBOuuhT i95wIsR2/YGKx5Lc3rmPP9W4oDllHA+NkBCG5W3DYbHcu1RVB5TzGOQGJeqw8mRvEtQSti8VN2Y5 UI0rim+vhE2KdgTlRXp3UMNfWvYsGl7/42Cm0eGCtzqTnEPPSo5PxgCQ8l9E84IYkUFZJC5wGRYl VIsSrUAsv9U+8g2bdqlmzeRboHM1EwVCsDLq5uSqB3pBJRF/mNFFJ/8e49s03CVMRLWZ06l7bwCO 5X5Z7ePTqGj/MOIYpT6byxIAarELrRJ9ULm/9vejWs3xQk+nMXs6Cu3fYX3ubbVTRAIEiPZG2cbo w+Z77R3hRzXtq8sVVVpeKXai3LWnfk4xnTIsxkzkhACLaJds+Tc4HZvGhANixLR6mKdmmZHhJiJa EOwE415REA1eZ5qKOHXvndDmoN4J+diR3BM7h9vPJrSIfdm9ZDzOMvO9Ifx3V33BTC+/JY1ZGiv5 xv8odKoHi+n45CjIKa1/Yw1rKR3IwD+BrOuTCDlL6kdmahm3hQoKG40Ot8xfXTvEQpLqsSOKOFLL GFaAC+LM/7tUaEFSQDDyvuTxBy+7NpY2hGPqIrbmEKk52Wc+cRr/Mb7ya0z9q3mkyXZDZZDEPGm4 ZLuzCNur0kOoBBUErOUrMgMnsFQ208AX2gpGFxYDFI+xAaOflUINvNPyxS8bJqDMge/tyijUDaj6 zGgmt7l0TlFwKFj3uE8tuzSw23X0HihAJcVWeTNfLxC7Pzr1158k+Zvp5gAPsvaZ6Nh8Q6RRJ7xH DLf8LzRBtnbFZOUXe9/r1KHkOQH2KBRxb+FFAny8qjH5mTcMFDBJB8IUKSCiZerZgibU9jwDEMLm ydP/94bPHsdwo7GoLD7auTdISSPY5BRBsdwm+2tsCoJ7eiCl8nP8ijZurRfz/bB2N6xBWW9tgyJH XFwmxCVUfX/PDHfIq3/5DtdMLTeEUGGxEAu+zMl+QjpeY26tC4WLhyToX3dfWm2IgZdUP8Z9uCDb zx5Z/eKnN/mRu1m2O36pTxnDnfFoWo1keASApr5koEzQfqqI7xtxlaVnnXbYfF2K8EWgAbHPZ1EP fzupsOL8cWMae02q32uqGOd3K9ZoR/KAst5AbOIMSbuYOImkfjup12AvBucST8EO63gaIdoJKOK8 7tGK4llvz5hgljnrLXfCeF//kOc9BFjSRAsoRVkYamZo0A4Y18piemVfcC5wL/G/wWENAVUNJ2un tSsaDURix+fbUYjAnc+pugLtp+fuPKus/aVqUyfR14wxzmCSAyod3MgZF3Ls55SjJPWneP+0ej3i svLYgSkovgto3gtsjYqIiOSo1dkiU1xT6fxGQHP6pL4/+BqjuMecc2vRItZO9F3lVNCeZZyjbL9U 4e8Ctlu+qiOUdKqIxJnplUz2DWJHCY4HRTOA9sE7XgbwlvxRKuLaw0HfZfxO/dMvAa4yHLka+WRm On59W903XSY6NtIYeRzGOXgXwUFJgkTLf8g5rEjGXwPVQBr+57oZZzAT4B7K1DYBtDLSMdMz5nkU dZLwn+UKvol3dpUIxHEK9VTK0WU7xapdAPgGppOt2SHagu278jkHWD98OAga7LgEi+vsH2adjbVY brYtxbfIHjO0/eur1a0F7xwHsovk1PI0x5k0KK4lfTX0rqcfREtcdeCdtu9xm6/UDFiJx6Insa7p FNqdA9t5aHgyltunU9St9MvquQhE65I2HiRJyECIRGidM4Wk7GBVnUd4MN/1dricA6sgkk+RoWfO lW+oltH0njgrT1SFim4UqDWn6kjaxvGdqNgqRXxvBQjrJMN06CS4ZAaqUBjd4uY8g/O1TQsI8H9e 20fQ7a2IP/4r6oZ4E+Y6QB2Cy5Z4u3Qa6tg4dzDazlcLxqMCAi0UjgOT0oS24/ATpe2K578MFQa4 +w1aK4OlnDZBoi4+GamxW1z0dm8ZQe0hM7pX49BghCnKqyPvG7f3izxT/pGBSwtfb913pp5LXPxd CWAewt84c8u7z83GnO3tcovhSIiEg/UqQT5psRAu+Mc68znoTVN4A2sFHkF3YDZQMPHHHIwKqH2C fFgndwl6isjKkqwelebSA7pTAkIj9tJo5r3Nsbf8VClw8dTGiKIzejclH2nOOVBnBXYBzo2MMk/d kJp1tZFfR1zO5pX/adPV4GWkgVOg3H9lv2rL+pirMisw3kdR2OXDdX/5RfV7YrefCa4C9BaMJHJU iWxZDq5GyX4zawvZLhrrYMR1AzSTX1OhahKDtjHh5EIRRdRX0jSigsqn76KEWvP97Po7mqLzUsD7 jp7o/cgicz725ew7mBYJvxDF3PjtiwkPrOwqEjVRItoJrrhCizCr3hqSv9gezGnKeeLNZifhWO35 kMjjDesU9e7Mn/rfqqPUbQyy1Wb4Xhqek2UNjVGt+rjuyPej4cIwGKTauGZmUScVzo2jFIApYhUR kVdYaIiv0+7BFQL9xjJ8B+1Iqdi1itignn/xU/iLQ+Huttp1mCGYDBO77m/qC/AtspwnW+W0rIEc Hu13WaXQGd87TD0ArIxxZaSNMurCf3qHs7n+eLXA4rMJZk6N5XGfG5ZnyXf9vYrEJtBmURcVX8Bv pfBkt7MiPk0oJmluNIHiTveooLJtQF0gJS02l7fiTj2Jcvus+I4UpN133jhEl5N9JQrNn/OEqPXf CdE7NrD2VT+xmAU1qHcIhnW5sDrJS12DzWdRySik+y3sqSLCpnjysWEG6Irck8e7qzaIZpikPRi4 xmOygALiazdFeBcHvNttRvb5SAFy8N+dTGFJzcYZhpj3XMpFgbdiDC0l+gerHHwVWbUQQoCOrGmv C4hKkCtQJ7KW721eenirjYS0IGKU2pH2qZoXBesTJIPpr6JZ7hvv5IgP3DKf65XZCpKdlZB+Kuw4 QmwYGc7o4aYneKmMAzfLJHBL+FqieuKz7A2V0l1SY9JMqmcXEAkCHxLUdveUNvzyXHMtrqilaFRe qi+A1BA5Dr08AwSRkxCvbVxygpJPWw+LbCOmdSxuYcbHOqN/tR4V3DLlZrNNSy5zbFgIKGCFkoQ5 gDyK4l6GJEszuAuf7T0vBnfADtIgBv4U5A3ckZ9Dcft1uT4G0i8KfIlGLA3+h78ddDG7NJ9crj7h 42/bxZqztAa20Du4BkgQWiOMm9Z4ypcCy8mUv8y3eW43w5XjM66a6nT7k03fHepRkiUAT2qcqBNC ijb85AnApWNttNsX0HOBlTe7toYrf+4dfxjJe5S5lrtTh8+RgVpWVhs9FO23B+LPaYMAKBpTows4 K3IYi7/G2QbMl5/wMMzH09yNrdJ9ZzeSGEjNT+fGF8XaYmkMtqQfFHKegnJcbVFD6tHVRPXkXP0V 63FBGZRHrzxa59sKGHhiO+kpf2VXTlD3KAQRhej9UN5rFMpf0h9ERyhInng6S/+aOKOejlt+xnF+ 20ofYQFCJ7lrcoZ/5jjFUsxMNeEL+AkfmuO+2apC5IoRiNbgNE/+YuIMYJcCTCRGdgzaEObb6J1u Sgi2Sz4I2W4rQA/VSERDmZK92A1N4EybYncSg5LXVNhUUhoJlII0haHJfkBHkLK06BHJIgw9lNgm jiWKwQ32bfkDjw7gT5oGKdNTGuqIdFf+hRsSf4hJsej52KQpVhvAielWySFxvC7OG7D8Lku0koIy 9g7WSCJSLtDKR06oyTDVRlBb0N6YJcmFhclW2ixmAQLUujcsj5bXGFQrqxK6w8Zlnz/og4GHwzvT tVo+i1Osv0HKXGRGPHkCfU4gb4xOUl5DnXoEP2EgN6tbfbTa3JkbFpZROXumaaTAu6sxSuZUq+fC N805FC9Lzzu80WwePO4lHRezIOSFyFBRfgLH+TDZtqG5DQmL4USWFDFKj2Bk4d3jlsG8Q49SogWx YPGf8Jl1lAZBkDFa3q9ItZj5t/eXJiAeOrbG3hhZJolYFmLInxBbE+3P5N87ylbgdx6gNIzZUotd zlm6AnkSnclmGxE9xr+JLNoNKUhkp3CgmKVTfkwDYUqhz6g6krQNVFt6A3TY4oMsR/V3biz4ZFTL HPp++A8jMIxi95shOJ66jWAHVQdCTRjwc2JRPZ3kZZ+78G/7e2kJrp0qIcwwdYxpUT+PI31y3Pye 6hCylzVf/RtH+JynBN22+Gs5XxfV0pnOht1uBDpgRVkaerKfnEh3mfbWCcXAc1PMyU28rh8rgMQv PFoYEJRYTZH6NAhufOuOUorJMywwlxBJb/GEPZiCuE38oDwx9yF8tkdG1XV2Td7excDxkFwR7u4R 4Y0XT8bNGu5blDqqjsHreYlji2APRf1SBrbGmrVhGvR3Oy2ggaLJbwPdDFnK+8sEhv5+WKb5B+GU wbPtnC0sGUmrlJ6zOcS1inW8+Gv9tTQlOzh5fuc0ybfqzCb6lDljlPMKkSWM9I9lEUXUphaLzOqi ujMivFjDkb0vSvq3SbNqFKjDjFxHvNQrXy4LgVIMziArvgJdBjric9dhZ/LXH5KMI8u+toFUAOjP K3h1ne9fpmczr548opGP4zJmmj7G3sIGbKaxvTVYd1WGI4D6BTckeouHwpTtsvfuhQVMXyaB55Q9 FM8F0xU1IEP2inUhjSILF+8SJlVSdxMKbmn7HldcblYjv6rakJduDD3ALaA3Z1w23aAdBqlIAYZS SvX481WtIsk2ExVFel3IAK+VO8IerW4cwWO++6559QmGmp6wpWfLkVOchZWukglx081mZw8l4IpC YOmaUCO1I5Ml4fo1gvFQ9TVdso7N8GR97K8M1ZLvHwQkRMyyFyWKOF21g/oA2yxJ1gEFLp9rS+vf Gh8FCfJQ3luA08FAp9KQ7wYkjBPpD9VqTIwuywNdzdQ+MUAemtxDmxrwMoSr5UJI7xKxr6WmE4Yz YEWyaLZP5sG0DcnmtceQUDSz/dVStxUQY52Tfh0XpE6xOLe/QNt5BJ0P2X4eG6F+46An1aHHdC7Z JFM9lx5AmFj94/sR3+xwzSIMlckcXm2izyf1PWjirAuOmhIbkGM9evYw40YeDSKR1bDcYXYUYDBR nbZ4K7058lRrqXXsbV4xdZxWZJBVjdbIeR0fzs/4hNA6d8LwYIzui1pKw7yfn1YZIRRU96kyvlSM qpkUY7fE+H9GYeIBhaPrT2dR1jfblF4lz1nfqC3yJI88zh2CeOFF8qVAoTeT+rriXAQPrJ/NIY6w JqCpN/jBeNyiaofKnjmD06xwVvlr6rV+FXGt1pgOZ3bliKjUSVPj1iQ9OBllnqkuLP7CWs4YGqqK UCLnMApa3O6jvSfvj63HwVw9ZMTC/e7RWjDE84Da3WXjO7T8TQj056PJnSBEON6GRKJ66Gyim7BD zmarvhV3LwOl+kDJ4TgWZpFMI4SnBiLlrRUcOhKy13Z5HdbI9wbcyS9/rAx1IX21P37vgi2rqCNw VSZYq4ulCh8q7nDXfwt2U8PYdZhsFUApPkEVH4XNZsF6biAcVeJMEwiLqOY+UeElvXJIXQfVL7MG +5hqzN36G99CG4YJxuDDtnpQyGvE76/U/IFKy0TWi9h0EgaTPRyau9ZqPwKNVkd5CSMH2KQy4EE1 xs97IiY/y5Uq5AFj1kwrWyp0/jGP001GX6cwg4b9chsFHBRS5vvnhq/+vbfMtFEEiJsoORtH9k+7 Rp32YOIXGKoMWj3cntkVaDC+0sb6ISzbjLoAeSOXdLPFT6ABrWZCngAtU3TbmUSiAiKwqZCggya5 MaSMUNdReGhgQaUKKsuRaTb1yjwoB4IDak/AyDAzpTZcfOPZ2k1jlUCG8ApfYd7Gq8W2vVaOYrHG CV39/ENZTvSGjIEuBDmbYBIs6OadPHFBWKOMKS1KX/mc3jiE8nocyTdA+a6L9G3E94kqmnpIw5v9 jg7VeVFVtrh6RAMh1xACZW0dvOByk9Yde7hYA53SckjOaOUYyT26RgIRT2ToZt8yG9Xku2VRdPKp ly2qARZm5rr3/TQnUWrGGPjYKBRvblP78xBF/tSsAKb0wGbJReVT0aTL+WFOS31d/QIGCpOGxZmX i6zui3/wlEExjRaqSHSNLckKjiq5+Erdhx84kPT/8tIXlvqr2RW+7BS/7F5w9Vn5on8sKR94DmGW GURFAxNcF3pJ7f0Bd8k1vhr0VNg4c6sFo+Gk9v7QSctf9rEkFF/lsxWBPn2RLxhX7M9GW7oeiGL3 nv6zKRlfMNM+3iAh5byhm0gOYdY17tmTGb1CVBXomFmNcH9avM3yWAtGw/0bmAjp1trSlZ6IW7f+ xSQZ8pVH/ee6BznPYx1fqjEC7GIw+JzQxctAt1BVedgqiBS8Hm+78+xpC7FNUVo3iSybuxu3S3cF XD0cEeo/HHSJVdVdzfXTJJNo34KTwBAwcoLcbUysmnDk5640owrMxyi1oM4W4NnBhp4VmhIAdyI2 Pak0UxL0g+XieUtboIgQ1Vs2GF1al/6HsH7h270HE2ZHJaa9ZXC8CFoNROw3dlrnmcKK2/OIEKCU cttZP7Hrmfd3qqeLKhtnrOvfJ4olXwND1fWWZxUuUyfvsJAGKvgAlDuh+kDoo5QCCYuxOHd4TEgL 4N2U2JtTJWIWm/w07QEbIsdtQ8ygc20IQs6HB9Qe/my/af5FGw0+uKFMT2E6zkWB0OL/Q37axTx1 vYe7EBMhZiQtjBfVMYxDQ7koSy36RIjEMBQRWoqhMF1MHH8BdlZ507F0+E9KxYkSD8asr5hjsaze TJv6eOhPuCuiAhsDfYX1xAxjUGK92KO+2qmogAoHBGyuFibQpX6kLKaoECSQNYtPHhprstLdTXWg A9LU2LzElhsCPuMKjPyw41mxOPySzMM7R+M3bOVVLkL73hyVvgv9vLh9FjfOvoUYJyoQTY+cSkMf eHOGXAe53RWbn1YMf52mIg7DcydS7ey+jfrHISnhkgPSMB3Iru//nI5NCPD+E3jvrCpRj3Do3tMP yXNmB0EQLVtP++vR4+qN+JlSGoICthUQBoPmOKSASHtjK3G5dpuzRb8B+h/AZhPcDT+gCSE8AlVP 6Qu0oN1AGHXboFgfzLR1GkE/sSBDa9xLBS7pBDtocvxJixCpxa50dSntKPLD05JPi1ArRB9Oe8xD j2AR+UhVzlhtqzeo1WYCHedO9/sIMIH9PVQWOXA/wdFUw4hS5/gsC0TGGw7dFRI+dY6pbEaTqx9V zbwQ8uFBuRywIw7CvQ53R1iugWzsyhBnoLoIWHGOaxqyw5NciebnsOqZMZva3/XKHF2ir5kdFD64 WfLjybUeZ9XdH6+D4Fl/lITDT4wnYNEMQRLdFWSDxmH3qA/oDxW8HV2hN0BibQRf/OeCS2xER02W JtyAmzqgSJXVLEXlnui0wW59jr6m8sQkYdVPPETaPtB3Qat5cwJKjlUdFlMGBxNo+UBYU536cFET x9MUW95DaGBYvufKsBYB6iCwaG4ijVx0ulcfbgLLM0IuaZrUKF63a2VuNpcoCqEflGHveyrOHcYl sRd3nFh0GiWKsCqV3Zd7dI6caIGqWOrIl8OVde37NtL07nurg3UW0ZXMoRFNqTTAijfau4zdwax7 p/9M/qkI8pGFnonqXr2B1VbbBjzXgCSCYk8frpQjPAqqLSCtJ6qgdYFu/8PyLM6Diig7cyiKl2PI uop0yVY5ET8Bv5wffdyJs8S4CMkoX9d7a3v5BVF8rTd/LAgzZENse5CmX2Xy67+kh1vAwvfilFu+ 2H4jMI+D12Cpm8O8ueHyi/mwRjmXIzTalq+j+wxmhPA7xctr2BZdme8bwbG3tkM1jEtOOCf+Yr8Q G6j1Qnc0rZc7JnnJq+b/7sKOjj4BEDIEchw7nmPFTeP8ZxdOVBLu0yAyWq077jUAx7ElkbxWheP7 tYAZacZP1sPgCO3DioMfwczvx0IstHCgBZeWpUAz1P10vPh0ynSRC6iZDme/BKtEe4Vh35mRE4uo 5F/yeQia55MRF9/56tkYi5ILK+d3YcmM6oUW5jK5FIy3hAKbcrnoe/mbxpQucWsTj4wQcEzZuZUb Xh2Rjuhv2vQqGE6OzNS92DzcIiETHXMVWULGnYaHleRABdqNVI3Vfl5zXQkbC0iPhNHX6kLEvl/L wN0MLkGTqlstu6aIf7sHosHhRRhwebWHdWDu7UeaUIVah7VerAq3elxRQa9WfBcq2Tm1/CCpNZWL t0TM43vDFkpVk/+5FB5J82VFA2Kkr8WawkQ8Bfc9vehEhuntS74GdDNSvI2H4AHah0jp1UFlB1S1 hamvHHA7fKd4fF3c7iLtQIkAr3+i3Ao6AbkZI/xC4btZDVaXYinLC+GsSgbwXdJ7uMLY7lF4KR3w 7e9B/1J1Tgd068u2AbQQAxoazFifl6YdZwf2d3jUq/F56rRZjjPLyQn4tUqHwYFIFoSw/6/H2XC3 J8OSZ7jazHlWxXG+k3OsU0TMktVSrN0+CQvGUI9MW/I4Qm37SwPwNJFUL1s15s+wCNFh0OwNzYNL SozNSipGFeVPPUBE1dJ01IRHneUU7jk0FYMsShcEIdfiXcCpRn5e10uZ330hT+2ZbEQq+k7za3vy BNv4dyLfNhwJlgPSCOXYKNgI9QCYasrUcXsS7RW9rHLqMN6cqzkC2a/bVJsLyH7l9f0zd5T3dHHP TUjlS5Jv0/7SLExRJDhkqP8Wq7TZUdcpqPFXD+YQSv3JrqPm3VlE+w3lXyDs0r9bMJgH6KLzDByx 6cU6zcvp6GvcvLalWgSH4ptvc4e7OkG+a0iuDYblG4cTlIXK6rUgCL+sgTnaKnC2ANBWSYIQ7pTM SnfrJNs2odYyLc56ZKrOCeUgfR2nYR6aaKdcRKlKL2ZflPmAiFtiFepWBNsaCNJ5Y9kqZMPz0wG3 9igNSTbJu0x1lF4e5EjAYsgdBO0yDnjluuJJJN6oW5A5gWcYeMEWqjsPyfKWiCD1+SSnEZC4g1hr JFK1EtaumQy2q3Sy/DdckZsVWaC7GYTLLATz7VrOZ7HxYsyC9pvkE9pk9XGdFnN2S8PlhZCe/BCb xbKmoL939zc5p+muwRkNaNNKqS0vYnBMB5WeogsBiUqSvp9DWexKWQo/GBiuXF7Pd5xsFDazpOlp uz2yTf2Qstg0RDgme/Y0IlQXe1YIGeo5UzLHCIRk+xiTiqwEb+DIJn+IFC7y+4bgnk2DE9deJUc4 eds2rarFQK/lppitGX9b/AhEoZVa7Hp5kstvtzFUdjdVuRyhG/AUnort3PiEchfInNZ+pN/L3fB7 tpZdZfUcMhwR1VGRgXCRHNj7Pc9Mb1WjJ3tdw37LOMjKUjdYvotrmedesFZaiW4YKr9s9dtjuXej Gq/xpTbSeQ5snKtsqbn62jQDUis16a12wf9M3Y9L+6GvtjVdTfIy/1rab8S0Cnd1mXSXZNiQjBGy e+4YeRPSfn4CD2gpODMB8jf2Jsk7g/OA16lR73jqmEBXLIbBpodO9Ut+QR12MXEFucsCfxAzUlF2 c5VuHOfbkgXz55BtIWKEEhPYd6qWE1SIbHszyPPh/EBVO/0V8ovo1UxkwCxWvdD/r0m/vhjpb8/7 /OMqkrfOsIsCfGoThmo+hPeM4KLXLUGs9PVDUFl+3ENm+CZTgnLLArJxiAtL03Mx8OIan40b/IiJ sfnj2Xzn+fJ4DTiCADTxGUilGRrKYwUV7CrEn30OOr2oEVtpPScerM7NgoNINkWbBgLciD/QyxlO R/yyar3oPXoZuPXSzKmh4iKE0tdgsoyT99uBiVio1DP2FHj3kUxw0V+DsC5hA1TLvClUjuHfRMCr 9xn9UbsFebFa2IxlerW/kKQ1EE+sWg2l3udxsbcyC5mCJhosaUktVE9tsbXeogGkXuXdFoaR4b4O IvvdRHrjeGzgNP1mUsY57PDW+RFdff+FZtfKvuxbaHdnTJvizCXGf8BvqYPqq6/qsS4Uu54vzAiV 4toMHMTolazDVM392JRZq1hRrv/866kO1Bcf4nUg2mJ+vikZI+F2Oe+DzWiRtlel/+fX8W9qsPA3 /npiqakKX6c1uvF6pSQvKC7I44fcv/09Hw1xTNVfmXnvusva8ieh99SXVLzUZx+S/M/LPu15VEkN 0KBcFOwFHyx497F9pHUEcICex9HIeFAaKE9y4zShTydLWX43R7BXhWT6AdCvrPWstrkqA7q1r9iS K3tB7svuo/vukwwydE0RGB/4z+fg1lrG3ksQGZsJUt4gG+XiRfZPlbWhSLwx86PV8o7SXHhK3p3k 3POe5/A+bFHP+tG9Rb0uElagooUO59/YURusZehBBAryDDSZQE5iqD1PJNvkzquz6ftUFMZwx5Cf Us1x1tpptSezmp4dlqlNtW420MJpB9BezAstWtApXfb6NUx9Sbc3+a/oQu/uCor/eIwTDuixYaUW IRpcKZPbJrh3ZGXoKKv73uivX0H7p+umCXUmTr3dgoNdR9PdPXHs2S5CO9JHSAB0jr845ZwEDlC8 2zFDk9lNtg3uFsU3cRQxu1qmXR28CuzT9wbng6raeFuBIWtQnaGKXwsduYxN3oGjz80D5GrflhvT bKq3GidMQ7xzzFzPipYPzYB8Mcx5qHuqUtfl2lsCbS8LX+tztIP8mr8Uw1IE23Drf5GkF6G4MRRM pGj1G+aEgRX2dtvW7m4lsuBfweBS9TBvgOj5cobwX7wvxV9q2Bxm+w1TOJwuk1D+jNzvzP1MFpsG V2bqboa33eH1WiA4T3bQfowOAdZK0vHe6E3Qs4jH6IAojPAH9V5zfUtKzv8FmLNkqGUQQZp8LDSU suKkkNBnqdYhTD5b9Q3AWJLqIiJWjLIX+6Jwg2G3ApI54omeY2TYE+qkGl26/TWqNy+lnjEZ39Aq J2ZRXWKaEP2SUSSRd91ExmAxrfU9zkusZS8+kQuMrTQdMjycLCXS6VAwGIP7e4N/LDUNf8gTNtzn 3SbrLVN88REQUybpzp+DOSkV1SmpX/OS5btvLTlOi/UzWYUC3GkSidRAVbesks0I6of5gkNFySod tc6fIxXD25kyQ9n0EzewQr7iWCnWlbiqbH3wM/wJo/aQJfKo16nwdyl3tzh5t9K6yFPv1KeVKVvj /N+T+rifVoeaMfT18BmMsk8PRNy/XAOX785IdOFwcgFmutFrV2LSeSI3RR3Zci0c0RauXtRIcyKL cIH9ohbjfslJiqQC1mdBz1g/Zl5JI+LQGo5nPjuJnsQQZjA1+BR/jsFzzyDwXPa4PftmR+rXBphL WKIs+xt12zE2j8P4o8F4vqNleojcxrQSdQQEizmcM3kuO1/WnevpEeXHR4SmFpDyhhhVkeblrNV4 Am7Jpc1yaOnMwZKjLYaEnkadk6MZzRwNC+vLmGtVUXBdgBXjaeKmXZYh/ONVMmDVxsbWgsjysWtb a2t/G3YHEWc3Qh6jebgrmUbiG/XJkJG2tnPjgJkF5DXOiuMhOeUcTQVgyqxEHRLkjT9tDsAidPUr FqD2iWsphQA01AcUsDxQ/flUiQNYFaq3kjLgQwKymWxOmB10hQsWpn+QkFDKYWadFgI7vCR8Lh3K n4NejpY8CZwXuegHSVMYjdkQ9NohJU5m8Evdzm/PpekQhigau9b75JmR/NuStCN2Kw9mvfQh5phK +vsuRrNssX1U4EgUUfgZYyQrwNRsoUb5T+MQe7vqmzjHcwj7vhG1nPZmhgmb0d1X0jibzmsHFaLv rlTgu+6quIO5bbGX0eRp6RRf8IITrWQbG3mPELK6Mqge0Q2GOHwe8wvDlWmloQy1AN0Y6e5iL16o dRdooyhLMR4Age0SyZEWnj92yQgmi/5rtQFcOGe9TMOx1KCKNnyvcbR7qIX9X3+cDpiEJP62A340 k3l3F8q839jETxFTuCuYLVdOAEGege6Za2xkyFK0sE25McTBAEGuBEsdLh+du8SYWNDFx/cTKI3b Xj81OWxWKKZldBd/uirKSjWZTdPlBmGFT91RB6eK9zMaU3gTMKolUuLN4bRm/coOeW00wX7bgugB tm3Wp1lhjZOGkWsHRCZzEI523QZTmxVLwYGPK7TsUCxH3+2s/t97eXfuldnaSXktU7t3JQSgF/ki 2EcOa9wqepmkPYjNm1qy7qvUiYcOuLDrsgQoQyzsRXpYp95Napt1+lLwNlpW0Nk2DHFS4Xg6u51i 1A8KgWbC3K22931G0on2GeeutILApoCDGa2Xaz+/ZhPlUVSCEQyOj3dnllr8YpxNb0J0RuC7Z/uz QOhQajaS+/XVOu39d/GNZ6G+mmFaIX6aMPw7ftimu2YXKVZTL4kOSIZXondOByR/L7eUbLyzhvFV vRiyt0Xx8KLHQCxq4PDg+tR5kB+a9DiI2I13xNYZDZkotsm3cnYR8ZrDpU3uTTHIByajRcIIiyWc yM49fLlrys6K5pR3GrmeA6pWsAI08HR+LoGsfYt+hcatl1OanJs1+lUxKu/v25EYRytd3bptVxKH 1EWLcsn1hgBnyC7gG88svJWJ/hdQjuYPI33hYbul77VpVwwHeKGzoXlFzigSkjfFuNQBxSLezIxR YTeMs8JhSqhazqxIyAIGMeVTH81DUqs0F11HyUPL7lK0LbwYwuEJe3AVyTYEI9wmWNbL7ugNTJoX VQR1qSs7WzBBAQrzww7EQTEq5TkhP4lFSJT2utwHz+OzMU23HDng8GSPPc1GNRPmzF14gIztmvoF /pxwCPfZps2rzGd3IwmbSZcPR/qjUsPrBWoMavNJqGDXQjIad0q35y4/G77ET9cMtJ/4NEPXmHYE pJ+cDgKV3B3tLIvXryMLRl2+ZhJK/ak1FH46/9KlmUOvM70LS0DMJzbwlm0UEUJBQzWn1aMVC98W ysvPYbAfN4/7KO6U+Fgqlb9beVRDm3b5c9DkMh9yzKCZvtYwo4ao06mL4y6Sk/mDfebCckkqgJD5 v32YLivaj3OyHX/kpM2FZUI/Y9Ii7L5HpwyUoeAH5Dslzj97r0s+dRS53UN9TclH1CX8G9HnvHmN E0ZVV8qIIacYIX3JNzDPwgwTbXQn6ej6/AHAgwuJavsDTGrsdmMozzDDE6B9mf3DOtOgZegk2Bwf JFv/2iLCO1K9fblWMB4ChSgNl4wFdmr7sOIZ6SQNPeMv4nsy+BIFh/yck2V9TVWTv7HVPpaMYbJR 8bL0n0sJalHfklrQacjUrC2dcmXIc6Yx1X0oQK3Ug2oIBCJDWjm5SgpX/CM3ACWdZ3LvGsxXZbq4 F7ayLoNQgY7r2SAqRYBWtoOeDdll9ToScrNj3OvvBXGbxXBrlAFapkvL7EdxeyKkGG09H/GAtDc/ A6/GRFXTjFrXTon7gx90D/iaIyhmAqt0hP8TE2Vu2oxQ9jAlYk/NN4dNt8LdQWnDVW7pdX6H/czA cbFEEi8uCJht2z8T0NivFD1aTCSApgtC4my4IhXQG47sgMdGUpiGp2dNBe5Eo1CeSVWQLDIWcOiE o1n8r9PwooDs817XcXNv1sG1StD/ZV3m91YRLa69uYBL31G4opUzS6iUFB7Sb90mDy8lBndEzVa+ CD4R7z1NiOT1oD6bL2ObuxZz6RcWftWfQgux2ie+/MgWfoSmSRmk1VtNYeDoPojJreh+CJBPtZuk 6T/OobhlmN8Z0xHTdVZ4iD6879Imsfmpx1WJuvjHw2VfEEcQf3EBZNGEmdql0StzH4oIw+Zmvfwv i17H+kwbBw91rhYkK1D80ywMAmXZFUudeCC5PB8AwUa/AuFjCcVW9dZlD1X3xXA4B/vw0p6VklA5 sr8/DhOJxklTmiE93LoAbgaJ0Txlg1ub5nIC8CIbH2y3m1u4pBXuersw75plb255Gb8ZW0C152m4 CfD0Fdbw4E49YU9sUst2zjQ+GwvAavWezDlNlvpjH3X68qlPrB8kob/qX5/GJV01Tzye1ahmTiv0 VeFEbeP9+NJwwZKnCDqWBHdNHPMVe7WKFQjEY5JJ4K3Vx5oQ10+n/MevzUZLflx5xojq7PVmq2Rb hhwIxCGIjHZIlxsn93tQHyk9NVaXIrKOwKGzAKySzxE0HFtRYRpJ0GvfMOTjuspe0oDjkaEUKsC7 ViFbDf1Q62DhxSXmm2mM0hraS2HtX9cNETQQ3ndY/EUCasqbwoVGESLREze4TCfIz1pnN7tTMblI 1QbeMup7n3qfo+Ngc2VqH7IYYx/t/OLT3zbE+HGF3uoLsfwMX9VNTT1aN3VaeWxLw/EdGkZt1UHL m15ZaZ9rStBZkH/7yCJkac+oKhmTHmSXPfleVeMaK0qzUqaYC4sc6QFgJWSfVixA08V7nYCoDXjx Vx8h+okNBharzesNORRhk+9IUO26eVS5TNYEPK1DSD47CBGtUsULJXUIU4eqzvwtfyI9G1L14HHP jB6lyOJOiuZRuo1uIPfnp1N7AItIvR0bKpW0USJWNsz54811JV6/T4hiKQczWJK7XFW4VE/Vnh8Q /dK3JUp3EM02L5BMCp1BCQ0gdF+xCOQ8yMMKR8EKmDFSWzKOqz+UP26dsaR1jPT0E+KRbtpYmW42 H9VfY2UHdlAUReaODjfr/rBR61LC1zn/UlEyRb65g6LBgdUfRxi2x877SVjyzaba49PjgDSxrKDf w7KhmBEyQKbIQ5byP7osvywEJvpuK4J5F3yImpyqOPufyzYgfvlkpORtjJaQAjfVFWqIjtndwtn0 yp7TGaiMsRqGcT+yD2AIh0YAu8dmDvMSioVGTrRoYmreCkJwzn0M7jUGnHa+i6frsNOZ+Q0D56Am BDBQMnkuj2g1TV2Qbw5kckvutIOURC0fNoNQY0V6HL9tSYCzABrZ26mmKDwHYmYToMYBMbIZPWtO ay5kPyKy5R/lL8rmji9DvAgfnMoyDaW+C598sL7xaobuHNdLUwqSNaI5mcF7+0CcwEaQuPdrvnbB OxCdnK5NPqCYWJUCHrrVw/ps3aRQx5Faq1dRTSoDM0jp06jlSNoTId6GO3oiluQjTnuB4pPqGc1w z7USQx91M/8XAYoxOsVS3DKRfUprgnqEZ8dcmpzJGignaeboWITEH1wZHDc4KL63DvvC1Nuhx1vz 4TBJ0riiFaTUboskSsDiBDe+kYGTTGbSv3xUXJ/NCqnFVduQ80ygyd19OE2PTHbiHJ3YjREs2zRR HLgb53eJKe3c9rC35vQpJaH6xxnFOHiyB/cyb7P+rC6S/uJOfbecpmTPAPzr41Lj7ehd2OyX8k8s SNGKeJnNfxsBV88b2eqrEXS6hlbSS+SPEFmSF+j0ysb/JkWDs57yftCuc7wlNjQw/6VRWKlOhQBE aAglzHY2YoHmLO45k9iyQzRwIG/kUWS+erlOZeA+Jjla7ioV7Ogr/Jccf1Ni2Q3vXIyHD0FPnLZT cbDx4qMYzFj42fL0EeqzdYNj7Zv2wH9rA12y4mTlsqMIQWlWG8HUm9j38kXyO3repP4J6Cxbhm6q 6SHCo1bNGhBafPaAiVjFyEF/rwK3ffzOOsMyTikGTtSHTTtemlFJ66gKNaNHVosiGLu1NX2XPRDR oyr2wIPrjG3g/dWTNtYc+vvR/CA8eA0Tbq3OyUInGZlFn/xApysOXv/k2D8QtPYiBKb7Vxzi6ZJe pKPwMf9zZKcYpbcXEIzlURyZC7ps990b+kdSpq8gGIwYo/nPAh9Pw8GuuHVVRh2EE3fJiX8Wmxgl lbTTdcTfHBEh2azm4Af1FqDJzelLvRsHfAQJbIEAMKbQtJkM9AMr4zf0LIkDhce0xXm8s7wcZ+3n OWfN3cVzDkEB/OSTs3PAySis1krmXJETLpJag1Dbe3XXvG7dZglQzzGEIhx7RtYnK52+Dl4Hgf61 JOMqo5mUWt/nTywB+LI4WpJQ5e1JYnpZ26l+26aTOwFJWe3sNFr4POr07Fga1dWuOMjp9MhvItol QREc159yhofqqW2wa95Y1ZsCFTuVG/3DV744Mg2YDdCREBLV3DqCGeAlWOT3FLhWCbny3jtdR7Ha zKwm0SyjM3GncALn0mmKlQq3l+e/7oiLj2eDg3t13d565HbMQY14t6doIRGwG/EE9gg0luNzE0xe XpxHnavTsERSv496Y5XbQJu7Qc9bfDBzFDN21GzsOiQ2WZ1/5PL8hFed/DC/VZBGrriLnoxf7r9o gpVjjfq0lAiHYXhI1AXpjJYwISV/tKJdODeJCRMSswuGKjosCGKG1bl8Bb32a9ZqryheUE69AKV/ NC8H82+2HgetYKndEGx3nPuQ7eTRiCd9vWqhghCTGSif+ze+XUCPQXMHqMTcplZX+VaY+FTW8Wym LdbErO3WuNzNQywIa09QU73v5uE4OoLo9JXTHBPj3x6uzPyIX/lTsGc+6yOXgspEvDTMbhErlN1c +1vt+/qLMdtGZaN2XoGJW+tG/zN1smL0NZN6q91C00K+RGu6h2I6nIhUIu0xFMtVNdvI/cbM98F5 uN4XLkqkrXi71t738lBhaCkh11DAlcXgHb/doPF8W4a9efnD6rAKvaqvEr8jeecRyQ8eAJhrOV/t bjL8zOWemCE7ecY0Y1H7PZJ/deB6xjArXKkuCGPra/87tym1PcquoVpHSEZmk4M/iMMRKhUEQma4 fOL+gMDOlrNFujC7A41sxWMNiz03KoXM+wiT6gLCd7Gru0Am2PhpUI4HfgMLV1g+Lm5n9HBPfum1 IabD5y0xwUvKP4Hw3v6MeI4cGg4uRi2KTYR1buWBYQYcAD2Tcrd0dKmUaJFMhjGYxfTTjvlyb0n6 rgihRUuMz/hK0dQkkxaygxmzBEcyUnMJKgi1E1dl8U+8eqvBuPnRLdWEsu0dgHYf6aSrf3re4Sj5 Qh0mrR4Ozr3R9txTjGAXbhBR02G0YRX/rW3aB5SFWwGuwFvKGM3nG5xjp1OhItak/UjIXthkpQJ9 ALJob3Ybu982SE3Og9hFjKwyQEvjsxlfPTyTpLm4E+cCatij9gjOf0I412mZUxnClZvS9CdqwXWn MsnNFBVrHFiG4VwDW/Uz3EeYC7AANkCUtXDK7OF76DCdlpnalTf6VmKJf2AzcBdG3pXTZB9IEPij dtzrE3A48QUK+RpRmn4WVWk4KiBPxayM3UKL2LLxRdkvjdFbq6jv9M4z0epyt8GuygYYcsTlaZiG 303+CPZDdRGJ6tQ7Sg8luP8ekMcr6GdpQkLIJOIwcZzKBd7M7r/xAmZGARLS+yWwlLbwufRcr5dP aIuo9G7rays5hzsjcdDyCqGgQ0fJ0n0YVP2eWzwCxpj78kLgujcCj6aJ+0swWprOksd/B8/8hU9F 0g9qDNq6b4+/C9UXdzGoI0ji7jtX+Ptt9l4iqJIWijZBmZ2BOGYPX2XEHRl0ZumBBtiame/E3099 uCtcxm/U6abSvmZwW7raoFuJBmKcD0CUB63iFA1U7ehfnmxXx5/aCV7kDWkl386BYYXLZyc5aUrC e135soWyPFXKR3Ur+dFqn2KwQwCZUCC4b/MC8EgGu6nJ5ilu0luRYc+V4LUL7KO/g/S588b65yu3 1r9GJ/mPoDXAgRtHFnvtbk7eN1rXmwJ34tno40qIa8j5MnQ/cSq5Yk30mqy/lK8G6sVGI6VSmKnt MmJRnJFKnRZY2g7zXVRyGYJ5ltkz7Z69xODlNxF6TMaHxuD/51UB9AbniofuSXx6zU1hVWv+f3Ck 3yyOSMQHf82DjF1KuUBKYNlNwMD0eIxz2iPqpylgoJSVa+773oM4BTQlj7Tq7yBiO5gzJcI9xU1N q79nbcrED94bkROSa/wNIaXP8lxc3RaYnzLg8FIplB3LQ/7AXTu+8SQGZ/Sc+SlGAmVhRK6j9pch QNU0yUZWPFmAhqZPmBek7061hj64EL1ACMnQfFYE8OdrvPO9d6LQbcHZ07sZR4UWGOSm8JZwPWne 8Zrzcr38ZA6By/HckHP/SBytFWFMVzALDQU3s4pVIU+O6Dw846w3H9GqLQHChXhVJehYhL3DptDj t5Y0uehc2irLfBIqW7eN20Y78z3KpiMaFY0fcRYtbqZyrupRpPu4aeXF/yx99VI0Alw9Wlyoz520 pjLycf574pXrUSH/xNeS/pRgtczpKiHGaKydNep5F+imrVcY5gUkwJY7j/9sjLcYJMCJKro87Fdl bS7Wd36B9D3j/iy2YRsi28J84rbduno34S/RZAZYbxI2AjJU4wgi09loTGitKQhS0LdekrgkWEyu ytBw7HQBE7RFGC7oqghBqAwj4p2TvA2GJS5deEYVSZt/BEAOvw25Do7/DZwnZAy9zXU/yJixe/Sk dox2bZqZXLQzrFcjrYUO5qLmCRnI0zZK07dx7c9dVsgWL4AeHOX/NBYYVPyWnqc7sEmhbcBiFVzo y4dWwT0qgNkc7de0BtndDr/xhu1XVbUlTMSdwBp+aULO5FuNE14r26mrLxKU4GdcAbq9pAnZZAWl Mb4TQk/9n8vDJCO2IT4YTtciBa84jpqC7brZfOLIYXN/JskfBdeBFdbpQjo/YrhGcG/qVfx9OvYw gEjNr/2Iu0pgk3BCpbY1EkvtYCgkXzT0RRaotEj9QF7bC6vGYu/V4dm8l86MFFiibvBRbSqniC+c sxu5cQgUmKBRYRtefa+PgW5DDvrMQa+XtzFfM6x0PpjMO05lvS8zc3FZUpt44RCyBuJRPF3f/1Ix 6DAKc5fCSUtxFRqx2xDFZiOpt2JzYHS2MfzQmaPiU2gHO2A1U99eg5yZsIk52s/0yb3eedbRKedz jeLvEP13am6H/4HVXQKJwQXiKYjsGfcaxZX3SrFjDmsPZlEW4qFGjShLQ4LBalVY+xIPZ/3LbI49 KlSavdnai1pe+hWRgpML3jiA/42/mcdKSRN/JDev8hnGAP0AsTfOrF3qQiShnd4tWszStu3bRQID 5Lmkn11vwEpTegCJ0qEDzt/vhGfVqvz6O7uG12E94f/i3vD+7c2x4XiFGbVs9QejNEP//EL9HQ8Y zFS0xJeTvVJ7EJ+m/Y7M6iOBXtHtRpr+m/ATVWro3Pl4+DsoPR4bFLnQRgeIwYWsDQs7HZQ9NNRo 5sUQuGX34buWPfRaEGw3PpJEVCGRIKAuqhZXJJLi+ygDij+jLwFMvpIn3H9eoqoM8qpTmoUUwwPs D8c1kW7GtfGLQXSzPwPNQJICzR+7lPeShNFT+7mAmM2RPPc5p3F4OhSypJZ9jKqFsI3rB7pEV/N9 uizKCEQsvafQvPvi5/NkRGEpo3QQTse7Gd+cjnNKnvqQokw3eEUO1o18+zc1zbM37ppx4Xsdt64W t0esSm4wv9KnO/8uGnMK57vucS/u9oBJmEiaOTmmcGyETo5G+jUY9gDKCM/c2TPHjSujmGlHtSCf 2L9USGbRbJsSae4GaP9sH+1eiWLKJOBYmkGtIXs0ky7xQI+DJQujXxJNdb2ryuHWb/lGBRAJIcz0 zbzJ2r9kV4ZVVgd13h9I+28VCaTKc2jofW+pqLEJEI459UMzxtmobFf0+SZK8NVz0a5s1yqy0HxF 7esZ+Rqk4yXZQbMqqsaKbXKqiLjl9si0SK0CC2OccbTiYsnpg695xVDMx26X2/TSlhtm1+H9twmy YJbSFKFyWPwgXfhhXcg5m90yoPFOip2B9ahz6Kx7bzlxHCZRr1eun4w1IbxxGTWq8HqgavJV8qKa 69CjVTujMHeJJ00eVeeicRHZeqRcFn+Jm/TFJWrMott70JGm6AjeEdr5Y+h+sZ2FmQt26wCHl9iM f+u62ZeSq34weIzTa34yxqcjyYJGvWG2VKDj3j8qEsxEbkpTKT3aHfhvPT+JOpD0WQ9MXFyb9GHD DuSLePT8ZDhT8MMzKQQS9PyTpuGXY1OqzgHeiHAk7q3T0LTGJNv4060Oq/LdDV4/ymWDKI6+AJ9s O0RJ28gQ13zXqEnaQLAQYuRt8u5RCrQhjdS8N1ZH54oKfxboDj+oSaqAqN3O8um598V8S3A084cM hc8shpaJok7x+T7S4zGzdfWflYqvyg7xH/RMoaVVWevgh1Yxp4e5IyW/UOeu2vuxZViHXmmpLOuf NOJQ3M4nP2u7e15MIG1B5pQvu6k7j1sqICcwGrIjKkbjyW+Cp3uwVJNuGyVNkn9w0kIftMZmiToG 1KVR0I36a+VwM8lmK17sJdgZ+6FvBReHROhQfZjRghEdWDLXcyw2VohqYCz6gOhRZBwitLrOvwW+ uAzkcTyEK1CoVEWHWU8rwUDz44xogOfDPGZdE9b2SpgXvwPAakmWC2+zq7GqAhUTvIK01to4W/FK nq+L8aOWhmnSoXiLibcxZ8bkV5CVKiHttmF4YCIORjEWS8ZKyp5WvHt5vpDoez543PfmFxyemJF3 6mUp68MPbkVvcSvmqQH5H7wHLuJXJk9gUvJS0xDdXgdAIn/WZMIvgXYi5TLI4kBDK5Kcz/tjEBAc XCHbEcvAgcHk4VsIuxsaxZw1j8G2KZ7hUvzhZpdU/06+6gh9s9H+kaNUIXzK8DVG3eYkpsN9Z1vM Up36acoQCTGsCGh9xqxd+ar4+RjxIqOObTS6qccpHPnWF4OzrZMbDvTNVrzHOyI2gN1HxOU5kBdx 6BMbE0SKQjP1HVgdZBgvb/OLJ5uZfJb+zMCUc8aGvsy3PiX3CnynBK5YGVXPlt/zMqcQX/NSdYEV 2f7I+Dye1SfYD+HN91ZOeUUtmesX+FxJx6FFsvIKWo53wS199HAJIG7PHDBy/u+5yf4yTW8aea9x 2EkoxeLF2zsz4ISCOARlhtFLpufUc55QAdvxc+0o9WVTc3RL+rS+gT/mNHUP9xAAsCIxTnU6DlaQ kt3XzkcJKELMJ9QXP8h7Uqm54Tlz8fEytbc24hAzsSflH7B8lvsMq2IO5YGz9lZuzOu6J7+pXb9W VIFXkKCkpVgG5OmwouyK7PWy3I7INhs8brb4PJj29cNWehHc2ZNJ366N/zAPjxd02pEV2aIYc/J3 zKYT3pwzI6066LjpZvlbQwDi/qXYcyt/5Go8i0BhWf94aH7XFIWBK7iFaDailkg9eAvbK/NWkzz4 KaivpIyI1K7yE8fZPxwWn0P+0ilyu2uHe/GbD0QCFWN1XaYdZPFXK2pciCrxkNhqeUQKnQNkde7x meurXm4cjLYCGvMLSmKKAcbSAOP5YuD8anySZtTgzPfEc1Xe4vNi//nd75fgjz+uF25oX/k+esZb e7z3/g0viF7mHak5oKtrdb0T8cE9vlDOqQllWO/jKk+77VE2izuf+VycUNnGzZ8w41mzAUnJUPfO znHFE1/JMUbNcgtYn0D6seYzLogHNiK7Y/0RLfjCJZN9pZD8W3h7vfMBBmVgty7rKdHgD0HmVAcF 4+lnkCozDjnhckvrLASho7fQf3HabBvOzlo0n/aLPNcKoJmZf3jC6jek58a8Pmvl0eXIAlJXYQc5 cYqnWkfROo0ZO4FaWvJFioHg5E+PBjqAIwhDOrVqknX/0rlzf89t/DUCjyrT9MX95JVBzF+3e3LW rybot7OcUJjVgatfEPQj34rGs5LrcNWTx232VlEN1MlA3sPsUHTOikY85d5nOXfnDA4I8T4rTY1R nFEDXnklnPORasULyi7wtntl40JEVP4X4WiMpMYWsFm2uomhPyTS7YolAS3DwrAl60/esckuGZ6q NGcWiza1tPtS6mtB7o9yxjT1DFX2dl0kOu22W1PDM2hIp+tAYnFx0yhSXMSgmbDQ1/HjX+e1Ij+H f04iH6+ksLM31T6MzikZ8dX8P35tkrPXj4iS0/qK/UvPjKXJIn03WOB2rXJGi95Fc625VYIyERpg GT8/R29Op+3iUFFmmmpE4F3Jh581KT6wbrLfO5NKcpquLhbCyMlXlv9K7djF0t+phcfsT76Q/dHA gn4mS9jqlsUkYRtAH2NREngFPOiR8ozOzwQV09QJzeOp8/gbgeiCRe2/INFizFIZ/GBL7dAEC1pv mrmCG8+PnKslJvS7luoo9DitSqBq6KXuwATSBWo/F33F4OdQKzIZQwWjBfE7JUIo1m/6ZX1gYcfU e8tNfsglYWfIkGoWtwlPnIbn8O1NdFKoKuK/YUbEp6tbsmwlNcRsoAuLSfxfyFiUsLIsi9oFnmDr 9BDQLEWGmrVWdlwugXz/3N1ytG6/7ojpykm7OF/KvJ+7m4LUbaGJq3mN46FPc2wibjlGjq+Fd3Ka 4qLn+KV5AnEWowed8eTpe/nbbBg7jljSd/3L8NBWew9gj/6CCdCTBRgF2YJwrduVz5IPHwmCqyfT GcFa7cJGCB0US955zewEtfO5F1SNM/6AIreZcptnmu/fl7WCFa60sjl4lA5EnV1kUJTcAXfGtnIb gN3ZGi+jnJvakUzJHX0Mng/Cfsl5YoPeBWvDjWrCaVYDYVWZw0oiQtL/wxmkVY5eV8gmM9X5P7Cg l6qpXYzJr/6GW/K4qCNCNgtzCJa9jTAf8DQ62pak1eYvIwwj4sll2ca3LhomNfyzmf06U0910lXG cFrhXOe6D/NPFgZMW6ZugvPsBqe4NS7F8vYRlv9zmEiNFl616H8sql2a/+N3+3ArDVsZHCO1Q2gF 5JE+HKoZxfx6wBT8QOpq/xhrIj2UOPvHzG4/i+1Y+jh7sXuEMVzzDT3Q/gdyAoryb4cUD/ntFMiF tVSTEZBAFhQ7KbEEpCwhI9p2uUUbxQac2+QJz8Ufk7Pl6LiD3uOCIudpuUwzybTEq0VGTKGksTL9 xRKh2oh47glC+i6Lr4uPnZFW8XJqtwB6UoYWkObNXbsPtudm6WCRPna/yG1eK7CxVjP1m/eo8Lva 2QaYftvDtr/1QY4C2Ibp4CJVybweAY1GKdpDWXCTasyRrovObiTGWahmfwbfUowXZmxLjYftFSr5 jwcZdl9odLrhzqVy3lFJPrrKjtJd0D91Q0bmsG0TDTkelvE5Z8noUVpd2y3Y7XP6syYTjjKSn79N QHJNekeiwh2VjXkl1+RmKbBTWITeGS6TxUpqMzrGjpehQlt4T8n9HMtQPTWMAW3Sfoai+ILIp51y 05iqGsO+OQmMZK2Hh+Wkp0PHNiLNeFUem36OMiTbJV7WCgweCfRG1WaUq4b6HB1b7qKs2D5+p68x PmMs63h5JKuOO5DeNnbfB49bXN+v9PkHK/sXjFZ9u4a5Ku5p9tc85Aarq4T80h9DCRyohzJGAMFD fu8FJCDx/4UgoztMggLeJAe6u7R42D1iAp4YGYP6x8Ol4xZdfw6iJyR4VZkV7mgvP4ZjBNrg+Nkh Zl5RIU+ppfz7wDeOYleMU1qSdva2xrh1wQ3XwO97NmQ2XrptWoh4JRYSKH2BoRVESYlKiaQ439jZ D1gxXvG9QFVOWwWN0sd81OgjDTIkF8bLuXqHvoJSY3ldTNDT20uLFXL/m5Fz84AfP3kERD9Ta8kA fDWi8HWqjitt4tB+lkC869/YLH3DZakyu5zXXWmFdFw2BQImNW6CLODzmjxkso6ktfT0lp4EgIwZ bRhdeE1WY8PjEJ3e+pTY5IYgNs8KXcX1BClG4RWFo2xaMmt+ZinS1jW8zr0Ds8bl9MRKbw9ejIZ0 Qi+VCeEhbowugrBhGpfth/iTP3oliwYmCW9fif1nMq2zA1Cle4YKWFkQXBYsDHcWavNC8ewnPxKt Sog4AdC3DxfwOub7wG5IOqwiZDan9upmffnQS80ll/ZiPINLQWexum+J1LplSqSg/H4hJhu7BRUh JumlCxt/CEPhTbvgL3CiafM42NgGluV2oGovqAIqM4dXN9s89H8hB2lbUdSJmujPymeZzo8016rd eNxugUsAACyBvcjA9HInpUZztjz6A7L6WQ4I1FqZVZbbHqOyyzeFFM1g/bEGL+KGQazfRysygaaO dFv+MacInq95pqMa5VcfRjnof5iUAuRYdF2L51+lVc+8KPsSbHOQo4lwDxBwBMmNgFW4v/s9jVOa WeqSPRaCt0okzLUz0MGwVbCU++WC9QlOmbLZHvreQv/sf1hMP8gYVZRs8T3UYrzihMgaklXT0513 QOB0P3qefvNX9hqUG2RWLAAERb3C1V0cmjkAKJEYnZPyyr0sPWSZtxL795vYZVgZUzIBmvQz6Zu4 MZZB8BmjbHFwFwl2d6rfQtGOS6/I4n5btFpKYSNXANzUp2AU7zWCWN5SSLvkUELgQXSM7KuFx6eH ZX3CbK3txgVZ2YemB7OoXC7diqNB92wmpdY/0GRVWMz9+qgJjC8Ovh+chQk6yyjZEh7gQolBMy6c pBMjONYgmHbjm0iVS2aDXWskMLSO5n8O3OKKQ38q/JWjVXM7bf/uQgWMSfXkpHyX9ikmIDlIGroh ovGG0FM3XxaiToy8IsvCyy21S/R5SMywfAr69hFwhVJLju80ulVDFzvQ3tG2AWzlK69MSumS+WNQ 9/k8ODe2lTx6/yvhmLVwV26mlQAlDXbq6h2j4+UfM1OvrCwC6xq2br/c2SPnFmd6T0IFMfsCuMLs j7E+ASBXKFZGWDkY3aoVl3z2cYKxQ0xp23Yac5Ek3kOmS+P5D/tcegArNQkAiZel1pF6PRP2kwMs BYBB60bxjnjU4sgEbBa+sq180W7kdibNNH2LxKfiI4eYLym66DbDBrOEdDD2lRfoMi5pPlpWapk1 HRmzBMLNlNSthDAPDcKj5GHcmshcRLaVTOz6X0McBuxCsco3mybfc/IhDb/Klerz48tOiGMNLVUs mkxGx2DXWwfs1Z514Fnwg1VNXw+NDH0ZBrxmm6k1R+W1TpKXN8zEAP+UOnPid6QXcRLbVkGFgAmc j/1XlK5jC3tsPwNgstq2jMjzUgkTu35uEWjflGv+2y9ozvueXxbkCgXMnVz3211ZkoL3Jf9KZj+j Ahej/OuiILPCYR6VKLK5tga2DZOKokKYOf/NVjHtylpeZegDFyAbnrRAf0fVXzWY+Lo4td3V4isr pomUflpFdx/eN4iyyzSJ6c76W3J76xWlZSdOgZSkZ/8nRJ7wOyh7TBLdQ2yrr/2pU545IERouQJ1 /3b3b3QSy5dgwthST0r/zavuYuqFNsGBBclIW1l8ifEOi7ev83p5spXDceh6i2ogKRGdTH1rMGb2 9JxGgjo2mwK/YqY+clx0M2WPWI1oe6eKIQu3GmzgPHa0VgMiwj9kvqSjVBHXV9mWVIHQ6ySNgD/N f2N7EArPdpE4p+0Rg/+A7GBzJi9+5sJJNMkSW1R6sbIBtdqrkCO8QyZcyh0wXpnkxHUjF/otSrZz Kf+U76CPEFS/OcfFDGbDdYsoYgrEhHpt+LyNOK0v9qcSuy+lT6nl//pkHOEzzbg286EzbPqctNB3 AW4pU4qoPbuNsYefDgXbEpkIta9BFwK2Xr4oolr/IEb/Blae7i/K2QBLe1LKYnERakzE9I/jhzMl 7ti1X+mf5hFk+nx48OvbqPjPo/5Gj//M+ff9S9EJy5qMUI4JLQUmBl2GsDGfcWJdSPWOJuSDc12b dfk1STZ81o756Fm4h8nhBa1wZEYvV9fgjZkhQ2LBdGLw23W8a7xtHu6X0XVlwsyB+KmacAL3XGyE dXpyBe/nGe79v40mz+jXiAspx/+oy54WL0uPb8DPP2a7p89HscTiaGT8+fpFxRhnB0RxDQ7jNp+8 F+P7WUkqFet0/mW5KEZASSf0OgLXYGT39f6oE+odyRNNnRpH2rpSaFoXIduWBvREAI06V3dcb2Lq ueBge4DbTGFLqSQXmKYCDOwxdIYDlEC3QRY0r3nZffk8WGfuIfzC78n+f1e2M7omQW/QshWnzHEW J2kKl0NTaXvrlzG/YiLxqyTF8yv8JMhj3sYMgH64QDx42WCuUN8qRhWDwcZ7Z4AxdxepPVprXZDi lGacToo68wvggllKYx106o3gUAsFyMLJd4/av/MsjaOpKoILvTKRPO42Pq9WHtAEQ9PRK+C5wf7I RqWbESILi8qlV2BXim57BD9QhyUKMN40FlmpFWVqMVhqUNKFBMMx6if3uy/wg5+0SEZAsxGZ1OuN C0atQoJgaLXghEBLFF0AowQ5ql96odjpIk0Bj0RMsbktZZwlrkQxlu/jWm3rgBSWQudSXk04HGd0 JDJtADfI2EDNHJN3vYclOhjnIFRuiZZAh/HHPjADK3bUavTRZ8WAbAsE7bdke1X8MaRBa0lA8Myc 2heFPpD88LzLNBrBl2/a6e3d6ypVb6U8tthUiOeggO6qvLQutwrjpmcLBnFMYZj4E0gnvYOcZNnk wSZ/7H8hKvuPOuHYeAedqt5EeeW2Rn3tmksbN/OeMqGK97IPHLVkcr6o1XsUwSAxqoncUACjzxVV 0PZn/uHznQX9lgBTpNa8lkhpU3VSjLda0U5QN8z1PZxAWxPfxYyYLMjg8Z/Cv8h60i55sRNQQ2jt pvISim59JQsmcWQkKf3RFwWTsJfE7Jh2I2qLH6PxfGpBShL6Elc61ExwcFlF+a6yWlbB+gZu+8DV 4bsezitTZYUEDCpVSTkBCTVq/lmq/AszbfI3M34UtellDBdOf7rd43ZkMceQdXJvKwjekMvjczuE OxRzmOD7kDgGF36of+pYjfQCSVwIZxnES5G5NVJrVDNq5tMWrrCLSNolcQKFC8X4xHTp5okW/mVS 4gyuQqbqGIab5KO5uzjLgLP6hAgG6EwKRY9Ql2dUF30+vs59oY/kusaySWYG4Roh0Q27FgCBd7gI 7EizNk0yh8SDtHGd7MF9iO4BFg5wl63QxJeBdd55MEs32yHfsc8iUxn7Z1r1sCkf/E4Vsb/aybJa +n4oADrqng0ZCRPLHvHsie5pqEFnlu2QZtDtE+HYtm83BPuQpDZVeklt78mPAV60xruVk+gzvHjM NbT7xdopX6ULe7Z7SqKdatU9AsarH2rc+JD7B5ZOZRNi3Qj9xI1QgvMsDGYJHRjOooAfBBPEjYC/ ipI3qXtjum+9N/22buyiVxw38Ya2fDtQNVNGMl0boAzJ9ol6FlCS9eEIZXz7o7c9KtYBsxQxMx17 JMl8KtL03AkKhb6oViB/RVhbyASUW3u4KumWTDdhu4oZ/lba4W0SpJ8yivv40H7yAP1mQtRZyh/M wTWvv+38r8B0+UnpcjHPfBV49K7XHIcpnNKgyU1PNKLiXhzLIdDFhSEnzqsQsoDjeE53QP2p0+2o AoAuWdyzXrnjWReuiFp4/lpN7C4GOcA2ZMvo9usyacSi1iEIs8fSFo6FxMpQ12sET0TmnNsi+lR/ rJApyNSm8m1AaENek3/Yie3lHY3dzJwCYd+FBCmhyVxA8zzduChyTOPUTUreAMmFq1TeqpW34NwV ao2GcuaDM4X217qovIA3vZhmmgfWIgKALLm+PunsQHRtsvSRBC25Yy6dreL2nPYPAUayx8dhpepW 7EjIh3bOmwAl5kP8unea9l50cucGLCGfM9wxVddQYIcFGJvXawRAevKMcIDM1F8cdafvKJqoSNH+ W4KZvJaHMuaD8QAeXQIOi8Kb3HYOrAcjCCxWS+TeiXgQZ4sXMa26yoD3NEC6ZjHQ9EHpheXR6vJq KGhp5HyPJkSLn8ApfPHM+nAcC8H72laN9uLlscP7IcXZyuRNo564MOKbWeDgmeH/pnW5qGy+cPwa vgFHzmgL4KWHLwfXjqZ92rlh46/nM9j+2O9wRI2YmdQ5OsrUguN68cQTZYrWOeGJB1AiWXZdo0GZ ZcMr3qB+sEQg3JKwcKBesizNoG6Ms24k7wRM315p0t5PrLfS9wM2vir5LUWHYqeC8QDkndqzaGXq 09Tywu1RTUwK0bGZx/DIQjIbbkQ4wbW11qEwEkWPrbXZAHm+7LRs0FTezt+aq43OcJL/QVH+18tO /SF+r1osTdojTeRFG++63zJVMTMu0aLnXxbmXU9dLN9ZsXF4lx/QfB/oN3RMIeJro24+ii7i3Bz7 oa70sff1Y9GIbldeSFWHUbnwYMfzRjw2noJ2FN+54DzeinrgTn+UBM4rjpnhKFwYr26Q0FAJZthk MDeVxIo4z5Y/1g/u99XWLYOHFVkU1adZm6fJqx7h8svgI09zTD8pw/YwVKzlr5qLFSwOETAOx/QT pyJc49KllndTlbKSLAr+lNUpPtQwR3SZHNS/W3uob2s8Hz4TToT9BPUANI7qBwdXT/NvrnmJwcn0 jIjXjaJTWN8zVer2iU7TLYYcgL8iRzPtjiivqghR0CNWC75cUvAgXMyroI1w4jmjT35WIhXgFNGG E9wGz8GDPSsUaKDXxujZfcXqSrYoNX0eLvYE3jm7GmdJ91uxDcmCiRPUa+ZSfEdRbHcuBWwaUl+F Mg/x62sXFthnrbBZ9CKX3uINPupsjWkE7RbOG/YuxqSvDx2IgPBYj4hwZ7AmjvlO0gvhXVSSabmG /5YwzDKBl3cAIma828thlbCYOlaWktH/A5aMiBt/l8wli43EaWcqHdTYCXXquFtDvJs1nUNAigvG y3I5oXrFvYS363AsYAKUcyBLsqAcVJOKdBKHusRPEev8hEZ2YjtuAkozteC6c9S5LO1+XajWdDa3 DnfJft49VlxyyJrS6nnhl+jNPumTGwZ34BhR8EHh/KIOC7xMPukXBomxJPhJllCA+tuMGt0eapjH xLLGhamshbVx3O0NM8223ZbQW/gdukhjB1xxqjLOLMBi7Fl8jFZvP7SRR3zjq5DmaQU5ObEwcbaR 5V8/lck3tNaDrgYCrltfuZ8+pujOa3x/kYIvZmbMpZTNvoEpwQ+eUHP9mwx3gLNyIlV0HInxBul4 khKA0968fQJvdS3d9BJ73BGzdjyutzMhzeSiXwDiJNXvk0EKbV2Tu4J6igT07SZ9+/P3sC6BD0PC ExQOYb7Uzd/K9xZ+f8rEkx5yVia4USzy5ycWBbh2/+ADlt7Ot15xLp6V4cyi94YFM3vU1iSKKnZM ZQSfYouM6trmwc8Hrn61RlfwqeUmuDtPVae8NS9NBaVB83e9ehXEkyF1S9IJ+wjoaR1SLJ6PFYOK hID7ipUn1lvO+mPC6liJWBNEWJ8SrnSCkfZ1bID4CSo4PeMI5oT9xdPMrr3u3e8K7JN3My3ufy62 xGE1wyqAnHeNK2tkfsccoGIRplaOHqyBu8GOsglMB1UIZhMnfPMkcZ7lN9X/wZjuri+Zi5eBUolU Zx26bntnyDvSBFCIV6tfSXQ38DPUclGufQl1cEQKlPvu10kY6ksbKTTI3yxg6F6QKUeiZi9uTFHB Erarscwt2CWTuWfBzn9BN1kMY4pA1/D9lGjhVUr+eXIUMRQ70AABfFDlkBOtPC3RZVhxpRC0TOs1 LyNdMBZWAdU1xGomAipQb9ei9Rf3a3YBtH9ibLrIv2F+iPaedc2nX1Mi6q/u2zx6EByog9Z68lOT 4xtVMGgPHkbzNiIZA+7TCCoS2LbO7I4CkSNQZSqmyb6GpHzHHsfMPOqGfshUns4wJL6FflMdVmAK yiJUIjcSLpXE6e1k9sQyNZ7P7X0AzIqvJcJR0jdJbZjpmmGjiXTRSY9I7Znmh3N324bahvbiQKc1 OpagysgfcLehEnjf/BURQcwcrY6W5RnPFUs+f7FJ21VPv87DdMomKritoVXMFDCzu3m+p9Ekje1x nSho4wpx6IomUY9Mg8/6Y2BmGMF37fSbEFsEaJEhGgMYk5QAYk2vLWStEn21UsfzecXRtZLHfbDs KsNE2hjN+ykxkMKMsYrRR6yMYKoJ8ZflR+zsDIw06A+XFprdoMKkxUg77oUvH2xNFYXTxAby+JG/ N2/Nwztc/5ciEU88PGVOSda9yXFfSShbjLDZY4AKWd64keEDuZx4J2tfJqeSkCPm4CExNPrSMpWt loPXDMLzQOKQl1zO1BoFJQI0XfA4rkKYHi5YAHrBspnkSs37oJHZxxUc6bh2z15arxNcjf/dd6q5 D7I667l89E4kXll/lMNtwOFnE2074EPJ3gGXSWP8FN2rTJuf5CjjeU3gGPyYYPH60y8ci855lc3g 1+GGvj/17wSrLEPeRT5U487J2e6C878cCaLHMnEu0uN8JNwy7g8zmikjCcvNlhv5KBj0VndiUEX2 ZkJ7XkwKiXZ4oORCp6UoEvACiZwGk7XcXL6kbx8QTlCRBsM1FigoK/8V85traSxCOE0NvmtMxVQx 1fbwiem3a/FcRKRKp8FIV4GTk5jKao02tSfJRvB8SJQHlLMbBUWO73FEfd6CR/hubwzW1NPwvLPF YmkMfl/F2nOQXvdxNEeT2T3UB6vqVmnjgg6djD3x69bwlmyuVy3w1a3W7RKE9mHHg8DPwk+EqHeV i+D2umB4k3Q8yf91PdfBoKu76STXtIQyXDg+P6T/HYFg5V2XEl/i5rPYYaPpwj5L1uH11PYVwfWy 9xoy3Di+xCTWAkiQfDZYqsYoLu7CdGcWTKDjDHFV2X0IY73GttsN4wOToKsIVcYFlQ7RL5Ck82mn Dm1kMOD3Ph3+Hx7hOLn3QWH+XUOklY840idopEI48n8gpiOf3NUUsGKG1ktjBquydlcNyP4EP+Gf mytxnxPtGIlxivZF2xIX5gkPBYGvW51Q/bNv+HKzrJM/zDtNjNDo0g8jaoFyv8CGxiCtJxVuN8bC xYHHwcXTOEVfmtpRA2OqM5UOw8Iy8nW5Mq/zEXQSV3xMwksrD6kBg3/pnEfJYbTd4/oviQenxSns 9cxjzGpXlS8dsnG+vN/4ArJtzYLXntF6kaDjvR0rVx+No3BnOFnt6u5jiR5NZSi5wjaFcMdA9MXs EXavaaYT8l/jj9AqjC7eB8HpkGQZu+6e4c7wl773YVi5r73Pipr1KTdGBJyAWMvQ4PHvXxApdOdN Py8SIeOVIM+NMfwfzicEMp+QERoxe4Jb6elqoXLq4JvxAswwti7q6uJu3nSuNZ+OancdOa7nyXKA HDpdOwT0KlXrFf/n7YK/M6ZNefs8fNghlfUnsG3Wp5wQE/rDClbYw4urisVsFTaP+6uwNj9BCHlR gmjjBIxdFo/UB9BXApx7VGr82ogVRwM7W3TBYz5g7rsUChsWq9KIn4KwabtKJDMK0I5im6UtEIDc yAEDlcw4TC8OaPQZb6kbKrk6kD4KvXWVFKX6cIX9PzHOS2MzwxIhMWQVBaE0YWDS5JftlUONCsKG 6Em/cxZI0aD7OcRzPt9WKvHefjkpgaMdwQloqxawMExY5znBhVuNeAWei+aAnLcO2NFgctSkVFut WUyCoPoKeQrtGK9DGDZ3GFbzdFuAbSA5Sk3YnO+oUNMDa1agZj/SuSv8j2nFdKRZ2MDcf04OHwif yJx9JUSIj99V941g5yr/GRaMndb/IcdwwTm5ZklBmp6jV1I1+2NGuo+GmxD3DCNQ8eWqnqyIuxM7 +BtF1xXFhutx8+Efa24+PXkthuuq5miN44ydgFZr8hIknTckw5O3x/NQFlt+CNglkOh3C1PCA+T6 t5to79IjG5681zwArw5y0xHEbB0FvXyAnG12B4Tk6YXCA6Uw8I71M+LXXX5zriXi92AcFebP7Q18 YqqtJhhIudlQiApJTJZKkhLrgazNKaGMJS07u/QnF59N0wQAD+wOPG/dFa1zDYKAgU+p/bf+U1y8 xw1GKn+JXOdmBH15ORzeB3cYmGYZakLrCoiTfRut7LeaopJKjXks0mLkpo6fzySDBByxOIoQD9G3 EE4sPWKJArkUeTO2F6SrZdIGkYBQFdA0TOIkK4+fm9RRcouENqmF0c0Fgj8lGb0rubeM+rpT7uGX PrL9sEAsViz+iLN5O4SvpjpqsJdCF3R2V/G4iH+rqATwf4ZxKKixo0n9C2+quVkmCnjyDGTA+PRo y7V04wlh+8ZCv0xLQxTuUvPDtFzE+MZUw+PcGHb9CovYPZeQu3IXcnZRDMdfy16xp5XsZIFrc9Ku +wHNXp7UGdJq0w3WRkYl6QSKBD20Irys2We7nUi7Q1nXPvvnSR45NVSTNx8CeWrS0l+3IaqOcIQb I+NLMdWus72JOjoUVfw38g/cceEVzh+t8Jixd8V0u8CB1Ezmthv8y4BAM32DsCtnLJAD5GwgLOnZ L5rGgzwbhf1IokgzQ967TvyKZIuZWvB01xpW6j3Sl4hdwCEGAR1eoHBoTmrmayxUsq6jUQvhPuZe Kt/Ys0xe0nEHWkyMmkdbPJhfVha33bz2W1KC95F3yS1hgBl9T0UNurSA3I4pBtYmF0zK4i1HTmws XC63Ag7AbcKruUGZet+4gmVryv0xL48fTwb40y+qRAX4VUb2q2HUupg3a3DtHeb/TMtyffqn2rV3 /BJig3eeGyUu3MKH8ds56edhYya8Ph2QZVgXq0E6I+AR1GxJYfu3IcgPh5fDFDVkskSvB07pNBNK YNZBp4FW4lFg6IL6mPax1YCYa7l300RrMPrly0xeWOCkd1zPfwd31eR3NSD6V6ZLe1kxoiolr/5z hBfKX3PS7qXmfH0trK+m2JGXSYT1Mzq+e3GA25FWT2GZfMisuMMMUXHIG5MHq7Ziz459bXW5kSqK jFhPjVbRs3zy6XMYxLx0bnfopYAj468x2Vi7QOtVdLGIUoJ73jiD1ABiHato2Z1to7b8+tsBER79 H0P4J7VFj5AvjnkZ6SMioUhQO6wvddEPjiKd/h6/u5WS1JZZ8lpjmABhQWldP/ngquOG1yeUmAN9 h4zNEydc5MHCL8RmnIbZrnIq5nshieU2wM71mGpo7Vpi3CSlWEQouDQ/r5o/dhCTasI5drV41mNd NrlRslP/YXmU9c20fIKXEIq52Kws9MV8+SFZyswWXJSZoQ2z+wCdjU7H6ZoU783yvE0BaNwvEQ1z BhSynxQ68bCzLPe/fZa9/+Df/8kaeJsyoQYRzY/jdmiJWas1EmHQctpImgM6Ra5cAGLwepAYl/+H 1nNWGOPlyRnI7qkA4gCG+5s8BItRZIGeDD1ObuZAMFBS4LHbCvvW8NngiaJ1o5+7zKRq9kXDblEu 22lzFu/4TuItOdxlLh4kQ+dd+xki49B8XcFMVpzaLhzRrE2DIrBMhlA6bySuPCXsot9uaag9l8ih B6i6kCOi6vAUJC21+ta630PeGA4V4PgbxvgOf+aC7T2BIcCRX4E9LURXGv27i4dve0GuliR4dIRH Znwaq5OG1SSrGP7WGWgKLJgUgOyEpDnTMZIFT6baXwmInQv05xTYz9yg6F/NIrsZEzLtt05WFdd9 m9MC9huIBSQ9PVcC0lxsVC+3TiTql9CCC5pRaKXSV1kCiEgLJIad6SEPXPFeYO2/K13ZVbTf4Wb5 GGTWIdF8nnAuvRfBzLBg5d+ZxV+aaPhcFZe3IDjUsoYXVeqrzxhTb/8EXDsVk2UFTmrk+WAla7rG 0AtYJ0CcVl2Bg2h/UBaPJu4+G0cLcpLJ7nYZ4H7doy4En0NRshSrj8WAM5ZRiMyjXi4aYZCuEDc+ i3s3l3LkuAnIzmSK5Gaw65xYoW/imFBLdQs35swQ3Cfjior4IFBujL+9LIjq8YprLispFu1cgcC+ H0mjNcnkB3chVT9fpI/8LaZfSUk8xSnmor7SPfiY1i123idJuqrJkthyndUvCGMCRX+BN8Pdx7d6 lmXdCBmHDYXwvC3JLosVfQ9i4Ih/jc01Dau+hraAuAUiL/UHgKjBZkGqikLJJN9N7deYFyB2a4T2 gnXE0cvRByfQmavh5tF1HJtLVFTUGx6UqF8Rbn4oq3P8CqoigCskUdg1wNX0iRXdNx2X/6BTUN1r meFWiuBmM5fnJwVr7JJOI3wqSl2bJDm83QLehVgC264Yrf+8d7Xfn5dEX6fRlbA2YIe5lVxUGvxn VAKajliuW0ca3apdHxAVLFjHtlq43inBomTcs8FtuQb6f6wCdyTm4fPvW+w4GzgkJfNqmVo7BqDr nBxCOfsrbfkhyGaQuRoQ6HvF7fkVmdBSY6S4/CRSmGsbdvTxOoDmG/hOYKGYujViKrIrLT2iwWpJ Dlx9/8AtbUUnKZdHR90Tt5cWND1+8rAcEq51Qn4WS4IWt89ogZqDeBS8NjxVfe3+d4RSKqH0m8G9 avh0qURudWBAPSGGVVpJ5jgKg6cqE7SXmFCnvrOR6NhV3MmJdKlHQi0R6ie6AtNenrDY1zQv4lcJ 1vi5FeRMztbsd+GhhlNk9lwNKcQLX9fl1MDc6b5pqRcgt1GXcGc9DgOqozC3KoUMkig/fLbuOcj0 xxJMkhklQ0c1LZ2oIHL7SLqA0aKRlgdVynMlWDeLryiIrTr+tejJ/OjFuCovzz//rHdZAQgPo7cX scja/7x+BOFvmPyF0FaBfZh9eFFxMv1mL4UI1GwWpEzmBAXKe7jAivNb2XcVSPARwffB+w58oCrK Bg/1xUujcaMvgzQBd1ur/FeVPF3wL7fMKEAzG8nF71c/BMIK/pLYbNIwRAPUssAxuUNV+8BaN6rm CZGNZV26GDAlmGHZ3AGEJbfPsPHBl/Z+8DFbuCm9fmZat5gHOdZybQOvUWxY0r9CsLyh+LRyJ82d MGmsXNWgR3yPeOy8b+S5pmTA5Y9P+NkBv08jrBz/GudYfBolxS5DRNoPNZf4Hs1es9SAQNNfgEks Xp6vYp4AUR9cDHlIdVZgxxJ2ZHJ7rrMhh2WoS5fmFF4r9klavWTIxUiH2cUc7mCfSCIc+Ilr6O+P hLcf8ghZoIOyduX+XpxSuK1oYFP9jh0m10wmd3mopQ7SxC4dvP+9hf604xo0BufMtkNX7VWCXTe3 WSositmUM8fuoGNDOjasxyHKzKV5QXFJ6qQEqZXAS9oMtGW/XYrZE/GHFbxMR+bhIgXzSnLTUQ/y voBWSbDoEmBogNM3rTwEnvXeNITVGFI/RHeVTmonLoMOIuuRLrG8KdDPciFKErTivPHqqOArCOim Knbq5qlksOVqV9GYlWzEk+NhsmOs/Csv4kG5z7X5nA1fmlxawu0RhgZYrDJx1IX/OWeN4LEup34i rPhEXpt5c/ILtFHk8NlpYKHlNRvb7ehcmnoXMXMRROBYqNvda4Wbjnd03ks1/+ahqE+BE7PicyBM i07HN+5Rs0Yd1xsQEEUgl5YLS1oq0OCcOsrbisuDP7Cx9Z3UOPXe9m1zmkCrf8RYTwMyiTkkrm+k Up/z/4zhWIzuYbNTEIXbenGEAtk0IxABialN+wWj3GVp37zc9jkvfyayKyI1k/3dqpYmEcTfujXw mfY0EjNq/+qK5YFUcQ4PE+9ioxspIoo/NCPjlh91Nd5w7Al9xNr6bhLS+RqgIhzI0sbLINYkXdcQ WYYB66oa32KAk8DW1DBzO5ii47rbELPLRC1czyXmhMRGV7c4m/I3HKBZFScWmPM0Ln65xBckq+P3 vP2Keyscvv0eEY4J2iIMxKA2HOZQu/xRYrMOBTAZE6TtPwG2g3bCJ+DEnQRYihCEFOJeVpbOm16J slQT4pM7F9e9mNxn4zxqScgylaqr2HL671XwXxLMAM2zK8YXoEX7kclqKTe0JNp3ZKNi04p1VcM+ VzAE0qxFY1cm7ILxLsiydbgPbWk+sERqCdX1/Oem/G/YHpaGUQO0n1YRIxv7y/mM3s/zwvyFBdUm 9UKIZDewrifJipJ7wbbBDUG1RPNSQpAyrVBb6oXpyzkBse4wQ4/LkZcJ7y+YHm/lXi4FDaPlNuvg tDmoYky/kDswI0ua5hHxo+ROvWxl6wDi25SBrSfdUk1mZSAO9EJz6jOCfPYQCMkjy45P8PSyifB9 l87grQZApEm7qMdFPMq08/trVIgpHmqdG0pr8rjRR+orxmUL6CxOWGDHVp7cKJnq/MuUktnerpYa zsLj3AL9N97pfRWU0xZ9LiX8tTZ621fU7jrlPCbiORFoxx3BgC6KYdVznrpUel7Vyi+k+co3gBII j3aJLvzRThcnH7QhcttjOSGf7TwGXkt8HRaKWENHs4AdF5azgMa/OLi5I45uAfpdkLqrwvP7FeI6 ZXHgOLvQjOsvVn+NPyFagzf6hMcel9JBH/D/sBKtVmMTcxeiQ6K0Y7yCPwcODVfgzdwGZfAI7xcp NITE1EG8T/3Xg89Y3RBuxh23A41aQySOeJyDEAHAvnqiPkTJM+dyaj7UMHjRggNP6Vwcvu0yUrSD D6ll3c9gq/yZ+RnDNKTgwB5YRFIbggBz/4b8MbmbsF4vQRwRNLTAaKEoyLEJeGhFAUaym/ddRnKB yfLy69UaiUlV4NdcWPg0nYWNxUPQ4+ctvHFpe2dNfHcoo4kKVkrNWGt7FY7AnzWSujP0tvWhXhaM oh1QCTEMf+FbxgQUBpbhWJMeFjp6Pr2wynH5S7zz2hj9ohv8lJ3YnDlDnPavDkakijXP67aayWxn JYL181lvKvniCLiyoVQB5+0Griad3HDBkFYdcS4wjXReRPMzTNcHeFLnlzFuUHHMeytEBlIcdTgr udFoF1oLcdSqg6aoT3HpqHFSfftzw45IqniPqvsRxcKO9VTWh2FgKyd5C0Sa66yENGjwoyezoABh AhHSJkPMJyI/3EQbqa6EIzKFlTNU71DEIcyVm8qLGsMK8NPmu5P9dOPMNhPHV/L6f3WOpMB7VpuE fZW3bYw1yOwm56lEi2FovfQOe6shLvdkvVV0LDiBrSLsBFz01x38EfUdUALXUu3H4VRmLnn7MgRP JC9sjNWdC5LYO7D452h8DGMT9FRSGlH85P978h9GHwjMYO8XiMpVhG5wTzVEDe27Y3panEl9KSCz /PAm5UjtGi3ywc2cH1BtjsL5G/s2C8+e/hwJrMqYTtDT7XShZWTXIf2Kqu5zULPqeEU6E593VIMG EQtdrUJr32kM5oAvReVI3wImvMBI/rtIbXd/PIuW/kqHENs0SbAP4M9H/VjcxBcabLPVyUO6PxLU 6JA/PvVYrnCz+RDo6J9YWDc2e8X8K8+Qae4TVvAMH+DHy5qiTnman6fj/RQV/oLgcqcAmSQQxDVK w066mPxn8Dco4oKRcMJWs1j6XK7EpJdGaWALq4rBNiq+NG7AxpzUxErzsSNc4I4m4ZChEg+iVIrG La56KLJZ6/8lfd5gY6+G6qkdPNsUMWO4vav1i9ag1pdI7xCX/rBpEx7Z38JnCnnCRuuFniWh+0yu YlNnOksvVIcTFQx90jSwEhP+YJSgZjIIrU3R6XdraM+l0Qr2KBSQLCGEvs0wSZp8OEUvbOxbyo+P nZ7k/re43J5N/qn/GCEZq1JR2ENAE1n5H2qdtHDup3wm6eb7tITwWFQbPrAmwSGRF8va6OKPCVtR VyOD4CqlencORWeD9QGrWiQEOePbC2yZDuSN/szsF1UxKEiAD7L7ifGFO/lvlNnXqHSTmR8Z+1+R ZxUE506sFmMnnvTGN8NDESkTaI+h8oboZ9bO5i3hbrZT6+i9enO1Vbgv0BdZ3TrpUVlVaYbbHuuM K5XXEZzyo7AYrxtBiKhRVBACj8Qlpo8QAFqF9cXWhlDpZgMlB2QNGIZR3AE+DvBYF3Ddb9QifnBk NodbHQv+y0Wfbdiz+UQkdYr7glQAf3+R7GfUlnuHFfmrYiusjPjHV29pFycByyGRHfDx0ncuyGYL W6wrlUSjNPeAJuMFRkqD5JU6udeqgDXjp4QJTEf/azTcoswhlhwilezhCltyfImyEqu66uT7HNjl Fh+D6yWISEcRZatnBfGQ4Oa9+Is9rUvMJlXmCgE5WGWN+QBgzWHmsml1QTHuyHFZPtcVvOyUjp4f aZtP2W5jI+RBE2IJNNTqDK1I1s6EWCzxwY9zqOozHrqK6GFasIHd7BZ12nkNBFJHtNJKCIziSlnX 94wdiXinJTx22VOMT24vg9wa5RTDE1KEergxOlBVeexBHUBOuwhZiJ5mJNZNv5+CDQbtVMHT2eU2 yEb1I02GVBeGWcCVe70WVVag0OriQjdMQ1PU1JksEmhlpr/IPU9R9pgxZwnHhSxyGjUhzi8OhbaR NkbXEf7dL7aWBbwCPEqp9tY182P9NktS73S8iWb3TjOwJbTBGio/qYCF9hx+0dFlAIZ7WdeRkJ0h RddKyuC17GnR+nsmQ0u4WLIOxpNNtEHwC9LuHmFdIkx61TU1+dJBDEhSTJL8q6rO57QIyBNR+mR8 3OGzzhA0fHEhyRESof6kKJ1Yv4Wx1uUcE6kUW/RW1igEbEOD8KZO3+cT3jURspoi4M/KPFkW8gOH S/3h7ZRulqTCQLljS6kXQNlFiOj3aFVC4HVWiK1uVdt1SapBpzYxclHy0BkV0IzfdM8SleBNDM/F 2nzxOhdC9mwr9QyKDGRfaS/Z/umejoprjfY= `protect end_protected
gpl-3.0
luebbers/reconos
demos/demo_multibus_ethernet/hw/hwthreads/third/fifo/src/vhdl/BRAM/BRAM_S36_S144.vhd
1
7433
------------------------------------------------------------------------------- -- -- -- Module : BRAM_S36_S144.vhd Last Update: -- -- -- -- Project : Parameterizable LocalLink FIFO -- -- -- -- Description : BRAM Macro with Dual Port, two data widths (36 and -- -- 128) made for LL_FIFO. -- -- -- -- Designer : Wen Ying Wei, Davy Huang -- -- -- -- Company : Xilinx, Inc. -- -- -- -- Disclaimer : THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY -- -- WHATSOEVER and XILinX SPECifICALLY DISCLAIMS ANY -- -- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS For -- -- A PARTICULAR PURPOSE, or AGAinST inFRinGEMENT. -- -- THEY ARE ONLY inTENDED TO BE USED BY XILinX -- -- CUSTOMERS, and WITHin XILinX DEVICES. -- -- -- -- Copyright (c) 2003 Xilinx, Inc. -- -- All rights reserved -- -- -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library UNISIM; use UNISIM.vcomponents.all; entity BRAM_S36_S144 is port (ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (31 downto 0); DIPA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (127 downto 0); DIPB : in std_logic_vector (15 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (31 downto 0); DOPA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (127 downto 0); DOPB : out std_logic_vector(15 downto 0)); end entity BRAM_S36_S144; architecture BRAM_S36_S144_arch of BRAM_S36_S144 is component BRAM_S18_S72 port (ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (8 downto 0); DIA : in std_logic_vector (15 downto 0); DIPA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (63 downto 0); DIPB : in std_logic_vector (7 downto 0); WEA : in std_logic; WEB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; ENA : in std_logic; ENB : in std_logic; DOA : out std_logic_vector (15 downto 0); DOPA : out std_logic_vector(1 downto 0); DOB : out std_logic_vector (63 downto 0); DOPB : out std_logic_vector(7 downto 0)); end component; signal doa1 : std_logic_vector (15 downto 0); signal dob1 : std_logic_vector (63 downto 0); signal doa2 : std_logic_vector (15 downto 0); signal dob2 : std_logic_vector (63 downto 0); signal dia1 : std_logic_vector (15 downto 0); signal dib1 : std_logic_vector (63 downto 0); signal dia2 : std_logic_vector (15 downto 0); signal dib2 : std_logic_vector (63 downto 0); signal dipa1: std_logic_vector (1 downto 0); signal dipa2: std_logic_vector (1 downto 0); signal dopa1: std_logic_vector (1 downto 0); signal dopa2: std_logic_vector (1 downto 0); signal dipb1: std_logic_vector (7 downto 0); signal dipb2: std_logic_vector (7 downto 0); signal dopb1: std_logic_vector (7 downto 0); signal dopb2: std_logic_vector (7 downto 0); begin dia1(15 downto 0) <= DIA(15 downto 0); dia2(15 downto 0) <= DIA(31 downto 16); dipa1(1 downto 0) <= DIPA(1 downto 0); dipa2(1 downto 0) <= DIPA(3 downto 2); DOA(15 downto 0) <= doa1; DOA(31 downto 16) <= doa2; DOPA(1 downto 0) <= dopa1; DOPA(3 downto 2) <= dopa2; dib1(15 downto 0) <= DIB(15 downto 0); dib2(15 downto 0) <= DIB(31 downto 16); dib1(31 downto 16) <= DIB(47 downto 32); dib2(31 downto 16) <= DIB(63 downto 48); dib1(47 downto 32) <= DIB(79 downto 64); dib2(47 downto 32) <= DIB(95 downto 80); dib1(63 downto 48) <= DIB(111 downto 96); dib2(63 downto 48) <= DIB(127 downto 112); DOB(15 downto 0) <= dob1(15 downto 0); DOB(31 downto 16) <= dob2(15 downto 0); DOB(47 downto 32) <= dob1(31 downto 16); DOB(63 downto 48) <= dob2(31 downto 16); DOB(79 downto 64) <= dob1(47 downto 32); DOB(95 downto 80) <= dob2(47 downto 32); DOB(111 downto 96) <= dob1(63 downto 48); DOB(127 downto 112) <= dob2(63 downto 48); dipb1(1 downto 0) <= DIPB(1 downto 0); dipb2(1 downto 0) <= DIPB(3 downto 2); dipb1(3 downto 2) <= DIPB(5 downto 4); dipb2(3 downto 2) <= DIPB(7 downto 6); dipb1(5 downto 4) <= DIPB(9 downto 8); dipb2(5 downto 4) <= DIPB(11 downto 10); dipb1(7 downto 6) <= DIPB(13 downto 12); dipb2(7 downto 6) <= DIPB(15 downto 14); DOPB(1 downto 0) <= dopb1(1 downto 0); DOPB(3 downto 2) <= dopb2(1 downto 0); DOPB(5 downto 4) <= dopb1(3 downto 2); DOPB(7 downto 6) <= dopb2(3 downto 2); DOPB(9 downto 8) <= dopb1(5 downto 4); DOPB(11 downto 10) <= dopb2(5 downto 4); DOPB(13 downto 12) <= dopb1(7 downto 6); DOPB(15 downto 14) <= dopb2(7 downto 6); bram1: BRAM_S18_S72 port map ( ADDRA => addra(10 downto 0), ADDRB => addrb(8 downto 0), DIA => dia1, DIPA => dipa1, DIB => dib1, DIPB => dipb1, WEA => wea, WEB => web, CLKA => clka, CLKB => clkb, SSRA => ssra, SSRB => ssrb, ENA => ena, ENB => enb, DOA => doa1, DOPA => dopa1, DOB => dob1, DOPB => dopb1); bram2: BRAM_S18_S72 port map ( ADDRA => addra(10 downto 0), ADDRB => addrb(8 downto 0), DIA => dia2, DIPA => dipa2, DIB => dib2, DIPB => dipb2, WEA => wea, WEB => web, CLKA => clka, CLKB => clkb, SSRA => ssra, SSRB => ssrb, ENA => ena, ENB => enb, DOA => doa2, DOPA => dopa2, DOB => dob2, DOPB => dopb2); end BRAM_S36_S144_arch;
gpl-3.0
luebbers/reconos
support/refdesigns/10.1/xup/eth_tft_cf/pcores/opb_ac97_v1_00_a/hdl/vhdl/TESTBENCH_ac97_fifo.vhd
4
12653
------------------------------------------------------------------------------- -- $Id: TESTBENCH_ac97_fifo.vhd,v 1.1 2005/02/17 20:29:34 crh Exp $ ------------------------------------------------------------------------------- -- TESTBENCH_ac97_fifo.vhd ------------------------------------------------------------------------------- -- -- **************************** -- ** Copyright Xilinx, Inc. ** -- ** All rights reserved. ** -- **************************** -- ------------------------------------------------------------------------------- -- Filename: TESTBENCH_ac97_fifo.vhd -- -- Description: Simple testbench for ac97_fifo -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: Mike Wirthlin -- Revision: $Revision: 1.1 $ -- Date: $Date: 2005/02/17 20:29:34 $ -- -- History: -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity TESTBENCH_ac97_fifo is end TESTBENCH_ac97_fifo; library opb_ac97_v2_00_a; use opb_ac97_v2_00_a.all; use opb_ac97_v2_00_a.testbench_ac97_package.all; architecture behavioral of TESTBENCH_ac97_fifo is component ac97_fifo is generic ( C_AWIDTH : integer := 32; C_DWIDTH : integer := 32; C_PLAYBACK : integer := 1; C_RECORD : integer := 0; C_INTR_LEVEL : integer := 1; C_USE_BRAM : integer := 1 ); port ( -- IP Interface Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_Data : in std_logic_vector(0 to 31); Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1); Bus2IP_RdCE : in std_logic; Bus2IP_WrCE : in std_logic; IP2Bus_Data : out std_logic_vector(0 to 31); Interrupt : out std_logic; -- CODEC signals Bit_Clk : in std_logic; Sync : out std_logic; SData_Out : out std_logic; SData_In : in std_logic; AC97Reset_n : out std_logic ); end component; component ac97_model is port ( AC97Reset_n : in std_logic; Bit_Clk : out std_logic; Sync : in std_logic; SData_Out : in std_logic; SData_In : out std_logic ); end component; -- IP Interface signal Bus2IP_Addr : std_logic_vector(0 to 31); signal Bus2IP_Clk : std_logic; signal Bus2IP_CS : std_logic; signal Bus2IP_Data : std_logic_vector(0 to 31); signal Bus2IP_BE : std_logic_vector(0 to 3); signal Bus2IP_RdCE : std_logic; signal Bus2IP_Reset : std_logic; signal Bus2IP_WrCE : std_logic; signal IP2Bus_Data : std_logic_vector(0 to 31); signal Interrupt : std_logic; signal Bit_Clk : std_logic; signal Sync : std_logic; signal SData_Out : std_logic; signal SData_In : std_logic; signal AC97Reset_n : std_logic; signal test_no : integer; signal IP_READ : std_logic_vector(0 to 31); signal sample : integer := 0; begin -- behavioral uut_1 : ac97_model port map ( AC97Reset_n => ac97reset_n, Bit_Clk => Bit_Clk, Sync => Sync, SData_Out => SData_Out, SData_In => SData_In ); uut : ac97_fifo generic map ( C_INTR_LEVEL => 1, C_PLAYBACK => 1, C_RECORD => 1 ) port map ( Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Reset => Bus2IP_Reset, Bus2IP_Addr => Bus2IP_Addr, Bus2IP_Data => Bus2IP_Data, Bus2IP_BE => Bus2IP_BE, Bus2IP_RdCE => Bus2IP_RdCE, Bus2IP_WrCE => Bus2IP_WrCE, IP2Bus_Data => IP2Bus_Data, Interrupt => Interrupt, -- CODEC signals Bit_Clk => Bit_Clk, Sync => Sync, SData_Out => SData_Out, SData_In => SData_In, AC97Reset_n => AC97Reset_n ); clkgen_2: process begin Bus2IP_Clk<= '0'; wait for 5 ns; Bus2IP_Clk<= '1'; wait for 5 ns; end process; -- simulate a reset opb_rst_gen: process begin Bus2IP_Reset <= '1'; wait for 20 ns; Bus2IP_Reset <= '0'; wait; end process opb_rst_gen; -- IP bus IP_proc: process begin test_no <= 0; Bus2IP_RdCE <= '0'; Bus2IP_WrCE <= '0'; Bus2IP_CS <= '0'; Bus2IP_ADDR <= (others => '0'); Bus2IP_DATA <= (others => '0'); IP_READ <= (others => '0'); -- skip some time slots before performing a bus cycle for i in 100 downto 0 loop wait until Bus2IP_Clk'event and BUS2IP_Clk='1'; end loop; -- Test 7. Reset CODEC test_no <= 7; write_ip(Bus2IP_Clk, FIFO_CTRL_OFFSET, X"00000010", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, FIFO_CTRL_OFFSET, X"00000000", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); -- Test 1. Wait until codec ready is found (ready status) test_no <= 1; while IP_READ(26) /= '1' loop read_ip(Bus2IP_Clk, IP2Bus_Data, STATUS_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); for i in 50 downto 0 loop wait until Bus2IP_Clk'event and BUS2IP_Clk='1'; end loop; end loop; -- Test #2: Clear FIFO status & read status again test_no <= 2; write_ip(Bus2IP_Clk, FIFO_CTRL_OFFSET, FIFO_CLEAR_MASK, Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); read_ip(Bus2IP_Clk, IP2Bus_Data, STATUS_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); -- Test #6: Write data into playback fifo for i in 64 downto 0 loop wait until Bus2IP_Clk'event and BUS2IP_Clk='1'; end loop; test_no <= 6; write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"AAAA_5555", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"5555_AAAA", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"AAAA_5555", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"5555_AAAA", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"AAAA_5555", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"5555_AAAA", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"AAAA_5555", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"5555_AAAA", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); -- Test #3: Read AC 97 register wait until sync'event and sync='1'; test_no <= 3; -- Write to AC97_CTRL_ADDR (perform a AC97 "read") -- Address = "41" (lower 7 bits) -- Read = 1 "0b1xxx xxxx" write_ip(Bus2IP_Clk, REG_ADDR_OFFSET, X"0000_00C1", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); -- read from the status register until transfer is complete read_ip(Bus2IP_Clk, IP2Bus_Data, STATUS_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); while ip_read(27) /= '0' loop read_ip(Bus2IP_Clk, IP2Bus_Data, STATUS_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); end loop; -- Now read the value of the data register returned read_ip(Bus2IP_Clk, IP2Bus_Data, REG_DATA_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); -- Test #4: Write AC 97 register for i in 128 downto 0 loop wait until Bus2IP_Clk'event and BUS2IP_Clk='1'; end loop; test_no <= 4; write_ip(Bus2IP_Clk, REG_DATA_WRITE_OFFSET, X"0000_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); -- Write to AC97_CTRL_ADDR (perform a AC97 "write") -- Address = "41" (lower 7 bits) -- Read = 0 "0b1xxx xxxx" write_ip(Bus2IP_Clk, REG_ADDR_OFFSET, X"0000_0041", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); read_ip(Bus2IP_Clk, IP2Bus_Data, STATUS_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); while ip_read(27) /= '0' loop read_ip(Bus2IP_Clk, IP2Bus_Data, STATUS_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); end loop; -- Test #5: Read Playback data for i in 64 downto 0 loop wait until Bus2IP_Clk'event and BUS2IP_Clk='1'; end loop; test_no <= 5; read_ip(Bus2IP_Clk, IP2Bus_Data, IN_FIFO_OFFSET,Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); read_ip(Bus2IP_Clk, IP2Bus_Data, IN_FIFO_OFFSET,Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); read_ip(Bus2IP_Clk, IP2Bus_Data, IN_FIFO_OFFSET,Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); read_ip(Bus2IP_Clk, IP2Bus_Data, IN_FIFO_OFFSET,Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); -- Test #8 - Interrupt test_no <= 8; -- Clear FIFO & read status write_ip(Bus2IP_Clk, FIFO_CTRL_OFFSET, FIFO_CLEAR_MASK, Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); read_ip(Bus2IP_Clk, IP2Bus_Data, STATUS_OFFSET, Bus2IP_CS, Bus2IP_Addr, Bus2IP_RdCE, ip_read); -- Fill FIFO for i in 512 downto 0 loop write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); end loop; -- Enable interrupts write_ip(Bus2IP_Clk, FIFO_CTRL_OFFSET, ENABLE_PLAY_INT_MASK, Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); -- Wait until an interrupt occurs wait until Interrupt'event and Interrupt = '1'; -- Wait for a few more samples for i in 3 downto 0 loop wait until sync'event and sync='1'; end loop; -- Put some more data into the Fifo and make sure the interrupt goes away for i in 8 downto 0 loop write_ip(Bus2IP_Clk, OUT_FIFO_OFFSET, X"8001_8001", Bus2IP_CS, Bus2IP_Addr, Bus2IP_Data, Bus2IP_WrCE); end loop; wait; end process; end behavioral;
gpl-3.0
luebbers/reconos
demos/beat_tracker/hw/src/others/fft_transform.vhd
1
17668
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- -- -- -- -- ////// ///////// /////// /////// -- -- // // // // // // -- -- // // // // // // -- -- ///// // // // /////// -- -- // // // // // -- -- // // // // // -- -- ////// // /////// // -- -- -- -- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- -- -- -- -- -- -- FFT TRANSFORMATION OF 2048 SAMPLES (16 bit wide, signed) -- -- OUTPUT: 2048 FFT VALUES -- -- - real component (16 bit wide) -- -- - imaginary component (16 bit wide) -- -- -- -- Author: Markus Happe -- -- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- entity fft_transform is generic ( C_BURST_AWIDTH : integer := 12; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic ); end fft_transform; architecture Behavioral of fft_transform is -- fft component (uses radix-4 algorithm) component xfft_v5_0 port ( clk : IN std_logic; ce : IN std_logic; sclr : IN std_logic; start : IN std_logic; xn_re : IN std_logic_vector(15 downto 0); xn_im : IN std_logic_vector(15 downto 0); fwd_inv : IN std_logic; fwd_inv_we : IN std_logic; scale_sch : IN std_logic_vector(13 downto 0); scale_sch_we : IN std_logic; rfd : OUT std_logic; xn_index : OUT std_logic_vector(6 downto 0); busy : OUT std_logic; edone : OUT std_logic; done : OUT std_logic; dv : OUT std_logic; xk_index : OUT std_logic_vector(6 downto 0); xk_re : OUT std_logic_vector(15 downto 0); xk_im : OUT std_logic_vector(15 downto 0)); end component; attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral : architecture is "true"; -- ReconOS thread-local mailbox handles constant C_MB_START : std_logic_vector(0 to 31) := X"00000000"; constant C_MB_DONE : std_logic_vector(0 to 31) := X"00000001"; -- signals for fft core -- incoming signals signal ce : std_logic := '0'; signal sclr : std_logic := '0'; signal start : std_logic := '0'; signal xn_re : std_logic_vector(15 downto 0) := (others => '0'); signal xn_im : std_logic_vector(15 downto 0) := (others => '0'); signal fwd_inv : std_logic := '1'; signal fwd_inv_we : std_logic := '0'; signal scale_sch : std_logic_vector(13 downto 0) := "01101010101010"; signal scale_sch_we : std_logic := '0'; --outgoing signals signal rfd : std_logic; signal xn_index : std_logic_vector(6 downto 0); signal busy : std_logic; signal edone : std_logic; signal done : std_logic; signal dv : std_logic; signal xk_index : std_logic_vector(6 downto 0); signal xk_re : std_logic_vector(15 downto 0); signal xk_im : std_logic_vector(15 downto 0); -- states type t_state is ( init, wait_for_message, wait_for_message_2, read_input, read_input_2, read_input_3, read_input_4, read_input_5, read_input_6, read_input_dec, make_fft, write_output, write_output_2, write_output_3, write_output_4, write_output_5, write_output_6, write_output_dec, write_output_get, write_output_wait, write_output_write, write_output_write_done, send_message ); -- current state signal state : t_state := init; signal ram_data : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal information_struct : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal input_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal output_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal current_input_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal current_output_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal local_ram_address_in : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal local_ram_address_out : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal local_ram_address_if : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); signal counter : std_logic_vector(0 to 6) := (others => '0'); signal my_xn_index : std_logic_vector(6 downto 0); signal address : std_logic_vector(0 to C_BURST_AWIDTH-1); signal local_ram_address_in_if : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); signal fft_en : std_logic := '0'; -- handshake signals signal fft_done : std_logic := '0'; signal o_RAMAddr_fft : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); signal o_RAMAddr_fsm : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); signal o_RAMData_fft : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0'); signal o_RAMData_fsm : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0'); signal o_RAMWE_fft : std_logic := '0'; signal o_RAMWE_fsm : std_logic := '0'; -- 1st 16 bits: real component, 2nd 16 bits: imaginary component --type t_ram is array (1023 downto 0) of std_logic_vector(31 downto 0); --signal fft_ram : t_ram; -- samples memory begin -- fft core my_fft_core : xfft_v5_0 port map ( clk => clk, ce => ce, sclr => sclr, start => start, xn_re => xn_re, xn_im => xn_im, fwd_inv => fwd_inv, fwd_inv_we => fwd_inv_we, scale_sch => scale_sch, scale_sch_we => scale_sch_we, rfd => rfd, xn_index => xn_index, busy => busy, edone => edone, done => done, dv => dv, xk_index => xk_index, xk_re => xk_re, xk_im => xk_im ); -- clock for burst ram o_RAMClk <= clk; -- switch for o_RAMAddr o_RAMAddr <= o_RAMAddr_fft(0 to C_BURST_AWIDTH-2) & not o_RAMAddr_fft(C_BURST_AWIDTH-1) when (fft_en = '1') else o_RAMAddr_fsm(0 to C_BURST_AWIDTH-2) & not o_RAMAddr_fsm(C_BURST_AWIDTH-1); o_RAMData <= o_RAMData_fft when (fft_en = '1') else o_RAMData_fsm; o_RAMWE <= o_RAMWE_fft when (fft_en = '1') else o_RAMWE_fsm; fft_proc : process(clk, reset, fft_en) variable step : natural range 0 to 9; begin if (reset='1' or fft_en='0') then fft_done <= '0'; start <= '0'; o_RAMWE_fft <= '0'; xn_im <= (others=>'0'); xn_re <= (others=>'0'); ce <= '0'; fwd_inv <= '1'; sclr <= '1'; step := 0; elsif (rising_edge(clk)) then case step is -- fill fft core with data when 0 => -- set start signal sclr <= '0'; ce <= '1'; fwd_inv <= '1'; fwd_inv_we <= '1'; o_RAMWE_fft <= '0'; o_RAMAddr_fft <= (others => '0'); address <= (others => '0'); xn_im <= (others=>'0'); step := step + 1; when 1 => -- set start signal start <= '1'; fwd_inv_we <= '0'; o_RAMWE_fft <= '0'; --if (rfd = '1') then my_xn_index <= xn_index; step := step + 1; --end if; when 2 => -- start filling the incoming data pipeline -- (read left sample (16 of 32 bits)); xn_re(15 downto 0) <= i_RAMData(16)&i_RAMData(17)&i_RAMData(18)&i_RAMData(19)&i_RAMData(20)&i_RAMData(21)&i_RAMData(22)&i_RAMData(23)&i_RAMData(24)&i_RAMData(25)&i_RAMData(26)&i_RAMData(27)&i_RAMData(28)&i_RAMData(29)&i_RAMData(30)&i_RAMData(31); o_RAMAddr_fft <= address + 1; address <= address + 1; step := step + 1; when 3 => -- start filling the incoming data pipeline -- (read right sample (16 of 32 bits)); xn_re(15 downto 0) <= i_RAMData(0)&i_RAMData(1)&i_RAMData(2)&i_RAMData(3)&i_RAMData(4)&i_RAMData(5)&i_RAMData(6)&i_RAMData(7)&i_RAMData(8)&i_RAMData(9)&i_RAMData(10)&i_RAMData(11)&i_RAMData(12)&i_RAMData(13)&i_RAMData(14)&i_RAMData(15); my_xn_index <= xn_index + 1; step := step + 1; when 4 => -- samples are arriving (read left sample (16 of 32 bits)) start <= '0'; xn_re(15 downto 0) <= i_RAMData(16)&i_RAMData(17)&i_RAMData(18)&i_RAMData(19)&i_RAMData(20)&i_RAMData(21)&i_RAMData(22)&i_RAMData(23)&i_RAMData(24)&i_RAMData(25)&i_RAMData(26)&i_RAMData(27)&i_RAMData(28)&i_RAMData(29)&i_RAMData(30)&i_RAMData(31); my_xn_index <= xn_index + 1; o_RAMAddr_fft <= address + 1; address <= address + 1; step := step + 1; when 5 => -- samples are arriving (read right sample (16 of 32 bits)); xn_re(15 downto 0) <= i_RAMData(0)&i_RAMData(1)&i_RAMData(2)&i_RAMData(3)&i_RAMData(4)&i_RAMData(5)&i_RAMData(6)&i_RAMData(7)&i_RAMData(8)&i_RAMData(9)&i_RAMData(10)&i_RAMData(11)&i_RAMData(12)&i_RAMData(13)&i_RAMData(14)&i_RAMData(15); if (busy='0') then my_xn_index <= xn_index + 1; step := step - 1; else step := step + 1; end if; -- wait for results when 6 => if (edone = '1') then o_RAMAddr_fft <= address - 1; address <= address - 1; start <= '1'; o_RAMWE_fft <= '0'; step := step + 1; end if; -- get data and write them back when 7 => --o_RAMData_fft(0 to 31) <= xk_re(15 downto 0) & xk_im(15 downto 0); o_RAMData_fft(0 to 31) <= xk_re(15)&xk_re(14)&xk_re(13)&xk_re(12)&xk_re(11)&xk_re(10)&xk_re(9)&xk_re(8)&xk_re(7)&xk_re(6)&xk_re(5)&xk_re(4)&xk_re(3)&xk_re(2)&xk_re(1)&xk_re(0)&xk_im(15)&xk_im(14)&xk_im(13)&xk_im(12)&xk_im(11)&xk_im(10)&xk_im(9)&xk_im(8)&xk_im(7)&xk_im(6)&xk_im(5)&xk_im(4)&xk_im(3)&xk_im(2)&xk_im(1)&xk_im(0); --o_RAMAddr_fft(0 to 11) <= "0" & xk_index(10 downto 0); o_RAMAddr_fft(0 to 11) <= "00000"&xk_index(6)&xk_index(5)&xk_index(4)&xk_index(3)&xk_index(2)&xk_index(1)&xk_index(0); o_RAMWE_fft <= '1'; if (busy='1') then step := step + 1; end if; when 8 => --o_RAMData_fft(0 to 31) <= xk_re(15 downto 0) & xk_im(15 downto 0); o_RAMData_fft(0 to 31) <= xk_re(15)&xk_re(14)&xk_re(13)&xk_re(12)&xk_re(11)&xk_re(10)&xk_re(9)&xk_re(8)&xk_re(7)&xk_re(6)&xk_re(5)&xk_re(4)&xk_re(3)&xk_re(2)&xk_re(1)&xk_re(0)&xk_im(15)&xk_im(14)&xk_im(13)&xk_im(12)&xk_im(11)&xk_im(10)&xk_im(9)&xk_im(8)&xk_im(7)&xk_im(6)&xk_im(5)&xk_im(4)&xk_im(3)&xk_im(2)&xk_im(1)&xk_im(0); --o_RAMAddr_fft(0 to 11) <= "0" & xk_index(10 downto 0); o_RAMAddr_fft(0 to 11) <= "00000"&xk_index(6)&xk_index(5)&xk_index(4)&xk_index(3)&xk_index(2)&xk_index(1)&xk_index(0); --o_RAMWE_fft <= '1'; if (dv='0') then o_RAMWE_fft <= '0'; step := step + 1; else o_RAMWE_fft <= '1'; end if; -- finish fft process when 9 => o_RAMWE_fft <= '0'; start <= '0'; sclr <= '1'; fft_done <= '1'; end case; end if; end process; ----------------------------------------------------------------------------- -- -- ReconOS State Machine for Observation: -- ----------------------------------------------------------------------------- fsm_proc : process(clk, reset) -- done signal for Reconos methods variable done : boolean; variable success : boolean; variable next_state : t_state := wait_for_message; begin if (reset = '1') then reconos_reset( o_osif, i_osif ); state <= init; o_RAMAddr_fsm <= (others=>'0'); o_RAMWE_fsm <= '0'; next_state := wait_for_message; done := false; elsif (rising_edge(clk)) then reconos_begin( o_osif, i_osif ); if (reconos_ready( i_osif )) then -- Transition to next state case (state) is -- 1. read information struct when init => reconos_get_init_data_s (done, o_osif, i_osif, information_struct); next_state := wait_for_message; -- 2. wait for messages (input/output addresses) (do a fft) when wait_for_message => reconos_mbox_get_s(done,success,o_osif,i_osif,C_MB_START,input_address); counter <= (others => '0'); local_ram_address_in <= (others=>'0'); local_ram_address_out <= (others=>'0'); local_ram_address_in_if <= (others=>'0'); next_state := wait_for_message_2; when wait_for_message_2 => reconos_mbox_get_s(done,success,o_osif,i_osif,C_MB_START,output_address); current_input_address <= input_address; next_state := read_input; -- 3. read input samples from input_address (only real components expected) when read_input => --reconos_read_burst(done,o_osif,i_osif,local_ram_address_in,current_input_address); --next_state := read_input_dec; next_state := read_input_2; when read_input_2 => reconos_read_s(done,o_osif,i_osif,current_input_address,ram_data); next_state := read_input_3; when read_input_3 => -- wait next_state := read_input_4; when read_input_4 => -- wait next_state := read_input_5; when read_input_5 => -- write value to local ram o_RAMWE_fsm <= '1'; o_RAMData_fsm <= ram_data; o_RAMAddr_fsm <= local_ram_address_in_if; next_state := read_input_6; when read_input_6 => -- wait o_RAMWE_fsm <= '0'; --local_ram_address_in <= local_ram_address_in + 4; current_input_address <= current_input_address + 4; local_ram_address_in_if <= local_ram_address_in_if + 1; if (counter < 63) then counter <= counter + 1; next_state := read_input_2; else fft_en <= '1'; next_state := make_fft; -- TODO: CHANGE CHANGE CHANGE: Remove again --counter <= (others => '0'); --current_output_address <= output_address; --next_state := write_output; end if; when read_input_dec => if (counter < 3) then counter <= counter + 1; local_ram_address_in <= local_ram_address_in + 128; current_input_address <= current_input_address + 128; next_state := read_input; else next_state := make_fft; fft_en <= '1'; -- CHANGE CHANGE CHANGE - DEBUG --counter <= (others => '0'); --current_output_address <= output_address; --next_state := write_output; end if; --next_state := read_input_2; -- 4. make fft for samples when make_fft => if (fft_done = '1') then -- TODO CHANGE CHANGE CHANGE current_output_address <= output_address; local_ram_address_if <= (others => '0'); fft_en <= '0'; counter <= (others => '0'); next_state := write_output; end if; -- 5. write fft results to output_address when write_output => --reconos_write_burst(done,o_osif,i_osif,local_ram_address_out,current_output_address); --next_state := write_output_dec; next_state := write_output_2; when write_output_dec => if (counter < 3) then counter <= counter + 1; current_output_address <= current_output_address + 128; local_ram_address_out <= local_ram_address_out + 128; next_state := write_output; else next_state := send_message; end if; --next_state := write_output_2; when write_output_2 => o_RAMAddr_fsm <= (others=>'0'); next_state := write_output_3; when write_output_3 => -- wait next_state := write_output_4; when write_output_4 => reconos_write(done,o_osif,i_osif,current_output_address, i_RAMData); next_state := write_output_5; when write_output_5 => -- wait next_state := write_output_6; when write_output_6 => if (counter < 127) then o_RAMAddr_fsm <= o_RAMAddr_fsm + 1; counter <= counter + 1; current_output_address <= current_output_address + 4; next_state := write_output_3; else next_state := send_message; end if; -- 6. send message (work done) when send_message => reconos_mbox_put(done,success,o_osif,i_osif,C_MB_DONE,input_address); next_state := wait_for_message; when others => next_state := wait_for_message; end case; if done then state <= next_state; end if; end if; end if; end process; end Behavioral;
gpl-3.0
luebbers/reconos
core/pcores/plb_osif_v2_01_a/hdl/vhdl/bus_master.vhd
2
8968
--! --! \file bus_master.vhd --! --! PLB bus master logic for ReconOS OSIF (user_logic) --! --! \author Enno Luebbers <[email protected]> --! \date 07.08.2006 -- ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- -- This file is part of ReconOS (http://www.reconos.de). -- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS). -- All rights reserved. -- -- ReconOS is free software: you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ReconOS. If not, see <http://www.gnu.org/licenses/>. -- -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- -- Major changes -- 07.08.2006 Enno Luebbers File created library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; entity bus_master is generic ( C_AWIDTH : integer := 32; C_DWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; C_SLAVE_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_BURST_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_BURSTLEN_WIDTH : integer := 5 ); port ( clk : in std_logic; reset : in std_logic; -- high active synchronous -- PLB bus master signals Bus2IP_MstError : in std_logic; Bus2IP_MstLastAck : in std_logic; Bus2IP_MstRdAck : in std_logic; Bus2IP_MstWrAck : in std_logic; Bus2IP_MstRetry : in std_logic; Bus2IP_MstTimeOut : in std_logic; IP2Bus_Addr : out std_logic_vector(0 to C_AWIDTH-1); IP2Bus_MstBE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); IP2Bus_MstBurst : out std_logic; IP2Bus_MstBusLock : out std_logic; IP2Bus_MstNum : out std_logic_vector(0 to 4); IP2Bus_MstRdReq : out std_logic; IP2Bus_MstWrReq : out std_logic; IP2IP_Addr : out std_logic_vector(0 to C_AWIDTH-1); -- user interface i_my_addr : in std_logic_vector(0 to C_AWIDTH-1); i_target_addr : in std_logic_vector(0 to C_AWIDTH-1); i_read_req : in std_logic; -- single word i_write_req : in std_logic; -- single word i_burst_read_req : in std_logic; -- 128x64Bit burst i_burst_write_req : in std_logic; -- 128x64Bit burst i_burst_length : in std_logic_vector(0 to 4); -- number of burst beats (n x 64 bits) o_busy : out std_logic; o_read_done : out std_logic; o_write_done : out std_logic ); end bus_master; architecture behavioral of bus_master is -- signals for master control state machine type plb_master_state_t is (IDLE, READ, WRITE); signal plb_master_state : plb_master_state_t := IDLE; signal mst_sm_rd_req : std_logic; signal mst_sm_wr_req : std_logic; signal mst_ip2ip_addr : std_logic_vector(0 to C_AWIDTH-1); begin -- connect common bus signalling IP2Bus_Addr <= i_target_addr; IP2IP_Addr <= mst_ip2ip_addr; IP2Bus_MstBusLock <= '0'; -- FIXME: no atomic (locked) transactions IP2Bus_MstRdReq <= mst_sm_rd_req; IP2Bus_MstWrReq <= mst_sm_wr_req; -- we are busy, when there are no pending and no running requests. -- NOTE: incoming requests while non-idle are ignored. o_busy <= '0' when ( (plb_master_state = IDLE) and ((i_read_req or i_write_req or i_burst_read_req or i_burst_write_req) = '0') ) else '1'; ------------------------------------------------------------------- -- PLB master state machine -- -- FIXME: are the mst_sm_*_req signals set right, or does this -- cause too complicated logic? ------------------------------------------------------------------- plb_master : process(clk, reset) begin if reset = '1' then plb_master_state <= IDLE; mst_sm_rd_req <= '0'; mst_sm_wr_req <= '0'; o_read_done <= '0'; o_write_done <= '0'; IP2Bus_MstBE <= "00000000"; -- 0 Bit IP2Bus_MstBurst <= '0'; -- no burst IP2Bus_MstNum <= "00001"; -- single beat transaction mst_ip2ip_addr <= (others => '0'); elsif rising_edge(clk) then o_read_done <= '0'; o_write_done <= '0'; case plb_master_state is when IDLE => if i_read_req = '1' then plb_master_state <= READ; mst_sm_rd_req <= '1'; -- single if i_target_addr(29) = '0' then -- align word access IP2Bus_MstBE <= "11110000"; -- 32 Bit else IP2Bus_MstBE <= "00001111"; -- 32 Bit end if; IP2Bus_MstBurst <= '0'; -- no burst IP2Bus_MstNum <= "00001"; -- single beat transaction mst_ip2ip_addr <= i_my_addr OR C_SLAVE_BASEADDR; elsif i_write_req = '1' then plb_master_state <= WRITE; mst_sm_wr_req <= '1'; -- single if i_target_addr(29) = '0' then -- align word access IP2Bus_MstBE <= "11110000"; -- 32 Bit else IP2Bus_MstBE <= "00001111"; -- 32 Bit end if; IP2Bus_MstBurst <= '0'; -- no burst IP2Bus_MstNum <= "00001"; -- single beat transaction mst_ip2ip_addr <= i_my_addr OR C_SLAVE_BASEADDR; elsif i_burst_read_req = '1' then plb_master_state <= READ; mst_sm_rd_req <= '1'; -- burst IP2Bus_MstBE <= "11111111"; -- 64 Bit IP2Bus_MstBurst <= '1'; -- burst IP2Bus_MstNum <= "11111"; -- 16x64 Bit burst -- IP2Bus_MstNum <= i_burst_length; -- n x 64 Bit burst, max 16 mst_ip2ip_addr <= i_my_addr OR C_BURST_BASEADDR; elsif i_burst_write_req = '1' then plb_master_state <= WRITE; mst_sm_wr_req <= '1'; -- burst IP2Bus_MstBE <= "11111111"; -- 64 Bit IP2Bus_MstBurst <= '1'; -- burst mst_ip2ip_addr <= i_my_addr OR C_BURST_BASEADDR; IP2Bus_MstNum <= "11111"; -- 16x64 Bit burst -- IP2Bus_MstNum <= i_burst_length; -- n x 64 Bit burst, max 16 end if; when READ => if Bus2IP_MstLastAck = '1' or -- on completion or Bus2IP_MstTimeout = '1' or -- on timeout or Bus2IP_MstError = '1' then -- on error o_read_done <= '1'; -- finish transaction mst_sm_rd_req <= '0'; plb_master_state <= IDLE; end if; when WRITE => if Bus2IP_MstLastAck = '1' or -- on completion or Bus2IP_MstTimeout = '1' or -- on timeout or Bus2IP_MstError = '1' then -- on error o_write_done <= '1'; mst_sm_wr_req <= '0'; -- finish transaction plb_master_state <= IDLE; end if; when others => plb_master_state <= IDLE; end case; end if; end process; end behavioral;
gpl-3.0
luebbers/reconos
support/refdesigns/9.2/ml403/ml403_light_pr/pcores/IcapCTRL_v1_00_d/hdl/vhdl/icapCTRL.vhd
1
17851
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:49:05 07/20/2006 -- Design Name: -- Module Name: icapCTRL - icapCTRL_rtl -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity icapCTRL is generic ( C_FAMILY : string := "virtex5"; C_ICAP_DWIDTH : integer:= 32; C_BURST_SIZE : natural := 16; -- Number of DWords C_NUM_WIDTH : integer := 5; C_DCR_BASEADDR : std_logic_vector(9 downto 0) := b"10_0000_0000"; --DCR_BaseAddr C_DCR_HIGHADDR : std_logic_vector(9 downto 0) := b"00_0000_0011"; --DCR_HighAddr, not used C_COUNT_ADDR : std_logic_vector(31 downto 0) := X"00000010" ); port ( clk : in std_logic; reset : in std_logic; start : in std_logic_vector(1 downto 0); M_rdAddr_o : out std_logic_vector(31 downto 0); M_rdReq_o : out std_logic; M_rdNum_o : out std_logic_vector(C_NUM_WIDTH - 1 downto 0); M_rdAccept_i : in std_logic; M_rdData_i : in std_logic_vector(63 downto 0); M_rdAck_i : in std_logic; M_rdComp_i : in std_logic; M_wrAddr_o : out std_logic_vector(31 downto 0); M_wrReq_o : out std_logic; M_wrNum_o : out std_logic_vector(C_NUM_WIDTH - 1 downto 0); M_wrAccept_i : in std_logic; M_wrData_o : out std_logic_vector(63 downto 0); M_wrRdy_i : in std_logic; M_wrAck_i : in std_logic; M_wrComp_i : in std_logic; BUSY : out std_ulogic; O : out std_logic_vector((C_ICAP_DWIDTH-1) downto 0); CE : out std_ulogic; I : out std_logic_vector((C_ICAP_DWIDTH-1) downto 0); WRITE : out std_ulogic; Fifo_empty_o : out std_logic; Fifo_full_o : out std_logic; --- Interrupt done_int : out std_logic; --- DCR signals DCR_ABus : in std_logic_vector(9 downto 0); DCR_Read : in std_logic; DCR_Write : in std_logic; DCR_Sl_DBus : in std_logic_vector(31 downto 0); --- Sl_dcrAck : out std_logic; Sl_dcrDBus : out std_logic_vector(31 downto 0); DCR_ABus_o : out std_logic_vector(9 downto 0); DCR_Write_o : out std_logic; DCR_Din_o : out std_logic_vector(31 downto 0); state_CS : out std_logic_vector(2 downto 0); burst_counter_CS : out std_logic_vector(2 downto 0) ); end icapCTRL; architecture icapCTRL_rtl of icapCTRL is function log2(x : natural) return integer is variable i : integer := 0; begin if x = 0 then return 0; else while 2**i < x loop i := i+1; end loop; return i; end if; end function log2; component ICAP_VIRTEX2 port ( BUSY : out std_ulogic; O : out std_logic_vector(7 downto 0); CE : in std_ulogic; CLK : in std_ulogic; I : in std_logic_vector(7 downto 0); WRITE : in std_ulogic ); end component; component ICAP_VIRTEX4 generic ( ICAP_WIDTH : string := "X32" -- "X8" or "X32" ); port ( BUSY : out std_ulogic; O : out std_logic_vector(31 downto 0); CE : in std_ulogic; CLK : in std_ulogic; I : in std_logic_vector(31 downto 0); WRITE : in std_ulogic ); end component; component ICAP_VIRTEX5 generic ( ICAP_WIDTH : string := "X32" -- "X8" or "X32" ); port ( BUSY : out std_ulogic; O : out std_logic_vector(31 downto 0); CE : in std_ulogic; CLK : in std_ulogic; I : in std_logic_vector(31 downto 0); WRITE : in std_ulogic ); end component; component icapFIFO generic ( C_FIFO_DEPTH : integer := 64; C_DIN_WIDTH : integer := 64; C_DOUT_WIDTH : integer := 8 ); port ( clk : in std_logic; reset : in std_logic; wEn_i : in std_logic; wData_i : in std_logic_vector(C_DIN_WIDTH-1 downto 0); rEn_i : in std_logic; rData_o : out std_logic_vector(C_DOUT_WIDTH-1 downto 0); full_o : out std_logic; empty_o : out std_logic ); end component; -- component DCR_control -- generic( -- ICAP_DCR_ADDR_L : std_logic_vector(9 downto 0) := b"10_0000_0000"; -- ICAP_DCR_ADDR_H : std_logic_vector(9 downto 0) := b"10_0000_0011" -- ); -- port( -- dcr_addr : in std_logic_vector(9 downto 0); -- dcr_mrd : in std_logic; -- dcr_mwr : in std_logic; -- dcr_din : in std_logic_vector(31 downto 0); -- --- -- dcr_ack : out std_logic; -- dcr_dout : out std_logic_vector(31 downto 0); -- --- -- start_w : out std_logic; -- start_r : out std_logic; -- addr : out std_logic_vector(31 downto 0); -- --- -- clk : in std_logic -- ); -- end component; type state_type is (IDLE, INIT, ACTIVE, BURSTING, WRITE_COUNT, DONE); signal state : state_type; --signal addr : std_logic_vector(14 downto 0); --signal addr : std_logic_vector(13 downto 0); signal addr : std_logic_vector(18-(log2(C_BURST_SIZE)) downto 0); signal addr_tail : std_logic_vector(2+(log2(C_BURST_SIZE)) downto 0); signal base_addr : std_logic_vector(31 downto 22); signal base_lngth : std_logic_vector(15 downto 0); signal icap_busy : std_logic; signal icap_dout : std_logic_vector((C_ICAP_DWIDTH-1) downto 0); signal icap_din : std_logic_vector((C_ICAP_DWIDTH-1) downto 0); signal icap_din_r : std_logic_vector((C_ICAP_DWIDTH-1) downto 0); signal icap_en_l : std_logic; signal icap_rnw : std_logic; signal fifo_rEn : std_logic; signal fifo_wEn : std_logic; signal fifo_full : std_logic; signal fifo_empty : std_logic; signal count : std_logic_vector(31 downto 0); signal debounce : std_logic_vector(1 downto 0); signal dcr_reg : std_logic_vector(31 downto 0); signal dcr_start_w : std_logic; signal dcr_start_w_n : std_logic; signal dcr_start_r : std_logic; signal dcr_addr : std_logic_vector(31 downto 0); signal ctrl_reg : std_logic_vector(31 downto 0); signal Sl_dcrAck_sig : std_logic; signal done_int_i : std_logic; signal state_sig : std_logic_vector(2 downto 0); signal burst_counter : std_logic_vector(2 downto 0); -- range from 0 to 7, MPMC can acknowledge not more than 4 transfers at the same time begin -- ICAP_4 : ICAP_VIRTEX5 -- generic map ( -- ICAP_WIDTH => "X32") -- "X8" or "X32" -- port map ( -- BUSY => icap_busy, -- Busy output -- O => icap_dout, -- 8-bit data output -- CE => icap_en_l, -- Clock enable input -- CLK => clk, -- Clock input -- I => icap_din_r, -- 8-bit data input -- WRITE => icap_rnw -- Write input -- ); -- -- SWAP_BITS: process (icap_din) is -- begin -- process Swap_bit_Order -- for byte_i in 0 to 3 loop -- for bit_i in 0 to 7 loop -- icap_din_r(byte_i*8 + (7-bit_i)) <= icap_din(byte_i*8 + bit_i); -- end loop; -- Bit -- end loop; -- Byte -- end process SWAP_BITS; state_CS <= state_sig; addr_tail <= (others => '0'); -- Make icap signals available to chipscope at output BUSY <= icap_busy; -- Busy output O <= icap_dout; -- 8-bit data output CE <= icap_en_l; -- Clock enable input I <= icap_din; -- 8-bit data input WRITE <= icap_rnw; -- Write input -- dcr interface instantiation dcr_control: entity work.dcr_if generic map ( C_DCR_BASEADDR => C_DCR_BASEADDR) port map ( clk => clk, rst => reset, DCR_ABus => DCR_ABus, DCR_Sl_DBus => DCR_Sl_DBus, DCR_Read => DCR_Read, DCR_Write => DCR_Write, Sl_dcrAck => Sl_dcrAck_sig, Sl_dcrDBus => Sl_dcrDBus, ctrl_reg => ctrl_reg); dcr_start_w <= Sl_dcrAck_sig and DCR_Write; Sl_dcrAck <= Sl_dcrAck_sig; -- Make DCR signals available to chipscope at output DCR_ABus_o <= DCR_ABus; DCR_Write_o <= DCR_Write; DCR_Din_o <= ctrl_reg; burst_counter_CS <= burst_counter; Fifo_empty_o <= fifo_empty; Fifo_full_o <= fifo_full; -- -- WARNING!!! -- -- The ICAP's data signals are reversed! -- process(icap_din) begin -- for i in 0 to 7 loop -- icap_din_r(7-i) <= icap_din(i); -- end loop; -- end process; -- if virtex2P or Virtex2 use ICAP_Virtex2 and invert input bits V2_GEN : if (C_FAMILY = "virtex2p" or C_FAMILY = "virtex2") generate V2_GEN_8 : if (C_ICAP_DWIDTH = 8) generate ICAP_0 : ICAP_VIRTEX2 port map ( BUSY => icap_busy, -- Busy output O => icap_dout, -- 8-bit data output CE => icap_en_l, -- Clock enable input CLK => clk, -- Clock input I => icap_din_r, -- 8-bit data input WRITE => icap_rnw -- Write input ); -- WARNING!!! -- The ICAP's data signals are reversed in V2P! process(icap_din) begin for i in 0 to 7 loop icap_din_r(7-i) <= icap_din(i); end loop; end process; end generate V2_GEN_8; end generate V2_GEN; V4_GEN : if (C_FAMILY = "virtex4") generate V4_GEN_8 : if (C_ICAP_DWIDTH = 8) generate ICAP_1 : ICAP_VIRTEX4 generic map ( ICAP_WIDTH => "X8") -- "X8" or "X32" port map ( BUSY => icap_busy, -- Busy output O => icap_dout, -- 8-bit data output CE => icap_en_l, -- Clock enable input CLK => clk, -- Clock input I => icap_din_r, -- 8-bit data input WRITE => icap_rnw -- Write input ); process(icap_din) begin for i in 0 to 7 loop icap_din_r(7-i) <= icap_din(i); end loop; end process; end generate V4_GEN_8; V4_GEN_32 : if (C_ICAP_DWIDTH = 32) generate ICAP_2 : ICAP_VIRTEX4 generic map ( ICAP_WIDTH => "X32") -- "X8" or "X32" port map ( BUSY => icap_busy, -- Busy output O => icap_dout, -- 8-bit data output CE => icap_en_l, -- Clock enable input CLK => clk, -- Clock input I => icap_din_r, -- 8-bit data input WRITE => icap_rnw -- Write input ); icap_din_r <= icap_din; end generate V4_GEN_32; end generate V4_GEN; V5_GEN : if (C_FAMILY = "virtex5") generate V5_GEN_8 : if (C_ICAP_DWIDTH = 8) generate ICAP_3 : ICAP_VIRTEX5 generic map ( ICAP_WIDTH => "X8") -- "X8" or "X32" port map ( BUSY => icap_busy, -- Busy output O => icap_dout, -- 8-bit data output CE => icap_en_l, -- Clock enable input CLK => clk, -- Clock input I => icap_din_r, -- 8-bit data input WRITE => icap_rnw -- Write input ); process(icap_din) begin for i in 0 to 7 loop icap_din_r(7-i) <= icap_din(i); end loop; end process; end generate V5_GEN_8; V5_GEN_32 : if (C_ICAP_DWIDTH = 32) generate ICAP_4 : ICAP_VIRTEX5 generic map ( ICAP_WIDTH => "X32") -- "X8" or "X32" port map ( BUSY => icap_busy, -- Busy output O => icap_dout, -- 8-bit data output CE => icap_en_l, -- Clock enable input CLK => clk, -- Clock input I => icap_din_r, -- 8-bit data input WRITE => icap_rnw -- Write input ); SWAP_BITS: process (icap_din) is begin -- process Swap_bit_Order for byte_i in 0 to 3 loop for bit_i in 0 to 7 loop icap_din_r(byte_i*8 + (7-bit_i)) <= icap_din(byte_i*8 + bit_i); end loop; -- Bit end loop; -- Byte end process SWAP_BITS; end generate V5_GEN_32; end generate V5_GEN; -- fifo_empty is active high. If Fifo is not empty (fifo_empty = '0') rnw and ce gow low! icap_rnw <= fifo_empty; icap_en_l <= fifo_empty; fifo_rEn <= not icap_busy; fifo_wEn <= M_rdAck_i when(state=BURSTING) else '0'; icapFIFO_0 : icapFIFO generic map ( C_FIFO_DEPTH => 64, C_DIN_WIDTH => 64, C_DOUT_WIDTH => C_ICAP_DWIDTH ) port map ( clk => clk, reset => reset, wEn_i => fifo_wEn, wData_i => M_rdData_i, rEn_i => fifo_rEn, rData_o => icap_din, full_o => fifo_full, empty_o => fifo_empty ); -- process(state, debounce, addr, base_addr) begin -- M_rdAddr_o <= base_addr & addr & b"0000000"; -- if(state=IDLE) then -- if(debounce(0)='0') then -- M_rdAddr_o <= C_CONFIG_ADDR_0; -- else -- M_rdAddr_o <= C_CONFIG_ADDR_1; -- end if; -- end if; -- end process; -- Generate the read address --M_rdAddr_o <= base_addr & addr & b"0000000"; --M_rdAddr_o <= base_addr & addr & b"00000000"; M_rdAddr_o <= base_addr & addr & addr_tail; done_int <= done_int_i; -- delay start signal by one cycle process(clk) begin if(clk='1' and clk'event) then dcr_start_w_n <= dcr_start_w; end if; end process; --By Rehan -- modified to Generics by Florian, 01.07.2009 M_rdNum_o <= CONV_STD_LOGIC_VECTOR(C_BURST_SIZE,C_NUM_WIDTH); process(state, fifo_full, fifo_empty, addr) begin -- don't request data M_rdReq_o <= '0'; M_wrReq_o <= '0'; -- if(state=IDLE) then -- -- debounce is active low, when one of the switches is pressed debounce goes low. -- --M_rdReq_o <= not debounce(0) or not debounce(1); -- is one of the switches pressed? -- M_rdReq_o <= dcr_start_w; -- M_rdNum_o <= "00001"; -- request one 64 bit word -- els --if(state=ACTIVE) then if ((state=ACTIVE or state=BURSTING) and (addr /= base_lngth)) then M_rdReq_o <= not fifo_full; elsif(state=WRITE_COUNT) then M_wrReq_o <= fifo_empty; end if; end process; M_wrAddr_o <= C_COUNT_ADDR; M_wrNum_o <= CONV_STD_LOGIC_VECTOR(1,C_NUM_WIDTH); M_wrData_o(63 downto 32) <= (others=>'0'); M_wrData_o(31 downto 0) <= count; process(clk) begin if(clk='1' and clk'event) then if(state=IDLE) then count <= (others=>'0'); else if(fifo_empty='0') then -- if Fifo is not empty increase counter count <= count+1; end if; end if; end if; end process; process(clk) begin if(clk='1' and clk'event) then if(reset='1') then state <= IDLE; addr <= (others=>'0'); base_addr <= (others=>'0'); base_lngth <= (others=>'0'); dcr_reg <= (others => '0'); done_int_i <= '0'; state_sig <= (others=>'0'); burst_counter <= (others=>'0'); else --collect all M_rdAccepts if(M_rdAccept_i='1') then addr <= addr + 1; burst_counter <= burst_counter+1; end if; done_int_i <= '0'; case(state) is when IDLE => state_sig <= "000"; burst_counter <= (others=>'0'); addr <= (others=>'0'); -- initialize base addr and base_lngth with the data from DCR bus once! base_addr <= ctrl_reg(31 downto 22); base_lngth <= ctrl_reg(15 downto 0); if(dcr_start_w_n='1') then state <= ACTIVE; end if; when ACTIVE => state_sig <= "001"; if(burst_counter>=1) then state <= BURSTING; end if; when BURSTING => state_sig <= "010"; -- 15.07.09 mod. Florian, the burst_counter value is decreased after completion of the current -- burst which is indicated by the assertion of M_rdComp. This means, that the final burst -- is completed but the burst_counter value still remains 1 until the next clock cycle occurs. if(M_rdComp_i='1') then if(addr=base_lngth and burst_counter=1) then burst_counter <= burst_counter-1; state <= WRITE_COUNT; else burst_counter <= burst_counter-1; state <= ACTIVE; end if; end if; when WRITE_COUNT => state_sig <= "011"; --if(M_wrAccept_i='1') then state <= DONE; --end if; when DONE => state_sig <= "100"; if(fifo_empty = '1' and dcr_start_w_n = '0') then done_int_i <= '1'; state <= IDLE; end if; when others => state <= IDLE; end case; end if; end if; end process; end icapCTRL_rtl;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO80x64WC/synth/DPBSCFIFO80x64WC.vhd
8
38586
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
gpl-3.0
ayaovi/yoda
nexys4_DDR_projects/User_Demo/src/hdl/AccelArithmetics.vhd
1
9819
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- Author: Albert Fazakas -- Copyright 2014 Digilent, Inc. ---------------------------------------------------------------------------- -- -- Create Date: 14:45:49 03/05/2014 -- Design Name: -- Module Name: AccelArithmetics - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- This module transforms the incoming acceleration data from the ADXL_Control module into a format -- that is displayed on the VGA screen: -- - The incoming ACCEL_X_IN, ACCEL_Y_IN and ACCEL_Z_IN data is on 2g scale (-2g to +2g) represented on -- 12 bits two's complement -- - The ACCEL_Y_IN data is inverted, according to the accelerometer layout position on the Nexys4 board -- -- - Both ACCEL_X_IN and ACCEL_Y_IN are scaled and limited to ACC_X_Y_MIN - ACC_X_Y_MAX (by default 0-511), -- meaning: -1g: ACC_X_Y_MIN, 0g: (ACC_X_Y_MAX - ACC_X_Y_MIN)/2, 1g: ACC_X_Y_MAX. In this case will be -- -1g: 0, 0g: 255 and 1g: 511, corresponding to the accelerometer data display on the VGA screen of 512 * 512 -- pixels. -- -- - The acceleration magnitude is calculated according to the formula SQRT (ACC_X^2 + ACC_Y^2 + ACC_Z^2). For square -- root calculation, a Logicore Square Root component is used. Due to the scaling purposes on the screen, the result -- of the square root calculation is also divided by four. -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; --use ieee.math_real.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; use IEEE.std_logic_signed.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity AccelArithmetics is generic ( SYSCLK_FREQUENCY_HZ : integer := 100000000; ACC_X_Y_MAX : STD_LOGIC_VECTOR (9 downto 0) := "01" & X"FF"; -- 511 pixels, corresponding to +1g ACC_X_Y_MIN : STD_LOGIC_VECTOR (9 downto 0) := (others => '0') -- corresponding to -1g ); port ( SYSCLK : in STD_LOGIC; -- System Clock RESET : in STD_LOGIC; -- Accelerometer data input signals ACCEL_X_IN : in STD_LOGIC_VECTOR (11 downto 0); ACCEL_Y_IN : in STD_LOGIC_VECTOR (11 downto 0); ACCEL_Z_IN : in STD_LOGIC_VECTOR (11 downto 0); Data_Ready : in STD_LOGIC; -- Accelerometer data output signals to be sent to the VGA display ACCEL_X_OUT : out STD_LOGIC_VECTOR (8 downto 0); ACCEL_Y_OUT : out STD_LOGIC_VECTOR (8 downto 0); ACCEL_MAG_OUT : out STD_LOGIC_VECTOR (11 downto 0) ); end AccelArithmetics; architecture Behavioral of AccelArithmetics is -- convert ACCEL_X and ACCEL_Y data to unsigned and divide by 4 -- (scaled to 0-1023, with -2g=0, 0g=511, 2g=1023) -- Then limit to -1g = 0, 0g = 255, 1g = 511 -- Use a Square Root Logicore component to calculate the magnitude COMPONENT Square_Root PORT ( aclk : IN STD_LOGIC; s_axis_cartesian_tvalid : IN STD_LOGIC; s_axis_cartesian_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_dout_tvalid : OUT STD_LOGIC; m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; ATTRIBUTE SYN_BLACK_BOX : BOOLEAN; ATTRIBUTE SYN_BLACK_BOX OF Square_Root : COMPONENT IS TRUE; ATTRIBUTE BLACK_BOX_PAD_PIN : STRING; ATTRIBUTE BLACK_BOX_PAD_PIN OF Square_Root : COMPONENT IS "aclk,s_axis_cartesian_tvalid,s_axis_cartesian_tdata[31:0],m_axis_dout_tvalid,m_axis_dout_tdata[15:0]"; constant SUM_FACTOR : std_logic_vector (12 downto 0) := '0' & X"7FF"; --2047 constant LOWER_ACC_BOUNDARY : std_logic_vector (9 downto 0) := "00" & X"FF"; -- 255 constant UPPER_ACC_BOUNDARY : std_logic_vector (9 downto 0) := "10" & X"FF"; -- 767 -- Invert Y axis data in order to display it on the screen correctly signal ACCEL_Y_IN_INV : STD_LOGIC_VECTOR (11 downto 0); signal ACCEL_X_SUM : std_logic_vector (12 downto 0) := (others => '0'); -- one more bit to keep the sign extension signal ACCEL_Y_SUM : std_logic_vector (12 downto 0) := (others => '0'); signal ACCEL_X_SUM_SHIFTED : std_logic_vector (9 downto 0) := (others => '0'); -- Divide the sum by four signal ACCEL_Y_SUM_SHIFTED : std_logic_vector (9 downto 0) := (others => '0'); signal ACCEL_X_CLIP : std_logic_vector (9 downto 0) := (others => '0'); signal ACCEL_Y_CLIP : std_logic_vector (9 downto 0) := (others => '0'); -- Calculate magnitude -- Pipe Data_Ready signal Data_Ready_0, Data_Ready_1 : std_logic := '0'; signal ACCEL_X_SQUARE : std_logic_vector (23 downto 0) := (others => '0'); signal ACCEL_Y_SQUARE : std_logic_vector (23 downto 0) := (others => '0'); signal ACCEL_Z_SQUARE : std_logic_vector (23 downto 0) := (others => '0'); signal ACCEL_MAG_SQUARE : std_logic_vector (25 downto 0) := (others => '0'); signal ACCEL_MAG_SQRT: std_logic_vector (13 downto 0) := (others => '0'); signal m_axis_dout_tdata: std_logic_vector (15 downto 0); begin -- Invert Accel_Y data to display on the screen the box movement -- on the Y axis according to the board movement ACCEL_Y_IN_INV <= (NOT ACCEL_Y_IN) + X"001"; -- Add 2047 to the incoming acceleration data -- Therefore ACCEL_X_SUM and ACCEL_Y_SUM will be scaled to -- -2g = 0, -1g = 1023, 0g = 2047, 1g = 3071, 2g = 4095 Accel_Sum: process (SYSCLK, RESET, ACCEL_X_IN, ACCEL_Y_IN, Data_Ready) begin if SYSCLK'EVENT and SYSCLK = '1' then if RESET = '1' then ACCEL_X_SUM <= (others => '0'); ACCEL_Y_SUM <= (others => '0'); elsif Data_Ready = '1' then if ACCEL_X_IN(11) = '1' then -- if negative, keep the sign extension ACCEL_X_SUM <= ('1' & ACCEL_X_IN) + SUM_FACTOR; else ACCEL_X_SUM <= ('0' & ACCEL_X_IN) + SUM_FACTOR; end if; if ACCEL_Y_IN_INV(11) = '1' then -- if negative, keep the sign extension ACCEL_Y_SUM <= ('1' & ACCEL_Y_IN_INV) + SUM_FACTOR; else ACCEL_Y_SUM <= ('0' & ACCEL_Y_IN_INV) + SUM_FACTOR; end if; end if; end if; end process Accel_Sum; -- Divide by four ACCEL_X_SUM and ACCEL_Y_SUM, therefore will be scaled to -- -2g = 0, -1g = 255, 0g = 511, 1g = 767, 2g = 1023 ACCEL_X_SUM_SHIFTED <= ACCEL_X_SUM(11 downto 2); ACCEL_Y_SUM_SHIFTED <= ACCEL_Y_SUM(11 downto 2); -- Subtract 255 and limit to -1g = 0, 0g = 255, 1g = 511 Accel_Clip: process (SYSCLK, RESET, ACCEL_X_SUM, ACCEL_Y_SUM) begin if SYSCLK'EVENT and SYSCLK = '1' then if RESET = '1' then ACCEL_X_CLIP <= (others => '0'); ACCEL_Y_CLIP <= (others => '0'); else -- If the sum is negative or < 255 (-1g) if (ACCEL_X_SUM(12) = '1') or (unsigned(ACCEL_X_SUM_SHIFTED) < unsigned(LOWER_ACC_BOUNDARY)) then ACCEL_X_CLIP <= ACC_X_Y_MIN ; -- Limit to 0 elsif (unsigned(ACCEL_X_SUM_SHIFTED) >= unsigned(UPPER_ACC_BOUNDARY)) then ACCEL_X_CLIP <= ACC_X_Y_MAX; -- Limit to 511 else ACCEL_X_CLIP <= ACCEL_X_SUM_SHIFTED - LOWER_ACC_BOUNDARY; -- subtract 255 end if; -- If the sum is negative or < 255 (-1g) if (ACCEL_Y_SUM(12) = '1') or (unsigned(ACCEL_Y_SUM_SHIFTED) < unsigned(LOWER_ACC_BOUNDARY)) then ACCEL_Y_CLIP <= ACC_X_Y_MIN; -- Limit to 0 elsif (unsigned(ACCEL_Y_SUM_SHIFTED) >= unsigned(UPPER_ACC_BOUNDARY)) then ACCEL_Y_CLIP <= ACC_X_Y_MAX; -- Limit to 511 else ACCEL_Y_CLIP <= ACCEL_Y_SUM_SHIFTED - LOWER_ACC_BOUNDARY; -- subtract 255 end if; end if; end if; end process Accel_Clip; -- ACCEL_X_CLIP and ACCEL_Y_CLIP values (0-511) can be represented on 9 bits ACCEL_X_OUT <= ACCEL_X_CLIP(8 downto 0); ACCEL_Y_OUT <= ACCEL_Y_CLIP(8 downto 0); -- Pipe Data_Ready Pipe_Data_Ready : process (SYSCLK, RESET, Data_Ready, Data_Ready_0) begin if SYSCLK'EVENT and SYSCLK = '1' then if RESET = '1' then Data_Ready_0 <= '0'; Data_Ready_1 <= '0'; else Data_Ready_0 <= Data_Ready; Data_Ready_1 <= Data_Ready_0; end if; end if; end process Pipe_Data_Ready; -- Calculate squares of the incoming acceleration values Calculate_Square: process (SYSCLK, Data_Ready, ACCEL_X_IN, ACCEL_Y_IN, ACCEL_Z_IN) begin if SYSCLK'EVENT and SYSCLK = '1' then if Data_Ready = '1' then ACCEL_X_SQUARE <= ACCEL_X_IN * ACCEL_X_IN; ACCEL_Y_SQUARE <= ACCEL_Y_IN * ACCEL_Y_IN; ACCEL_Z_SQUARE <= ACCEL_Z_IN * ACCEL_Z_IN; end if; end if; end process Calculate_Square; -- Calculate the sum of the squares to determine the magnitude of the acceleration Calculate_Square_Sum: process (SYSCLK, Data_Ready_0, ACCEL_X_SQUARE, ACCEL_Y_SQUARE, ACCEL_Z_SQUARE) begin if SYSCLK'EVENT and SYSCLK = '1' then if Data_Ready_0 = '1' then ACCEL_MAG_SQUARE <= ("00" & ACCEL_X_SQUARE) + ("00" & ACCEL_Y_SQUARE) + ("00" & ACCEL_Z_SQUARE); end if; end if; end process Calculate_Square_Sum; -- Calculate the square root to determine magnitude Magnitude_Calculation : Square_Root PORT MAP ( aclk => SYSCLK, s_axis_cartesian_tvalid => Data_Ready_1, s_axis_cartesian_tdata => ("000000" & ACCEL_MAG_SQUARE), m_axis_dout_tvalid => open, m_axis_dout_tdata => m_axis_dout_tdata ); ACCEL_MAG_SQRT <= m_axis_dout_tdata (13 downto 0); -- Also divide the square root by 4 ACCEL_MAG_OUT <= ACCEL_MAG_SQRT(13 downto 2); end Behavioral;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBDCFIFO36x16DR/synth/DPBDCFIFO36x16DR.vhd
8
38573
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
gpl-3.0
iti-luebeck/RTeasy2
RTeasy/src/vhdltmpl/demux.vhd
2
2380
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY demux IS GENERIC( select_width, line_width : positive; default_out : std_logic ); PORT( INPUT : IN std_logic_vector(line_width-1 DOWNTO 0); SEL : IN std_logic_vector(select_width-1 DOWNTO 0); FLOOD : IN std_logic; -- FLOOD=1 causes all bits of OUTPUT to be set to 1 OUTPUT : OUT std_logic_vector(2**select_width*line_width-1 DOWNTO 0) ); END demux; ARCHITECTURE recursive OF demux IS SIGNAL subdemux_0_IN, subdemux_1_IN : std_logic_vector(line_width-1 DOWNTO 0); COMPONENT demux GENERIC( select_width, line_width : positive; default_out : std_logic ); PORT( INPUT : IN std_logic_vector(line_width-1 DOWNTO 0); SEL : IN std_logic_vector(select_width-1 DOWNTO 0); FLOOD : IN std_logic; -- FLOOD=1 causes all bits of OUTPUT to be set to 1 OUTPUT : OUT std_logic_vector(2**select_width*line_width-1 DOWNTO 0) ); END COMPONENT; FOR ALL : demux USE ENTITY WORK.demux(recursive); BEGIN demux1to2: IF select_width=1 GENERATE OUTPUT(line_width-1 DOWNTO 0) <= (OTHERS => '1') WHEN FLOOD='1' ELSE INPUT WHEN SEL="0" ELSE (OTHERS => default_out); OUTPUT(2*line_width-1 DOWNTO line_width) <= (OTHERS => '1') WHEN FLOOD='1' ELSE INPUT WHEN SEL="1" ELSE (OTHERS => default_out); END GENERATE; demux1toN: IF select_width>1 GENERATE subdemux_0: demux GENERIC MAP(select_width => select_width-1, line_width => line_width, default_out => default_out) PORT MAP(INPUT => subdemux_0_IN, SEL => SEL(select_width-2 DOWNTO 0), FLOOD => FLOOD, OUTPUT => OUTPUT(2**(select_width-1)*line_width-1 DOWNTO 0)); subdemux_1: demux GENERIC MAP(select_width => select_width-1, line_width => line_width, default_out => default_out) PORT MAP(INPUT => subdemux_1_IN, SEL => SEL(select_width-2 DOWNTO 0), FLOOD => FLOOD, OUTPUT => OUTPUT(2**select_width*line_width-1 DOWNTO 2**(select_width-1)*line_width)); subdemux_0_IN <= INPUT WHEN SEL(select_width-1)='0' ELSE (OTHERS => default_out); subdemux_1_IN <= INPUT WHEN SEL(select_width-1)='1' ELSE (OTHERS => default_out); END GENERATE; END recursive;
gpl-3.0
zhangry868/MultiCycleCPU
Multiple_Cycles_CPU/simulation/modelsim/rtl_work/@m@i@p@s_@shifter/_primary.vhd
2
350
library verilog; use verilog.vl_types.all; entity MIPS_Shifter is port( Data_in : in vl_logic_vector(31 downto 0); Count : in vl_logic_vector(4 downto 0); Sel : in vl_logic_vector(1 downto 0); Data_out : out vl_logic_vector(31 downto 0) ); end MIPS_Shifter;
gpl-3.0
luebbers/reconos
support/refdesigns/12.3/ml605/ml605_light_thermal/pcores/thermal_monitor_v1_03_a/hdl/vhdl/thermal_sensor.vhd
1
3028
---------------------------------------------------------------------------------- -- Company: University of Paderborn -- Engineer: Markus Happe -- -- Create Date: 12:17:11 02/09/2011 -- Design Name: -- Module Name: thermal_sensor - Behavioral -- Project Name: Thermal Sensor Net -- Target Devices: Virtex 6 ML605 -- Tool versions: 12.3 -- Description: thermal sensor: ring oscilator that can be used as a temperature sensor. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity thermal_sensor is generic (C_COUNTER_WIDTH : integer := 18); port ( -- clock clk : in std_logic; -- reset rst : in std_logic; -- enable ring oscilator osc_en : in std_logic; -- enable recording rec_en : in std_logic; -- data data : out std_logic_vector(C_COUNTER_WIDTH - 1 downto 0); -- debug output: ring oscillator output osc_sig : out std_logic; -- debug output: count signal count_sig : out std_logic ); end thermal_sensor; architecture Behavioral of thermal_sensor is attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral: architecture is "true"; component ring_oscillator is generic ( C_OSC_SIZE : integer := 11); port ( rst : in std_logic; osc_en : in std_logic; osc_out : out std_logic); end component; signal osc_out : std_logic; signal osc_out_old : std_logic; signal osc_out_old_2 : std_logic; signal rec_en_old : std_logic; signal counter : std_logic_vector(C_COUNTER_WIDTH-1 downto 0); begin data <= counter; -- ring oscillator osc : component ring_oscillator generic map (C_OSC_SIZE => 11) port map ( rst => rst, osc_en => osc_en, osc_out => osc_out); osc_sig <= osc_out; -- process that counts the ring_oscilator and shift them out count : process(clk, rst) begin if rst = '1' then -- reset old signals and counter rec_en_old <= rec_en; osc_out_old <= osc_out; osc_out_old_2 <= osc_out; counter <= (others=>'0'); count_sig <= '0'; --counter <= b"00011100001111"; elsif rising_edge(clk) then -- store old signals for rec_en and osc_out rec_en_old <= rec_en; osc_out_old <= osc_out; osc_out_old_2 <= osc_out_old; count_sig <= '0'; --counter <= b"10000000000001";--XXX -- record a thermal measurement if rec_en = '1' then -- if the ring oscillator has a rising edge, increase counter by 1 --counter <= counter + 1; --counter <= b"10000000000001"; if (osc_out_old='1' and osc_out_old_2='0') then count_sig <= '1'; counter <= counter + 1; end if; -- reset counter if a new record has started if rec_en_old = '0' then counter <= (others=>'0'); end if; end if; end if; end process; end Behavioral;
gpl-3.0
luebbers/reconos
support/refdesigns/10.1/xup/eth_tft_cf/pcores/opb_ac97_v1_00_a/hdl/vhdl/srl_fifo.vhd
4
7611
------------------------------------------------------------------------------- -- $Id: srl_fifo.vhd,v 1.1 2005/02/17 20:29:35 crh Exp $ ------------------------------------------------------------------------------- -- srl_fifo.vhd ------------------------------------------------------------------------------- -- -- **************************** -- ** Copyright Xilinx, Inc. ** -- ** All rights reserved. ** -- **************************** -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1 $ -- Date: $Date: 2005/02/17 20:29:35 $ -- -- History: -- goran 2001-06-12 First Version -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity SRL_FIFO is generic ( C_DATA_BITS : integer := 8; C_DEPTH : integer := 16 ); port ( Clk : in std_logic; Reset : in std_logic; Clear_FIFO : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic; FIFO_Level : out std_logic_vector(0 to 3); Half_Full : out std_logic; Half_Empty : out std_logic ); end entity SRL_FIFO; library UNISIM; use UNISIM.all; architecture IMP of SRL_FIFO is component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; component LUT4 generic( -- pragma translate_off Xon : boolean; -- pragma translate_on INIT : bit_vector := X"0000" ); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic); end component; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic); end component FDRE; signal Addr : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); signal reset_int : std_logic; begin -- architecture IMP FIFO_Level <= Addr; reset_int <= Clear_FIFO or Reset; buffer_Full <= '1' when (Addr = "1111") else '0'; FIFO_Full <= buffer_Full; Half_Full <= Addr(3); Half_Empty <= not Addr(3); buffer_Empty <= '1' when (Addr = "0000") else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : process (Clk) is begin -- process Data_Exists_DFF if Clk'event and Clk = '1' then -- rising clock edge if (reset_int = '1') then data_Exists_I <= '0'; else data_Exists_I <= next_Data_Exists; end if; end if; end process Data_Exists_DFF; Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to 3 generate hsum_A(I) <= (FIFO_Read xor addr(I)) and (FIFO_Write or not buffer_Empty); -- Don't need the last muxcy, addr_cy(4) is not used anywhere Used_MuxCY : if I < 3 generate MUXCY_L_I : MUXCY_L port map ( DI => addr(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] end generate Used_MuxCY; XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => reset_int); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => Addr(0), -- [in std_logic] A1 => Addr(1), -- [in std_logic] A2 => Addr(2), -- [in std_logic] A3 => Addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; end architecture IMP;
gpl-3.0
zhangry868/MultiCycleCPU
Multiple_Cycles_CPU/simulation/modelsim/rtl_work/@m@u@x8_1_32/_primary.vhd
1
723
library verilog; use verilog.vl_types.all; entity MUX8_1_32 is port( Sel : in vl_logic_vector(2 downto 0); S0 : in vl_logic_vector(31 downto 0); S1 : in vl_logic_vector(31 downto 0); S2 : in vl_logic_vector(31 downto 0); S3 : in vl_logic_vector(31 downto 0); S4 : in vl_logic_vector(31 downto 0); S5 : in vl_logic_vector(31 downto 0); S6 : in vl_logic_vector(31 downto 0); S7 : in vl_logic_vector(31 downto 0); \out\ : out vl_logic_vector(31 downto 0) ); end MUX8_1_32;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/c_sub/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_vh_rfs.vhd
8
24071
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H4URPv9EpcRhkuPfg29H1ZzBQu6E58ra8nWLS6or9vqljE8eUuMYTRR5FXv4Rv79+dW0YBbHJDL9 wpk/pM++Vw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cOlknyyiOXEJxOMpTfJeuL4bafDNMyqfzYZiBOeMl7cq3UeXY2PjTmdTY1m6w8WHnxco+Yi4t94e AMmf5NEZg+gFr5Kg1lIcYmZfsXpuySqqpZQ/7usdIdYO7Asm/L87Wm9S/tZGOL8k91UZ3ox2t81J 6Zg7UCBc9UmvCNd9skA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CFi7UEn4btMs4k2hHaZmd7O0JgvPUNWuDlcte7aj9n1LY3hbGUN8EI7tmQhcGTx7gGZE9Ru66q/i zmUwUvSZEbkGNMYj0Q2kUoxzouaTB9bltzk75m28+bDTEKmB8w51zK76vaS8/myF1bduGFfiCOYk i9uKNIbdHRYjFMGdx8sV+hwM61tgHw9BxrpktZks3lf/i/QE3egTxkdEqMw289Uv87CaDCUd+v59 ekXAL+WRXXGOrnvAYo30uourTBE/qhnJ9nNwvTBAsPFGhCKqdCJArJLi4ihrq5XQOs8nPgHPecGm V1+NjHo9lrI9WwCk0qFSLnOvrt0IVkGTrGcUmQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n/YyDFXnYIXA2nmc/gCApXAaEmCKSk7Ak8FRhJaYZwC3lXv/vMjl2cfXXNSbqnKjXFpU+9X9HzrI k6UJ3qXjrh/23XCVh2MSpiNkhFqSAupIN0V9Kci8bewlxphN3Qy6HSROEEpAKgCBGOSZ6yzBb77m 9uu4l/cnNBsEv+3eHe8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AcPVp5cxMJmuHEfR0EkrXaMFLSZ7RNFLeFs9y4Tv8S90iVa1S/jfvPaieL2ra+GKhX19rtymWE3a mL49cynXQLEx41Eed+51K1IgMjRgjAnVu6lCpRlYcQp95MFd+tyJeQe3oy0vIWZqtTPvXEQLJkA8 afwkfRrCqUlt3gHPynr3Q7GCJDTrDNSQ2siIAjuTu0W56QKpt3Wzadq+p0Y74YhizuNgvy28Gn60 69h1ytNqKplJZ8DzAQnccY6JK9w6zNTgxM8xaPyRV6/6mt90hN6kwdkXvusb6VHxuxaxTOO8fQXe jIoVManYa5+XQU9V6sYnfkpJ4WekEXf++m8T5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16080) `protect data_block GzfYKVA1AWYnoP7t0t2NzCnLPiGwHlVDbWl2ufiJk7BRMPlnwdFO8LI9e9LFJZIqUs5+DJ5X5IJF AUzSOqQly1lalatpltMuYrxPnVG/rg9st36xpncopJV6z0hvG7o+U58FcNdm2ZgF/NBMZqLM7hYB zI4/HykKAMUYWwVNbnYM2QGFzdDmNbAeYFLNhYYIB5y/rXeqmj3tv/xFb6a9NfmEAGiv955DRWP8 KpIWV5SBv/ABOVf+MnDJg0YDB3RWJhPr+mzjBmjrMyLn6BV/Koxh6D4dj5VN09rKrl1HkBQdFUSl 1KogIQAkNGtAc6n/w4B+klVQm3Uu0SharMeO+or1gy/tzvvAnkQZbt3+/NFw4BjslGKHex+1M+FJ h0E8hbqgSVkBGRCs/6rwVW4GJMy/Sm/ad8gjk9Xb8nPONo7Eetby4RpjOShioQRcAZn7w93c0Hu0 bPaL0/ydEqxOSE0hRQwTz91odO4alcUxCn86D/slo1Hi7SOLyz67p2Tfs/UcgtDelFYDDxYsZgiq lS1h3EDjIZBIcHVUsuvcM/c2O0KaXdUyBMNKmL6htK85ig3EQxT2xWZn2T4h/jZfUj7cHhHma6Ax BU0x/FnT3WEojpy2cfQRamBCUkIPEI2vnXPd3+VSCtJFFsefQwL36ZSMoXEbg1hC5Gxxfor21118 r0Ii2UhmNjevoRn2Di7ui/nJfygqCplI2bc99uiJX6IKfym5E4yM8VSskq9xrWWGByZS++xIVLN6 FCBoVJReBomVMtwZ7f4b12HfoJJ9vKp/ggmviX5Rr7y29tnkDy58xe0ppBXBMl2UKVmQt1e72d7X asYWHOhz1NcJK71rEbdtlIq+qQ2exZ70xIMsIOJoFUHaXqF7dL821yU+P/8ATRO01oBGNPnkzLXw 7mYhRD+Eax/I0dTGQfB1WmhYr25vuolHO9QzHGjcSCHzRAjqaNn7N+5yVYecqvsYEn/BHYkwAFmu ZOhcTpx7GbKcBSekZx/29wGDEALBAVtBK3yAQxyFvLUuBEUCBmGef5RdkKrF7ZqbLByErBMQXNXK 6r+ekS1CljUrk/ty6OGGT21EasSfOFQShYMsZ0JUxlhOE2xgKjGFRtpd/ErrMaQvc+NEBfLi4R2Q naQ+OX+4kLCAXLZ5zs/LCfoZS1ZHLNMfCly4vfqBIznVXfPh836zWBOvnMQjP2K1ucFuzl0vH5NI MWy4DLtytXxQoQLxo4ZGLXqtNiSfze7upCIjLZsGjsxYrg+eHvVNTSqtMQmjboQb6QKZCTguzrNU aYYkwRuQR125/DNJYKb4DG/slw7tcCT6eWdk1RkPPkgjfvABfoJmiXq3d1Ni7q9SA/rWgikyKZ0M R68DgX+mO+YoFUyhtp9uPcTYV4yMqi+YjhNU4Nxiim5Pkefpc+84fQl8mCiZsDE9K3wOEhmFktLC 7qe97qHmIwjAiMFGr9xeCJ3qg8e31ts/S+/KQbFAB935/Tu8B462pd63g9HI7yoKo+OaWkDYPlj2 naA1IMbeSRXiYDoRcFWLkCfALlExpxs31kMd29amk8iZmENnRXdH4evX+Axk+Maz42dS+pHjEZr7 OpzaPuGFw5KjknToh77tVu/1dOU4r/NiX9xFtS+CojgzsEwUfeL3jfAsi0JD1w8vaav5tbFnoFJ0 btlYfThxnIGIm4bdTPQZ2ON3kiujRceOnWR7WzcDrRYeg+0jqSZUwhfFSjk9qvLIqUws9OxmiASf kheCJp20Co1FdJK9nYv/6LMo476Xha6GjwGqd49NEp2NXzK7s31TuQvYSwXiavs+ED0RriFCGv/3 7SjkqF4Fz97K2Rgb3SW8zffW1IzrAd6dGCAl+ff5NGQzbI7c6/srmNohk2yFWwBBgBbUNLMu+BsZ B9ArlF89Proor0UWItKhtgKI3JYx3WcpwYjFG1xZkqyg/UUtJf1Di/CptL4h/v6p6HgS5K2yxNi+ WYtb0I1onNImpWDw2/Eo+axZkC766cLNS1zzhJFfDfpy9N0slUFjsIr5HyWEMpb+EyliOx2ZjfbW O3cuOjKKmbeAlUnb0Ae9Sf3awfFfjeaFV7D1oO7gfr4o47hU5Eki/N7InuB2VAYOIb0TRfpQXYcf dxCly5nd39rlL4MFfXNa1en6mSqfDUH8jXyYduFe7jAgTQnMoTkDrlE6VRpO/ZgGoCJQWHviK2RN Hn/R0rGzDuO/4hxTeqA6h7hmz2K923zDaGSzvBv3Bf8TF54trdrgrfj4NjaVpHhki6DqsloNh5PE bIaBXtiTicM2IKsHiwywZ6+fubxsBcUPrwMR88+2CZjuhO/YAyppiMKf/33hsS7nGNvV2ueje7oK nNRojM4V8Gm5SZxECeFNb1KemBMN7e5A7SY4B0WFBWRv0Y6uzq3cvJTsWpoBPT1KDerwuPJ8w9M7 3yWgXcvT++iI8d5v+cOYoUugC1upAgiQOgByjXskzGHMR+wlFXISKwftgHBiwK9s8Pysl19U/Ils AQc8TbkrC/f0+v6kk04FIKnfFs2wPYIYGBgKpF9S/oHcDfI+Knc2TU4Jk2cw71ZfaYmr1R2eLgq1 jpJiWcuCB9TKdHdUsTN1DScglvBG64CdfWDQ26ngeANd9eJyQ7kKPp3LpEoLF6Zx/y7xydPlFfTC nprV39C51iHHrj8XfGAP36rfsUqp7zsSRb3XG/fQOJaZXLYj3U7jxt/vshU2caaDWcJvn0CtkQxo V1fn6W6hcVC3r0o0yf3RzfcYiyBqqVuxBy5p7r1u2C76wzwhTOThS1oxd2JUnBydJt4izzhdVNUP vx5uibcH5bMKbHHABF6dAPHIPBh3FexrKJ0utUNVqPkJb4K6L9sMRDkO5w9gHrhpey4DSW52zcj0 kUBqmcyfANeLTRATF4uk9K16p6IuHGNYxxyZWSN3zAi+kiMHwx3wWk/90L+rKsM2dd+Q2mD5EODV rbCuIIslAzlfqZ5Vf+c5Pbk8GqxN4Dz6dWIvimwSQ0v4CmcK1sFZwQy1VvxL9kX1cf0JyMXI2BDA bZbPMpLlxGbi4Dh8jR+MQO3IQZCTL/5Lne2GwyQ7toH7EnuPTsHYaqEVtLZgmcoPXLiiF6pjXDEv g4M1P5fpOyA68qpDZ9SaB5Ypi9jjqb82FRbsDocoxWodyNazUbrOcXDb9lfV3anOv6bWtbSg5PLJ YFw3HNeoYPn3XV5oLJLLrMWG9idli8M8QlidBf19mame8XgsO6z6zEXWKQIgm/STxyvPHrESMzVy gFFfJnCMLVfq1T9v2yVX5qk74s+yBeEePLL798nKtVBk0zqXGrEXJIlLlqEAdQ80HNQ5eFfg02Mu fV4oep4ZEl9OUu71YV6Dpi7i3mdDS/V9iKG9GP8kiozo+0ZVLY+QOCY7h9ll9dnuJb0zJnf89r4z TS34uv3LxatVFdob2YqWYQWyCApjQOe50wMBqjvtUV+BMdDLpCe5sf1Vh9YkAhIpVmh6J5gQTQ4v eO2L8tsSynRW0OuqQR9t/FfmmxW1HOqYZPNefY+Ws3wBRoaHZV7mxIkeKQsOV/qr25RJ9Oe3rvVc x0fwEkd6J0mh2aaCgmLBG+hgsr3gRWTKI0xleg4ptXMPLsOt7PxdbNmwqR0kMFTq10b+MshVzlO4 aexBK34lgZjBxsrLCbTbqYk9vm35nu2IY8UoOeMFR0qFHh5UnrmegD1kAYHnVBKm7srBK86qfU1g GXzyaIhB+ERd14j5QdB8N/sAiStCbBH81tvw+lqyzyNyHTpFWu3duguIgKUfo2Y8UPnctZPgUVE/ sBUALpzKMuk25p2qU0PCKO5GgmvXrBW5epxiKKOXCw/Y/qcjIln5EpMp4xd3o5tK0j/UyOxzq4VC 8MM+YCy2iu5//O14zK0Hbce4o29v0CREdNSNruVFmL5y5hCFtz9CtF26rOeugLEojjYejgfpXQqz 1y9xf8jdjXT33+TD9a/GIAqfWsDTO5UZkuDcwCYs64ZbC59AflC//iuihv7UptmMxUzP/GbsgNiI 3obQcsT72tGouGgkJ37OEjOcwGSoCrsS+WDCbnnv1WjrCuIRMxSzOitivP+qG/XLyNq0KUaKF+Yg r47xXoVqt4NR2uMQ8i3jF/KXdvR9+fwg6Ux7rv5OJti6RCtbVO9AbqHUBvgebbOjjSHqR0Ov0aFa +aQDwPtv6Hj56NGzN0YmOkll3wz1nW+UaXMh3U6dhwr6AvKpnslzceurYtJcjuh88tm1arSMAC8S UmiaCtLsmTHRXrDi21++iBE9JZ5uv90qIhsPIX0HPd+0hpgBHytCTjyYCvpGo83Jnx/vvjHkmfA9 T7H0vphZtIHkaYPqxhDPuLI5MlMaD1c5uAZNcG33N/P8z6+seHQMDA0qqLvTlpmrTDWXhJYfHpRx e9kyAnytlBpf3IQGgVXvQvi8ojX4zGjsW5lsBZWxoSZ2uTIzJrUCHMuss4TtvaM5pOSoG4lLnROn QOEMiX1Gsu9ItrA79xfKRRrBfdPYJhYp0F2QJneC7T4csVGXn4gDSPdt2WkFC/mDUmhLB/m9m3SH xCc7UpNqSoGYN8iA8PsV5p7l+pOPOfnlYUp98+dy4Q/ywRHaWfK8IV7x97gm7FeRBrCiOpJLQHXz 0I88hnOxrOuW+u1IrV6deKfgwk3K9lKIQ3kO7cfQJfcVmVZd4lIRvzyjY6/RChGIaq06UIXCoHvj oIpgFnMtKx4Z2hc/abGL79iWPHVp1+vGNtbRHf1+P++5J5Wkxw0RnO6cc0YUfuL1FjGgJSypCfOQ 6HBbLZYSsltW1PwwsYeJtSlCLJltN9UxIz6MYaHbrfBt7eg+imvQQhvZq/ZACt7Fwm/TTWBZmEf2 ISG0vPbpT1cF+maCAj59exx9s+g154FRoJPzniSfCidwiydjzumACvc/9pWNM7osct91LUBPgFhk mkkhZPhai8Y1joCZpzJzeCEjJRAdN7F05DAqyBFUHO/7BtG23iVr8QnxWIP24ihGGyVYgfTX9PWi IsOvmAQaT+vV9PCn2KhEJKny9XZ34dG0e8vbBK+64akZiKDYyj0UZA8g/HjeB1YQxRliSxWS/nMo /1hA6j5K5fpMfjh1OMF/fMEx5qBBDfNAOyxOiMw8lT58VEG/0w7ZmqjgXGQ6cLI18GF/62Yb8OjL TIdlDTC/py+HxJCd1GqIonHg+PC2rIn/437jM8lgX/vCym8B11sOHJUzdudTbqA5g2/DIOl4EiUN ky5KkQNhxTpTvwDSUnRN+ydpbyZ0t3K4WL63LRF81DL6q3TgRFlO+qRT3q1PMA27/WaKxXdedDj0 ovprcR9Tj+6Ajrz3G56zBXbPKUbnACXaeRarh5wHHlcbMWxYYL35Nw/MIuYkvkrr4gylLmWQEJV6 K/boWJiIizyaGIs/Kt/aE34ya93xcQDxnnZHlnukWC6AzTQvwN6Am8Teaj7QsznluUyn9PffUgQ2 5cZzB0NG1eA4WrJF1pVc70uUJAWu8CXt/pfAhuWCUq+1wANX4xJhJ1ChzBCje4o8jvw1VbccVCP2 BwNKpiuxTI14/0rbxBpYvmM1tQ8kNqL4nzqeykIa1dqsrHTjFYpP1XHcV+5EeFjeRdVWvQCat3YE oXM7xfsvN65sbB64oDihzlnqPu0a5Xt2AkeAPgiOQ3ID7nSVPU1+lra3pJ6Va2T3ooVTzoV/6Hf1 iiWUIZ9XnvuOWRdAZH5gapfwpSORgm4khJrr47fywZU5a9DIIXxQsZH2eByr+5xV9PsWClXIcNfF ZDUOvjk5bFTgExGtN3YEND09kNZrL8xwxWdnNu5zE3SnLiou3Q1RNXeHwfRwYYPWGiq4w2f2e9E7 gZ9ME367VfaaOoDSnndKHwkzgB7QxUT7/9B5KkrwQqAqHvtkzFD4mQW7vRnrFch2camSsMuAV9hU QwJfgGbXo+GiuxQY69WieuDTj7ZHG6p3zzA+AD83B3t3AgA0LCwpQx9gvRENONw984flgkNuYeiS vb0jSpM8mMXEn2tHPAimscOJJeoLD8JOG7DKIK4B7aN6r8L/Rr/QTO7wfSmO+8iBQeoGJXaNrL+D eQGxjGDbbQ0NSGM9K8kSeVqxjPTWZqojTqVorAr68oSY72rCkWmj/Ng6Fp1GiN11rZ16ePLTGetw 5e2T17wThKqzYfKnFb+cDKsRThfBqFfB9HiaaZCqVSsJi4lJY3A5Wh7z4vazToZydr8Pl68c30kU qfBYScuQER7F+b1re3OZ0Uqnwnp9AC2+7+KWWEG/GESTVvcV5fWalEySI/f9TvWatzINijTzuzCa 0Eq4dDaMn+5lh6Gu1gNYK5ZKxRs+WXOnYN7xjSDw/E7dGHc7rjxUtgMIEb26LdNO2nHbVci9f7WI kC3EicsfY9/Jj4ZGqJgSC3OUHL5/rdZopSUJmXVfBJ1Q+TWp+/euGhROnVyyPiXKEjVhLoU5LtS2 1YvFDyW1UKF7mrIxkYoDBqjHrSuCFT/CB8Mpaa8asIBSSRTF95nUnIQ2PRWOoSD6p8FT6u3heZ0E Eb9gHnreV1BMuF1aIY76+QVgshHkg0bNp3vthO0/MqCbEQblrRBSnZz4u7hsIe5XkB9U1ozfCJ8G m4XaVML2RzEpVuc1rspbfL282j0EDr5A7y67Pl+auPSCTfEDu2iz+X4dYLiEyTou9Wj/FVZjGvfY 29Ik2ry5WdaMH+xUo6ch1jZU9wkNo9erTBars+CBxKTeuoU9nQ0o0yglspBMg3Om14TaG4X+u9x4 xDYpgS39yPC8HJ+tECQYcNOeOcNFIsTe4bA5FijfTpSe7Np3A4AINPJ+Isrt/T7gGSQpqBDAwrAf X7SjgoQJVAKeeysTCD5K8FD/dDQ9JnRjBCc/fVo9kxT5aJIzdUFUwtn97T/MnkRClnwpqOcNSdtm oGkDA2GhRBRdsgObdav/tamhMBv3flGKAvnjjucgY1Evz21PSNjPbKJCe/j63Oz6CJ9fxrxWOaHJ qIdBa0bOwD8jbQl4w6hBVEmDWROtvHyfwDMTxu2aHNa4rOJ4eYnISBeOfnT/60PISN0kGLi9LkCe p7saQZrDazF9h4u7UhQu+np2Af84vYRnnKJC6vQh0AxVjkODZd2ctxmfPC7lBVoIPGib1PijPsNN tkmJ2DW2QhMUeOUmBr+JVf32Fo8/cGa13XoFY1U9x/JriHsku0ANNcdHsbq8A5WxU84AW2fkvw0A vkjR70DGEMT/yif/YhUMTZi+kI/nGGXMIiDmT/MemXgxTZDbmIcNa6oRnvVgm7MRQJ3D72XEhVkK eWhcAO7IX45NvTn1bvUxm2z8UphunpZMSB4TUVhYmVX4cIcheOtXaUbT/zXOUEhiwaUyDs0CvOiV Rxp7hN+emgj4npu7g8idMqhWvfbe1dwsd9kxFEAoGkgbPrq/Q+mrfLuFVl1X7jbks/3WmoBfNdwg 5W95vtHh9Ie1lSedZ0YYOyy8c1O/psKM6GbUfi0PVmZZE63DmDOc3i5YFqtdv39y48meHlv1tiuL UcYckFAbWJJuqkTCZaXLqJUZB5ZRyFzBhPUreG4jhW1q6qcByKJS6zB7LDh1DStQCu+pvuD2bcnG c3VaxJ8HMp25O4QcrOfDq5UPeJdqnz/M96lOq8fi0DYRjsAA3lsAePx/o1wKV04Ml2Qn5HIe3lfn d3dNlt5ksNXweZoxdGd6tQnENhDTAFgh9P6noMVigzVHe7T44RLKwXsEHAtIdIb21mgeAHuX7hzg Og3z0fJ25W8b6dA6A2nS3dhojriSA5qQIsRPgnZMDcd4oQWs8c2I/WoIX5hN4jCiFeE9cDTDeOFF Po7d+gxvJ06Eg9ah7yKvFaclg8v639g9mnJNfB4BSiQLk59vQDoNlQVlzEvirhNHOR+R6GnTqTLd aqjLrueiM0Ixk26q83qhUNcyOr5nMo5SFEhQs3DhaFSAej2Ffk3HOs0+yuvWlPbPRikBeT8YnmS0 FqOMSEwGeOoWpy0nWBXJGvFAmIxee7AvUOuXcmtmrN2z7uATz6bQiv7wHlSzj3bsN28vpvg17cQ1 89dVYAGXFzBVmvFnsM9Qsowfdx3eDQVPWVmHnsaVuv1fufttlSU4UjzopHZ6zmFOdro2fnmlNjCr z1rVl/R1Fokuawg7OHLyMjEWIBgY3GHGAJzjFOMNqb67g8W9X8SELnVECrEY4qTvctNJqtsxMHRH aGfGtyfJ+Y04dDE+VkwewmdCwV1lPCUF7p32SfyPNKmLmwzzqgwwxOMoH27u9lFK93gbj9vwM94n 2JP9iu/07ZgERbE3tKaewMAWuo4K1yg81vr8tKmSRSu0baCcZizVDjmPsBcLSQKVkSitIqn/ctN5 RMgwT+0BC9AuCGV6ogPJCnbOrQ86wxGItjzgxh1TrpfaBk89M0RWgXlvnb2m0IwM842iuxUedCfT PjonasHHFOJ9HgNAzhD+vibNt3q0qYWAijCsf9EQGqYzHAICqSFCd6v4kRvvrxsHl10sS6Q4yLWD ELfiYr58f2M9AZT4U1fAUyoJVimISbObFtqK94x/NKqUvfciDySrQEucnDE9khL6yupppYxyTvWm Xfk0NfcvteB5pKSovrP584Ye5F8f/q1Wn0KmuIP0YujfoVJjMGAy1jHJ8axTa09MLjy3lb2ma6Ez fb07AU+XnOuO+boxvaxz/pTpNTNADkuA4o4qLI5rxcZOa5BL93HFaGl61Fq2GVE/Oyk6Yll/lM5x KLI0Hxo84WCsLnIUyWQbeaQ53J5qyMKF6T7iSuj43ZR4u/AOrFA2A/QSeRqIMUTyqZnMl/TrZhCZ dzHDR5y57TgHyPzCtzdjC3fPXXTwpFdnjrx+GXWapKmfqtjvkjNb3PLoe2b7wu/Ej+gN1RXS60O1 4Oz9Ejrv7sbKHyLIF28YlbXhYzJM7J7t5F6AQhJ3ujHPjl2SUNCvX6ikxqOqiTzr+YmhbvMsm6mZ bJH67NbkBpnvR92jepS4V1HroEaxhVJFQvdfS4KiBsEI8XWGCykcP4IKjDl4oIoEEabP7QZ0i5Gs iV9EB1096kDwcnI6cbkkmu1fKTdIT2XXpeoLHcRLqFlpv1RbT28FCi1TqankAn6Ng38subF5Flu8 TpCed+zaU6h9y/6s1EU3lV4nyT9GT93AbWXcgWcb+RugwlQ0Ua2toT5GjsPpbrOf/Xaz3hvYUDZ4 Mz00DnhDPVPeUJ7nxbNb41mm3+sbjFfqhhSGKly/jvGebReSrLbdhKY9K6EIJPzV5rwEnSSWKQsS 2Jvtzh4LUTaRSXfrWHUGwh7HLa+w4yrnedC7SKMLEkvbMJG0TgQbnYW0vFXCAKQ5GzKUAJxo7Okx RlFhAwybM7eCJ/rF6WVcswDyaTfZFEIlx1toKm+lu6an8e9Rtc491+GjuBLKorjgy1cpArif90tH GrlcfyGjG0U9ONVigaww+U5zIpMDtWxJTWpYfhq23isVXUGyBC7RIiGNDcVvKQdZNvHOOaBBIVvS OyTIL+rUys/dJgprU7t25UjQT8Rwue5fDgGkV/NG6QUGV/2iQVMbe83d0EjesguXIE7DSOMDW25/ XiDOQ3HxJL2LLs1DHybMJMMJYeN6h/D7Qvl0liLXnZh/2kyKblwUaLEuyUtJ5SPOG8y6jHrHSPRO XtnCe1yrWVWb23Ep7q82T2oUIA8brRfA2hFXvJGho2KPcqi2QB2HO6NA5al1dDpixF8EXqB/bGnP 7m9ldrXGe9+wJxxwM48DU8lvEfU5BwE20NaQZEDazIufeWHiaqLBfDG7DwYAANuHdwhg4v/DP9BT IQ7nfkaT4toVBHtSBV56LI4oTEa926TaLIwlpCJffGQRvoAwO+cAi32mdeo+Z//a2iYOQrmKzGWE NjzohukOur11zSs3GDXs3FQBmCa8FNB+9JglrUCdLFd4UFBIjJV9Mo9F8R4mvRvXmqlx8qVtS986 MT/UqArCegexuRgn++bLfyA6KHmiKfxNiD2PH2T5SlOcVZCUCCYW0oVtBVnbHogasUnSIn44sx7Q CZxSJ6QlgT0nS5NEVgAcF0fMa/p+28EegEsMSPusDwuyi5CUrPiqCgKS9ebnLk3nisC3fJpZgU6X GCh+GEsww4ehTRKr03mfQX2fDNQBRHtb+eB0hfXl/Flpe793ZnT2cLfyQ6xKTUGyge8Bdsywh8Lb qliCIUCXSgyDO9sSEoG5KJlt60amovpD5QJNbmZc8RV418KUc0lm+nq5u4Mj9sGIvCXjfs9xkoA0 ljSo/WFUK+Cl6SqhJXaKrBolpizg10OXGMLP6C/a0kTGiv1qUHws2mh8Mgb/UJlKpQ8P0TtujHJw kqQLU6FeeRcY7dfPZtMdM2qX0NQA0N84nFwbTUUUdZvmF2+cy86i+vm2xEf0Z+RIx6tvB0xCYA/Z QVsu575JWeToQ/c8Cq7ZqOhcI672TmZlMPnh44P/dQ3PqTHamuqo0z0Y6zv5THUqQm1oTkU0qYMU 4+eUiolgqfjNvs6MR0G9NCsGIgGToLPYpiJFMHslorCkan4ZBtvXtxUx9XYiSiEfXuMOGNVi708x 3kp7xHb9hNAQ/fWu5IzLZAxMYKx74PiQ+zbpjyGUvcStHJKy2oB8m/P/ebwANAKRcW3jeSBY6iQx FrednvzzEnz7803/7EibXq/S8EtrN1CXaOCtLUNNhu1zW4CtD0xyZOsaYsuUkchGegtY5tOQX8y5 swNL+iG5UuTPbY7pWs/OvwLO8kODb8mKL0eDvXzgQhpWPscwQDTNpsm77J4lUAuZ+aYQJgbOY4Yd m0+Gj4jlLrVPxGNtsrHv5ADcEoZNmCTBj9HIa549a1D2p9dx2cHqowUMJ7nD9vHCfSyZL+xjXol8 oXK/dm4veGpymrwwBMM6cUj2GXo4twbwbKgpuHU/yBlVc6H3hwJ0nHtKqqjvnreLBdPF1MGoA6rP mQaIag4/JavLNdDV3BJZpE/qPBjK4eSMwmbEBRpj06gBCkPN3E87q8BVSB0ClpkPbZoOihUV+OGY MU/ICfgco5+vUdfkGJ1Hx4Rm0zQjWwaYIYVkDMPaJlB8hSwXaB22fODU9+vzxgwTHu2neqJqT0g5 N60w4CqFs7rbO0V+HADNfNykastB19gP9PVW+Ynw3LjERzSs4GvDvpqhwo7J5UphNMGTXUf1HYap CopwImUtXoXhfFOR3Un1N0aoQLvsHDjmffhqNQyO2LpPRaULppElYotpykt0lcZNPh4GDXB6h6/e twjs1u6SJLJRiGwKOZJ0b13e4B9+eRrFIzDHZ6FU+LS/dHd0AkyG8IU6Ak5RxUdo8kJU2slhxWbR 6logt70sb6SHcr3GLcHqBb3QmkvrWjqTd+1bgK56MdMbHoUzF/ubSy6331wiFoIdb65QQCAtWDHf rCdwcXG5ctfR0uQwSdjn4UliyRBJEfMJZu2NuPp3s8h5SX7ROWCP8CcRyV9zXf4INomK56+LxLvC 90jOKwXk4wpBlaJaztCcMVmQeUqLzw5d41r+Ma2OZyTE2xPajkR5mXSu/nsKIuv3NszDrpoNXnpN yWB76r39tM5IcNA1Gq6vPi6nDpXJ09fCPSjX0EKVvDE/C5/1Y6XC0+GYzdPVuII0xwS6AWQRZ/Dv 6bMAG/RKzcN1w1GU9se836same+v+oqCi6u5Enl1L3uR5Jk/CT9UT2vNRalaeYk4R0FebDn3yJhe hB5vI+7Efv+5puhF8AL31YEcSfkGZ6ECZbf9JpunpC2jZHt88ELVRcDuVUAYhLpwr5Y7QsVtbKkm U7KCNyAB/bgSYzfzl1RBagfcvP6Jr0/fiNmvaJiJG1KwXp3MlVRdPRlK3kKuXBTYVqYI0UYUSmCF 1IoLms65AaDSOxmd+qp2r2K8DP6vlvxy3q6Q4+Z6LUEMe12fwmnFxYOzlNowqAS52jL2STZndiOL B2UBTOHiWW3TSX3+rjgMPpYTd8pdXOMtKjVp/Oab9GMvJ0H0YouhHHtyoQOuZxF/oN1n5f7Vk6Cb PbvZ16ccn2+8GtlsQ5Djj2bZYgC6aPrrMiWyvCw/V9f/cNP8PS/FKqNON+WEqDKyxIB6PRfJlNND kzoIxBOO8S9tdUWhAuE8+23nreY8WyQF/Bx+9FhBDPIHaw1BcGzG6J4lvBI3BRr11+9uohjK9Nd5 qtPRGxh1O0Z50HVdvyEyPcoKMbCbrYj6Ztw02ADCCDxCQGpA9zqs1MOHnSDheq3DMf+Mt6YJRj7h Os1fGcEec+JIyTLvFBlkyuyOxh7El7QTklhe+BkVBSrqeVqhCqoHVdUpc+PHHjzN+bKQLIc0GRVP xnfQYBMlVCXkiQbjqct/lJjxs1SDsym3LTBNEK8ZVYxgqlKpIQzTObsiMuNFmwvCUnmG30sf6ACn /RIQeRvR68gRHjqq3EOqn9gF9QPPEhiF5eEBlW5GLrYM96RmfB9rOVYH6VhL0dhwQWXZjnF4yaIq WpI1o5KIZ7x+OyaBooeKBtxP5Y9nOwX/HBRBAKqz/IAwfyny6FOJPc2NxJgBYxdmEOpGNVbpOM9d LoB/Ikf7Ofmu2J8Ice5IArVZBBGW6l6EzHxurUaYXkXe5Wg+0czJ2W5de5W6MMl5emXioNWee4sE dgUvPNB+coPV0dLO7FUQn9d0vgkQ5gNUy67xI2UuvZJjSas//bCXtclDlG9SqQQxKpRaEtZ9VGRB /vamuo7A/ns/L7M0TUUNzm6wj3XCi2C5UN9pH0AeTuo9ZyP851ybbcopMmegS2nHZsMoGzrm31m7 yVAojUxE4oYdzGKQvxkGGwXBl5S4XTQQxwOtCTb1PCCGgOW1igx7QqmgVJwURCkOYb6FgyHMPHr/ Rg1pLLH9IuSrVjjaFSRopQCx80e7PaVBcspdQE2pR09YYjMjreLD7/7x9RnVxN03jtLilVTLhbtg KWXfpL8oPtqV7isdnZ2PSIyyjWAKi8uFqwurHjW0vp05Opb0P9uZ1AArEE9CtyKyBkOIU1mMWEuR GcW1W3q+Ppz5r2Cf0vlOOV6RslBjWfcl3EEAWKsfLCVksfhuH0LYIcfN9UDk38cZ2qjTPrhDYoT1 veu7nE+VRHl0Xb2exu17/XxOF+Pd9fTMtPgvYkeji9XmDvurBQHe0+1ZmF7GJIN03rciRxBQJSZz Kif20A6oQdIp5QuIP8tPO4yHU7l1uadbmyBzzFwbiEiH/l+rBEuHLSA9VrQzhCvE0l0qL+pT2CLx 2RII7AbSC0IaTQ9/1iUTnNKMGBJtPNlvPyRFXZWSsEjQIahKiZPJWqQ48qEnmEaeMjSRduutNV1u YFwDt3jhQuFCkbihxehBuzXGM2iR6xJwO+aJPClS0/ht9gqNN7AUWrOLt+s8j7uB3OTASlkg2Qhs /utUqNUbLAf32cUXAlXpPPyhtXbg2FWy+mEmtBEf0Eg38UB5Gcw0j1b0/9eIFuDrdel/HDfNnvqj DmYVFX23upoLoU3hEL/gqGX4OISI0sWGyGmyYeqAcXOxkU9FCFfzBFm18o+uUz1kfJfOnCOpLMdC 4vylKA0C9I4A0ozsu7YXFPFQV3p0OkSbmkO8tOLd9inMKZO6wyIoYZYr8tsLe+tjkhcYh34tJFeV IeZxAza5SnOnmhKahOcUVrHjXrttk6fLRbAMBc202Yy93I4Ad8uIA2H0fdnYMnnxZcaOK425esIG PRQ+2p+OIwFfbtRKH+2xwAc2sa8aXqh3Qly2osnb7qYQnCgNKUBdfQNCCDjifX0w4h7Y8cR1ZN+2 Evvi71Ljgv4k0O+YfKUsFxt3jz5Ya8ZzYP8XcrJ1S7I28FOF4DLFWxSXzkYKUHtHz+ssBB9LS3AY qfIXUBbPBQ76E+vrxK6io4kqT1jot51ZieArcR3Ct0H9DSzL31hL/ndt9i/JiX+GK7hIDTJ71X/C O35CHY4jNTbWRmbB8IJbOK3JG9089vO8yQCGb0rhPwV84WlTak8clUOizGeh39n0FIc12fxkvoyg 8Lk9qWzOXTx9z1hLLJdUwADDp1Df1M7NN49VLe4JIqc5RN2qDe49fd+XjZIhmQkWUSFJyH0Yx8R3 ux2zFYVCVppAyXyEFy2RZBOPpjXI8psxtS1SPB61+IC8yBbMKazl/tBg1EvaPil/ns7yVVrZ0PoX Rln1vIeWZYMyzAHkxyjwjICb0p8quH2UIy4Q9G4ba/gJ+LVdUoZfmii75ET3OllrQYPAEvYm4uku G3UDR3VySRj9nXSJj4hF3OTF+f/Oaynsix7xbHjxzl5tfdOxVcBhWs4fPyC1GYPA7hWatWx6eOI6 0RdPuPEtKeWzrfosD0td6jpNL5YmfE016ztTMZd/4xotsJXNcsLN1HGeiLqiymfaBuoW3XHqRJKj WuM8GxsLxcnJcuNbUHyCfezBSQGoOTiG5ayGjXMBtDf8E84PJmKr9+P8o4noYMzKEdo0Q1vmUK2r jQ14qpSdjgOqTHcDISsycxdIwTF0XPKQViDvqqbA2ALNsMlQnqIUFq8Y2JQwl0nsiqO/jkCnN7l7 OTXQpHqDsnHJOaXWf46dJp2E6j8i+HKTLhK+nDGwcTFdZ6nw7yCZcyND6rtUMsEQyaWhpZYb73hX deMYXFHUusfTmjBnEnmnqmZBE86FL2qkbBmUHC4Pd50CY7oD2RfeuuHspolHkzX5LzkTlfIjuUxE BpVon/789nnqAUsC2ok7ZB7YrCS7HsgUvaMGL63jFPKyZbyjNa7U07rASL9EfGP+rvspmgiBq28y jRdbC4sOTzigg/9/hDL4NBM6gsCX8/XoO9PCcgMJlaQgHUQ5p9pFLJg9dztkmqCmyQMcEQF7xYUi rafUfVkxHtga+e2mH0BXoVcARJQy72ovj6GZ769fSUr1G9gCIH5iMxkmSdChrSVQ2oyb5F5UpV6j Feov/LPO5OWUQHsoW19maQyPVRTAiHorhv3HWwPgSZFAqtBibRAQsf27DKEN1GENTK72SSvzMByw 1Eam3zrQUE/IB9jq9d2Sny6Ww5vRhJnAce9pGGaIJ9pXbB25wvxVLyERYvZyRC3aTaAJgily5h5f O8+19d7pEU7vLzJJaFGhTXv80KCszbKMOjcklZP8EJPCwPFUe/hj4DshMgEOkblgIUu+MB9dat9J hAmGAwcuW0OdwXK96VxNf0/dN4Cev8HEwHzEPB/KSjwRKmaEuwHuN7iLsjLbYmVAjqyLurbUneli 2YskpPPtjrqJHX8Vl8wl6KoftBPnyOe3YWDb9upipjK0WOzxdVTOWCq8k+NUdy2w3/Wj3hRMgSyQ htGxoZd4pqhrs3rau8sDVEG2HJ+z6tMp6oZjJrCWtsCm03V7d8gT7CzSblNfOJfTiSMSsNcbXdgd cQw7ckSW48ZnyOu3vNawSMckyUXhdABX5BuxV5cIFVBAnBwsNMGMwzY7UvqoKW5PFh3KeBQd+05L pB6+3SNBejlYqFXFlbRHJj0ED06/3StF8GbK/IHLAMbQCV33x4vWFMNSKeuOy98FJNF3/X7I1q+Q UxYkDmhITJkDVwYYMDeaW19w9MhZZVClyvxFXttqwFPV2DZZyk9HnCb7X0ITk6vcOjmiaifLF+ox BaU2pKG0abDYxCEZ9NZ05zpHVHpbIPIzbxmowy0AKj9I0rbNBxkt3T1tsaOq0zaw0+LHTpy8RjqM 7a6aEEgAVgoMhqPUyRqZZHvHIbn/ELmHp3jmeoOpYJogWmLGdFROp/KMrk7gu7OYTyjQhfKvP9MR ldBs6Cw2fd1TIyu71ltuSClnsbT8sgdCzAoqxd2iMFlzvRW+bShQJ4liYiUn9MmiOrPcaFPKegQA lk/Zqe1E8wGix04uZIY4sIM0LfiGlN1RGx58dgZJXWoGtgBBJpyk9YqzI0ihvukwURVSxzjijuWm xE6lozV7vD17+li4NA1QD1Ca/ZffA5vGpk08iDUfKb+3gQrdyaP8VNtuQEXrBKCUXd013pUSL2Sv bAc8MsQgmKONyaqpnBLvC/fOCHYkgfJgYJPVX0Eaw7Dt4j4CR/i4FWVNF8NdRj3N4RomTUsK2K9W GCh5gxBTty9EUoKeoUD4q4iADB7BOje0DA5cSUpQdZCt+hH9C7Ics4To8/Sx/j0p5Vn3Y+eE34VR rANSx1zkiKi9bxCH94kf/fbipL5bjMgCK7cINQUto7rvAwTOxrt8ZouSISZjsFkgXAMvZlQxdV+t qNsMfr+GUD8jr/ilx49ykXCVC4JXpyhYixzhF4ldpKnVcCPqu8UvKxs0pUWjjT61ZT4m9e7vjSDc ZfR1nWbddiQ+KxzdpOIJ59r0SIz/gjvpW8KXuhBHTgnIBiBO6CZnJTtafhAe3LfCkO77B8BQA+sv PQHcNI4hG/BuVJsVmXygIsDC+I6bVR9rJWoKdW6uzv/7jiiGvc4DB6BUHNcQ1D3HBWHMPEglMpqJ JIrIUOqltBticnFXcrC/+ASYKOyMDn2SMq69O8KN3uKYaiUlqOMoSXwcJR7LGDg816ASNg+7yrmQ AMS18aDIC6Qxq+T6v3R7cgY5d+TPAbPzDwZwIoP9zP3YBfxv5LAi+ciS1jqf3uYCEfARICWqTR6x AZtDpCMKrR+y6CN4g9Ky9N52yTVYN33Hs0IRNdG43jxo39UixC6ILI05X6AMBQUuoK5EK2WBHB/2 zO9N/704ahcAGiC86XD4CbCrH/Q9gC0qrnxr0AwwUV98Z6PGwH2StbyK4+6rHymjUGpxAmwWVnn9 Pk46WuQ5X7jjY0Qmtd6Y17gm/8mW0O7F1NkSjqaH+KWDukXRWblALV8BGCwUAbvHUhAyMD7XNh+q vxGglduf1tNjzu+DPcDcNtsbGhPubZ2omqZzGYwP3IhFjsFYX88KAMpk4iO0hWu1vVeLlhT4Ti/q ywltmqEkL8fhTtFgxwBlMyJA35i5SZmC76iSU5pVwoaTuv2z0MQOqPY8mIgs+Vq/p4PRHCszJic9 9ENYS6YyzE9GXF0QgmVT3HPvEWOTL3TqUY7pcEEmiMEEydYu0XxrndMTy+rfMakyBLj3g0RGI//s Vgqa5dZNxkBoE6rlATAeke7Kqk3IfU3/dcDZvHQF8/bn/11Qrty3accaPSAi86/1QDDCwzfPkGZi i9Frx6zkXQZJi4b0twnJVhpABo01uVczNWHQ94gkqVkSTXQA4kVm7ql6bxOeTOcahFqtkkehyxh0 XKicArMC89sH/2LwZV6KlCvCSO8buul1Krv/BMsGKe6T6VwXfQlX2+mNWweptJHQUbg5HA4Au7jv TxZe3ESOMyFw/1k0bNbAw+0Ll7iIp/ZkKZbBDPN6wO4X8MsQweq1esLktsB6EMJQD0nOVMDM7Gw3 9bIbUVAsXvpGpHCZ9Z6ulJREtpnL1B/NwejUWBUjudl+407Sgpy8k+XF4kuhwTSdzfGQWOS0sCPj Blazn8TgoGbHbnsFtPS6BBAsYiArhoBRj8eMjBguV1awqxTnaom95zey/DQ8qX9SdURmo9mySUZ1 U9k24l8UMFzlWMj/nqkoMxbZC/GwdLx8T8do7v1BGBSMgQU74Wg1VcNVu6ZLAJ+RDQNH6by7UIob g1wBHy/kUmqxgY1/RaZEVtfG5ravJkro04twGsRj1WU84wUGPTBNKwb3soLqKvSwcTNZEnmflueG 8Br9LLjpJZX4v+pTYewI66NqzpBNDSRSR+iypE3ciiureVTnkCBMKRcwQyxQrfI+c8qowA8KZ3JC lWnXtl1DgObAM0cc2cg2FL/UdvRKEZhgQz3ipSWQs3VYsgK0MrlQ5f4hHhSXc8wLgn81Cirg/piP NrGze82O6wWABZxu1bS22aVLXfV+Cm567zps2ikbueLZNkbRM6lTVyIQAQCZ6fe3R0SdyO9fgr/Y y4wYV2n05ujCv2BoOslLdKZg5hyH2FPacm+jLWCXZXkbAAgQi0sCGyWip93e/cFf0CN59tDTVL2B fVOhvGhhB8aci+7SjI7hwX+f1dSy4vEY4dNZLAK9TpV5SUHC5zXXOskK48yFgge7O7YS9YhwAH9E wByMQ0B1QKLXcHnS0zaCIhQjtBq+MPNKvqz7DI6XqIx/AqYyGjGqtJ1BZz3ULVKCAxnrTEqCgiCd B2jddFVTUmIQPTjd94mHZjVMHGHbC2+UhS4P8yvh8/+PuAUrQTs1/DHGxxeEuTwS+UVaYb/zJjyw VaNmZRDevkHw52dQuia/j9yBlxEfFwSnK172N2VUC0j56FXG8e0U3O3Hsvg10q/0PDyE2uJNdGIY Mnk0hHivCwDLYnJrCuObp9AljSHIddFtKEdDi3uuNs4hhbyM44RwL74Ap4dA6PhSwiQ3kUZgDzPr ZpXofVbY+3/U3B4ZCHHIUTEtK+TuIduQvqFERzAsiDiPWy1I4NxETOBKG3ymapluG8Fg8ld4O1v0 0PVhUC5seA/0J2O2hozYf19109WU/CjqYyomv8WHii9UhzK5jdgdr5P8AkMCPm07EdysvgY1Zo4D wR69rDNfrqwEHRsOpTIFwD+Mbr8cT1NYZHRaAQ5ixHb7aIYLvwfwBZZGTTks77CvFhKakg6OxbFe x5Ww/yXxLJksjDy3jAzUvbhkGLofxO0YVA+cUJgKbmCHijBWoYE6zLCeYdJokXp42TCILFPL1SlQ fD4FZs/3MzQRGgJDQiVodtc0DaVPst7P8plo3tD9BXqTuuFagVPbMqR6euptvcqIRnSH5SvTkL9O tVz5u6MAwyM9PTejwHTW3SJ+QZGRoDq2qbtXFUw1VWklatmZ4ojxbesJfYLeJKNVAVpH3SUOHqF4 l7PvL+jeNdM3JNfjVaIHb7TPjPxcFDMCapfLNXS6kag0tv8y9rDqBYprECHvn20JjWNVHzjLMs1K p7FzTgIFdjA4jh9xtGDMRsLI53bzxSbchkVObgMfqRcQw73eBws5H76GU1TlSFR7e1rV3TAyk0IA fpcqkAKKDs9oju2SA3q/mP+oye5wZn/Yi9BKv/CjB+ls/iss4kzpg5q3JPYT/xaBm2GvmS3MJBQ9 zugIEaPYvfnxsy0O1bYGo+wYDP0gaPJl/blNmjjS791Q1a4Oa6vDek+vQ3ZnVNy6kIf4WH2Kahb8 miRAPmpfu4DLnqcrJKKKJCnEWA6qwTcBE9+v3kN5qcLAC3NfxduGS1WXUAdjz64AsK0afJbE+JWn uHNHBhjD4WyUmWUTjR+ZN86KORcRiJgIhzOMxtz5f267sOE6I50+a7AuRcBBsbpxgOHvM9dgAEkU DDLtCprRInEzHYz4alDEA/0RNE4pBYZ8PZ5ev0s1zmi5OV75ho/VhzndsZ3M/pGgvWFeVqZjDeH6 2GLJwt+V1gzXsub6v8NzHlcIGPwFu0Ojnv3X9m81m+ggrtob5MYZKwNemJSYpEfaJ2+uq5n9i4sD 2URNw9Kx8h0J8tHPfj1ZbO8vNLgWTBRu+81GdmBQ+YXe1I5By3vGpIFWf611R6//xwhaS3w6xG1R 0RRAkfDZDIeKwm1n/gbfla9kXVNOXJT6WqCvJ1zHnWsyS6rv+ObWaAE0baljqhNCWd2OdNrnTEyF G7QRq6wnhFFPx1MMOEBHiJ9HenqhuW1imHsj25FCltDcV9NqVAOM4/68GvHEMVhPvKRUXScT6ZkO MQIOtrohy6veQda2V8x72f2oB4cvg7humlxaYy/ufclLudoKRAL7FwsORoIEPztiUbTZornBmjek +WAACIGkELoCDtOW4pkZdk9Z55l+BfmmFSP6soWYMZzKgosJ48bmBjHD8PE4btuEFu20VKPjUSOF zlACtkUHBoPEsKR/bOamRgdpOtJ4+itgwwDD/76NItCn16/42llBdieu4u7I0rXlI61Dnroy9s2h SRuEWau0mZkBXK5vibDajGxR34nQDq/xKaXInXCGx3iPR4iSWOTedvKKCn3pgJpy917MZ8Qcb81s cWhyjdmqPF9BcQWuSz2JELTJ9SQCJFqGXgImLIiw5aTTMbGRdodVw+rwhP9SNnmX3vPChAapLS0j 22UOYQzqArlWSoNtjPvL31hcEXFa4MXDuy5IHr/hg1Zb4U05AFVZZsevT2NVS6EypAMrRqPfdlIv 4uShI1ItDhRJ9BXG1HuAl2bP2oJUf0zyGiN3g7eDIkHPDxl/0FaKsWoMozdj4WvLlwyaUm2/72Wm MOsWbzP4k8N1tD/UnTwM0n8iT+iy2vLl1vPC1xdSd4GkdQa9g7JXfjTnIxxSBMa6l2XMixFgV6kF FVDjwdTYRRN8AKDSzrY8yPHRwWfO3AaCiqoUgLI/Jx9F1HjyQKaC0/dggGio4/ROE3qIRQjKm8gG 5VjoLXVDyUb+Lw1KItX6k5c5geNKgO6wrJdQXUsULluUP7U1t+/4xTWqej4oLspLiHW34wSRnHfb 3dQV3bueXRMiRmDL8kYOFV2Ig+DdNj203K0siywLblkAtcJpnpmdzTEr+3gewI2hHbz2KeGaiN8T OAlxK/ic33UAcXyRygGraLVEPV3LnyMlgYiuptq96RO3dahLEYp+/RF0JrULuZt9qlI8N7cAikbr 513MDWN2mO/7UONnIJ1BlKYzcId9cRLNSDdq90N432y9lxRg3jbugOs2Snuy/6lkUMFlYUNHz4s4 Wm+XNdEa7ClpndVIKD6ORC+11Av5Gv7SNs2ykcdb2jNgWeGHuBtkOVOEgBulnDiK5g5ychwt7Hfg GE6N0WYtwfmngzg0tlk17rGmPinbt3we4FZuba8YjPW5z18aWIXLPSYKNI39rxNqXhpkkqFs4GTz 1c/nbK63tlF7VRzobaO/AQvWvNjF/BLDiG2/7ppVcjUjc9ttIH2l2H/Ui8Py1Jh8pG1O7y7brE50 exQmJDbLTuV7FtXLXmcB0QbRAcFaZ1PAbxdsSZKPZzg8jm6SEsfz+72Najk+OotqGhO2qWeQAjId coSdEAbmi4u+JFC6lhjVGrtZGexKY00Kg8IUG0HFs26PzBJPvsgjt0xR/8aNLM17ne9R5NHqfi0W s5LifllyvHs0yDGzAhqqFStrLXok5Xcw6RGIszUjKvlx97IhGxLFf3hI4tHEGzKErlfdJNu8wmWh rxMG9YtfsDCQ+lz7vK8o0b1CtQABFQ9vqqLdvTOWqDKCIuYK2gwH53pw4/IK+7r9Sb3pb5FY5DDy GEoXY/bxFweeUTMVjqXfekWG0aY6TjBikX6k2wE3WSRUoqw2t+tHKJH6fg5pBYkOFNMHat4kkRw8 bFXa7mcZe8wvZYix8/uRdVGoCz/CT1sQYZHt3lZtQ/Q27eFgVHanyJnpCcjR+BsuI91c3n7DcTpp nz2Z8bx06ozK3yCaylPgziKObd4Tao+np7+50wnmQ/Ay6Vxo6wH/BYpIyWLQJtlG6VNHjZITSGuX Q7hEIvXDJs71AEjuMoB8/bJXX+WoyMyaCLvnChLYb9S1k4KR8K4TzxKCBjefNq+v6ErnDbhXkGHn Tj9gyPuG `protect end_protected
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/c_sub/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_vh_rfs.vhd
8
24071
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H4URPv9EpcRhkuPfg29H1ZzBQu6E58ra8nWLS6or9vqljE8eUuMYTRR5FXv4Rv79+dW0YBbHJDL9 wpk/pM++Vw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cOlknyyiOXEJxOMpTfJeuL4bafDNMyqfzYZiBOeMl7cq3UeXY2PjTmdTY1m6w8WHnxco+Yi4t94e AMmf5NEZg+gFr5Kg1lIcYmZfsXpuySqqpZQ/7usdIdYO7Asm/L87Wm9S/tZGOL8k91UZ3ox2t81J 6Zg7UCBc9UmvCNd9skA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CFi7UEn4btMs4k2hHaZmd7O0JgvPUNWuDlcte7aj9n1LY3hbGUN8EI7tmQhcGTx7gGZE9Ru66q/i zmUwUvSZEbkGNMYj0Q2kUoxzouaTB9bltzk75m28+bDTEKmB8w51zK76vaS8/myF1bduGFfiCOYk i9uKNIbdHRYjFMGdx8sV+hwM61tgHw9BxrpktZks3lf/i/QE3egTxkdEqMw289Uv87CaDCUd+v59 ekXAL+WRXXGOrnvAYo30uourTBE/qhnJ9nNwvTBAsPFGhCKqdCJArJLi4ihrq5XQOs8nPgHPecGm V1+NjHo9lrI9WwCk0qFSLnOvrt0IVkGTrGcUmQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n/YyDFXnYIXA2nmc/gCApXAaEmCKSk7Ak8FRhJaYZwC3lXv/vMjl2cfXXNSbqnKjXFpU+9X9HzrI k6UJ3qXjrh/23XCVh2MSpiNkhFqSAupIN0V9Kci8bewlxphN3Qy6HSROEEpAKgCBGOSZ6yzBb77m 9uu4l/cnNBsEv+3eHe8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AcPVp5cxMJmuHEfR0EkrXaMFLSZ7RNFLeFs9y4Tv8S90iVa1S/jfvPaieL2ra+GKhX19rtymWE3a mL49cynXQLEx41Eed+51K1IgMjRgjAnVu6lCpRlYcQp95MFd+tyJeQe3oy0vIWZqtTPvXEQLJkA8 afwkfRrCqUlt3gHPynr3Q7GCJDTrDNSQ2siIAjuTu0W56QKpt3Wzadq+p0Y74YhizuNgvy28Gn60 69h1ytNqKplJZ8DzAQnccY6JK9w6zNTgxM8xaPyRV6/6mt90hN6kwdkXvusb6VHxuxaxTOO8fQXe jIoVManYa5+XQU9V6sYnfkpJ4WekEXf++m8T5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16080) `protect data_block GzfYKVA1AWYnoP7t0t2NzCnLPiGwHlVDbWl2ufiJk7BRMPlnwdFO8LI9e9LFJZIqUs5+DJ5X5IJF AUzSOqQly1lalatpltMuYrxPnVG/rg9st36xpncopJV6z0hvG7o+U58FcNdm2ZgF/NBMZqLM7hYB zI4/HykKAMUYWwVNbnYM2QGFzdDmNbAeYFLNhYYIB5y/rXeqmj3tv/xFb6a9NfmEAGiv955DRWP8 KpIWV5SBv/ABOVf+MnDJg0YDB3RWJhPr+mzjBmjrMyLn6BV/Koxh6D4dj5VN09rKrl1HkBQdFUSl 1KogIQAkNGtAc6n/w4B+klVQm3Uu0SharMeO+or1gy/tzvvAnkQZbt3+/NFw4BjslGKHex+1M+FJ h0E8hbqgSVkBGRCs/6rwVW4GJMy/Sm/ad8gjk9Xb8nPONo7Eetby4RpjOShioQRcAZn7w93c0Hu0 bPaL0/ydEqxOSE0hRQwTz91odO4alcUxCn86D/slo1Hi7SOLyz67p2Tfs/UcgtDelFYDDxYsZgiq lS1h3EDjIZBIcHVUsuvcM/c2O0KaXdUyBMNKmL6htK85ig3EQxT2xWZn2T4h/jZfUj7cHhHma6Ax BU0x/FnT3WEojpy2cfQRamBCUkIPEI2vnXPd3+VSCtJFFsefQwL36ZSMoXEbg1hC5Gxxfor21118 r0Ii2UhmNjevoRn2Di7ui/nJfygqCplI2bc99uiJX6IKfym5E4yM8VSskq9xrWWGByZS++xIVLN6 FCBoVJReBomVMtwZ7f4b12HfoJJ9vKp/ggmviX5Rr7y29tnkDy58xe0ppBXBMl2UKVmQt1e72d7X asYWHOhz1NcJK71rEbdtlIq+qQ2exZ70xIMsIOJoFUHaXqF7dL821yU+P/8ATRO01oBGNPnkzLXw 7mYhRD+Eax/I0dTGQfB1WmhYr25vuolHO9QzHGjcSCHzRAjqaNn7N+5yVYecqvsYEn/BHYkwAFmu ZOhcTpx7GbKcBSekZx/29wGDEALBAVtBK3yAQxyFvLUuBEUCBmGef5RdkKrF7ZqbLByErBMQXNXK 6r+ekS1CljUrk/ty6OGGT21EasSfOFQShYMsZ0JUxlhOE2xgKjGFRtpd/ErrMaQvc+NEBfLi4R2Q naQ+OX+4kLCAXLZ5zs/LCfoZS1ZHLNMfCly4vfqBIznVXfPh836zWBOvnMQjP2K1ucFuzl0vH5NI MWy4DLtytXxQoQLxo4ZGLXqtNiSfze7upCIjLZsGjsxYrg+eHvVNTSqtMQmjboQb6QKZCTguzrNU aYYkwRuQR125/DNJYKb4DG/slw7tcCT6eWdk1RkPPkgjfvABfoJmiXq3d1Ni7q9SA/rWgikyKZ0M R68DgX+mO+YoFUyhtp9uPcTYV4yMqi+YjhNU4Nxiim5Pkefpc+84fQl8mCiZsDE9K3wOEhmFktLC 7qe97qHmIwjAiMFGr9xeCJ3qg8e31ts/S+/KQbFAB935/Tu8B462pd63g9HI7yoKo+OaWkDYPlj2 naA1IMbeSRXiYDoRcFWLkCfALlExpxs31kMd29amk8iZmENnRXdH4evX+Axk+Maz42dS+pHjEZr7 OpzaPuGFw5KjknToh77tVu/1dOU4r/NiX9xFtS+CojgzsEwUfeL3jfAsi0JD1w8vaav5tbFnoFJ0 btlYfThxnIGIm4bdTPQZ2ON3kiujRceOnWR7WzcDrRYeg+0jqSZUwhfFSjk9qvLIqUws9OxmiASf kheCJp20Co1FdJK9nYv/6LMo476Xha6GjwGqd49NEp2NXzK7s31TuQvYSwXiavs+ED0RriFCGv/3 7SjkqF4Fz97K2Rgb3SW8zffW1IzrAd6dGCAl+ff5NGQzbI7c6/srmNohk2yFWwBBgBbUNLMu+BsZ B9ArlF89Proor0UWItKhtgKI3JYx3WcpwYjFG1xZkqyg/UUtJf1Di/CptL4h/v6p6HgS5K2yxNi+ WYtb0I1onNImpWDw2/Eo+axZkC766cLNS1zzhJFfDfpy9N0slUFjsIr5HyWEMpb+EyliOx2ZjfbW O3cuOjKKmbeAlUnb0Ae9Sf3awfFfjeaFV7D1oO7gfr4o47hU5Eki/N7InuB2VAYOIb0TRfpQXYcf dxCly5nd39rlL4MFfXNa1en6mSqfDUH8jXyYduFe7jAgTQnMoTkDrlE6VRpO/ZgGoCJQWHviK2RN Hn/R0rGzDuO/4hxTeqA6h7hmz2K923zDaGSzvBv3Bf8TF54trdrgrfj4NjaVpHhki6DqsloNh5PE bIaBXtiTicM2IKsHiwywZ6+fubxsBcUPrwMR88+2CZjuhO/YAyppiMKf/33hsS7nGNvV2ueje7oK nNRojM4V8Gm5SZxECeFNb1KemBMN7e5A7SY4B0WFBWRv0Y6uzq3cvJTsWpoBPT1KDerwuPJ8w9M7 3yWgXcvT++iI8d5v+cOYoUugC1upAgiQOgByjXskzGHMR+wlFXISKwftgHBiwK9s8Pysl19U/Ils AQc8TbkrC/f0+v6kk04FIKnfFs2wPYIYGBgKpF9S/oHcDfI+Knc2TU4Jk2cw71ZfaYmr1R2eLgq1 jpJiWcuCB9TKdHdUsTN1DScglvBG64CdfWDQ26ngeANd9eJyQ7kKPp3LpEoLF6Zx/y7xydPlFfTC nprV39C51iHHrj8XfGAP36rfsUqp7zsSRb3XG/fQOJaZXLYj3U7jxt/vshU2caaDWcJvn0CtkQxo V1fn6W6hcVC3r0o0yf3RzfcYiyBqqVuxBy5p7r1u2C76wzwhTOThS1oxd2JUnBydJt4izzhdVNUP vx5uibcH5bMKbHHABF6dAPHIPBh3FexrKJ0utUNVqPkJb4K6L9sMRDkO5w9gHrhpey4DSW52zcj0 kUBqmcyfANeLTRATF4uk9K16p6IuHGNYxxyZWSN3zAi+kiMHwx3wWk/90L+rKsM2dd+Q2mD5EODV rbCuIIslAzlfqZ5Vf+c5Pbk8GqxN4Dz6dWIvimwSQ0v4CmcK1sFZwQy1VvxL9kX1cf0JyMXI2BDA bZbPMpLlxGbi4Dh8jR+MQO3IQZCTL/5Lne2GwyQ7toH7EnuPTsHYaqEVtLZgmcoPXLiiF6pjXDEv g4M1P5fpOyA68qpDZ9SaB5Ypi9jjqb82FRbsDocoxWodyNazUbrOcXDb9lfV3anOv6bWtbSg5PLJ YFw3HNeoYPn3XV5oLJLLrMWG9idli8M8QlidBf19mame8XgsO6z6zEXWKQIgm/STxyvPHrESMzVy gFFfJnCMLVfq1T9v2yVX5qk74s+yBeEePLL798nKtVBk0zqXGrEXJIlLlqEAdQ80HNQ5eFfg02Mu fV4oep4ZEl9OUu71YV6Dpi7i3mdDS/V9iKG9GP8kiozo+0ZVLY+QOCY7h9ll9dnuJb0zJnf89r4z TS34uv3LxatVFdob2YqWYQWyCApjQOe50wMBqjvtUV+BMdDLpCe5sf1Vh9YkAhIpVmh6J5gQTQ4v eO2L8tsSynRW0OuqQR9t/FfmmxW1HOqYZPNefY+Ws3wBRoaHZV7mxIkeKQsOV/qr25RJ9Oe3rvVc x0fwEkd6J0mh2aaCgmLBG+hgsr3gRWTKI0xleg4ptXMPLsOt7PxdbNmwqR0kMFTq10b+MshVzlO4 aexBK34lgZjBxsrLCbTbqYk9vm35nu2IY8UoOeMFR0qFHh5UnrmegD1kAYHnVBKm7srBK86qfU1g GXzyaIhB+ERd14j5QdB8N/sAiStCbBH81tvw+lqyzyNyHTpFWu3duguIgKUfo2Y8UPnctZPgUVE/ sBUALpzKMuk25p2qU0PCKO5GgmvXrBW5epxiKKOXCw/Y/qcjIln5EpMp4xd3o5tK0j/UyOxzq4VC 8MM+YCy2iu5//O14zK0Hbce4o29v0CREdNSNruVFmL5y5hCFtz9CtF26rOeugLEojjYejgfpXQqz 1y9xf8jdjXT33+TD9a/GIAqfWsDTO5UZkuDcwCYs64ZbC59AflC//iuihv7UptmMxUzP/GbsgNiI 3obQcsT72tGouGgkJ37OEjOcwGSoCrsS+WDCbnnv1WjrCuIRMxSzOitivP+qG/XLyNq0KUaKF+Yg r47xXoVqt4NR2uMQ8i3jF/KXdvR9+fwg6Ux7rv5OJti6RCtbVO9AbqHUBvgebbOjjSHqR0Ov0aFa +aQDwPtv6Hj56NGzN0YmOkll3wz1nW+UaXMh3U6dhwr6AvKpnslzceurYtJcjuh88tm1arSMAC8S UmiaCtLsmTHRXrDi21++iBE9JZ5uv90qIhsPIX0HPd+0hpgBHytCTjyYCvpGo83Jnx/vvjHkmfA9 T7H0vphZtIHkaYPqxhDPuLI5MlMaD1c5uAZNcG33N/P8z6+seHQMDA0qqLvTlpmrTDWXhJYfHpRx e9kyAnytlBpf3IQGgVXvQvi8ojX4zGjsW5lsBZWxoSZ2uTIzJrUCHMuss4TtvaM5pOSoG4lLnROn QOEMiX1Gsu9ItrA79xfKRRrBfdPYJhYp0F2QJneC7T4csVGXn4gDSPdt2WkFC/mDUmhLB/m9m3SH xCc7UpNqSoGYN8iA8PsV5p7l+pOPOfnlYUp98+dy4Q/ywRHaWfK8IV7x97gm7FeRBrCiOpJLQHXz 0I88hnOxrOuW+u1IrV6deKfgwk3K9lKIQ3kO7cfQJfcVmVZd4lIRvzyjY6/RChGIaq06UIXCoHvj oIpgFnMtKx4Z2hc/abGL79iWPHVp1+vGNtbRHf1+P++5J5Wkxw0RnO6cc0YUfuL1FjGgJSypCfOQ 6HBbLZYSsltW1PwwsYeJtSlCLJltN9UxIz6MYaHbrfBt7eg+imvQQhvZq/ZACt7Fwm/TTWBZmEf2 ISG0vPbpT1cF+maCAj59exx9s+g154FRoJPzniSfCidwiydjzumACvc/9pWNM7osct91LUBPgFhk mkkhZPhai8Y1joCZpzJzeCEjJRAdN7F05DAqyBFUHO/7BtG23iVr8QnxWIP24ihGGyVYgfTX9PWi IsOvmAQaT+vV9PCn2KhEJKny9XZ34dG0e8vbBK+64akZiKDYyj0UZA8g/HjeB1YQxRliSxWS/nMo /1hA6j5K5fpMfjh1OMF/fMEx5qBBDfNAOyxOiMw8lT58VEG/0w7ZmqjgXGQ6cLI18GF/62Yb8OjL TIdlDTC/py+HxJCd1GqIonHg+PC2rIn/437jM8lgX/vCym8B11sOHJUzdudTbqA5g2/DIOl4EiUN ky5KkQNhxTpTvwDSUnRN+ydpbyZ0t3K4WL63LRF81DL6q3TgRFlO+qRT3q1PMA27/WaKxXdedDj0 ovprcR9Tj+6Ajrz3G56zBXbPKUbnACXaeRarh5wHHlcbMWxYYL35Nw/MIuYkvkrr4gylLmWQEJV6 K/boWJiIizyaGIs/Kt/aE34ya93xcQDxnnZHlnukWC6AzTQvwN6Am8Teaj7QsznluUyn9PffUgQ2 5cZzB0NG1eA4WrJF1pVc70uUJAWu8CXt/pfAhuWCUq+1wANX4xJhJ1ChzBCje4o8jvw1VbccVCP2 BwNKpiuxTI14/0rbxBpYvmM1tQ8kNqL4nzqeykIa1dqsrHTjFYpP1XHcV+5EeFjeRdVWvQCat3YE oXM7xfsvN65sbB64oDihzlnqPu0a5Xt2AkeAPgiOQ3ID7nSVPU1+lra3pJ6Va2T3ooVTzoV/6Hf1 iiWUIZ9XnvuOWRdAZH5gapfwpSORgm4khJrr47fywZU5a9DIIXxQsZH2eByr+5xV9PsWClXIcNfF ZDUOvjk5bFTgExGtN3YEND09kNZrL8xwxWdnNu5zE3SnLiou3Q1RNXeHwfRwYYPWGiq4w2f2e9E7 gZ9ME367VfaaOoDSnndKHwkzgB7QxUT7/9B5KkrwQqAqHvtkzFD4mQW7vRnrFch2camSsMuAV9hU QwJfgGbXo+GiuxQY69WieuDTj7ZHG6p3zzA+AD83B3t3AgA0LCwpQx9gvRENONw984flgkNuYeiS vb0jSpM8mMXEn2tHPAimscOJJeoLD8JOG7DKIK4B7aN6r8L/Rr/QTO7wfSmO+8iBQeoGJXaNrL+D eQGxjGDbbQ0NSGM9K8kSeVqxjPTWZqojTqVorAr68oSY72rCkWmj/Ng6Fp1GiN11rZ16ePLTGetw 5e2T17wThKqzYfKnFb+cDKsRThfBqFfB9HiaaZCqVSsJi4lJY3A5Wh7z4vazToZydr8Pl68c30kU qfBYScuQER7F+b1re3OZ0Uqnwnp9AC2+7+KWWEG/GESTVvcV5fWalEySI/f9TvWatzINijTzuzCa 0Eq4dDaMn+5lh6Gu1gNYK5ZKxRs+WXOnYN7xjSDw/E7dGHc7rjxUtgMIEb26LdNO2nHbVci9f7WI kC3EicsfY9/Jj4ZGqJgSC3OUHL5/rdZopSUJmXVfBJ1Q+TWp+/euGhROnVyyPiXKEjVhLoU5LtS2 1YvFDyW1UKF7mrIxkYoDBqjHrSuCFT/CB8Mpaa8asIBSSRTF95nUnIQ2PRWOoSD6p8FT6u3heZ0E Eb9gHnreV1BMuF1aIY76+QVgshHkg0bNp3vthO0/MqCbEQblrRBSnZz4u7hsIe5XkB9U1ozfCJ8G m4XaVML2RzEpVuc1rspbfL282j0EDr5A7y67Pl+auPSCTfEDu2iz+X4dYLiEyTou9Wj/FVZjGvfY 29Ik2ry5WdaMH+xUo6ch1jZU9wkNo9erTBars+CBxKTeuoU9nQ0o0yglspBMg3Om14TaG4X+u9x4 xDYpgS39yPC8HJ+tECQYcNOeOcNFIsTe4bA5FijfTpSe7Np3A4AINPJ+Isrt/T7gGSQpqBDAwrAf X7SjgoQJVAKeeysTCD5K8FD/dDQ9JnRjBCc/fVo9kxT5aJIzdUFUwtn97T/MnkRClnwpqOcNSdtm oGkDA2GhRBRdsgObdav/tamhMBv3flGKAvnjjucgY1Evz21PSNjPbKJCe/j63Oz6CJ9fxrxWOaHJ qIdBa0bOwD8jbQl4w6hBVEmDWROtvHyfwDMTxu2aHNa4rOJ4eYnISBeOfnT/60PISN0kGLi9LkCe p7saQZrDazF9h4u7UhQu+np2Af84vYRnnKJC6vQh0AxVjkODZd2ctxmfPC7lBVoIPGib1PijPsNN tkmJ2DW2QhMUeOUmBr+JVf32Fo8/cGa13XoFY1U9x/JriHsku0ANNcdHsbq8A5WxU84AW2fkvw0A vkjR70DGEMT/yif/YhUMTZi+kI/nGGXMIiDmT/MemXgxTZDbmIcNa6oRnvVgm7MRQJ3D72XEhVkK eWhcAO7IX45NvTn1bvUxm2z8UphunpZMSB4TUVhYmVX4cIcheOtXaUbT/zXOUEhiwaUyDs0CvOiV Rxp7hN+emgj4npu7g8idMqhWvfbe1dwsd9kxFEAoGkgbPrq/Q+mrfLuFVl1X7jbks/3WmoBfNdwg 5W95vtHh9Ie1lSedZ0YYOyy8c1O/psKM6GbUfi0PVmZZE63DmDOc3i5YFqtdv39y48meHlv1tiuL UcYckFAbWJJuqkTCZaXLqJUZB5ZRyFzBhPUreG4jhW1q6qcByKJS6zB7LDh1DStQCu+pvuD2bcnG c3VaxJ8HMp25O4QcrOfDq5UPeJdqnz/M96lOq8fi0DYRjsAA3lsAePx/o1wKV04Ml2Qn5HIe3lfn d3dNlt5ksNXweZoxdGd6tQnENhDTAFgh9P6noMVigzVHe7T44RLKwXsEHAtIdIb21mgeAHuX7hzg Og3z0fJ25W8b6dA6A2nS3dhojriSA5qQIsRPgnZMDcd4oQWs8c2I/WoIX5hN4jCiFeE9cDTDeOFF Po7d+gxvJ06Eg9ah7yKvFaclg8v639g9mnJNfB4BSiQLk59vQDoNlQVlzEvirhNHOR+R6GnTqTLd aqjLrueiM0Ixk26q83qhUNcyOr5nMo5SFEhQs3DhaFSAej2Ffk3HOs0+yuvWlPbPRikBeT8YnmS0 FqOMSEwGeOoWpy0nWBXJGvFAmIxee7AvUOuXcmtmrN2z7uATz6bQiv7wHlSzj3bsN28vpvg17cQ1 89dVYAGXFzBVmvFnsM9Qsowfdx3eDQVPWVmHnsaVuv1fufttlSU4UjzopHZ6zmFOdro2fnmlNjCr z1rVl/R1Fokuawg7OHLyMjEWIBgY3GHGAJzjFOMNqb67g8W9X8SELnVECrEY4qTvctNJqtsxMHRH aGfGtyfJ+Y04dDE+VkwewmdCwV1lPCUF7p32SfyPNKmLmwzzqgwwxOMoH27u9lFK93gbj9vwM94n 2JP9iu/07ZgERbE3tKaewMAWuo4K1yg81vr8tKmSRSu0baCcZizVDjmPsBcLSQKVkSitIqn/ctN5 RMgwT+0BC9AuCGV6ogPJCnbOrQ86wxGItjzgxh1TrpfaBk89M0RWgXlvnb2m0IwM842iuxUedCfT PjonasHHFOJ9HgNAzhD+vibNt3q0qYWAijCsf9EQGqYzHAICqSFCd6v4kRvvrxsHl10sS6Q4yLWD ELfiYr58f2M9AZT4U1fAUyoJVimISbObFtqK94x/NKqUvfciDySrQEucnDE9khL6yupppYxyTvWm Xfk0NfcvteB5pKSovrP584Ye5F8f/q1Wn0KmuIP0YujfoVJjMGAy1jHJ8axTa09MLjy3lb2ma6Ez fb07AU+XnOuO+boxvaxz/pTpNTNADkuA4o4qLI5rxcZOa5BL93HFaGl61Fq2GVE/Oyk6Yll/lM5x KLI0Hxo84WCsLnIUyWQbeaQ53J5qyMKF6T7iSuj43ZR4u/AOrFA2A/QSeRqIMUTyqZnMl/TrZhCZ dzHDR5y57TgHyPzCtzdjC3fPXXTwpFdnjrx+GXWapKmfqtjvkjNb3PLoe2b7wu/Ej+gN1RXS60O1 4Oz9Ejrv7sbKHyLIF28YlbXhYzJM7J7t5F6AQhJ3ujHPjl2SUNCvX6ikxqOqiTzr+YmhbvMsm6mZ bJH67NbkBpnvR92jepS4V1HroEaxhVJFQvdfS4KiBsEI8XWGCykcP4IKjDl4oIoEEabP7QZ0i5Gs iV9EB1096kDwcnI6cbkkmu1fKTdIT2XXpeoLHcRLqFlpv1RbT28FCi1TqankAn6Ng38subF5Flu8 TpCed+zaU6h9y/6s1EU3lV4nyT9GT93AbWXcgWcb+RugwlQ0Ua2toT5GjsPpbrOf/Xaz3hvYUDZ4 Mz00DnhDPVPeUJ7nxbNb41mm3+sbjFfqhhSGKly/jvGebReSrLbdhKY9K6EIJPzV5rwEnSSWKQsS 2Jvtzh4LUTaRSXfrWHUGwh7HLa+w4yrnedC7SKMLEkvbMJG0TgQbnYW0vFXCAKQ5GzKUAJxo7Okx RlFhAwybM7eCJ/rF6WVcswDyaTfZFEIlx1toKm+lu6an8e9Rtc491+GjuBLKorjgy1cpArif90tH GrlcfyGjG0U9ONVigaww+U5zIpMDtWxJTWpYfhq23isVXUGyBC7RIiGNDcVvKQdZNvHOOaBBIVvS OyTIL+rUys/dJgprU7t25UjQT8Rwue5fDgGkV/NG6QUGV/2iQVMbe83d0EjesguXIE7DSOMDW25/ XiDOQ3HxJL2LLs1DHybMJMMJYeN6h/D7Qvl0liLXnZh/2kyKblwUaLEuyUtJ5SPOG8y6jHrHSPRO XtnCe1yrWVWb23Ep7q82T2oUIA8brRfA2hFXvJGho2KPcqi2QB2HO6NA5al1dDpixF8EXqB/bGnP 7m9ldrXGe9+wJxxwM48DU8lvEfU5BwE20NaQZEDazIufeWHiaqLBfDG7DwYAANuHdwhg4v/DP9BT IQ7nfkaT4toVBHtSBV56LI4oTEa926TaLIwlpCJffGQRvoAwO+cAi32mdeo+Z//a2iYOQrmKzGWE NjzohukOur11zSs3GDXs3FQBmCa8FNB+9JglrUCdLFd4UFBIjJV9Mo9F8R4mvRvXmqlx8qVtS986 MT/UqArCegexuRgn++bLfyA6KHmiKfxNiD2PH2T5SlOcVZCUCCYW0oVtBVnbHogasUnSIn44sx7Q CZxSJ6QlgT0nS5NEVgAcF0fMa/p+28EegEsMSPusDwuyi5CUrPiqCgKS9ebnLk3nisC3fJpZgU6X GCh+GEsww4ehTRKr03mfQX2fDNQBRHtb+eB0hfXl/Flpe793ZnT2cLfyQ6xKTUGyge8Bdsywh8Lb qliCIUCXSgyDO9sSEoG5KJlt60amovpD5QJNbmZc8RV418KUc0lm+nq5u4Mj9sGIvCXjfs9xkoA0 ljSo/WFUK+Cl6SqhJXaKrBolpizg10OXGMLP6C/a0kTGiv1qUHws2mh8Mgb/UJlKpQ8P0TtujHJw kqQLU6FeeRcY7dfPZtMdM2qX0NQA0N84nFwbTUUUdZvmF2+cy86i+vm2xEf0Z+RIx6tvB0xCYA/Z QVsu575JWeToQ/c8Cq7ZqOhcI672TmZlMPnh44P/dQ3PqTHamuqo0z0Y6zv5THUqQm1oTkU0qYMU 4+eUiolgqfjNvs6MR0G9NCsGIgGToLPYpiJFMHslorCkan4ZBtvXtxUx9XYiSiEfXuMOGNVi708x 3kp7xHb9hNAQ/fWu5IzLZAxMYKx74PiQ+zbpjyGUvcStHJKy2oB8m/P/ebwANAKRcW3jeSBY6iQx FrednvzzEnz7803/7EibXq/S8EtrN1CXaOCtLUNNhu1zW4CtD0xyZOsaYsuUkchGegtY5tOQX8y5 swNL+iG5UuTPbY7pWs/OvwLO8kODb8mKL0eDvXzgQhpWPscwQDTNpsm77J4lUAuZ+aYQJgbOY4Yd m0+Gj4jlLrVPxGNtsrHv5ADcEoZNmCTBj9HIa549a1D2p9dx2cHqowUMJ7nD9vHCfSyZL+xjXol8 oXK/dm4veGpymrwwBMM6cUj2GXo4twbwbKgpuHU/yBlVc6H3hwJ0nHtKqqjvnreLBdPF1MGoA6rP mQaIag4/JavLNdDV3BJZpE/qPBjK4eSMwmbEBRpj06gBCkPN3E87q8BVSB0ClpkPbZoOihUV+OGY MU/ICfgco5+vUdfkGJ1Hx4Rm0zQjWwaYIYVkDMPaJlB8hSwXaB22fODU9+vzxgwTHu2neqJqT0g5 N60w4CqFs7rbO0V+HADNfNykastB19gP9PVW+Ynw3LjERzSs4GvDvpqhwo7J5UphNMGTXUf1HYap CopwImUtXoXhfFOR3Un1N0aoQLvsHDjmffhqNQyO2LpPRaULppElYotpykt0lcZNPh4GDXB6h6/e twjs1u6SJLJRiGwKOZJ0b13e4B9+eRrFIzDHZ6FU+LS/dHd0AkyG8IU6Ak5RxUdo8kJU2slhxWbR 6logt70sb6SHcr3GLcHqBb3QmkvrWjqTd+1bgK56MdMbHoUzF/ubSy6331wiFoIdb65QQCAtWDHf rCdwcXG5ctfR0uQwSdjn4UliyRBJEfMJZu2NuPp3s8h5SX7ROWCP8CcRyV9zXf4INomK56+LxLvC 90jOKwXk4wpBlaJaztCcMVmQeUqLzw5d41r+Ma2OZyTE2xPajkR5mXSu/nsKIuv3NszDrpoNXnpN yWB76r39tM5IcNA1Gq6vPi6nDpXJ09fCPSjX0EKVvDE/C5/1Y6XC0+GYzdPVuII0xwS6AWQRZ/Dv 6bMAG/RKzcN1w1GU9se836same+v+oqCi6u5Enl1L3uR5Jk/CT9UT2vNRalaeYk4R0FebDn3yJhe hB5vI+7Efv+5puhF8AL31YEcSfkGZ6ECZbf9JpunpC2jZHt88ELVRcDuVUAYhLpwr5Y7QsVtbKkm U7KCNyAB/bgSYzfzl1RBagfcvP6Jr0/fiNmvaJiJG1KwXp3MlVRdPRlK3kKuXBTYVqYI0UYUSmCF 1IoLms65AaDSOxmd+qp2r2K8DP6vlvxy3q6Q4+Z6LUEMe12fwmnFxYOzlNowqAS52jL2STZndiOL B2UBTOHiWW3TSX3+rjgMPpYTd8pdXOMtKjVp/Oab9GMvJ0H0YouhHHtyoQOuZxF/oN1n5f7Vk6Cb PbvZ16ccn2+8GtlsQ5Djj2bZYgC6aPrrMiWyvCw/V9f/cNP8PS/FKqNON+WEqDKyxIB6PRfJlNND kzoIxBOO8S9tdUWhAuE8+23nreY8WyQF/Bx+9FhBDPIHaw1BcGzG6J4lvBI3BRr11+9uohjK9Nd5 qtPRGxh1O0Z50HVdvyEyPcoKMbCbrYj6Ztw02ADCCDxCQGpA9zqs1MOHnSDheq3DMf+Mt6YJRj7h Os1fGcEec+JIyTLvFBlkyuyOxh7El7QTklhe+BkVBSrqeVqhCqoHVdUpc+PHHjzN+bKQLIc0GRVP xnfQYBMlVCXkiQbjqct/lJjxs1SDsym3LTBNEK8ZVYxgqlKpIQzTObsiMuNFmwvCUnmG30sf6ACn /RIQeRvR68gRHjqq3EOqn9gF9QPPEhiF5eEBlW5GLrYM96RmfB9rOVYH6VhL0dhwQWXZjnF4yaIq WpI1o5KIZ7x+OyaBooeKBtxP5Y9nOwX/HBRBAKqz/IAwfyny6FOJPc2NxJgBYxdmEOpGNVbpOM9d LoB/Ikf7Ofmu2J8Ice5IArVZBBGW6l6EzHxurUaYXkXe5Wg+0czJ2W5de5W6MMl5emXioNWee4sE dgUvPNB+coPV0dLO7FUQn9d0vgkQ5gNUy67xI2UuvZJjSas//bCXtclDlG9SqQQxKpRaEtZ9VGRB /vamuo7A/ns/L7M0TUUNzm6wj3XCi2C5UN9pH0AeTuo9ZyP851ybbcopMmegS2nHZsMoGzrm31m7 yVAojUxE4oYdzGKQvxkGGwXBl5S4XTQQxwOtCTb1PCCGgOW1igx7QqmgVJwURCkOYb6FgyHMPHr/ Rg1pLLH9IuSrVjjaFSRopQCx80e7PaVBcspdQE2pR09YYjMjreLD7/7x9RnVxN03jtLilVTLhbtg KWXfpL8oPtqV7isdnZ2PSIyyjWAKi8uFqwurHjW0vp05Opb0P9uZ1AArEE9CtyKyBkOIU1mMWEuR GcW1W3q+Ppz5r2Cf0vlOOV6RslBjWfcl3EEAWKsfLCVksfhuH0LYIcfN9UDk38cZ2qjTPrhDYoT1 veu7nE+VRHl0Xb2exu17/XxOF+Pd9fTMtPgvYkeji9XmDvurBQHe0+1ZmF7GJIN03rciRxBQJSZz Kif20A6oQdIp5QuIP8tPO4yHU7l1uadbmyBzzFwbiEiH/l+rBEuHLSA9VrQzhCvE0l0qL+pT2CLx 2RII7AbSC0IaTQ9/1iUTnNKMGBJtPNlvPyRFXZWSsEjQIahKiZPJWqQ48qEnmEaeMjSRduutNV1u YFwDt3jhQuFCkbihxehBuzXGM2iR6xJwO+aJPClS0/ht9gqNN7AUWrOLt+s8j7uB3OTASlkg2Qhs /utUqNUbLAf32cUXAlXpPPyhtXbg2FWy+mEmtBEf0Eg38UB5Gcw0j1b0/9eIFuDrdel/HDfNnvqj DmYVFX23upoLoU3hEL/gqGX4OISI0sWGyGmyYeqAcXOxkU9FCFfzBFm18o+uUz1kfJfOnCOpLMdC 4vylKA0C9I4A0ozsu7YXFPFQV3p0OkSbmkO8tOLd9inMKZO6wyIoYZYr8tsLe+tjkhcYh34tJFeV IeZxAza5SnOnmhKahOcUVrHjXrttk6fLRbAMBc202Yy93I4Ad8uIA2H0fdnYMnnxZcaOK425esIG PRQ+2p+OIwFfbtRKH+2xwAc2sa8aXqh3Qly2osnb7qYQnCgNKUBdfQNCCDjifX0w4h7Y8cR1ZN+2 Evvi71Ljgv4k0O+YfKUsFxt3jz5Ya8ZzYP8XcrJ1S7I28FOF4DLFWxSXzkYKUHtHz+ssBB9LS3AY qfIXUBbPBQ76E+vrxK6io4kqT1jot51ZieArcR3Ct0H9DSzL31hL/ndt9i/JiX+GK7hIDTJ71X/C O35CHY4jNTbWRmbB8IJbOK3JG9089vO8yQCGb0rhPwV84WlTak8clUOizGeh39n0FIc12fxkvoyg 8Lk9qWzOXTx9z1hLLJdUwADDp1Df1M7NN49VLe4JIqc5RN2qDe49fd+XjZIhmQkWUSFJyH0Yx8R3 ux2zFYVCVppAyXyEFy2RZBOPpjXI8psxtS1SPB61+IC8yBbMKazl/tBg1EvaPil/ns7yVVrZ0PoX Rln1vIeWZYMyzAHkxyjwjICb0p8quH2UIy4Q9G4ba/gJ+LVdUoZfmii75ET3OllrQYPAEvYm4uku G3UDR3VySRj9nXSJj4hF3OTF+f/Oaynsix7xbHjxzl5tfdOxVcBhWs4fPyC1GYPA7hWatWx6eOI6 0RdPuPEtKeWzrfosD0td6jpNL5YmfE016ztTMZd/4xotsJXNcsLN1HGeiLqiymfaBuoW3XHqRJKj WuM8GxsLxcnJcuNbUHyCfezBSQGoOTiG5ayGjXMBtDf8E84PJmKr9+P8o4noYMzKEdo0Q1vmUK2r jQ14qpSdjgOqTHcDISsycxdIwTF0XPKQViDvqqbA2ALNsMlQnqIUFq8Y2JQwl0nsiqO/jkCnN7l7 OTXQpHqDsnHJOaXWf46dJp2E6j8i+HKTLhK+nDGwcTFdZ6nw7yCZcyND6rtUMsEQyaWhpZYb73hX deMYXFHUusfTmjBnEnmnqmZBE86FL2qkbBmUHC4Pd50CY7oD2RfeuuHspolHkzX5LzkTlfIjuUxE BpVon/789nnqAUsC2ok7ZB7YrCS7HsgUvaMGL63jFPKyZbyjNa7U07rASL9EfGP+rvspmgiBq28y jRdbC4sOTzigg/9/hDL4NBM6gsCX8/XoO9PCcgMJlaQgHUQ5p9pFLJg9dztkmqCmyQMcEQF7xYUi rafUfVkxHtga+e2mH0BXoVcARJQy72ovj6GZ769fSUr1G9gCIH5iMxkmSdChrSVQ2oyb5F5UpV6j Feov/LPO5OWUQHsoW19maQyPVRTAiHorhv3HWwPgSZFAqtBibRAQsf27DKEN1GENTK72SSvzMByw 1Eam3zrQUE/IB9jq9d2Sny6Ww5vRhJnAce9pGGaIJ9pXbB25wvxVLyERYvZyRC3aTaAJgily5h5f O8+19d7pEU7vLzJJaFGhTXv80KCszbKMOjcklZP8EJPCwPFUe/hj4DshMgEOkblgIUu+MB9dat9J hAmGAwcuW0OdwXK96VxNf0/dN4Cev8HEwHzEPB/KSjwRKmaEuwHuN7iLsjLbYmVAjqyLurbUneli 2YskpPPtjrqJHX8Vl8wl6KoftBPnyOe3YWDb9upipjK0WOzxdVTOWCq8k+NUdy2w3/Wj3hRMgSyQ htGxoZd4pqhrs3rau8sDVEG2HJ+z6tMp6oZjJrCWtsCm03V7d8gT7CzSblNfOJfTiSMSsNcbXdgd cQw7ckSW48ZnyOu3vNawSMckyUXhdABX5BuxV5cIFVBAnBwsNMGMwzY7UvqoKW5PFh3KeBQd+05L pB6+3SNBejlYqFXFlbRHJj0ED06/3StF8GbK/IHLAMbQCV33x4vWFMNSKeuOy98FJNF3/X7I1q+Q UxYkDmhITJkDVwYYMDeaW19w9MhZZVClyvxFXttqwFPV2DZZyk9HnCb7X0ITk6vcOjmiaifLF+ox BaU2pKG0abDYxCEZ9NZ05zpHVHpbIPIzbxmowy0AKj9I0rbNBxkt3T1tsaOq0zaw0+LHTpy8RjqM 7a6aEEgAVgoMhqPUyRqZZHvHIbn/ELmHp3jmeoOpYJogWmLGdFROp/KMrk7gu7OYTyjQhfKvP9MR ldBs6Cw2fd1TIyu71ltuSClnsbT8sgdCzAoqxd2iMFlzvRW+bShQJ4liYiUn9MmiOrPcaFPKegQA lk/Zqe1E8wGix04uZIY4sIM0LfiGlN1RGx58dgZJXWoGtgBBJpyk9YqzI0ihvukwURVSxzjijuWm xE6lozV7vD17+li4NA1QD1Ca/ZffA5vGpk08iDUfKb+3gQrdyaP8VNtuQEXrBKCUXd013pUSL2Sv bAc8MsQgmKONyaqpnBLvC/fOCHYkgfJgYJPVX0Eaw7Dt4j4CR/i4FWVNF8NdRj3N4RomTUsK2K9W GCh5gxBTty9EUoKeoUD4q4iADB7BOje0DA5cSUpQdZCt+hH9C7Ics4To8/Sx/j0p5Vn3Y+eE34VR rANSx1zkiKi9bxCH94kf/fbipL5bjMgCK7cINQUto7rvAwTOxrt8ZouSISZjsFkgXAMvZlQxdV+t qNsMfr+GUD8jr/ilx49ykXCVC4JXpyhYixzhF4ldpKnVcCPqu8UvKxs0pUWjjT61ZT4m9e7vjSDc ZfR1nWbddiQ+KxzdpOIJ59r0SIz/gjvpW8KXuhBHTgnIBiBO6CZnJTtafhAe3LfCkO77B8BQA+sv PQHcNI4hG/BuVJsVmXygIsDC+I6bVR9rJWoKdW6uzv/7jiiGvc4DB6BUHNcQ1D3HBWHMPEglMpqJ JIrIUOqltBticnFXcrC/+ASYKOyMDn2SMq69O8KN3uKYaiUlqOMoSXwcJR7LGDg816ASNg+7yrmQ AMS18aDIC6Qxq+T6v3R7cgY5d+TPAbPzDwZwIoP9zP3YBfxv5LAi+ciS1jqf3uYCEfARICWqTR6x AZtDpCMKrR+y6CN4g9Ky9N52yTVYN33Hs0IRNdG43jxo39UixC6ILI05X6AMBQUuoK5EK2WBHB/2 zO9N/704ahcAGiC86XD4CbCrH/Q9gC0qrnxr0AwwUV98Z6PGwH2StbyK4+6rHymjUGpxAmwWVnn9 Pk46WuQ5X7jjY0Qmtd6Y17gm/8mW0O7F1NkSjqaH+KWDukXRWblALV8BGCwUAbvHUhAyMD7XNh+q vxGglduf1tNjzu+DPcDcNtsbGhPubZ2omqZzGYwP3IhFjsFYX88KAMpk4iO0hWu1vVeLlhT4Ti/q ywltmqEkL8fhTtFgxwBlMyJA35i5SZmC76iSU5pVwoaTuv2z0MQOqPY8mIgs+Vq/p4PRHCszJic9 9ENYS6YyzE9GXF0QgmVT3HPvEWOTL3TqUY7pcEEmiMEEydYu0XxrndMTy+rfMakyBLj3g0RGI//s Vgqa5dZNxkBoE6rlATAeke7Kqk3IfU3/dcDZvHQF8/bn/11Qrty3accaPSAi86/1QDDCwzfPkGZi i9Frx6zkXQZJi4b0twnJVhpABo01uVczNWHQ94gkqVkSTXQA4kVm7ql6bxOeTOcahFqtkkehyxh0 XKicArMC89sH/2LwZV6KlCvCSO8buul1Krv/BMsGKe6T6VwXfQlX2+mNWweptJHQUbg5HA4Au7jv TxZe3ESOMyFw/1k0bNbAw+0Ll7iIp/ZkKZbBDPN6wO4X8MsQweq1esLktsB6EMJQD0nOVMDM7Gw3 9bIbUVAsXvpGpHCZ9Z6ulJREtpnL1B/NwejUWBUjudl+407Sgpy8k+XF4kuhwTSdzfGQWOS0sCPj Blazn8TgoGbHbnsFtPS6BBAsYiArhoBRj8eMjBguV1awqxTnaom95zey/DQ8qX9SdURmo9mySUZ1 U9k24l8UMFzlWMj/nqkoMxbZC/GwdLx8T8do7v1BGBSMgQU74Wg1VcNVu6ZLAJ+RDQNH6by7UIob g1wBHy/kUmqxgY1/RaZEVtfG5ravJkro04twGsRj1WU84wUGPTBNKwb3soLqKvSwcTNZEnmflueG 8Br9LLjpJZX4v+pTYewI66NqzpBNDSRSR+iypE3ciiureVTnkCBMKRcwQyxQrfI+c8qowA8KZ3JC lWnXtl1DgObAM0cc2cg2FL/UdvRKEZhgQz3ipSWQs3VYsgK0MrlQ5f4hHhSXc8wLgn81Cirg/piP NrGze82O6wWABZxu1bS22aVLXfV+Cm567zps2ikbueLZNkbRM6lTVyIQAQCZ6fe3R0SdyO9fgr/Y y4wYV2n05ujCv2BoOslLdKZg5hyH2FPacm+jLWCXZXkbAAgQi0sCGyWip93e/cFf0CN59tDTVL2B fVOhvGhhB8aci+7SjI7hwX+f1dSy4vEY4dNZLAK9TpV5SUHC5zXXOskK48yFgge7O7YS9YhwAH9E wByMQ0B1QKLXcHnS0zaCIhQjtBq+MPNKvqz7DI6XqIx/AqYyGjGqtJ1BZz3ULVKCAxnrTEqCgiCd B2jddFVTUmIQPTjd94mHZjVMHGHbC2+UhS4P8yvh8/+PuAUrQTs1/DHGxxeEuTwS+UVaYb/zJjyw VaNmZRDevkHw52dQuia/j9yBlxEfFwSnK172N2VUC0j56FXG8e0U3O3Hsvg10q/0PDyE2uJNdGIY Mnk0hHivCwDLYnJrCuObp9AljSHIddFtKEdDi3uuNs4hhbyM44RwL74Ap4dA6PhSwiQ3kUZgDzPr ZpXofVbY+3/U3B4ZCHHIUTEtK+TuIduQvqFERzAsiDiPWy1I4NxETOBKG3ymapluG8Fg8ld4O1v0 0PVhUC5seA/0J2O2hozYf19109WU/CjqYyomv8WHii9UhzK5jdgdr5P8AkMCPm07EdysvgY1Zo4D wR69rDNfrqwEHRsOpTIFwD+Mbr8cT1NYZHRaAQ5ixHb7aIYLvwfwBZZGTTks77CvFhKakg6OxbFe x5Ww/yXxLJksjDy3jAzUvbhkGLofxO0YVA+cUJgKbmCHijBWoYE6zLCeYdJokXp42TCILFPL1SlQ fD4FZs/3MzQRGgJDQiVodtc0DaVPst7P8plo3tD9BXqTuuFagVPbMqR6euptvcqIRnSH5SvTkL9O tVz5u6MAwyM9PTejwHTW3SJ+QZGRoDq2qbtXFUw1VWklatmZ4ojxbesJfYLeJKNVAVpH3SUOHqF4 l7PvL+jeNdM3JNfjVaIHb7TPjPxcFDMCapfLNXS6kag0tv8y9rDqBYprECHvn20JjWNVHzjLMs1K p7FzTgIFdjA4jh9xtGDMRsLI53bzxSbchkVObgMfqRcQw73eBws5H76GU1TlSFR7e1rV3TAyk0IA fpcqkAKKDs9oju2SA3q/mP+oye5wZn/Yi9BKv/CjB+ls/iss4kzpg5q3JPYT/xaBm2GvmS3MJBQ9 zugIEaPYvfnxsy0O1bYGo+wYDP0gaPJl/blNmjjS791Q1a4Oa6vDek+vQ3ZnVNy6kIf4WH2Kahb8 miRAPmpfu4DLnqcrJKKKJCnEWA6qwTcBE9+v3kN5qcLAC3NfxduGS1WXUAdjz64AsK0afJbE+JWn uHNHBhjD4WyUmWUTjR+ZN86KORcRiJgIhzOMxtz5f267sOE6I50+a7AuRcBBsbpxgOHvM9dgAEkU DDLtCprRInEzHYz4alDEA/0RNE4pBYZ8PZ5ev0s1zmi5OV75ho/VhzndsZ3M/pGgvWFeVqZjDeH6 2GLJwt+V1gzXsub6v8NzHlcIGPwFu0Ojnv3X9m81m+ggrtob5MYZKwNemJSYpEfaJ2+uq5n9i4sD 2URNw9Kx8h0J8tHPfj1ZbO8vNLgWTBRu+81GdmBQ+YXe1I5By3vGpIFWf611R6//xwhaS3w6xG1R 0RRAkfDZDIeKwm1n/gbfla9kXVNOXJT6WqCvJ1zHnWsyS6rv+ObWaAE0baljqhNCWd2OdNrnTEyF G7QRq6wnhFFPx1MMOEBHiJ9HenqhuW1imHsj25FCltDcV9NqVAOM4/68GvHEMVhPvKRUXScT6ZkO MQIOtrohy6veQda2V8x72f2oB4cvg7humlxaYy/ufclLudoKRAL7FwsORoIEPztiUbTZornBmjek +WAACIGkELoCDtOW4pkZdk9Z55l+BfmmFSP6soWYMZzKgosJ48bmBjHD8PE4btuEFu20VKPjUSOF zlACtkUHBoPEsKR/bOamRgdpOtJ4+itgwwDD/76NItCn16/42llBdieu4u7I0rXlI61Dnroy9s2h SRuEWau0mZkBXK5vibDajGxR34nQDq/xKaXInXCGx3iPR4iSWOTedvKKCn3pgJpy917MZ8Qcb81s cWhyjdmqPF9BcQWuSz2JELTJ9SQCJFqGXgImLIiw5aTTMbGRdodVw+rwhP9SNnmX3vPChAapLS0j 22UOYQzqArlWSoNtjPvL31hcEXFa4MXDuy5IHr/hg1Zb4U05AFVZZsevT2NVS6EypAMrRqPfdlIv 4uShI1ItDhRJ9BXG1HuAl2bP2oJUf0zyGiN3g7eDIkHPDxl/0FaKsWoMozdj4WvLlwyaUm2/72Wm MOsWbzP4k8N1tD/UnTwM0n8iT+iy2vLl1vPC1xdSd4GkdQa9g7JXfjTnIxxSBMa6l2XMixFgV6kF FVDjwdTYRRN8AKDSzrY8yPHRwWfO3AaCiqoUgLI/Jx9F1HjyQKaC0/dggGio4/ROE3qIRQjKm8gG 5VjoLXVDyUb+Lw1KItX6k5c5geNKgO6wrJdQXUsULluUP7U1t+/4xTWqej4oLspLiHW34wSRnHfb 3dQV3bueXRMiRmDL8kYOFV2Ig+DdNj203K0siywLblkAtcJpnpmdzTEr+3gewI2hHbz2KeGaiN8T OAlxK/ic33UAcXyRygGraLVEPV3LnyMlgYiuptq96RO3dahLEYp+/RF0JrULuZt9qlI8N7cAikbr 513MDWN2mO/7UONnIJ1BlKYzcId9cRLNSDdq90N432y9lxRg3jbugOs2Snuy/6lkUMFlYUNHz4s4 Wm+XNdEa7ClpndVIKD6ORC+11Av5Gv7SNs2ykcdb2jNgWeGHuBtkOVOEgBulnDiK5g5ychwt7Hfg GE6N0WYtwfmngzg0tlk17rGmPinbt3we4FZuba8YjPW5z18aWIXLPSYKNI39rxNqXhpkkqFs4GTz 1c/nbK63tlF7VRzobaO/AQvWvNjF/BLDiG2/7ppVcjUjc9ttIH2l2H/Ui8Py1Jh8pG1O7y7brE50 exQmJDbLTuV7FtXLXmcB0QbRAcFaZ1PAbxdsSZKPZzg8jm6SEsfz+72Najk+OotqGhO2qWeQAjId coSdEAbmi4u+JFC6lhjVGrtZGexKY00Kg8IUG0HFs26PzBJPvsgjt0xR/8aNLM17ne9R5NHqfi0W s5LifllyvHs0yDGzAhqqFStrLXok5Xcw6RGIszUjKvlx97IhGxLFf3hI4tHEGzKErlfdJNu8wmWh rxMG9YtfsDCQ+lz7vK8o0b1CtQABFQ9vqqLdvTOWqDKCIuYK2gwH53pw4/IK+7r9Sb3pb5FY5DDy GEoXY/bxFweeUTMVjqXfekWG0aY6TjBikX6k2wE3WSRUoqw2t+tHKJH6fg5pBYkOFNMHat4kkRw8 bFXa7mcZe8wvZYix8/uRdVGoCz/CT1sQYZHt3lZtQ/Q27eFgVHanyJnpCcjR+BsuI91c3n7DcTpp nz2Z8bx06ozK3yCaylPgziKObd4Tao+np7+50wnmQ/Ay6Vxo6wH/BYpIyWLQJtlG6VNHjZITSGuX Q7hEIvXDJs71AEjuMoB8/bJXX+WoyMyaCLvnChLYb9S1k4KR8K4TzxKCBjefNq+v6ErnDbhXkGHn Tj9gyPuG `protect end_protected
gpl-3.0
luebbers/reconos
core/pcores/cpu_hwt_bram_logic_v1_00_a/hdl/vhdl/cpu_hwt_bram_logic.vhd
1
5620
-- -- \file cpu_hwt_bram_logic.vhd -- -- BRAM control logic for CPU-HW threads -- -- This BRAM is used to store the CPU reset vectors for switching software -- threads. -- -- \author Robert Meiche <[email protected]> -- \date 22.09.2009 -- ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- -- This file is part of ReconOS (http://www.reconos.de). -- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS). -- All rights reserved. -- -- ReconOS is free software: you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ReconOS. If not, see <http://www.gnu.org/licenses/>. -- -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; entity cpu_hwt_bram_logic is generic ( BRAM_DWIDTH : integer := 64; BRAM_AWIDTH : integer := 32; CPU_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; --CPU Ports CPU0_boot_sect_ready : out std_logic; CPU0_set_boot_sect : in std_logic; CPU0_boot_sect_data : in std_logic_vector(CPU_DWIDTH-1 downto 0); CPU1_boot_sect_ready : out std_logic; CPU1_set_boot_sect : in std_logic; CPU1_boot_sect_data : in std_logic_vector(CPU_DWIDTH-1 downto 0); --BRAM Ports BRAM_Rst : out std_logic; BRAM_CLK : out std_logic; BRAM_EN : out std_logic; BRAM_WEN : out std_logic_vector(0 to BRAM_DWIDTH/8-1); --Qualified WE BRAM_Addr : out std_logic_vector(0 to BRAM_AWIDTH-1); BRAM_Dout : out std_logic_vector(0 to BRAM_DWIDTH-1); BRAM_Din : in std_logic_vector(0 to BRAM_DWIDTH-1) ); end cpu_hwt_bram_logic; architecture synth of cpu_hwt_bram_logic is signal write_bootcode : std_logic; signal bram_boot_data : std_logic_vector(0 to CPU_DWIDTH-1); signal bram_boot_addr : std_logic_vector(0 to BRAM_AWIDTH-1); signal ready_sigs: std_logic_vector(0 to 1); --connects the ready signals signal set_sigs: std_logic_vector(0 to 1); --connects the set signals --------------- state machine states type SM_TYPE is (IDLE, WRITE, READY, WAIT_UNTIL_SET_ZERO); signal state : SM_TYPE; begin CPU0_boot_sect_ready <= ready_sigs(0); CPU1_boot_sect_ready <= ready_sigs(1); set_sigs <= CPU0_set_boot_sect & CPU1_set_boot_sect; BRAM_Rst <= reset; BRAM_CLK <= clk; BRAMWRITE: process(clk) begin if rising_edge(clk) then if write_bootcode = '1' then BRAM_EN <= '1'; BRAM_WEN <= "00001111"; BRAM_Dout <= X"deadbeef" & bram_boot_data; BRAM_Addr <= bram_boot_addr; else BRAM_EN <= '0'; BRAM_WEN <= "00000000"; BRAM_Dout <= (others =>'0'); BRAM_Addr <= (others =>'0'); end if; --write_bootcode end if; end process; BRAM_LOGIC_SM: process(clk, reset) variable bootcode : std_logic_vector(CPU_DWIDTH-1 downto 0); variable whichCPU : integer; begin if reset = '1' then bram_boot_addr <= (others =>'0'); bram_boot_data <= (others =>'0'); write_bootcode <= '0'; state <= IDLE; elsif rising_edge(clk) then case state is when IDLE => write_bootcode <= '0'; if CPU0_set_boot_sect = '1' then bootcode:= CPU0_boot_sect_data; whichCPU:= 0; state <= WRITE; elsif CPU1_set_boot_sect = '1' then bootcode:= CPU1_boot_sect_data; whichCPU:= 1; state <= WRITE; end if; when WRITE => write_bootcode <= '1'; bram_boot_data <= bootcode; bram_boot_addr <= X"FFFFFFFC"; state <= READY; when READY => write_bootcode <= '1'; ready_sigs(whichCPU) <= '1'; state <= WAIT_UNTIL_SET_ZERO; when WAIT_UNTIL_SET_ZERO => write_bootcode <= '0'; --after the ready signal for the corresponding CPU is set, the state machine --waits that the cpu set its set-signal back to zero (then the cpu has booted correctly -- and another CPU can now have the bootaddress 0xFFFFFFFC) if set_sigs(whichCPU) = '0' then ready_sigs(whichCPU) <= '0'; state <= IDLE; else ready_sigs(whichCPU) <= '1'; state <= WAIT_UNTIL_SET_ZERO; end if; when others => state <= IDLE; end case; end if; end process; end synth;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_proc_sys_reset_0_0/synth/OpenSSD2_proc_sys_reset_0_0.vhd
4
6692
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY OpenSSD2_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END OpenSSD2_proc_sys_reset_0_0; ARCHITECTURE OpenSSD2_proc_sys_reset_0_0_arch OF OpenSSD2_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF OpenSSD2_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF OpenSSD2_proc_sys_reset_0_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF OpenSSD2_proc_sys_reset_0_0_arch : ARCHITECTURE IS "OpenSSD2_proc_sys_reset_0_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF OpenSSD2_proc_sys_reset_0_0_arch: ARCHITECTURE IS "OpenSSD2_proc_sys_reset_0_0,proc_sys_reset,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END OpenSSD2_proc_sys_reset_0_0_arch;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DRSCFIFO288x16WC/synth/DRSCFIFO288x16WC.vhd
8
38594
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DRSCFIFO288x16WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END DRSCFIFO288x16WC; ARCHITECTURE DRSCFIFO288x16WC_arch OF DRSCFIFO288x16WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DRSCFIFO288x16WC_arch : ARCHITECTURE IS "DRSCFIFO288x16WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "DRSCFIFO288x16WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=288,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=288,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=14,C_PROG_FULL_THRESH_NEGATE_VAL=13,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 288, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 288, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 14, C_PROG_FULL_THRESH_NEGATE_VAL => 13, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DRSCFIFO288x16WC_arch;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DRSCFIFO288x16WC/synth/DRSCFIFO288x16WC.vhd
8
38594
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DRSCFIFO288x16WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END DRSCFIFO288x16WC; ARCHITECTURE DRSCFIFO288x16WC_arch OF DRSCFIFO288x16WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DRSCFIFO288x16WC_arch : ARCHITECTURE IS "DRSCFIFO288x16WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "DRSCFIFO288x16WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=288,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=288,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=14,C_PROG_FULL_THRESH_NEGATE_VAL=13,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 288, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 288, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 14, C_PROG_FULL_THRESH_NEGATE_VAL => 13, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DRSCFIFO288x16WC_arch;
gpl-3.0
luebbers/reconos
support/refdesigns/9.2/xup/opb_eth_tft_cf/pcores/opb_ac97_v2_00_a/hdl/vhdl/ac97_if.vhd
7
11332
------------------------------------------------------------------------------- -- Filename: ac97_fifo.vhd -- -- Description: This module provides a simple FIFO interface for the AC97 -- module and provides an asyncrhonous interface for a -- higher level module that is not synchronous with the AC97 -- clock (Bit_Clk). -- -- This module will handle all of the initial commands -- for the AC97 interface. -- -- This module provides a bus independent interface so the -- module can be used for more than one bus interface. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- ac97_core -- ac97_timing -- srl_fifo -- ------------------------------------------------------------------------------- -- Author: Mike Wirthlin -- Revision: $$ -- Date: $$ -- -- History: -- Mike Wirthlin -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library opb_ac97_v2_00_a; use opb_ac97_v2_00_a.all; entity ac97_if is port ( ClkIn : in std_logic; Reset : in std_logic; -- All signals synchronous to ClkIn PCM_Playback_Left: in std_logic_vector(15 downto 0); PCM_Playback_Right: in std_logic_vector(15 downto 0); PCM_Playback_Accept: out std_logic; PCM_Record_Left: out std_logic_vector(15 downto 0); PCM_Record_Right: out std_logic_vector(15 downto 0); PCM_Record_Valid: out std_logic; Debug : out std_logic_Vector(3 downto 0); AC97Reset_n : out std_logic; -- AC97Clk AC97Clk : in std_logic; Sync : out std_logic; SData_Out : out std_logic; SData_In : in std_logic ); end entity ac97_if; library opb_ac97_v2_00_a; use opb_ac97_v2_00_a.all; library unisim; use unisim.all; architecture IMP of ac97_if is component ac97_core is generic ( C_PCM_DATA_WIDTH : integer := 16 ); port ( Reset : in std_logic; -- signals attaching directly to AC97 codec AC97_Bit_Clk : in std_logic; AC97_Sync : out std_logic; AC97_SData_Out : out std_logic; AC97_SData_In : in std_logic; -- AC97 register interface AC97_Reg_Addr : in std_logic_vector(0 to 6); AC97_Reg_Write_Data : in std_logic_vector(0 to 15); AC97_Reg_Read_Data : out std_logic_vector(0 to 15); AC97_Reg_Read_Strobe : in std_logic; -- initiates a "read" command AC97_Reg_Write_Strobe : in std_logic; -- initiates a "write" command AC97_Reg_Busy : out std_logic; AC97_Reg_Error : out std_logic; AC97_Reg_Read_Data_Valid : out std_logic; -- Playback signal interface PCM_Playback_Left: in std_logic_vector(0 to C_PCM_DATA_WIDTH-1); PCM_Playback_Right: in std_logic_vector(0 to C_PCM_DATA_WIDTH-1); PCM_Playback_Left_Valid: in std_logic; PCM_Playback_Right_Valid: in std_logic; PCM_Playback_Left_Accept: out std_logic; PCM_Playback_Right_Accept: out std_logic; -- Record signal interface PCM_Record_Left: out std_logic_vector(0 to C_PCM_DATA_WIDTH-1); PCM_Record_Right: out std_logic_vector(0 to C_PCM_DATA_WIDTH-1); PCM_Record_Left_Valid: out std_logic; PCM_Record_Right_Valid: out std_logic; -- CODEC_RDY : out std_logic ); end component ac97_core; component ac97_command_rom is port ( ClkIn : in std_logic; ROMAddr : in std_logic_vector(3 downto 0); ROMData : out std_logic_vector(24 downto 0) ); end component ac97_command_rom; signal pcm_playback_accept_ac97clk : std_logic; signal pcm_playback_accept_ClkIn_0 : std_logic; signal pcm_playback_accept_ClkIn_1 : std_logic; signal pcm_playback_accept_ClkIn : std_logic; signal pcm_record_valid_ac97clk, pcm_record_valid_ClkIn_0, pcm_record_valid_ClkIn_1 : std_logic; signal pcm_record_valid_ClkIn : std_logic; signal command_addr : std_logic_vector(6 downto 0); signal write_data : std_logic_vector(15 downto 0); signal read_data : std_logic_vector(15 downto 0); signal codec_rdy : std_logic; signal debug_i : std_logic_vector(3 downto 0); signal reg_write_strobe_ac97, reg_busy_ac97, reg_error_ac97 : std_logic; signal get_next_command : std_logic; signal valid_command : std_logic; signal command_num : unsigned(3 downto 0) := "0000"; type read_access_states is (AC97_READY, WARM_START, REVIEW_COMMAND,ISSUE_COMMAND, WAIT_COMMAND, NEXT_COMMAND, READ_COMMAND, DONE); signal command_SM : read_access_states; signal reset_counter : unsigned(10 downto 0) := (others => '0'); signal AC97Reset_n_i : std_logic := '0'; signal rom_data : std_logic_vector(24 downto 0); signal command_addr_i : std_logic_Vector(3 downto 0); signal start_frame_delay : natural range 0 to 3 := 0; attribute rom_style: string; --attribute rom_style of ac97_command_rom: entity is "distributed"; begin -- architecture IMP ----------------------------------------------------------------------------- -- Command loading ----------------------------------------------------------------------------- load_commands_SM_PROCESS : process (AC97clk) is begin if AC97clk'event and AC97clk = '1' then if Reset = '1' then command_SM <= AC97_READY; command_num <= "0000"; else case command_SM is -- Issue some reset? when AC97_READY => -- wait until codec is ready if codec_rdy = '1' then command_SM <= REVIEW_COMMAND; start_frame_delay <= 0; end if; when WARM_START => if pcm_playback_accept_ac97clk = '1' then if start_frame_delay = 3 then command_SM <= REVIEW_COMMAND; else start_frame_delay <= start_frame_delay + 1; end if; end if; when REVIEW_COMMAND => -- if command is valid, go on to issue command. otherwise, go to -- end state. if valid_command = '1' then command_SM <= ISSUE_COMMAND; else command_SM <= DONE; end if; when ISSUE_COMMAND => -- strobe is issued in output forming logic command_SM <= WAIT_COMMAND; when WAIT_COMMAND => if reg_busy_ac97 = '0' then command_SM <= NEXT_COMMAND; end if; -- error processing? when NEXT_COMMAND => command_SM <= READ_COMMAND; command_num <= command_num + 1; when READ_COMMAND => command_SM <= REVIEW_COMMAND; when DONE => -- do nothing when others => NULL; end case; end if; end if; end process; reg_write_strobe_ac97 <= '1' when command_SM = ISSUE_COMMAND else '0'; get_next_command <= '1' when command_SM = NEXT_COMMAND else '0'; -- ClkIn processes -- The AC97 reset signal needs to be driven by ClkIn -- (AC97 clock does not operate when reset asserted) reset_process : process (ClkIn) is begin if Reset = '1' then reset_counter <= (others => '0'); AC97Reset_n_i <= '0'; elsif ClkIn'event and ClkIn='1' then if reset_counter(10) = '1' then AC97Reset_n_i <= '1'; else reset_counter <= reset_counter+1; AC97Reset_n_i <= '0'; end if; end if; end process; AC97Reset_n <= AC97Reset_n_i; process (ClkIn) begin if ClkIn'event and ClkIn='1' then pcm_playback_accept_ClkIn_0 <= pcm_playback_accept_ac97clk; -- async pcm_playback_accept_ClkIn_1 <= pcm_playback_accept_ClkIn_0; pcm_playback_accept_ClkIn <= pcm_playback_accept_ClkIn_0 and not pcm_playback_accept_ClkIn_1; end if; end process; PCM_Playback_Accept <= pcm_playback_accept_ClkIn; process (ClkIn) begin if ClkIn'event and ClkIn='1' then pcm_record_valid_ClkIn_0 <= pcm_record_valid_ac97clk; -- async pcm_record_valid_ClkIn_1 <= pcm_record_valid_ClkIn_0; pcm_record_valid_ClkIn <= pcm_record_valid_ClkIn_0 and not pcm_record_valid_ClkIn_1; end if; end process; PCM_Record_Valid <= pcm_record_valid_ClkIn; ----------------------------------------------------------------------------- -- Command ROM ----------------------------------------------------------------------------- ROM : ac97_command_rom port map ( ClkIn => AC97Clk, ROMAddr => command_addr_i, ROMData => rom_data ); command_addr_i <= CONV_STD_LOGIC_VECTOR(command_num, 4); write_data <= rom_data(15 downto 0); command_addr <= rom_data(22 downto 16); valid_command <= rom_data(24); -- debug_i(0) <= codec_rdy; -- debug_i(1) <= '1' when command_SM = DONE else -- '0'; -- debug_i(2) <= AC97Reset_n_i; -- debug_i(3) <= reg_error_ac97; debug_i <= command_addr_i; debug <= debug_i; ----------------------------------------------------------------------------- -- Instantiating the core ----------------------------------------------------------------------------- ac97_core_I : ac97_core port map ( Reset => Reset, AC97_Bit_Clk => AC97Clk, AC97_Sync => Sync, AC97_SData_Out => SData_Out, AC97_SData_In => SData_In, AC97_Reg_Addr => command_addr, AC97_Reg_Write_Data => write_data, AC97_Reg_Read_Data => open, -- No reading from AC97 AC97_Reg_Read_Strobe => '0', -- No reading from AC97 AC97_Reg_Write_Strobe => reg_write_strobe_ac97, -- do AC97_Reg_Busy => reg_busy_ac97, -- do AC97_Reg_Error => reg_error_ac97, -- do AC97_Reg_Read_Data_Valid => open, -- No reading from AC97 PCM_Playback_Left => PCM_Playback_Left, -- async PCM_Playback_Right => PCM_Playback_right, -- async PCM_Playback_Left_Valid => '1', PCM_Playback_Right_Valid => '1', PCM_Playback_Left_Accept => pcm_playback_accept_ac97clk, PCM_Playback_Right_Accept => open, -- use left_accept PCM_Record_Left => PCM_Record_Left, PCM_Record_Right => PCM_Record_Right, PCM_Record_Left_Valid => pcm_record_valid_ac97clk, PCM_Record_Right_Valid => open, -- use left_valid CODEC_RDY => codec_rdy ); -- leds(3) <= not codec_rdy; -- and (command_SM = DONE); -- leds(2) <= '0' when command_SM = INIT else '1'; -- leds(1) <= '0'; -- leds(0) <= AC97Clk; -- '0' when command_SM = DONE else '1'; end architecture IMP;
gpl-3.0
luebbers/reconos
demos/beat_tracker/hw/src/framework/importance.vhd
1
27063
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- -- -- -- -- ////// ///////// /////// /////// -- -- // // // // // // -- -- // // // // // // -- -- ///// // // // /////// -- -- // // // // // -- -- // // // // // -- -- ////// // /////// // -- -- -- -- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- -- -- -- -- -- !!! THIS IS PART OF THE HARDWARE FRAMEWORK !!! -- -- -- -- DO NOT CHANGE THIS ENTITY/FILE UNLESS YOU WANT TO CHANGE THE FRAMEWORK -- -- -- -- USERS OF THE FRAMEWORK SHALL ONLY MODIFY USER FUNCTIONS/PROCESSES, -- -- WHICH ARE ESPECIALLY MARKED (e.g by the prefix "uf_" in the filename) -- -- -- -- -- -- Author: Markus Happe -- -- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- entity importance is generic ( C_BURST_AWIDTH : integer := 12; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic--; -- time base --i_timeBase : in std_logic_vector( 0 to C_OSIF_DATA_WIDTH-1 ) ); end importance; architecture Behavioral of importance is component uf_likelihood is Port( clk : in std_logic; reset : in std_logic; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic; init : in std_logic; enable : in std_logic; observation_loaded : in std_logic; ref_data_address : in std_logic_vector(0 to C_BURST_AWIDTH-1); observation_address : in std_logic_vector(0 to C_BURST_AWIDTH-1); observation_size : in integer; finished : out std_logic; likelihood_value : out integer ); end component; attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral : architecture is "true"; -- ReconOS thread-local mailbox handles constant C_MB_START : std_logic_vector(0 to 31) := X"00000000"; constant C_MB_DONE : std_logic_vector(0 to 31) := X"00000001"; constant C_MB_MEASUREMENT : std_logic_vector(0 to 31) := X"00000002"; -- states type t_state is (initialize, read_particle_address, read_number_of_particles, read_particle_size, read_block_size, wait_one_cycle, read_observation_size, needed_bursts, needed_bursts_2, needed_reads_1, needed_reads_2, read_observation_address, read_ref_data_address, wait_for_message, calculate_remaining_observations_1, calculate_remaining_observations_2, calculate_remaining_observations_3, calculate_remaining_observations_4, calculate_remaining_observations_5, calculate_remaining_observations_6, calculate_remaining_observations_7, calculate_remaining_observations_8, calculate_remaining_observations_9, calculate_remaining_observations_10, calculate_remaining_observations_11, calculate_remaining_observations_12, load_observation, load_burst_decision, load_burst, load_read_decision, load_read, load_read_wait, write_to_ram, wait_after_write, wait_after_write_2, wait_after_write_3, load_last_burst, load_observation_data_decision, load_observation_data_decision_2, load_observation_data_decision_3, load_observation_data_decision_4, load_observation_data_decision_5, --load_observation_data_decision_6, --load_observation_data_decision_7, likelihood, likelihood_done, write_likelihood, send_message, send_measurement_1, send_measurement_2 ); -- current state signal state : t_state := initialize; -- particle array signal particle_array_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal particle_array_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- observation array signal observation_array_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal observation_array_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- reference data signal reference_data_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- load address, either reference data address or an observation array address signal load_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- local RAM address signal local_ram_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal local_ram_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- local RAM data signal ram_data : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- information struct containing array addresses and other information like observation size signal information_struct : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- number of particles / observations (set by message box, default = 100) signal N : integer := 10; -- number of observations signal remaining_observations : integer := 10; -- number of needed bursts signal number_of_bursts : integer := 0; -- number of needed bursts to be remembered signal number_of_bursts_remember : integer := 0; -- size of a particle signal particle_size : integer := 4; -- size of a observation signal observation_size : integer := 40; -- temporary integer signals signal temp : integer := 0; signal temp2 : integer := 0; signal temp3 : integer := 0; signal temp4 : integer := 0; signal temp5 : integer := 0; signal offset : integer := 0; -- start observation index --signal start_observation_index : integer := 0; -- number of reads signal number_of_reads : integer := 0; -- number of needed reads to be remembered signal number_of_reads_remember : integer := 0; -- set to '1', if after the first run the reference data + the first observation is loaded signal second_run : std_logic := '0'; -- local ram address for interface signal local_ram_address_if : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); signal local_ram_start_address_if : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- number of particles in a particle block signal block_size : integer := 10; -- message m, m stands for the m-th number of particle block signal message : integer := 1; -- message2 is message minus one signal message2 : integer := 0; -- number of observations, where importance has to be calculated (max = block size) signal number_of_calculations : integer := 10; -- offset for observation array signal observation_offset : integer := 0; -- time values for start, stop and the difference of both signal time_start : integer := 0; signal time_stop : integer := 0; signal time_measurement : integer := 0; ----------------------------------------------------------- -- NEEDED FOR USER ENTITY INSTANCE ----------------------------------------------------------- -- for likelihood user process -- init signal init : std_logic := '1'; -- enable signal enable : std_logic := '0'; -- start signal for the likelihood user process signal observation_loaded : std_logic := '0'; -- size of one observation signal observation_size_2 : integer := 0; -- reference data address signal ref_data_address : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- observation data address signal observation_address : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- if the likelihood value is calculated, this signal is set to '1' signal finished : std_logic := '0'; -- likelihood value signal likelihood_value : integer;-- := 5; -- for switch 1: corrected local ram address. the least bit is inverted, -- because else the local ram will be used incorrect signal o_RAMAddrLikelihood : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- for switch 1:corrected local ram address for this importance thread signal o_RAMAddrImportance : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- for switch 2: Write enable, user process signal o_RAMWELikelihood : std_logic := '0'; -- for switch 2: Write enable, importance signal o_RAMWEImportance : std_logic := '0'; -- for switch 3: output ram data, user process signal o_RAMDataLikelihood : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0'); -- for switch 3: output ram data, importance signal o_RAMDataImportance : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0'); begin -- entity of user process user_process : uf_likelihood port map (reset=>reset, clk=>clk, o_RAMAddr=>o_RAMAddrLikelihood, o_RAMData=>o_RAMDataLikelihood, i_RAMData=>i_RAMData, o_RAMWE=>o_RAMWELikelihood, o_RAMClk=>o_RAMClk, init=>init, enable=>enable, observation_loaded=>observation_loaded, ref_data_address=>ref_data_address, observation_address=>observation_address, observation_size=>observation_size_2, finished=>finished, likelihood_value=>likelihood_value); -- switch 1: address, correction is needed to avoid wrong addressing o_RAMAddr <= o_RAMAddrLikelihood(0 to C_BURST_AWIDTH-2) & not o_RAMAddrLikelihood(C_BURST_AWIDTH-1) when enable = '1' else o_RAMAddrImportance(0 to C_BURST_AWIDTH-2) & not o_RAMAddrImportance(C_BURST_AWIDTH-1); -- switch 2: write enable o_RAMWE <= o_RAMWELikelihood when enable = '1' else o_RAMWEImportance; -- switch 3: output ram data o_RAMData <= o_RAMDataLikelihood when enable = '1' else o_RAMDataImportance; observation_size_2 <= observation_size / 4; ----------------------------------------------------------------------------- -- -- Reconos State Machine for Importance: -- -- 1) Information are set (like particle array address and -- particle and observation size) -- -- -- 2) Waiting for Message m (Start of a Importance run) -- Calculate likelihood values for particles of m-th particle block -- i = 0 -- -- -- 3) Calculate if block size particles should be calculated -- or less (iff last particle block) -- -- -- 4) The Reference Histogram ist copied to the local ram -- -- -- 5) If there is still a observation left (i < counter) then -- go to step 6; -- else -- go to step 9; -- end if -- -- -- 6) The observation is copied into the local ram -- -- -- 7) Start and run likelihood user process -- i++; -- -- -- 8) After likelihood user process is finished, -- write back the weight to particle array -- go to step 5; -- -- -- 9) Send Message m (Stop of a Importance run) -- Likelihood values for particles of m-th particle block calculated -- ------------------------------------------------------------------------------ state_proc : process(clk, reset) -- done signal for Reconos methods variable done : boolean; -- success signal for Reconos method, which gets a message box variable success : boolean; -- signals for N, particle_size and observation size variable N_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable particle_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable observation_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable block_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable message_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); begin if reset = '1' then reconos_reset(o_osif, i_osif); state <= initialize; elsif rising_edge(clk) then reconos_begin(o_osif, i_osif); if reconos_ready(i_osif) then case state is when initialize => --! init state, receive information struct reconos_get_init_data_s (done, o_osif, i_osif, information_struct); -- CHANGE BACK (1 of 6) !!! --reconos_get_init_data_s (done, o_osif, i_osif, particle_array_start_address); if done then enable <= '0'; local_ram_address <= (others => '0'); local_ram_address_if <= (others => '0'); init <= '1'; observation_loaded <= '0'; state <= read_particle_address; -- CHANGE BACK (2 of 6) !!! --state <= needed_bursts; end if; when read_particle_address => --! read particle array address reconos_read_s (done, o_osif, i_osif, information_struct, particle_array_start_address); if done then state <= read_number_of_particles; end if; when read_number_of_particles => --! read number of particles N reconos_read (done, o_osif, i_osif, information_struct+4, N_var); if done then N <= TO_INTEGER(SIGNED(N_var)); state <= read_particle_size; end if; when read_particle_size => --! read particle size reconos_read (done, o_osif, i_osif, information_struct+8, particle_size_var); if done then particle_size <= TO_INTEGER(SIGNED(particle_size_var)); state <= read_block_size; end if; when read_block_size => --! read particle size reconos_read (done, o_osif, i_osif, information_struct+12, block_size_var); if done then block_size <= TO_INTEGER(SIGNED(block_size_var)); state <= wait_one_cycle; end if; when wait_one_cycle => --! wait one cycle state <= read_observation_size; when read_observation_size => --! read observation size reconos_read (done, o_osif, i_osif, information_struct+16, observation_size_var); if done then observation_size <= TO_INTEGER(SIGNED(observation_size_var)); state <= needed_bursts; end if; when needed_bursts => --! calculate needed bursts --number_of_bursts_remember <= observation_size / 128; temp4 <= observation_size / 4; state <= needed_bursts_2; when needed_bursts_2 => --! calculate needed bursts observation_address <= local_ram_address_if + temp4; state <= needed_reads_1; when needed_reads_1 => --! calculate number of reads (1 of 2) --number_of_reads_remember <= observation_size mod 128; -- changed (new) [2 lines] number_of_reads_remember <= observation_size; number_of_bursts_remember <= 0; state <= needed_reads_2; when needed_reads_2 => --! calculate number of reads (2 of 2) --number_of_reads_remember <= number_of_reads_remember / 8; number_of_reads_remember <= number_of_reads_remember / 4; state <= read_observation_address; when read_observation_address => --! read observation array address reconos_read_s (done,o_osif,i_osif,information_struct+20,observation_array_start_address); if done then state <= read_ref_data_address; end if; -- CHANGE BACK (3 of 6) !!! --observation_array_start_address <= X"10000000"; --state <= read_ref_data_address; when read_ref_data_address => --! read reference data address reconos_read_s (done, o_osif, i_osif, information_struct+24, reference_data_address); if done then state <= wait_for_message; end if; -- CHANGE BACK (4 of 6) !!! --reference_data_address <= X"10000040"; --state <= wait_for_message; when wait_for_message => --! wait for semaphore to start resampling reconos_mbox_get(done, success, o_osif, i_osif, C_MB_START, message_var); if done and success then message <= TO_INTEGER(SIGNED(message_var)); -- init signals local_ram_address <= (others => '0'); local_ram_address_if <= (others => '0'); observation_loaded <= '0'; enable <= '0'; init <= '1'; second_run <= '0'; --time_start <= TO_INTEGER(SIGNED(i_timebase)); --observation_array_start_address <= X"10000000"; -- TODO: ONLY FOR SIMULATION --reference_data_address <= X"20000000"; -- TODO: ONLY FOR SIMULATION --observation_address <= local_ram_start_address_if + 14 + 2; -- TODO: ONLY FOR SIMULATION --observation_size <= 56; -- TODO: ONLY FOR SIMULATION --block_size <= 2; -- TODO: ONLY FOR SIMULATION --number_of_bursts_remember <= 0; -- TODO: ONLY FOR SIMULATION --number_of_reads_remember <= 14; -- TODO: ONLY FOR SIMULATION state <= calculate_remaining_observations_1; --state <= send_message; end if; when calculate_remaining_observations_1 => --! calculates particle array address and number of particles to sample message2 <= message-1; temp <= 0; state <= calculate_remaining_observations_2; when calculate_remaining_observations_2 => --! wait if (message2 > 0) then temp <= temp + block_size; state <= calculate_remaining_observations_3; else state <= calculate_remaining_observations_4; end if; when calculate_remaining_observations_3 => --! calculates particle array address and number of particles to sample --temp <= message2 * block_size; message2 <= message2 - 1; state <= calculate_remaining_observations_2; when calculate_remaining_observations_4 => --! wait temp5 <= temp; temp3 <= 0; state <= calculate_remaining_observations_5; when calculate_remaining_observations_5 => --! calculates particle array address and number of particles to sample temp2 <= temp * particle_size; state <= calculate_remaining_observations_6; when calculate_remaining_observations_6 => --! wait state <= calculate_remaining_observations_7; when calculate_remaining_observations_7 => --! calculate offset --temp3 <= temp * observation_size; if (temp5 > 0) then temp3 <= temp3 + observation_size; state <= calculate_remaining_observations_8; else state <= calculate_remaining_observations_9; end if; when calculate_remaining_observations_8 => --! wait temp5 <= temp5 - 1; state <= calculate_remaining_observations_7; when calculate_remaining_observations_9 => --! calculates particle array address and number of particles to sample remaining_observations <= N - temp; state <= calculate_remaining_observations_10; when calculate_remaining_observations_10 => --! calculates particle array address and number of particles to sample particle_array_address <= particle_array_start_address + temp2; state <= calculate_remaining_observations_11; when calculate_remaining_observations_11 => --! calculates particle array address and number of particles to sample observation_array_address <= observation_array_start_address + temp3; state <= calculate_remaining_observations_12; when calculate_remaining_observations_12 => --! calculates particle array address and number of particles to sample if (remaining_observations > block_size) then remaining_observations <= block_size; number_of_calculations <= block_size; else number_of_calculations <= remaining_observations; end if; state <= load_observation; when load_observation => --! prepare to load an observation to local ram number_of_bursts <= number_of_bursts_remember; number_of_reads <= number_of_reads_remember; load_address <= reference_data_address; state <= load_burst_decision; when load_burst_decision => --! decision if a burst is needed if (number_of_bursts > 0) then state <= load_burst; number_of_bursts <= number_of_bursts - 1; else state <= load_read_decision; end if; when load_burst => --! load bursts of observation reconos_read_burst(done, o_osif, i_osif, local_ram_address, load_address); if done then local_ram_address <= local_ram_address + 128; load_address <= load_address + 128; local_ram_address_if <= local_ram_address_if + 32; state <= load_burst_decision; end if; when load_read_decision => --! decision if a read into local ram is needed o_RAMWEImportance <= '0'; if (number_of_reads > 0) then state <= load_read; --state <= load_last_burst; elsif (second_run = '1') then state <= likelihood; else second_run <= '1'; state <= load_observation_data_decision; end if; when load_last_burst => --! load last burst -- reconos_read_burst_l(done,o_osif,i_osif,local_ram_address,load_address,number_of_reads); -- if done then -- local_ram_address <= local_ram_address + (number_of_reads * 8); -- load_address <= load_address + (number_of_reads * 8); -- local_ram_address_if <= local_ram_address_if + (number_of_reads * 2); -- number_of_reads <= 0; state <= load_read_decision; --end if; when load_read => --! load reads of observation reconos_read_s(done, o_osif, i_osif, load_address, ram_data); if done then load_address <= load_address + 4; number_of_reads <= number_of_reads - 1; state <= load_read_wait; end if; when load_read_wait => --! wait state <= write_to_ram; when write_to_ram => --! write value to ram o_RAMWEImportance<= '1'; o_RAMAddrImportance <= local_ram_address_if; o_RAMDataImportance <= ram_data; local_ram_address_if <= local_ram_address_if + 1; state <= wait_after_write; when wait_after_write => -- wait o_RAMWEImportance<= '0'; state <= wait_after_write_2; when wait_after_write_2 => -- wait state <= wait_after_write_3; when wait_after_write_3 => -- wait state <= load_read_decision; when load_observation_data_decision => --! first step of calculation of observation address observation_offset <= number_of_calculations - remaining_observations; state <= load_observation_data_decision_2; when load_observation_data_decision_2 => --! wait state <= load_observation_data_decision_3; when load_observation_data_decision_3 => --! decide, if there is another observation to be handled, else post semaphore local_ram_address <= local_ram_start_address + observation_size; local_ram_address_if <= observation_address; number_of_bursts <= number_of_bursts_remember; number_of_reads <= number_of_reads_remember; offset <= observation_offset * observation_size ; state <= load_observation_data_decision_4; when load_observation_data_decision_4 => --! wait state <= load_observation_data_decision_5; when load_observation_data_decision_5 => --! decide, if there is another observation to be handled, else post semaphore load_address <= observation_array_address + offset; if (remaining_observations > 0) then state <= load_burst_decision; else --time_stop <= TO_INTEGER(SIGNED(i_timeBase)); state <= send_message; end if; when likelihood => --! start and run likelihood user process --init <= '0'; --enable <= '1'; observation_loaded <= '1'; state <= likelihood_done; when likelihood_done => --! wait until the likelihood user process is finished observation_loaded <= '0'; --if (finished = '1') then enable <= '0'; init <= '1'; state <= write_likelihood; remaining_observations <= remaining_observations - 1; --end if; when write_likelihood => --! write likelihood value into the particle array reconos_write(done, o_osif, i_osif, particle_array_address, STD_LOGIC_VECTOR(TO_SIGNED(likelihood_value, C_OSIF_DATA_WIDTH))); if done and success then particle_array_address <= particle_array_address + particle_size; state <= load_observation_data_decision; end if; when send_message => --! post semaphore (importance is finished) reconos_mbox_put(done, success, o_osif, i_osif, C_MB_DONE, STD_LOGIC_VECTOR(TO_SIGNED(message, C_OSIF_DATA_WIDTH))); if done and success then enable <= '0'; init <= '1'; observation_loaded <= '0'; state <= send_measurement_1; end if; when send_measurement_1 => --! sends time measurement to message box -- send only, if time start < time stop. Else ignore this measurement --if (time_start < time_stop) then --time_measurement <= time_stop - time_start; --state <= send_measurement_2; --else state <= wait_for_message; --end if; -- when send_measurement_2 => -- --! sends time measurement to message box -- -- send message -- reconos_mbox_put(done, success, o_osif, i_osif, C_MB_MEASUREMENT, -- STD_LOGIC_VECTOR(TO_SIGNED(time_measurement, C_OSIF_DATA_WIDTH))); -- if (done and success) then -- state <= wait_for_message; -- end if; when others => state <= wait_for_message; end case; end if; end if; end process; end Behavioral;
gpl-3.0
luebbers/reconos
tests/automated/mbox/hw/hwthreads/mbox/hwt_mbox.vhd
1
2524
---------------------------------------------------------------------------------- -- Company: library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity hwt_mbox is generic ( C_BURST_AWIDTH : integer := 12; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic ); end hwt_mbox; architecture Behavioral of hwt_mbox is attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral : architecture is "true"; constant C_MBOX_GET : std_logic_vector(0 to 31) := X"00000000"; constant C_MBOX_PUT : std_logic_vector(0 to 31) := X"00000001"; type t_state is (STATE_GET, STATE_PUT, STATE_INC); -- do not rely on initial values here, they won't work with -- partial reconfiguration signal state : t_state; signal value : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1); begin -- burst ram interface is not used o_RAMAddr <= (others => '0'); o_RAMData <= (others => '0'); o_RAMWE <= '0'; o_RAMClk <= clk; state_proc : process(clk, reset) variable done : boolean; variable success : boolean; begin if reset = '1' then reconos_reset(o_osif, i_osif); state <= STATE_GET; value <= X"00AFFE00"; elsif rising_edge(clk) then reconos_begin(o_osif, i_osif); if reconos_ready(i_osif) then case state is when STATE_GET => reconos_mbox_get_s(done, success, o_osif, i_osif, C_MBOX_GET, value); if done and success then state <= STATE_INC; end if; when STATE_PUT => reconos_mbox_put(done, success, o_osif, i_osif, C_MBOX_PUT, value); if done and success then state <= STATE_GET; end if; when STATE_INC => value <= value + 1; state <= STATE_PUT; when others => state <= STATE_GET; end case; end if; end if; end process; end Behavioral;
gpl-3.0
luebbers/reconos
demos/particle_filter_framework/hw/dynamic_src/framework/observation.vhd
1
42473
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- -- -- -- -- ////// ///////// /////// /////// -- -- // // // // // // -- -- // // // // // // -- -- ///// // // // /////// -- -- // // // // // -- -- // // // // // -- -- ////// // /////// // -- -- -- -- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- -- -- -- -- -- !!! THIS IS PART OF THE HARDWARE FRAMEWORK !!! -- -- -- -- DO NOT CHANGE THIS ENTITY/FILE UNLESS YOU WANT TO CHANGE THE FRAMEWORK -- -- -- -- USERS OF THE FRAMEWORK SHALL ONLY MODIFY USER FUNCTIONS/PROCESSES, -- -- WHICH ARE ESPECIALLY MARKED (e.g by the prefix "uf_" in the filename) -- -- -- -- -- -- Author: Markus Happe -- -- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- entity observation is generic ( C_BURST_AWIDTH : integer := 12; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic--; -- CHANGE 1 OF 7 -- time base --i_timeBase : in std_logic_vector( 0 to C_OSIF_DATA_WIDTH-1 ) -- END CHANGE ); end observation; architecture Behavioral of observation is component uf_extract_observation is Port( clk : in std_logic; reset : in std_logic; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic; -- init signal init : in std_logic; -- enable signal enable : in std_logic; -- parameters loaded parameter_loaded : in std_logic; parameter_loaded_ack : out std_logic; -- new particle loaded new_particle : in std_logic; new_particle_ack : out std_logic; -- input data address input_data_address : in std_logic_vector(0 to 31); input_data_needed : out std_logic; -- get word data word_data_en : in std_logic; word_address : out std_logic_vector(0 to 31); word_data : in std_logic_vector(0 to 31); word_data_ack : out std_logic; -- if the observation is calculated, this signal has to be set to '1' finished : out std_logic ); end component; attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral : architecture is "true"; -- ReconOS thread-local mailbox handles constant C_MB_START : std_logic_vector(0 to 31) := X"00000000"; constant C_MB_DONE : std_logic_vector(0 to 31) := X"00000001"; constant C_MB_MEASUREMENT : std_logic_vector(0 to 31) := X"00000002"; constant C_MB_EXIT : std_logic_vector(0 to 31) := X"00000003"; -- states type state_t is ( STATE_CHECK, STATE_INIT, STATE_READ_PARTICLE_ADDRESS, STATE_READ_NUMBER_OF_PARTICLES, STATE_READ_PARTICLE_SIZE, STATE_READ_BLOCK_SIZE, STATE_READ_OBSERVATION_SIZE, STATE_NEEDED_BURSTS, STATE_NEEDED_BURSTS_2, STATE_LENGTH_LAST_BURST, STATE_LENGTH_LAST_BURST_2, STATE_READ_OBSERVATION_ARRAY_ADDRESS, STATE_READ_INPUT_DATA_LINK_ADDRESS, STATE_READ_PARAMETER_SIZE, STATE_READ_PARAMETER_ADDRESS, STATE_COPY_PARAMETER, STATE_COPY_PARAMETER_2, STATE_COPY_PARAMETER_3, STATE_COPY_PARAMETER_ACK, STATE_WAIT_FOR_MESSAGE, STATE_CALCULATE_REMAINING_OBSERVATIONS_1, STATE_CALCULATE_REMAINING_OBSERVATIONS_2, STATE_CALCULATE_REMAINING_OBSERVATIONS_3, STATE_CALCULATE_REMAINING_OBSERVATIONS_4, STATE_CALCULATE_REMAINING_OBSERVATIONS_5, STATE_CALCULATE_REMAINING_OBSERVATIONS_6, STATE_CALCULATE_REMAINING_OBSERVATIONS_7, STATE_CALCULATE_REMAINING_OBSERVATIONS_8, STATE_CALCULATE_REMAINING_OBSERVATIONS_9, STATE_READ_INPUT_DATA_ADDRESS, STATE_READ_NEXT_PARTICLE, STATE_START_EXTRACT_OBSERVATION, STATE_START_EXTRACT_OBSERVATION_WAIT, STATE_EXTRACT_OBSERVATION, STATE_GET_INPUT_DATA, STATE_CACHE_HIT, STATE_CACHE_MISS, STATE_CACHE_MISS_2, STATE_LOAD_WORD, STATE_LOAD_WORD_2, STATE_WRITE_WORD_BACK, STATE_WRITE_WORD_ACK, STATE_WRITE_OBSERVATION, STATE_WRITE_OBSERVATION_2, STATE_WRITE_OBSERVATION_3, STATE_WRITE_OBSERVATION_4, STATE_MORE_PARTICLES, STATE_MORE_PARTICLES_2, STATE_SEND_MESSAGE, STATE_SEND_MEASUREMENT_1, STATE_SEND_MEASUREMENT_2, STATE_EXIT ); -- 51 states = 0x00 - 0x32 type encode_t is array(state_t) of reconos_state_enc_t; type decode_t is array(natural range <>) of state_t; constant encode : encode_t := (X"00", X"01", X"02", X"03", X"04", X"05", X"06", X"07", X"08", X"09", X"0A", X"0B", X"0C", X"0D", X"0E", X"0F", X"10", X"11", X"12", X"13", X"14", X"15", X"16", X"17", X"18", X"19", X"1A", X"1B", X"1C", X"1D", X"1E", X"1F", X"20", X"21", X"22", X"23", X"24", X"25", X"26", X"27", X"28", X"29", X"2A", X"2B", X"2C", X"2D", X"2E", X"2F", X"30", X"31", X"32", X"33" ); constant decode : decode_t := ( STATE_CHECK, STATE_INIT, STATE_READ_PARTICLE_ADDRESS, STATE_READ_NUMBER_OF_PARTICLES, STATE_READ_PARTICLE_SIZE, STATE_READ_BLOCK_SIZE, STATE_READ_OBSERVATION_SIZE, STATE_NEEDED_BURSTS, STATE_NEEDED_BURSTS_2, STATE_LENGTH_LAST_BURST, STATE_LENGTH_LAST_BURST_2, STATE_READ_OBSERVATION_ARRAY_ADDRESS, STATE_READ_INPUT_DATA_LINK_ADDRESS, STATE_READ_PARAMETER_SIZE, STATE_READ_PARAMETER_ADDRESS, STATE_COPY_PARAMETER, STATE_COPY_PARAMETER_2, STATE_COPY_PARAMETER_3, STATE_COPY_PARAMETER_ACK, STATE_WAIT_FOR_MESSAGE, STATE_CALCULATE_REMAINING_OBSERVATIONS_1, STATE_CALCULATE_REMAINING_OBSERVATIONS_2, STATE_CALCULATE_REMAINING_OBSERVATIONS_3, STATE_CALCULATE_REMAINING_OBSERVATIONS_4, STATE_CALCULATE_REMAINING_OBSERVATIONS_5, STATE_CALCULATE_REMAINING_OBSERVATIONS_6, STATE_CALCULATE_REMAINING_OBSERVATIONS_7, STATE_CALCULATE_REMAINING_OBSERVATIONS_8, STATE_CALCULATE_REMAINING_OBSERVATIONS_9, STATE_READ_INPUT_DATA_ADDRESS, STATE_READ_NEXT_PARTICLE, STATE_START_EXTRACT_OBSERVATION, STATE_START_EXTRACT_OBSERVATION_WAIT, STATE_EXTRACT_OBSERVATION, STATE_GET_INPUT_DATA, STATE_CACHE_HIT, STATE_CACHE_MISS, STATE_CACHE_MISS_2, STATE_LOAD_WORD, STATE_LOAD_WORD_2, STATE_WRITE_WORD_BACK, STATE_WRITE_WORD_ACK, STATE_WRITE_OBSERVATION, STATE_WRITE_OBSERVATION_2, STATE_WRITE_OBSERVATION_3, STATE_WRITE_OBSERVATION_4, STATE_MORE_PARTICLES, STATE_MORE_PARTICLES_2, STATE_SEND_MESSAGE, STATE_SEND_MEASUREMENT_1, STATE_SEND_MEASUREMENT_2, STATE_EXIT ); -- current state signal state : state_t := STATE_CHECK; -- particle array signal particle_array_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1); -- := "00010000000000000000000000000000"; signal particle_array_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- observation array signal observation_array_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal observation_array_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- load address, either reference data address or an observation array address signal load_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- local RAM address signal local_ram_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal local_ram_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); --local RAM cache addresses signal local_ram_cache_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := "00000000000000000001111110000000"; signal local_ram_cache_address_if : std_logic_vector(0 to C_BURST_AWIDTH-1) := "011111100000"; signal cache_min : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); signal cache_max : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- local RAM data signal ram_data : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- information struct containing array addresses and other information like observation size signal information_struct : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- lin/pointer to memory word, where the input address is stored signal input_data_link_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- number of observations signal remaining_observations : integer := 2; -- number of needed bursts signal number_of_bursts : integer := 3; -- number of needed bursts to be remembered signal number_of_bursts_remember : integer := 3; -- length of last burst signal length_of_last_burst : integer := 7; -- size of a particle signal particle_size : integer := 32; -- number of particles signal N : integer := 20; -- size of a observation signal observation_size : integer := 40; -- temporary integer signals signal temp : integer := 0; signal temp2 : integer := 0; signal temp3 : integer := 0; signal temp4 : integer := 0; signal cache_offset : integer := 0; -- local ram address for interface signal local_ram_address_if : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); signal local_ram_start_address_if : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- number of particles in a particle block signal block_size : integer := 2; -- current particle data signal particle_data : integer := 0; -- parameter address signal parameter_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- parameter size signal parameter_size : integer := 0; -- parameter loaded signal parameter_loaded : std_logic := '0'; -- parameters acknowledged by user process signal parameter_loaded_ack : std_logic; -- := '0'; -- message m, m stands for the m-th number of particle block signal message : integer := 1; -- message2 is message minus one signal message2 : integer := 0; -- offset for observation array signal observation_offset : integer := 0; -- time values for start, stop and the difference of both --signal time_start : integer := 0; --signal time_stop : integer := 0; --signal time_measurement : integer := 0; ----------------------------------------------------------- -- NEEDED FOR USER ENTITY INSTANCE ----------------------------------------------------------- -- for likelihood user process -- init signal init : std_logic := '1'; -- enable signal enable : std_logic := '0'; -- new particle loaded signal new_particle : std_logic := '0'; -- new particle loaded - ackowledgement signal new_particle_ack : std_logic := '1'; -- input data address signal input_data_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- input data needed signal signal input_data_needed : std_logic := '0'; -- word data enable signal word_data_en : std_logic := '0'; -- word data address signal word_data : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0'); -- word address signal word_address : std_logic_vector(0 to 31) := (others => '0'); -- word_ack signal word_data_ack : std_logic := '0'; -- if the observation is extracted, this signal is set to '1' signal finished : std_logic := '1'; --current address signal current_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- for switch 1: corrected local ram address. the least bit is inverted, -- because else the local ram will be used incorrect signal o_RAMAddrExtractObservation : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- for switch 1:corrected local ram address for this observation thread signal o_RAMAddrObservation : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0'); -- for switch 2: Write enable, user process signal o_RAMWEExtractObservation : std_logic := '0'; -- for switch 2: Write enable, observation signal o_RAMWEObservation : std_logic := '0'; -- for switch 3: output ram data, user process signal o_RAMDataExtractObservation : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0'); -- for switch 3: output ram data, observation signal o_RAMDataObservation : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0'); begin -- entity of user process user_process : uf_extract_observation port map (reset=>reset, clk=>clk, o_RAMAddr=>o_RAMAddrExtractObservation, o_RAMData=>o_RAMDataExtractObservation, i_RAMData=>i_RAMData, o_RAMWE=>o_RAMWEExtractObservation, o_RAMClk=>o_RAMClk, parameter_loaded=>parameter_loaded, parameter_loaded_ack=>parameter_loaded_ack, new_particle=>new_particle, new_particle_ack=>new_particle_ack, input_data_address=>input_data_address, input_data_needed=>input_data_needed, word_data_en=>word_data_en, word_address=>word_address, word_data=>word_data, word_data_ack=>word_data_ack, init=>init, enable=>enable, finished=>finished); -- switch 1: address, correction is needed to avoid wrong addressing o_RAMAddr <= o_RAMAddrExtractObservation(0 to C_BURST_AWIDTH-2) & not o_RAMAddrExtractObservation(C_BURST_AWIDTH-1) when enable = '1' else o_RAMAddrObservation(0 to C_BURST_AWIDTH-2) & not o_RAMAddrObservation(C_BURST_AWIDTH-1); -- switch 2: write enable o_RAMWE <= o_RAMWEExtractObservation when enable = '1' else o_RAMWEObservation; -- switch 3: output ram data o_RAMData <= o_RAMDataExtractObservation when enable = '1' else o_RAMDataObservation; ----------------------------------------------------------------------------- -- -- ReconOS State Machine for Observation: -- ----------------------------------------------------------------------------- -- -- 1) read data from information struct -- -- 2) receive message m -- -- 3) set current address for input data -- -- 4) load current particle (into local ram, starting address (others=>'0')) -- -- 5) start user process for observation extraction -- -- 6) wait for finished signal of user process -- -- 7) write observation into main memory (from local ram, starting address (others=>'0')) -- -- 8) if more particle need to be processed -- go to step 4 -- else -- go to step 9 -- -- 9) send message m -- -- 9*) send measurement -- ------------------------------------------------------------------------------ state_proc : process(clk, reset) -- done signal for Reconos methods variable done : boolean; -- success signal for Reconos method, which gets a message box variable success : boolean; -- signals for particle_size and observation size variable N_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable particle_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable observation_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable block_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable parameter_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable message_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); variable resume_state_enc : reconos_state_enc_t := (others => '0'); variable preempted : boolean; begin if reset = '1' then reconos_reset_with_signature(o_osif, i_osif, X"0B0B0B0B"); resume_state_enc := (others => '0'); done := false; success := false; preempted := false; state <= STATE_CHECK; elsif rising_edge(clk) then reconos_begin(o_osif, i_osif); if reconos_ready(i_osif) then case (state) is when STATE_CHECK => reconos_thread_resume(done, success, o_osif, i_osif, resume_state_enc); if done then if success then -- preempted preempted := true; state <= decode(to_integer(unsigned(resume_state_enc))); else -- unpreempted state <= STATE_INIT; end if; end if; when STATE_INIT => --! init state, receive information struct reconos_get_init_data_s (done, o_osif, i_osif, information_struct); if done then local_ram_cache_address <= "00000000000000000001111110000000"; local_ram_cache_address_if <= "011111100000"; enable <= '0'; local_ram_address <= (others => '0'); local_ram_address_if <= (others => '0'); init <= '1'; new_particle <= '0'; parameter_loaded <= '0'; -- CHANGE CHANGE CHANGE state <= STATE_READ_PARTICLE_ADDRESS; --state <= STATE_WAIT_FOR_MESSAGE; -- END OF CHANGE CHANGE CHANGE -- CHANGE 2 OF 7 --state <= STATE_NEEDED_BURSTS; -- END CHANGE end if; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 1: READ INFORMATION_STRUCT -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_READ_PARTICLE_ADDRESS => --! read particle array address reconos_read_s (done, o_osif, i_osif, information_struct, particle_array_start_address); if done then state <= STATE_READ_NUMBER_OF_PARTICLES; end if; when STATE_READ_NUMBER_OF_PARTICLES => --! read number of particles N reconos_read (done, o_osif, i_osif, information_struct+4, N_var); if done then N <= TO_INTEGER(SIGNED(N_var)); state <= STATE_READ_PARTICLE_SIZE; end if; when STATE_READ_PARTICLE_SIZE => --! read particle size reconos_read (done, o_osif, i_osif, information_struct+8, particle_size_var); if done then particle_size <= TO_INTEGER(SIGNED(particle_size_var)); state <= STATE_READ_BLOCK_SIZE; end if; when STATE_READ_BLOCK_SIZE => --! read particle size reconos_read (done, o_osif, i_osif, information_struct+12, block_size_var); if done then block_size <= TO_INTEGER(SIGNED(block_size_var)); state <= STATE_READ_OBSERVATION_SIZE; end if; when STATE_READ_OBSERVATION_SIZE => --! read observation size reconos_read (done, o_osif, i_osif, information_struct+16, observation_size_var); if done then observation_size <= TO_INTEGER(SIGNED(observation_size_var)); state <= STATE_NEEDED_BURSTS; end if; when STATE_NEEDED_BURSTS => --! calculate needed bursts number_of_bursts_remember <= observation_size / 128; state <= STATE_LENGTH_LAST_BURST; when STATE_LENGTH_LAST_BURST => --! calculate number of reads (1 of 2) length_of_last_burst <= observation_size mod 128; state <= STATE_LENGTH_LAST_BURST_2; when STATE_LENGTH_LAST_BURST_2 => --! calculate number of reads (2 of 2) length_of_last_burst <= length_of_last_burst / 8; state <= STATE_READ_OBSERVATION_ARRAY_ADDRESS; -- CHANGE 3 OF 7 --state <= STATE_WAIT_FOR_MESSAGE; -- END CHANGE when STATE_READ_OBSERVATION_ARRAY_ADDRESS => --! read observation array address reconos_read_s (done, o_osif, i_osif, information_struct+20, observation_array_start_address); if done then state <= STATE_READ_INPUT_DATA_LINK_ADDRESS; end if; when STATE_READ_INPUT_DATA_LINK_ADDRESS => --! read observation array address reconos_read_s (done, o_osif, i_osif, information_struct+24, input_data_link_address); if done then --state <= STATE_WAIT_FOR_MESSAGE; state <= STATE_READ_PARAMETER_SIZE; end if; when STATE_READ_PARAMETER_SIZE => --! read parameter size reconos_read (done, o_osif, i_osif, information_struct+28, parameter_size_var); if done then parameter_size <= TO_INTEGER(SIGNED(parameter_size_var)); state <= STATE_READ_PARAMETER_ADDRESS; end if; when STATE_READ_PARAMETER_ADDRESS => --! read parameter size reconos_read_s (done, o_osif, i_osif, information_struct+32, parameter_address); if done then state <= STATE_COPY_PARAMETER; local_ram_address_if <= local_ram_start_address_if; end if; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 1: READ PARAMETERS -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_COPY_PARAMETER => --! read parameter size o_RAMWEObservation <= '0'; if (parameter_size > 0) then parameter_size <= parameter_size - 1; state <= STATE_COPY_PARAMETER_2; else state <= STATE_COPY_PARAMETER_ACK; parameter_loaded <= '1'; enable <= '1'; init <= '0'; end if; when STATE_COPY_PARAMETER_2 => --! read parameter size reconos_read_s (done, o_osif, i_osif, parameter_address, ram_data); if done then state <= STATE_COPY_PARAMETER_3; end if; when STATE_COPY_PARAMETER_3 => --! read parameter size parameter_address <= parameter_address + 4; local_ram_address_if <= local_ram_address_if + 1; enable <= '0'; o_RAMWEObservation <= '1'; o_RAMAddrObservation <= local_ram_address_if; o_RAMDataObservation <= ram_data; state <= STATE_COPY_PARAMETER; when STATE_COPY_PARAMETER_ACK => --! read parameter size if (parameter_loaded_ack = '1') then enable <= '0'; init <= '1'; parameter_loaded <= '0'; local_ram_address <= (others => '0'); local_ram_address_if <= (others => '0'); if preempted then preempted := false; state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_1; else state <= STATE_WAIT_FOR_MESSAGE; end if; end if; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 2: WAIT FOR MESSAGE -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_WAIT_FOR_MESSAGE => --! wait for semaphore to start resampling reconos_mbox_get(done, success, o_osif, i_osif, C_MB_START, message_var); reconos_flag_yield(o_osif, i_osif, encode(STATE_WAIT_FOR_MESSAGE)); if done then if success then message <= TO_INTEGER(SIGNED(message_var)); -- init signals local_ram_address <= (others => '0'); local_ram_address_if <= (others => '0'); enable <= '0'; init <= '1'; --time_start <= TO_INTEGER(SIGNED(i_timebase)); parameter_loaded <= '0'; if preempted then state <= STATE_INIT; else state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_1; end if; else state <= STATE_EXIT; end if; end if; when STATE_CALCULATE_REMAINING_OBSERVATIONS_1 => --! calculates particle array address and number of particles to sample message2 <= message-1; temp <= 0; state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_2; when STATE_CALCULATE_REMAINING_OBSERVATIONS_2 => --! calculates particle array address and number of particles to sample --temp <= message2 * block_size; -- timing error for virtex 4 ("18 setup errors") if (message2 > 0) then temp <= temp + block_size; message2 <= message2 - 1; else -- temp = (message-1) * block_size state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_3; end if; when STATE_CALCULATE_REMAINING_OBSERVATIONS_3 => --! calculates particle array address and number of particles to sample state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_4; when STATE_CALCULATE_REMAINING_OBSERVATIONS_4 => --! calculates particle array address and number of particles to sample temp2 <= temp * particle_size; state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_5; when STATE_CALCULATE_REMAINING_OBSERVATIONS_5 => --! calculates particle array address and number of particles to sample state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_6; when STATE_CALCULATE_REMAINING_OBSERVATIONS_6 => --! calculates particle array address and number of particles to sample temp3 <= temp * observation_size; state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_7; when STATE_CALCULATE_REMAINING_OBSERVATIONS_7 => --! calculates particle array address and number of particles to sample state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_8; when STATE_CALCULATE_REMAINING_OBSERVATIONS_8 => --! calculates particle array address and number of particles to sample particle_array_address <= particle_array_start_address + temp2; observation_array_address <= observation_array_start_address + temp3; remaining_observations <= N - temp; state <= STATE_CALCULATE_REMAINING_OBSERVATIONS_9; when STATE_CALCULATE_REMAINING_OBSERVATIONS_9 => --! calculates particle array address and number of particles to sample if (remaining_observations > block_size) then remaining_observations <= block_size; end if; state <= STATE_READ_INPUT_DATA_ADDRESS; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 3: READ CURRENT INPUT DATA ADDRESS -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_READ_INPUT_DATA_ADDRESS => --! read reference data address reconos_read_s (done, o_osif, i_osif, input_data_link_address, input_data_address); if done then state <= STATE_READ_NEXT_PARTICLE; end if; -- CHANGE 5 of 7 -- input data address: 0x20000000 --input_data_address <= "00100000000000000000000000000000"; -- the particle array address: 0x10000000 --particle_array_address <= "00010000000000000000000000000000"; -- the observation array address: 0x11000000 --observation_array_address <= "00010001000000000000000000000000"; --state <= STATE_READ_NEXT_PARTICLE; -- END CHANGE ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 4: WRITE PARTICLE INTO CURRENT RAM -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_READ_NEXT_PARTICLE => --! read next particle to local ram (writing the first 128 bytes to the local ram) -- CHANGE CHANGE CHANGE reconos_read_burst(done, o_osif, i_osif, local_ram_start_address, particle_array_address); if done then particle_array_address <= particle_array_address + particle_size; -- CHANGE CHANGE CHANGE state <= STATE_START_EXTRACT_OBSERVATION; --state <= STATE_WRITE_OBSERVATION; -- END OF CHANGE CHANGE CHANGE end if; -- END OF CHANGE CHANGE CHANGE -------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------- ---- ---- STEP 5: START OBSERVATION EXTRACTION ---- -------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------- when STATE_START_EXTRACT_OBSERVATION => --! start the user process init <= '0'; enable <= '1'; new_particle <= '1'; state <= STATE_START_EXTRACT_OBSERVATION_WAIT; when STATE_START_EXTRACT_OBSERVATION_WAIT => --! user process needs to start the execution -- CHANGE CHANGE CHANGE if new_particle_ack = '1' then new_particle <= '0'; state <= STATE_EXTRACT_OBSERVATION; end if; -- END OF CHANGE CHANGE CHANGE ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 6: WAIT FOR OBSERVATION EXTRACTION TO FINISH / ANSWER DATA CALLS INBETWEEN -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_EXTRACT_OBSERVATION => --! check if observation is finished, or it input data is needed (from cache) if finished = '1' then -- observation finished enable <= '0'; init <= '1'; new_particle <= '0'; state <= STATE_WRITE_OBSERVATION; elsif input_data_needed = '1' then state <= STATE_GET_INPUT_DATA; end if; when STATE_GET_INPUT_DATA => --! get input data at word_address (and write it into word_data) enable <= '0'; cache_offset <= 0; if (cache_min <= word_address) and (word_address < cache_max) then -- cache hit state <= STATE_CACHE_HIT; --current_address <= cache_min; current_address <= word_address - cache_min; else -- cache miss state <= STATE_CACHE_MISS; end if; when STATE_CACHE_HIT => --! calculate the correct position in the local ram cache_offset <= TO_INTEGER(UNSIGNED(current_address)) / 4; state <= STATE_LOAD_WORD; when STATE_CACHE_MISS => --! check if word address is double aligned if (word_address(29) = '0') then -- word address is double-word aligned (needed for read bursts) cache_min <= word_address; cache_max <= word_address + 128; cache_offset <= 0; else -- word address is NOT double-word aligned => cache_min has to be adjusted cache_min <= word_address - 4; cache_max <= word_address + 124; cache_offset <= 1; end if; state <= STATE_CACHE_MISS_2; when STATE_CACHE_MISS_2 => --! reads 128 byte input burst into local ram cache reconos_read_burst(done, o_osif, i_osif, local_ram_cache_address, cache_min); if done then state <= STATE_LOAD_WORD; end if; when STATE_LOAD_WORD => --! load word data o_RAMAddrObservation <= local_ram_cache_address_if + cache_offset; state <= STATE_LOAD_WORD_2; when STATE_LOAD_WORD_2 => --! load word data (wait one cycle) -- state <= STATE_LOAD_WORD_3; -- -- -- when STATE_LOAD_WORD_3 => -- --! load word data (get word) -- word_data <= i_RAMData; state <= STATE_WRITE_WORD_BACK; when STATE_WRITE_WORD_BACK => --! activate user process and transfer the word enable <= '1'; word_data_en <= '1'; word_data <= i_RAMData; state <= STATE_WRITE_WORD_ACK; when STATE_WRITE_WORD_ACK => --! wait for acknowledgement if word_data_ack = '1' then word_data_en <= '0'; state <= STATE_EXTRACT_OBSERVATION; end if; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 7: WRITE OBSERVATION TO MAIN MEMORY -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_WRITE_OBSERVATION => --! write observation (init) number_of_bursts <= number_of_bursts_remember; local_ram_address <= local_ram_start_address; --write_histo_en <= '1'; state <= STATE_WRITE_OBSERVATION_2; when STATE_WRITE_OBSERVATION_2 => --! write observation (check burst number) if number_of_bursts > 0 then -- more full bursts needed state <= STATE_WRITE_OBSERVATION_3; number_of_bursts <= number_of_bursts - 1; elsif length_of_last_burst > 0 then -- last burst needed (not full) temp4 <= length_of_last_burst * 8; state <= STATE_WRITE_OBSERVATION_4; else -- no last burst needed (which is not full) state <= STATE_MORE_PARTICLES; end if; when STATE_WRITE_OBSERVATION_3 => --! write observation (write bursts) reconos_write_burst(done, o_osif, i_osif, local_ram_address, observation_array_address); if done then observation_array_address <= observation_array_address + 128; local_ram_address <= local_ram_address + 128; state <= STATE_WRITE_OBSERVATION_2; end if; when STATE_WRITE_OBSERVATION_4 => --! write observation (write last burst) reconos_write_burst_l(done, o_osif, i_osif, local_ram_address, observation_array_address, length_of_last_burst); if done then state <= STATE_MORE_PARTICLES; observation_array_address <= observation_array_address + temp4; local_ram_address <= local_ram_address + temp4; end if; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 8: MORE PARTICLES? -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_MORE_PARTICLES => --! check if more particles need an observation remaining_observations <= remaining_observations - 1; state <= STATE_MORE_PARTICLES_2; when STATE_MORE_PARTICLES_2 => --! check if more particles need an observation if (remaining_observations > 0) then state <= STATE_READ_NEXT_PARTICLE; else --time_stop <= TO_INTEGER(SIGNED(i_timeBase)); state <= STATE_SEND_MESSAGE; end if; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 9: SEND MESSAGE -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_SEND_MESSAGE => --! post semaphore (importance is finished) reconos_mbox_put(done, success, o_osif, i_osif, C_MB_DONE, STD_LOGIC_VECTOR(TO_SIGNED(message, C_OSIF_DATA_WIDTH))); if done and success then enable <= '0'; init <= '1'; state <= STATE_SEND_MEASUREMENT_1; end if; ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ -- -- STEP 9*: SEND MEASURMENT -- ------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------ when STATE_SEND_MEASUREMENT_1 => --! sends time measurement to message box reconos_mbox_tryget(done, success, o_osif, i_osif, C_MB_EXIT, message_var); if done then if success then state <= STATE_EXIT; else state <= STATE_WAIT_FOR_MESSAGE; end if; end if; -- send only, if time start < time stop. Else ignore this measurement --if (time_start < time_stop) then -- time_measurement <= time_stop - time_start; -- state <= STATE_SEND_MEASUREMENT_2; --else -- state <= STATE_WAIT_FOR_MESSAGE; --end if; when STATE_SEND_MEASUREMENT_2 => --! sends time measurement to message box -- send message --reconos_mbox_put(done, success, o_osif, i_osif, C_MB_MEASUREMENT, -- STD_LOGIC_VECTOR(TO_SIGNED(time_measurement, C_OSIF_DATA_WIDTH))); --if (done and success) then state <= STATE_WAIT_FOR_MESSAGE; --end if; when STATE_EXIT => reconos_thread_exit(o_osif, i_osif, X"00000000"); when others => state <= STATE_WAIT_FOR_MESSAGE; end case; end if; end if; end process; end Behavioral;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/c_sub/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd
8
86039
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgPVciCgPImb1QXIwL6K4Q2NXp3FZd7s7qFJds76/sCvP4gz645Kshz189/wkiWOKGnMn5QbOa3L m3cr45aY2Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EUjTgrBfvdO5KjyMWybpzlzT9Jazsroh4fFVPaJojCfLcZolQmXET7ewy5t6ZZaLkMGpWcZwPZ7q eMcPgiZBBxER1AeoCNDTAuTFHn4MRpAKmqfHxsZbgoVIisx76RwXsrqUpKT8cUR2dUU75VLxsKN7 L6ZgE9RqVouZwk4+23c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Re6AJSJfMtvoLY3t7kPCAgsFMGGj+BO3NNZaiTvP8OTeeVj8SP8OrT9QIay8xl9uRxg1PTPhEYHP eXvMs5wE+yjziAt3iqlfxD7k3vRYgxGGJ/AVpvC2oPRhAa6Al4n02JMPj9ga+KPIjjoXRpf1m8zL JQez4Dt6qGLYhLCJ66xkLwvhmXy1fn88/DJc8rbBO7qVPVUVi4XpNjggMcBOPpoBVIesDfPptOFn XTtfEF5ZPZAIDUPGE9dRy6IwuQWRM0x99R23hsb503vmvPzTSLpo4h/8zEPD/JJExtHDth7PdJSl Ys/PywYNSmPTIq1UxaeNsknKLpJfE/HdZlYltA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eT6qHeoR/eXytR7y7aQG9bQ0BYq+7+TnHiN3h5rXSySoxdlUXlJZyUgFIa80829jXC+CydCn7h1e GlemQEo90MafRPgtzxHxVMcMD+rQHxunkVBOFfioJaLWdEygvzGi1xqjjMsEmQTQ2yiriaIc1wWZ 90wb+IB3BmTqTBzx/aI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pc6GJq9ADqnknEJv6NFlOCDztzjTk7misnHQFwQdpVGXAlTbvAs57PX1YQqlDSojJsCTIXDc8eVX H6wQtR9NQFXFnnEP4dqfNprPGA6pIR1gJct0tHA9o5h3gAsil4pvaGLwwA/LEA3FILrCvfMJu9Lw Gh2L/FyEkM1qoiiYU0ZPQD2iSGxdbRkNlG+YY4zijl2G5XCjOkQeIzRdoTTmXZ+41/yCNLlRLopa 4au+LiRmB2QcE1NzedOWGrEwQdSLMwVwfDAPNp/iX/RsNpxk8ab7fxxrRMs0kB2b+GqqhqR1TRYo gb8mUM02zepGSRUddDS7Xzn1mqQSlcRvqJhSlg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 61952) `protect data_block gsp07rEHDWm0CJ6AM9qfwqoBwxP4Msj+4iIkjgiivDKsMA4njqMYkmY0rK6JPUAB0fWGOr20V0Iy qN/5g9gGjE5Q5TqWfxgDvHAYYCvl/HzM3rVEJGeG8hZH800q7KFODbSG1MLIiPJNPzeoCgOAnR92 RR86IG5VRlrToVvUxiXlTUkSVAaTPPq5BWugwy5SMQXpO9EUYHOe7QzOcbst9j/OmAWL1UnNruKo w1lDGYTCr87/reEqXQnMLq27YywHMTZwSKDop14NHFgQ7/wSaKCjoFW8E/Qjxv5quXwZJigE6puF gHye82O+ibxAwPQf7488y7qDXSbC99uxrYRRIuxkRfXIdwM2rIDLFWZuiG1fsOco12pd2Dz4tJWi kmrfyY1bEWMz4jFfkY/JMBJEpzOhvj1FS1mDmqeaWtfdJwOX+1JPDFbaR/TtlIuXKoxSHAgwpupC Hr9FimVuadu2tehqi+x00AoZXWLJ5IfERrG0rXkG8g/ld0gHd8FaaScOuKPWdl3MgmqSmyy56O/9 P/jMlHgLYgFcURJagYjm57uUM7oBGuLYZzJptE5IbvrjjFIpLP7myyWDDUYLv7WDiipHShI4NwGg 2s8hhbQ+csG7SX3b6ZB1BAdQB0aIhh27KruNyjvevgt3I983MbipWvltqk5GTwNvrjd7N/r+VF/j DyqaYOrUgFnod4zkl1x8vQG2G4BfEarzh6qIkAgvJUd9rxbOO2RAVYwCQQfqce5hXlT4cZKCyXvj HJ4TdmJNLToj1JyejIz3HxVjMlLQutxz8+/pxHobqO2OSbEVvGm68zY7r/S/zHuhHTF314DedyVB G2pgFU40JdHKphMkKUM+sM5MP9DSwLBofmAyUArDfIZHr+VSHPTb2X6ag54WOy27GPrjJ+BHaI/L WkQ3TvpgayVCDjztD4IQwed6n6JJ04b7NDZr2wVjfoE5id/500hgf7+gRgHQpA4UsoS4r9Cfm8KK MHMEeMSQfn3Y0uyP4CiP7iIv/7JbontDm8VAeriYSSUGDTORHRNlCDuzFfjlKO6DSUb6KIwZykOt Vn0vZudGoKsDKQ/s1tEz57Jo8fzgRb0C7FpkvBRBDKrNqX1AKx8loKButk3WYsK9kcPV1h9sa7zC oTCmsuyduXfJ9a9zdmcKmMz+m3ZeNATtYloCRvfpKyIE4DH+aPk2Jh2vTIvJIHSJqtTu7rrfBdEu nfixBZWUWB+nVSC+xSkRAqAO2PXjEymuBOlsNUXMA3W4iasHLgLew9R2lM8CDZGPGk0ajVO5BW1Y q4eK/qCHXtDL6ioCVXa+k7bvH1GynvKdZve9GQeUXM6+MXNb9gywH6hKIsELMWy1/AJ+ftyT+4xi 8OXdbpDFa4I2j78czJC44xsBoL8NsWHdHJtZ6V4NGcEQUsFVtmYmQ8ixXl4iRQwJDRyDC8sfdIyR lu9KoReFtpZK6lMHI1110OvEaCXjjidWQzi0pr4i+GfvD+M0lMEeUlqFt2HqjYPDBD0LY/mwst0a irlKyM+tpug8tudetD6K4NGOAlih5Ul/KFslIqtBksLGPM0vN4Ubs8uoxni1NIXAUOPK1Q2quIg3 ZddL2tPXBIJ27fHsUsh0ItCLzDkGTlIfYf0iwBg3Ag8Hx04YRHnARzpz83c07l0O97BSK7+CFjKA lAc1DeL5N2gYKvDqsvsFDvzIUXgl85MEMihVD+2fmHu3rpmTopi4Fmn3XcQR1N8m+abkzXoNcvTu n//Z+FnTRs3fc1H+JZhk0xYVxMSlzInbclzTQxe6vE4IO0iF+nAc7zbg1W6NhvC6TXRm8jwVqgfW lTY4XyrgPJ4WgYDmzD+tf8X4ADMA/ybH3sECJtKgdXMiCRfDM8c45vW+ZzC6BlSco0nxe6giDtoV dhA3XitQbsoHndlh1uiT03e+cdAcDHdqgnGdCPm6X/PEyslQniiwJlhOK9L9H79yjBBmyN0DOtaw ngI5KKQoYR8AvK/VSnMD9pQ2zeSBl1NQ+3kpO7C58pFd++Mgvqb+ZuqF+vRKCBKq+tOH3gWgV/Nd 7zH3mtcZkVilAYeawNsPi23UGGfKWuYPeX0Z2CNJ4mXrBrYRU0pho0XxEluUSw3FcsX2SL6Z4vFI aMcH2qZbo4KJscvyBapuaQ0/8uPhXLq3Fj5M0eRZhKWiCe+daYKIrh+ExPvCxr88w4pBqyc4eWXR FVMcTZy/ni50+0ZOUP67vr6MhXHsJC0uQCWGt9AvSW/+qMCEvxl/R/CfNBOF03exrBNu164uDkpK L1aRNqgLomtjR37MdocHG5zcsKqRP7fxE7QBF7nM2Y5S1jCMW3clchDldyb6RjoGahX75m0GFjCO lSg6eoCtI4f8ha0c3plyVfcd0YS3VpN+qCfwk7m1T9gv/7ugJ74V9SFi3HLFK8gxogJI1tjI4Fo5 YSTl+FTKYSoLqXz27z2aXgKte0foVjD5tm1u2nJm7xTIfJkYpIEc06DQ5JptMRwQdyqk7FqHz85B /NTL9Whiz8EH963In6k6BZmk2VnTyHTq5GhErx3wREe6FVT1Rs2J1jeg1gQEyG9zIiPoIDU/rNrq LPd7IDEwdfrOJbREO5mzljVGmReJAPX2hgon/0OR+o70LZxStzs/Zs/FlL/S0cQanZVyB0VZcfvQ nNlx3g95g20I+TqiCRQ2PUsu4Ry+qqgm0K0tdAkjx9Ko/nO+JR/6EW/eDHWRKgGn3+mDUJJBshNR Tr+JHE60FEi1sK2W3Hh0HupSTfhHpql9OqvcIPK3sfQuLQU9wPJHvQxXb8y8m4jfax4oRpjTAYPL BQkOZr4nvcr/o+fzb7gHZyrdXjDGLwu39Hf0JPNg2O7CSfD6ISi2DwqNLS3u26u9oMYsDDLysuJb SDW1/paS1kLJjLudTdTv0JepiM1qEm5O7+oiZmfkze5x00DFnU1xVnl4Cp8QbvTv6xKoKNnlk+mC cxL1L76gzfE+8RajP5rENW3mN8IitXNoNiTAxeodlu92fbNaJohV21+n6mpulv10QxqL15dcKKPR 8RyTbgqIulGR0vwqUiNQag+/bsl3wQvo3H/QZu7p4Knlqivgl+9hoGAGInWtpOdj8HPWFFxsto+J E4rh733ern7UDE/1oUkf+HJfC7rTr6AbUoMCrD3vPgwX6f/APA0KCPACkfo/2UhUswcbWQDqn7II US1thTPrCbN4t1J1gXy2EbLeZH/uJfzsHUisu2dE3V/i1l2ZHDElDxnNUPoSiRHaW5hp4Wx2eplN fCzdNFjhR4kfIbXDg168O0JdtsdqUWAqqVKuAEP8I5jHJywHmAnsUJwgHOho8yKqTwiWoo4a/r46 tbMZwPe8WIIVuczxWawZ6bfjf9o8GBQDHzf/0xQZ9NWd2kwbPUIiNEztpQK3ObwCPSz25uxPYK/v J/EohjI5u5Ryh0YIiKwB2p3+770jGPwaseUcqN0xzAnktjTU/wVCRjdpleSPLWVx/q50Vk7pp8HH zLt2qtN64pERfjAYlO6AChMDDQ9gsAL5qX4aEjEUdzfUQ9HU/uOZ4htduAmfMlrbFTQj7oraLZ7x rhjIrMJPIhYGPGHxfv8bDOu8MAEJV13Nv0w7w2CLX3A686g02ftHjlPePt1ZjlKlpAo9CYzDWcXk H6TRG4RwFLo6g2k/W9bD7xDi8Vj2YojOSAu+vH9GdOC/mRY4/3XoaIud9cMb/YX4HdvB//sNOoX6 Qf4B4AzOXuMH+Jj9u0b8/sRMQQOJyxxiMOqVWZtxWeCqAFSJW2hzO1ybatCjvZgCn9DuaM5JbdnT QwImNKrVb9UPutHesAjCJ73YzQ/Sctu/ABTlHU43Pz7VYL/Q6ULX5183/Ex0Ay4J3rd87NbCOVmP nkeo8EjwPOD3g+dNORK8cv14JyPV55xAKXpFwgT28KqXW52vfWvoJRdeH5vOOe3tbB+Ht7m3fMwr 0ZEXYO7EbCe6nCkgRh+pyffr9nENBQ54ABckft0cDSFJrL3AihM1QAYjQmG/dcLUXyfqgCpAobQG TSwSKNn6kEV9LzAMJYDhLBycSoAqa/98tBBprGj94M34MPmOfXBlT7ddpUYBwIoxl1fW512BFFka ZX1xzd2wawbvbZFTyeaqhCKLGBUMmrW7+yjAmhHaE33+b1kUZt8ZA4ODBWeB68uYobsn2RlY7lEd hPcEMLL8l4ciELMm9+6+gW7dg8Kpg/iKcf+BKmdYutBE4Ow8jUKps+2a9zRbgRrhtjiNf4NIKERC D8vAisE+Kmpz2f8x+cE39dk92PlN0lY1bG918BbC3omKn3yVt11PYmnDM2GQ29dlwzTnXR42Ycvf E0XIUtMCkcqx6xQkj1jNesf4hpJYKZXNB/YJOKcFg3xWM9JLj3t2eksChmtF9cxyFVNBFRXhNUCz 5SkyERUve42fAn8XDIVJPW6+2khVF5dFIoEu0OJZMDjP6ra0wfC63IyNjjdEhmUz1yidg33pz8Gu 4K2GpFvWo7z5M7jFe3hevAGgVNhGE58UNmW0EWHOnFVmr7XvROnBBwAmU/VyRZ8+Skr35djJHk4Y QoTOl8IHJpz65+C+4b83PJ5Ofmn9AC9KRo7Ecjc/Xm/Fx9wujGSb6VKxA59FIukBMWSGE0K4MjmK jux2daKDTWFwXtd4PYjqGMlZhn+CVbQSLLxsMpXGUZzyfJ9CHhcy3invYIkH9JlR0Ox5DfkFS75w pQELP1dOt0tFH+VF5Tck2tx8xWQm4L4JOVcNP/JRChpdDMGigL/vl7sRCAuraacJ70WXBf9UtLX1 Ep3dDPst6CMxLTFhRx/UofrH+pYcHi5CGDl4D6fo+7UTJfo2vxdKGG9MlAkyWM/Bpe1M26U0WEea omH+nPS6jDIojHslWUC4WBAY5poQDIJt+viwqYx64cfHnNSnTqiXxAvQrLOKp5kx50C6cjyBB07y OmJe/RuHmzbgxOzb7THiyN/JcwWnhV8jEQymlhxKP+xXk3gtvvpG+7/raDLUWX88Vu26qScxF74y aYdRSJZV/xL/vND8gFKPj/aKkfg7jZ/fg6LcN8sOyU54R7RxD/toZqh9R49K9xQGbTsPoRyrTiOf ozQ4iRn3AEByScIKyGPTQB5N+sBSrNPUT2WuRPm1RouLWpQY3g3mbEDoATZ9bXK2lU046HizhFkq aq2U/QOqBDWPuFXS92nhOZ1r5CHEf30p7+tRynysQLC3TA10mrnJfLGjf+lbeyYaQ4PWn20owfYy lw0JeG8sozGxGL54sKCaBznhm1uyCmrhlrLP8rTneIPwB+ne2p44k4ghk0Md+bPLYAesf3649MOi 4WYtpIMTR3KBLyXxtleR6fjEC9Veud9yEYIWOa+n0ioqsKjrnLKqT4fGB7HsXF1yZ+ch1ajpSX6P H+RFAbW28zPNaJ6kJT0FhgGn9kjmf9sJWFbAUo4iqebVr0i06VoIt1QD3q6at2qeElXTZp4/OvUp vxGbAyvKJHQJT0PmEEeL7xBjkRPSVX+d5gORVZDpsUA8839fWxSS6RDPYOEoyD20K/uwVC09AdY1 8TEwM8LbKUhr7PoAuDi4wUJfvzzTiL8+Kwm8LKK9m/ZC9Rv34v5XqmHnHpujaAhjvuwnlfCoQFL+ HlmlthrKBPzqYtJhPbPZ2D5mt8kK54MGBe/VgXIVzNVVM9xECLm0DViMbsRKLGoap2n1u12XCoI+ uByW4KllTKu/tPNpv+1K7iojiqSmBsOjvDRjcHQiJJBaXvsJ3h2m0FRJCWWO2wgigZK8zmzIQjoD 2gW4gl0NX1GKKhfvqpJOGeUIsQFhK0bt5Yek3ToZkp+sjeicmp58WhKtYwd1x5kFdQW8U7GycD2F cJPhyLRSCqtf9pY9UV3fKPSXT7h8I7NrztXayijekP72/XyOe5qm1nx1Fc+cxMzQoWjL05ailLID 5MrmTjemuP/QrpdLLwSMOSp9A4do56zhRhrVPv8pVss3HuXKmeVBAiSScmMGE220Ig2GMsjcEKZ+ cXkJAWmeFIZiZSmyC2S74IdO5MM6GwlPv7kYfh6+dKRuWkaQ3SDGxVXT+pkjt5X1GNub4TuLismO FXa8yzDSCtSLzdG9OJxEAPEacmQbPRHQxuQz5eC1Hq7Ur2BypES3GQxyrv3H5Y8yHS2qlXuNSD8r s5VsHztp8CEz+j/IIkafn2mqnRFLlt5fynQ9Y6oJtCcek201qWWYnB0tUXRCaAvGfK9KbhN2teUc zhffCV5yNHZ3ieXBU/m+xDFygyhlfloxD4MH9rP4ri1Op8aZQXNBLhi3khpsPERVoCrVLQ8O2B/P 2x2XjgpJbGnUYZmQVh2LBXkFjxiSFZcP/N4MKflYWyrtbo+RkYz9fcV9gX07jPKe8AVjGC1OmRgE paLwpQ6we6/74hFA1ahv2sqUm1+eZ7E13rbZ5g7fCcevm+YKEz0FZvKIldJou/4R95qTazq8LKFC lY/yFbGZifBCWhOCzaqVgjreC5QCM3cHYq7WnUV4YvFeJ71dhLZ6KhoXZVOn2EDUG0/HNZCNncWY TyLw9Ku+pT/40Mn5m1mzL9ZeTohwe3KLkvgjYr8MWXTWS4Tm8dbq1Seix2PQObBN91pavjpuijCN 0YJLyUQWYPdo0Vj62TU0sL9N9hXy8d1fruTyGV6iXkyXcGY1Mn6lI1/RhrGes16Tar5iUqR9w+PJ OsVbyNLgBKGA6tYehnCzWBlcaVpYd0qnd4oOOuij9Yy1tAKPUlmUuDKPwlYQpGAnrZLz/Xvw1CK2 ihTHtQA7Zc/iXP+Dqw25G1JR7GMA6+1JMAzXtw3OFCzo5v2daM4UdThmq1Vyt0BAb/G+Mohn6izh iavNEYkjwRNWXN96Y83uADRyMWjqx0geP/RD0woUJZde5kaxVFfKGvXebbyyO0BWzah48JCGNe+0 2PVsCA7drInrCTSbWPFbnjWUxGGbA0ndJyL8mXA7DvpbvZ2TogHEPpEGUORgAIRUXxeDz+1Gs4Gb SqFb7BDqwJHi6o2yvU9ErmAPZpFGH/9oW5EW1rnvjiy5QVQ1x9uZabTY7bXT8NnHbjbaF47gmFfI sGEebIuOcK53oUrhVfQoXTZo0Q4oGreVzVW8kgmx2v7GncFvTR8lYlssCHKdX2WkW6Gk8SatVz4Q oJgfofhVPNmUU56UHW59ehk0L0ugm1WRo9RgKVcI1LhC+c3BBYetEwNfwMyPzir4YWv0teOmVBCi ePLIDUg42hWjP2XM0rgNIqYt/Xl0DEb2z0BYk4Ikr6NK+s7zSpV9YAEKmRkR68nJsh8/qigoMgD1 lmCnQm2MTZSSZMZUEP7Tq1FyVu73eM9+2rf7i2tSlhkZT9WcPRfpZo2XJhJpBX2Qm2pwpSmJDPqZ Bm/9stFWY5SVK3I10u/zjn5M/P5ifi/h2V40fbylhoQaVXoHFaVl3C8tkpWOVm5lNzWl/V6dLMZI qwJKGNwrOCklsxEdW/4NDC0+B4JFgf+NymSd01k/XK5598cQcHZPdR2LxDbe3haC96qE0luAxtbr aKA91eyTUEPy8qSuO39wwXOsSrfrdWdFiWttwWVTJDnHKdFQUmrFjfTpN94h9QwY3RU/1ipclzsg fk17tIecpTvILYeDKYZwuZHSNhTJMe9Hp05kDINc8ftc50DgspDSesVwZsJts05Mzr33Mn3eq5BI 6LQtjOgsljOjlGHojLqVzRV12OsZ001U/Wr+Vi092WgT8WspiN8rPa3GIPxnHLNRlwrDBfpBcpnt c/Olg994cUVGzp1DLV21155O7WXoMnuvczpEhCAroA6LgSjozP75454evlrkFE+DkpyXg8lGykvC LEhOxo/cpq5kel2LoJpBflmddl8ovuFA2qBcqZAO8HZfLDuYtVvrbxC9xTyJoBVMyvarJ2awVqpY ouCpj6zeB+6ojaFmOc7qxNUlpYS3iuh6tHxBIvMTjFDnMF86x917vS/C83dhPdvj2TcZOAcXZ5xd NQW9HsPA3F7EiGOnY3PIDkQokh9v4a6RikG8QbVGxR6GVReBBfTXeRchq6ZMr8X9efYoq1dAc9+o mglI2ncaRDE3WeTRYvzfRkxsQvb+n5DOx9oTP/YMIiB+t9v7/k58BPKM98vXxA6senlIFxocUm7B V08swTRXY6K3pErhsQ3mMzadtGNfNAmsCXCetUmZGTpei0EgQ0nqYQsB+xHt2KVpq15cx2NjuZXf 6KxCPjgHPi8YmQDB4TsqIj4nNhoal3MwWCIJZcc2Thhi7JY4nhdcgMeXVijUZ6+RehiHbWr9ZWEA feFWDwzlpsBqvcvEWI2td9fgK1NXE9kZbmKg4est/w/c1XKYSwkQYxak27c/SP5ZU5y2YK/nAiBS NMJPddqbJ8vcG0f7lPNMHqUb1iYvmlMs+d51VrQ/tpSLtcFHGoBmKwXnNGXeu2rX5xOWjrFqtsSO RVc1lQ+9DEZmRcR9tH7q+T0qmQ+/OFV9vxSkxnm18h7QltaJSMiwerv97hxR5Ft0Kp7vmhP4u5EL NHFa8LxMf/MDnt04u9IDUw216zGGq3azdsdTIhJPDvvAEZ1y9FE4SOXFAoPvIV5UEriecerdEYIn EbkDQlMgscSIAQN06DWuWHiHHuI5K9w2bCb/bAmHy0Tnk+nxD8bAUdZ+9L82ymBbuqwLM1GZMYaJ MBDWFKA445Pp6rpWwwtEBk4fa2BdlKNS/tjfyA24Me+gCujmtpKoB30Qa7TLZFVN3viKnRZRmVC9 Pm/T6QsSGZLVAhBCa+dWDnff3sBqY2O799xkAzsiv3skf/y0c55iiaVxZLUE/76ueCXIeLMOXrDN V40imbidLGaw/uBpGk3bu46EUlBDeHG5f+cqhcvf3Frha0a7o/czDUbNPmyCdu00E+ej5ydz+sqm dzVYkwCdrbesTfnU9Q53S49ckQQtKJ9Gs7pGQTBkObjpwIYAZ9u4oVpFR0a4zJ3sFJCaq/yQiUrf PxfNHBh3qcSYtphnUm3pkRFDBANIipclvdHDguX8AsAemEU5dD1JaCyVjswE+a2sgu3U9uXfEA7x if6PFeEqMOQjURuLnD3lMlP1Xi1BEGcadiO/UX+dXaMvLQWBjOrW6g1/8K9IDU7FeDWtx/aHXfDb hcOzCXzdqSPGxbPGyblfa2z82O+LCB5RtKO5pZS3HpAYkCF/5rzbvNQCwLJxd2w87Ccady4RtA2x Us5dUPk7cEF8c4jeU1A6fQVY/pWzdDLM92X4SMy30aRDKhdRna+GIAxtrU+0BQ5gY6iDNmnFdNBW XeIAPOAlyPPrf3ZPCynC/ru90cVl6gGoRxN0x/TvI5TjW5ME1KLnbQUEM1XPqlzjwHVaZbTcz29U Kzhpub5qj9fT45cKGffK/hibI13vFcwLMBANZ6k1fZpw6GjjUWRKwvQjd98gYkItE85EvPgvrv04 Vcf+8D9GKoW690+z0fWYZ7HzricEVJHup68wZ56JUKAUUKEHySlxGE0v4SNK8fsW4P1P/jYo0MnP HWRadJ/BFU599sEb+CrjgAeOihT8r7Sujnl0W0zYTqtWR5Hgw+3wNQjuyT1RFIWpxKx+5rH3EyVo UStgXceSRE9TKsCAMUvn2ZW/0vMb67XEROUHzK1FY0ymvgTlCEFgo0DO6xAyeWeuTaTmNrN7/tVM EzisdzLwKQ2ZfqJcOAZfimQeSQideAm/wYr8kL5nSGSQcBFJ4IF7PTugqb/GeWhLnzr+hoC2134A tHpY6Sx7fzfxDtyQZ5JSELhKtsmPx5R8h9Vvcpta6AgX6w/P68ocSH7djLI4xkSbWO/DD53CGG8B bPLwcgEB7nL/ERzm01IwqqwHu/HBwNUpjdRm/EE3BiemGruWBPmZyvfuIiSmlOBv6vFG1XJLib/U eeBIihMaDa8VB7udllvGh5Wz/I6j1jH5c+s+TvgQw6CuWi1tEyaFEqFJuXqez75ohZcJ+2koUsxv NgSp6QaJeDLoayrHUKkD4qtSGLF0xat5ybN84OGq3qxd31DHI6f+aiCgY4FgZKiA+RntGD1IGpHx VmbsCs1mhhPkQP64AQ/nifoAJYznfK89akEF7IxD7TbA4fA4mKA+fc4bl3KnaLGBMQVozC1Lym7w Vb53Fxbf5LR2jopLsQet3Aqmz6/JgikWwytIEklRUIIxwq07DFLNXNX5E5hr27ScNLYd3eBywq3k XUgAbIdYAynV7FMJYRlQfkxUes2wevgx6xZi+KCIg8FZBM7glPe/cotmhKPQuz9UYDCQviTGHYdu ksRkgS9iBD+0vboqIWyasKbwCTLqjtSk0dwaJ3lNVQYDCOhFDjsIJqbT4rTMzfbAwOVhnGghsdgR I7RP84Qk6vjBqApEBhTzj2UAnuisTAQLU6wFR/CslhDUqhK+oGhGZ0t6xKwi4UzbVYdiEGCKBRe0 KK9Vwbl74W/TT8hb84wUDejPBqOCs8jxPOjERbbPabUfUHvCJaGWW2zlsaxVCukhCvYCUo0+kVEB VRHfAFgb9WKhzee5f+w5NIz240hUSnWkHC/nYfQqALyi6e+F12nLi45yXK/T11RiJewrDJXw7sE/ KLSUoUIn604npIAvFrwtXH861p+vArdtzWrY4+ONoDde2qRbxOj5YWX4AQNclCr2+ZCPDcGZ9xeD RguYd8n7XOvQ11sN5JI8k4jrGhZni+pz68i9drhzLguAUMh8bvSmWkilPSlRWADqnBonD6WgZ4KT 0MHVzyw0hkABn3dbQ1664YK9KFAbEgKpQj1QL4pxc0LRo7vmFRqURbtN8Lrpqp1uAOBXwt+fnQR1 uxdyk4Xtn3EegPPQ58A4HNQKUL+T1HAa1iEc+jqC53XAVi9V5MqZKKrRnW+ymRQ8kK+GLgvaTP8V awBiyjk79UCSIfz3LDNRLCYZ1uiZPlaYid8R2ep0OWmmYmLS5iRoWJi+TArLu4+vW0PJiePf6Qel ey8fdFI+FAV1nCojlfdSS7pBgVjTU6ktwSE8W9f0RGeTMXqmO1EPf4YTmyjKctGL2Gc0YFiDKhye PSwl8azhpQvG5rRC5G1+yQrCscuKdKGNx8OgrZfEjHTYP+wesdzte5AmtTklczlqrQSnAldHSz0O PqaL08sC6WoDptL7qDXp5EtNjIgqYJUqp2hBcFs3JmWjhol+SGTSsv6xYa+/jLJ8vQLKElfJQt/d 4lHydqzxsQii29QI7EH/rrkDCB9q/J8NcvvtGjnG8VCgJC47rc007PRnGwFNevCSyE2Qq/FBdS4+ CbtY5J3wC5XIgJbx8I0jaRa+ZHX7UoCawF3hzOPz/MA/3T/YE9XyTrWqxeRfGYw432tiuggkqGcz suwJKIoGePIX3O+s7xivRk8qFChKAihVdGU7hRjmwOMCiBpb2g4DAaBeQ1d4T+8oGaebN0G2WW/E ZndOdyfDaBDI0YnAhzDcujFzowdDLOVRIqoW5jPLgy9zjdpORbYlXsgg8rxGVc3c3q+EGIhNgizy XJuyEqvsZsNcvap/YQX70YPLN0Pve1h1RZRlZMNEkbdlkz4V0P5JnnBpOG3yNqgmGaNq1k2DIrNT SrFUJClQtMMy6eGRl3fCZI1Vp9mmgbS3SxyNzxWjAO870Unk/BYVaRJHGLMBm2RykNeKNHfQkO3B YFdULOKxI1wP6uYxxPy6dHpCVXmvEBXWn3msGgAC0pkyfdNsxl6O8BNi1DBhf4QRJ6N8ju3T8y6t RE6WyFObX3eOh9uRoM6QID7VMcfIR88+EDTGw83QoBU9l7m9gvF3nZNSUSYpLvWhz+g7efBXPvBk FkVniUTh8n8hU1zbOWZ+5lbkCu3gmnLVCFqGOUDAIMIoDugUXqYU0/c9xKYjEuxVhG6EpX8r8EiA hvCXQCz1U5iISMEvY4PwF4fipBl5v0wEO9B47eO/AmaNicy1PoLeGIs7xLalObt/zwDCC4CVSY5W HkeN7pu6kmLlceaChk2I2xpjPmYDra9pHTdGCt9NKLYFG5OHSDcKce5qoaF5L71zyBW5bNrUNugp VKD+JSXB2gE8ehYq/XPH2txi02fz9JCqPL7/OjlK9RmWZVRswbuEha1TEKxysykygsex65s+NMYD oxhlTBQ/wk+p/AFT/QXcMqx0U/HjzjEnjUOpJ52i4nV4qkCEb5xQWhp6TmK41sOVaN09WwR/FoHT ms9ecpFOlIYUqXjMts20iC0bfHhYT3tphiba9lqNmkmE8n/680kN6m8DFZ0EUNcOaaexmWrjBqJP 9TLn2+X5Ptici1mS9z78swlh5rmt5rsGtWBXwnXjGDtLiqOeZjtvJ6jQWb0jmKIEiWVH9xEeyC/I Q62IGIpqB41imkmfD5cK0NFSzQKPRQ/RABKQJQyjcZeo/P6b/CsUg239Z3byarVsFw38aAap1hQP jCZPm739REHoroKFYemcNTEGtSRU85z/uZ5BK8KSB9E0Zd9R+x2NliV8EbSRqVbU5h7jY0EUSqif 6urkAuujV6mUnJ8YYojLDHigIxkzstMgD3Co7Qxtj17/yqGj6nwXQQVVwboF/qbeBevh+e8Ml6TR VhdLC6K5wt1KJYz9bYqL5AODUIz8QfRJysNSSlnibdTqObtHRR48RHaVY6Gibv5t93XmhDMhocAo ztKD7sYWUSVNRlUwyFxAPpGbIDSqBP2n3lwS7L4I934b9k1ZVZiHJJ2AQD+qxCzW3iwqDYgt0UsE oEJ/bs8lUUGPs+7s5S3Tanefveyjnhkd3OJdFauPWQ0Oe2DrQQ8lBReAxC+wG9K/XM33L5/69+8c hBiWcoDdjcwQbKjUPiDYHtAP4gW+0bwXdOKTk+XhJK+y8pw8GfV6TKHMeNhfvB14Jbs0pzuJD/j5 qCpHAYsDFjqwpFo5qDOn0HdJvtSeOCobo9bLE4VsXJ4curt26KjqhHZ4B3ByKBkH3a9wSj/aUff7 SlJ/E90DoOJ01rwJGNyHhkpCOTaVpxWMLkObbgpHxXm701vbxa69G2gmnyB+ax0KcODSSg+Yvm/n oXnnnjKnfeqbIJaHe06dmfmiVvfo/V7Fejk1F4r2aCU3mISenkFHaTBfcIlm9Zt8gVDWloXvF6Hc MZWmME7EwN5WhqbC8Xt4wWdNE1X9/y72WiB0XI66xyhAt/R/us0X7iESxFR78HW7gbRgmwcEiPTy U+DWSVBWl6sxdZlS4OVDZTKFooveGgNXs5B1WOCAy1IZWQbicLa9foDxS1NBAPW5j23sARb5CQuF kD+JsR9DLt1grMOQWkq8LZ+KHNO3AXIQJhIMdmaRdHUjzlN5cOuJOZFP7tSgZU4DZVimxvl6J7Mn TvjZC/HPq8KShb+SZQ9rup1gF3RQrx0p5uLoFuXVixTwXWDTrjptvAzBRfaSN1Z6TuDTNc5KHkDK UMQL6VTRSIRBZtyAxIWbBAudpOGk7mm3MVWgdw3ML3tsEp1ysQAv3tE5HIsQOx/OQTrli/pLBIRy /YeNA8Amn5s3FWJjls8G2SIh/tl1BmS2DPZghdvm3xPylgWCX5Oe8tGcHd2BFUrVmDvRItUS2Lop BU5n+Elae6ts7jgwiqp860J7OB3TQ6uFkhKGJBxPgjezm17zoK7FXxMJ4qHxg/8tnUH71HyRRjsu pX76tyBjxLiIlKS3fIrARWu/dudCY5sZRoM9NfxbvGU0i0AiAkEekN0ZYGPgchFFjloyDDfVWkT/ B0KPhEmmz0xlMtQzW1RcJuLMx5gIyjcRo1opiP3Vv47Hc/n2gHy91jKJj8fioMorQIP9eOIS8xsu QfDtPqbOevtsLq2ddQgK8S81pKGnAc4sAnvn+BYZCXgtOKdBlzZD0rcqwRX4oJdoW1pUhpukYqiz nxDZidFcGWjlQWIWtvU8mynnrxzOtmdEPFxFp6X6vr6hkhRd24mdA13pJ+XPr+kDlpjGHBWjO9KK LYEx5m1CXMSKUNs8AFHGKkp09xpB6cbYF+33AQEI0ipGQLCT6nea6rANafLJrtPtiv5XKkPtzYqL DyY6N3UXP9Zp7OpA3KZOPAEq6AOn7hZm9vw1Oar7c5WYWL+ycPD08+Kvy+5nZBgLR5n3PaIc6s6E dKyUI597+OtESqp1+PiQfaFkHgENWKDvAkCFGvISVzXgeru62txEk2RG0J0jv61OrPThkkR/fQL2 1E2Hk6KvmR2eGWmRpSvsyQOoJ1WKUYtWJj55xd+71NpQ+3+FDwINAbPT4Lb4g3+7mpPq2em5/JAm efnaSNp1LBEH7wKHf1V6X23z5FAwv7tZf+YOxSrmx1iwvvwPFR6VR9aIo1ocwNhKv1XLVIVXFsIb 1wu6BcwiXQ66pJFPbfCKtt2WEy+6QS5sH8Ca8Pr9nQoQGOcSkQyrr26cOrupuVgIik20hoHv7tme BeBkwUO5XI2VvEaZY1mrD7TLw4u0g6EjqOIKAunS6elYhMkoGuV0CzrFVe1fhtyYdw1ybEpqUX0V 3HuoP/2DEJUpeZ/PhTXSKD3ADq8DW2oBlJw5Ez2eHLIa+X+KywQLvDPNJ4cgNX5cgUcCuW1vkym3 FDYIp34++qkSl8d1zu3TGBkg0YlMS44JGf5KLOfSkgvleI0RGRMZUQMy+5a/DtsXBR5qMVAHxsL+ 1YyIzSF/jGRWhKCxJTI8rxBguTy/7fVDcbLZJcvYsbi+SgP1KstGblYM7iwVAhk6AZDYvsbu7k5K RlcyvDdoFcF0QuDD++PJAB0WdkkzTsmCVqzzCGjeoLQJR6bt5lskgDFOAVIvKBORuJKLiide3UBV 13Hj1um2XsyW/m1TGFabTypJCUpd/6M7QAQU4FmXBLCCmM1P/sjePJERoxSianNs1JfgsqmYDWK1 zqiEh5KbZTjypYKRaTcJYsGTjbt4/Otou4PSY3R8g5JI7+XQdtFlUiiJh3NEaBWHH3inmrRss8tq r7EMcRz4vE/RYynObfLgNXOQAZo+8aMpMleB1AsUjj7R5sTKZ8JBQ1Jl1bBRioXFoXc4tke4hMTm iI7KiYJQN30ck7+Wbk7PQTRQrmrYt3BwDHkmSVh5y1o96xDtji4KSc8h3cNfpdzvCGRD9JMmuNRP NG61zZqX5lom+H+gcIdbv0T4iOQr1iHZ71Z9FNk9VL3F4cio1GzJWJEgRyTSj+o+78OP80INvPmN 7yjTydXmoJcyUBXLFRT0cUuUjuDG17otKgodjrus7X5OFpOF74hBX081nuB9ZKZ2oDpM0xAuklDq tFLE5jE10NinPY6n3kvpDGlTNkot+y89/Sq267Im7HHbH+lYm8qo6Tt7opFD+5eVFI58jDud0RqH hUr1qiX/gzoYIlJgq0annrSIrOSKya7MkOkgMf6ciOXh4fwfsaeYk5pU7wUrsyOzkoc0sDXFeoCQ 63VEbOOZUetUCMWQQJS0q7c5toOt47YrX5DSquJnUaIaQWUnTVH0cU/g08JrrItwDlJwyl4xZfp2 p5GTdywDw7x5aTHRmqKMCn67/G0W+gCWMjIhaD1LXolnxriYIAYqp5l4WMPQQQCNKB6HxZuLx1/7 /P6+zA9yAIdQsvd9nPafHwwJuSy8Z7ABa6j4rsDyLINJbul+zWvX9YiV9XEE+PzuL5Cmtf39DI7f pg7X4WOEDOi+AZ43OP+nQh79ObClYbpjQhP87F/vxRIHh1DQRtT1ThaoOfzuvV+1YqSGxYfhziZ/ /lgCIMv9lj1qXrbGCZqHCf2GmSQdq+SWVRTm2Iqm5SNLrxpryl3XqE6rywklc0ZV0froz3WpFvEh 6NwMci8yNavVRl8XjPijhhK812sFY1jXvKZuqx6dHh3hKGUmPAPNC09Xlx7sEWPf3IkAxfDl1qtk zY+iH8e5u7gUkRHaagaB1IPdOH/g5BZCW5/lNLbLFJjEKg1UoxQ3Y27pzff6bRz7G+5iolCCaclW bCGyAp4ahUfGapEy+ujvnwqnNxE0z8+QR10cHWP1Ikh3bKuKkFqjmi2sfL3B9I6h+TTmD9IgNpB7 cTbb6mQJ/Iv8xK5gOLN0pi3ywFYZf9HISCiFghpzZ7PAlwKvzLX+q/YZisB8ld2IavKdATI2GvA4 ZnaM9SLQvf7AlCNwVTUZ/ysaQSg9EIb01pyN9Zrqsv+HEaMxr4z7E1+cOWoN7EVzNpifs/ZO5Jz0 x8RwkZErnT+Oib8OHrNFSL6FIKxEcE90ZVVjNCw9LoScDRbEl4YMkxLEq1JauDXVSCl40ak3JU4A ob1ZYm9xGi0WEDvL+iPs4vG3aDVEIPpofJ1cwOTDWnNl0bWb/Gq5NA9e6kU9uBbJBIpldnDK8M0r SdRIoqfvRqlhJAizidcW/0hq9z5Vcqkjd6+yAMxrsyJrHtV34VlnLEjLw9R/U3JMf8yqoTadVr5y c08yVeZO4xYtRzaFBNlzajDXKdMJCNjUPOb5MVwVCtzUFAX4G5J93dcBmbvSHGim4k9ufzrOWbnu iRfubL4z3TsV74RwW1qTu0LAJ7Lx2AweAn6DQXNtjSuIvvS+0P2odCacYjuH308Bj7gzCgLq9y5x RhLaxX5ldH64RTFkXLlNzvd7DIYOMBvpMx764aPzBgF8aXPzftrODG2XO1wuKBOtv8WtbDbGMeJK HIc2eiKwV24zvOdGjir10O1KyJ/p77Rej2ePwpqoSmYw1GqYKQO+Y6GZ9SV4J7TbRVl+hPAn9SkL ZR69vhAuG//787RNchAO6r7GQJQJ3xgdzb6LuQ6a8rLOMexjjYw/GA3VLuKGuVM1S5h3zamGpTp2 gQcH+TRaJ+dUzgnI34Vw9Vznp1yZTlStKfA1YCsLO6oKx2fJTRku7BWhYRi6pWoooiDaXHx8fmS3 p9aaj0Vb1UUzh1S0ZWEgeQ5LB8gaN0B5kTmM4Oq/25GHM4Z/Qnz9O986hAw62FxfwPE5wEBYMN9c Hj0die8wO9RcFAHbOOBd2Pnn/dJqQiVyF0WbJGqUNalB54HXbAgusxgbSxzpVkKPiHttKjIEd4Mc V9l0jFuhymAmJG398TFjjB9Cxe+D43R5BZ2QjdaOJ0IZ3zXMri7tziBFaXg7oCsZ+j86VoPcmWTh l9M583ko4cjuZJknZ2TSD/5oJF0y8YdwGzTE1799KnEX7trrr2vdPK6VcYBVjei/PIUVJXrJS9s9 NGhBoDDOVc9Vu+ld4CBx1jVzCJR88n8PdN1Zv1gnWFGNYKgqJzF1YxswHnq/hVlpdPzcGrBlsvP8 /BzUkpViZP5p40Kxu7iaXVN3p2oVH3W+eCjs7SuEiyes2PA+BLkrvLG0o2jo+sRelU8RG+SYveEz cABQvR5PaHWQPvcC0Xz2DqKQpV5LuYWJxvpf/KTlywJ+3wsDCK6MzWHPtTuA4JVGs3mg0amTDOB/ BZTvJHjse/kAUWn3Mcve91tevZxH1oGG25Qt35zsn3ftC589rIw4WwNeat4MrhoWw8OyXxMFsEIY Nj2D/+915jIRWYhPk51wwxhG0uLRmN0ksEKVjwvNnmJ7P3amoVwmyzMYhB8VZjq6R+secexPw0br fhS0tLnbffk6XO8lSCg68n9viJf03vFxf5BSgtMFTcRLt7dDrI0BTNZ4akFvy8zVjJd92gF5myHl 4hv06C4RwNBBNkrdC04lLMAcflZXhZBhG/gpoBAqWRSKv7EO1c+cxftGhIulSzIiUUNLt4nsVo+P EeLOwpbKvjSOjPgg9Ur3X5a2R355Aq+gLzTPpR5crg8T8Hh7kxK2XdzWLwWtlVYvbb87vu08dgEN WaXAyIPof6SKL8ow5tLcyOAsGYZpmKiusULCgjAZlxjmVEAlJpz5sS9zFJkgoQsdDVoCYk0p4Q5t x+v9JzYzjJ9CcTRetenij+RDa73/BMPfyn4MoIplfsWmUTy3OzYPsLmFykH7RgaPJS5ApqMZxcll K44GcDZDg5dmghROwuQCXWnulpSNFy+nQ8IU4+SAx8CMdHpmM6Z537Rg2A/xuIMmmykE/Evrit2P bjsgpFyacqmMoTre0U9jfyRXf7Q1GCCG9ZJ8PptcoOAOpr5Jq/m8s2aVbxhXLW1cE9P67KJB+C1L I5Jgz6lJeiaRJ/UjY2yxRltkQwdHPi4fYwpUadRLQXULhL5EzPd5OjUCkFK2HnNo35PF7OuX0oEb 71+lHHZov8dktqWE1Zpvgc3l+5fE0kbYjouw8obaaO+Rb2up5mv8RKbeCmhVMcqv3jawCJL0nBPS 6GSWKBlRUFzC5WdbhJBN/7Cm8+0frhfAji33jnXKGWtaTaBdFC2dNYs2xuH0l9t97ItsKLvEfUG6 4rSPIUsh8M0mOPzyunN2B7fh5W3RMyU6C2lBVLDNNyeBE690zEqRc0ioUtTDD27Wh5kescUn7o10 VSQe85n2pg4uWMaCrdGJaKJh+4yZCvh4RiAe92TVnCni8j3/8D3VRJ4dO300ZvFQVPx3rAH/iW8y jkKzIIBpUyEnJFvZcP2iGYZlCw+zMJ/GhMrgb6pvML/yqEaQujc6tgPZ5Tt9pHVsPoQeDyrd6Jul yV5mobkInaPV8rhPUzx6UcYnBt6DmMg7g1hfBj0b8F4RCCZvO0520JG44nmzVUdpwFfjBVdM3gUW dYzC3xiJpG6qd1AcXMVvSaZW/SPHJcDhgRKcZDPSZXQq5pqawdYfXvqizkPpgvJKPxbQyxXAGKk9 k9ejguduzqVoMA2G42nm8fGpu5KBIej45OGY+oPcFZUOkQ3cX/fR5wj+1hQRmVgjZ4RnI+Fciadr X/B+DR4WlEs7HXr3o99Z+xjjwemqmRt8c/BXADYa7VLcm1Tbrgfcgjz3HfWJgNZmDrV0lMIJnK7u eMcosPIJVyyJwtoz2COvFmkCG5MqVeU1ZrKYqYD/XlLNR1pK6iGsZlZhFlnUxkmL+bRwNVtSnUkF 9OFjlse/CZBrZp6KT/jlJMj9fu0RviwiQQEEcEsua6k6ctUw7Nw6s2cr6UM2VWJOi9KNuMCWJKRx 2ep0eYeCcQacmJnQpgBjBjl05Y4H6yFFazgFtLtYltKxMGuA0Qf3Nw+yv3Qd9t+RAbGC9oUAo3g1 ncK8/JEv8q/Teoh9gWilxYgcXJpH2tp9BGlTvKhL8dcwxUUjbUDfoJ5+gjDo5jcmhPVFugEp1dz3 Abvbgr4EJnY0gE/6/Ufu+yZggJbL2A9223VF+2pJYssQYOkOh9OrUiO3TVClynmiRfl98YkLhuUl 8EqwZkljlOe224XfRh9nNzACGFF3jGlAmB4Bg7/12CTKlJU9S5ikKAEHaMcmUV7wYzmK0bk3diql 0K8OPCqxADYMwc+HuDEeJ49N5J8fWjgX4pJCOwrcMSAQoKZLMZ1gE7eZlg9iCGtS/rKG1F2MmsLA qe4eyvvQy9HmhLOCSRxXSYDZY+Z+FdUHp4gm4Bh1E5Ct0MfBX/5fL6FGlIQdApsXphzEDQ4RIryB +Q+X5Ah39ilvYfP9rmfXak2xbW2+Z6oRfrhEyevbpZ4RZ7jHKRsVc2OpLkVjqY7crEDUhivwNbbd /Ba+DX8704vY7lK7z48EbY+3smCj2oq9QreFb/Q3bYTwqjOjUMmaWH8WvciBqoFAXOieygcKi8QI ezaG+TrrDRoWFpoNOTxrEb15bbAP7hLf3OEpcU1huBqVi6kyOPzGEeVFTk8m3VWNK1O8e1jdxYPd 42TxAd/g/LrOUDHkgeL2BvyswiD4H8QcNAFkDFvyQAuSOob07AUofEE+4krXZcBE/1Fi+0pTcVGY DPJPRG17ergLDXMUz6zII/otpQKFQJKicgfvhXuxSuDlK160pMkf5d1XhtVVLR1Z3RhXLU6rwzHO znSkcCONljqzpDbmZx/9S2jTo/WgjH9ylD3HiyBwsB/h2gYFAHLvuU1Hn++2iG8WViKkfn8siQSZ NqGliaxtvxIpqWkDogBXlaevPjtRFVKT1+ivxqGt3EKpj1WgWUUQZ2RWVZuWTTt21/PwFjjB3z+E F1jufv78c8C5pm1htPyDTzXTJOo8ISGP521nRxrQDzLQCrz0UbiU/dnERW7NJSTV1ygu8Qjh7mcR dZqN8I8Caz9a1bRMSszfOqc8Bf1OixozrrpQgBRe0C3CtqndxtS7DBNEBZ4PueOe2N+VOCQiM2je vdXfmpexedDCoHclIL07avxrHHS29nZf9KWDbIWohDtqRFUuLkIbG+NBUyxdMUni6ehCCGoEgd1p qnnNXGWeEgAUSITJdreT6ZqLJvTlrVNJbwpbl74FmJJqhREnr3olc0Uk6kQacV00/OLDF3/vYe8n WTULdELS9YaF1o2uSU9NUw9nThZtbr9HCT69xqb6mYE+2NTX4oypLfPBy8lQ4331Y8fUqqsJ6cg9 jDh6eprufD6J8WiUgerRPqL+c3tD1fCJC2nfQcVBeeg78hw/Uv38rbqaE8WA7E017egv+2/x6ux7 bO5YXbeRkXpAy7PVcnX9n8rQrMITiDWUGu5NivtLeAP52zJG16ZIFeSH+YJ6PfTtjVHK34GKQG4d tvzWlnNfdUcQJwD5+KP4q+eJPZ4hTuH3EzJbL6MLcYszgsb/5pEPllKdWFl4icsqA1g1V0DVxt4L iBXBEPLepkpySzVekwxz9f51nbhebR88rsBWnqChVd29RQXvzBlPCaDwd9nzZHSQ7ONcw07CdG8C Ru1Oab+dbxqBS1UGFfet83Mr8nfGZIJenKmyIpUQTwsw5eGtUj3qTt6lRBXHRyCyGeGRpNd9UGkd brKOCZFWU2rtX8s1REtkrtF2tYJnhC4tymFxYjkp554i8vAFCe4l8kmkXDLJ0e25N4roYE1sS1kj OsTPb+7JuR5hgz0hvWmfrivnX2zdqRYKaHo2mQwPKdjC1Yl0Nz8pWTAIBRXAc0qPqRijTevQyO33 68zVO91O5mWZUBTniIhFqd8HkOXeMNX18Xfb6bvi45YBfkOdVrkR8bO2rCZONJYZ6E1yvbx6a/Th kORwSAaS/1R06RJLzTXnzomYEW1sehrOXcAM9kWGdEkeRveCImB+YEaxgJqngiIaT7JeZI0f1fa4 hPvfPr0EomudGT/cRIlI6RiV7yLWNI02GzDONLLmA4R2k9CkeNM6hbyelw5XP/3FpKHQnBQ3BHUQ EgBayURiU5ujUE2y0P6OnJTU2u6SlC87UQb1QYZ2i22zeC5IcE3D8y8fiqNK5t27tWAzvbzHVMlB ufKJ/TfYJm+AhJmDE6wJpkfCwJA9d8IyOJsTY2dFBy4oRlRjFpFj9vXEjkyoLKUinjd3tn3iCk59 O+ouTJSyBFdtpjwUlreZoFVIobcdtwcQhW+S8gf+ZsrGp0M0edsruUbGLDUVjiccae4QnkfGDUbL QdW5zePW1i0v0j1mg74rnYWcsW55l13bxvMY2DDuSVYllgya7eGzkEJGHQDLd5RlxHKuCiwnKGER HT6MpuT3iQHplcNqm0tTv8Xdb5tvQygwx0agXesGiYFMoXbXKkZ5aUoqJEYW/GGtzrir28dC0Err WqwHrp1vAdKtozjKfbw6DJ55pmmw97A0SlX19U+5Ci94tv0tS9+V2M8F5LslIwmr+7f9eO9HbCjd 5TokEPT5MLIyGXtiUCnLEu1BNs0JVdPmMiUfWvDPW1vO+li+BmgHmQc9vUohY+KKEMNV+53PyFYC aMQ+AbbpgJUuAEM2Mzmpyz6fBKSNfrcqfAFKkhYM8QwDqE+67AIOQ1T2o+brgZTuhiar87AunfEu oHp+q55NdHNq4nM0Rpf9BoLLFCLjR0VppsqD0Y0n2n86dhKaFmlXTIYUQl6qH5xuFBeGLl3ONxSA GFsxjHKh+sNA1aPiHDWFgRvkug1vcaYoHgtnLXO+x/KqFMD/xGb6SqXdIug+gwIkGlxxQrursjjT wPa4kfE+P5E9Ea6Q/QcwU9JZeEWlCZEtECq0HWjiV3rHs4HfqvswSGqCVNCSgAW86pqvQNy/mA4Q 8W0kugLQqmMNDhzIGWAWhZ6gPAEWyjmBMMsX/RqN30c1ajIKr4lURpyIW/oiOHEZ3/NhSnygtGfa W1k3uwQgksdJUtPNghPKGasFvC/x+553gWm+P7EuqG/ShsBNaE9McdTzVxBaDrEcr5jOk4LihZoN 9fy9TFSR5c5YSLilcFanXhUBtlFmlXZ2aqb1ifYhJ1lkiuAodsAGx7nidRaiAf/9eCnv8wgWErfy +GoRxN1K7uCmBBo2NCbFqCS/9zO6y4P0igD5CaHK+SSbAiFEx3I7uSBVq2FEBqCkxpiBdSzcn1kh UriTRLidkaX2olF4IvDVbU1V8CKMzPmIbW4eU+yRvNXj6P7MShbSuntkPRe/v/dGekqKaAQJRUI9 sMB9vi2Lo7Qtduyg1fnGzzf+6dLeK/uo62w7IxobpPMibp8xN/1lnwLqq+5inJVkyVRiBAipqpCQ IyeR23oOxkmra9vqGQGYdZhqJAsx/LMftgN7xw/lXUM8+Gyr0amuK1K64uMujEfUdmMrOXIxENOz zw59sqSuN82GCcc8nCCzwYOCx61sA9OSXQT3Rbzr+CSS78pZifay86YqnpGU7FmbL0iMG/giyNXQ VbbGZUankvO0Y9zI0dS97j8aZxsjXHmFqZaJqZFiRg4LSHLgBEvPN+ekUr1KAMKRMllM2v7am8BU bdjZ9iLF9fVbIqU8VBQ2rZiHdIa9806+LvoBYxkh2JbuAtzGuQuEYYHt6fb+6xWSZCh2GWQcgJBH gh2v3i7GJzsC6bzmGjRb57b+9xosAO4PaFGu6DxZ9d86wxROiVjp0QSRJ5N1RvEFo179SLSLysVM wFXT8GgqWhHdgSdtOM9QdCn7g/Hp/fvfdHhhzQeJWro2V0zJVJtNugbF70WBHpm2SUA4BfPXbm0q QIn1eOqkVuxRusdH54qOOLd3ajx4SclfeQ4bnEq2rdQQU7sT5ySZujc3GnO+dhYl7Cc/CC8wwspA Ua2Yiwo/0cpz6cd0mcR3HCAdITYanDffJSo13ebyW/+CKXC8nyx19sa7UvBDcBSX7R0qAqYE3RGZ kjpB0Yyj35IyS8SIjWp67ztPPLgJp+T8zbi8UFJojmp1eDwUuINH3+1QK1cfEaxLWDwUCShHRfVz oFVMVoKfWtUc4qV2rvep22VsJzAz+zfKAGNo6TcJtZE1LcDE8hCRL3Fw4gQC9arJj6HuYQ7LP5xd FWQbnZB/PQgSRqVTm6byY34eknpExWU8lgovHKhsdxB/pqhOZeDTCpj5qMLTFsHA2sWpjM9FoCES mz3UFGPs9KhIyAzuDjOM3v9N4cFlFlntneWoC6tat22eF6zotXaW2Y6iT8MdfSAwvjsv/K+uEkfv AXP7wI/ZLCdy78S25hee8lDMfU2H/1e0md6L5EjjxNMQxfewYUd25UsFVCtz19K3Ctdt1VNZGNHu 3tY8v/jrAo5tDsQA9l+Kz1gokXx4F1hTJw0+POaySi/by8nNntaFKe2kAA/lBQxNLXfGFQLFY7sa /1vQUxAkTy5w6apK3SWmrvgGO1Fttr6G7JKECE3OtTPwwzs+n8LoFGGRH/XHSQisgsyhXMhfS7ti IBZdNjbBIJBh3bPrH0jBqslKxmB5LKM1cA2TNpX5lMNX/7YXqVxnOAEdobBirDVcMRLRqDPAExRj 3Igo8q6MfOfd2NCDXuTH+bvMHRotlQEYGZrXvLy1BDrCPT5ev5tIbuHo2M5zsJxSAkdA8IlgMUow HUWXbMwEgOTSh+iNUXZfCvhfiaaRdFono2K2FYjpDRpmCHXz/ge9loWzxzyD3QMgJv8ykld3LaLu 49uAhtwT5EUGHAgtVF9ILtInKsaSYmHXfJaY0TPbEovGZZAvOn5U/xyJTZ1mzaSijJLfAfvN8Kxb bcRIjzKNCz/qaP0pXL67v82a0OjZeoFGj+ujSNV99MSHuWorX6yp9r/THZv7VanHHgo0PiDwTTNB ws32dxtNUjVe0I/vhhFwGforUURrIIowRjqykbTLcciT1hOL3iJ7B2hJM+D6uCYTOKt12yKzgWAy 4M6Ctd+oHD5FhXoyD/0oOsPRoAGixkX0BcCDSfWMPO6I5XhM5H6qb/rop8Yqaxk01KY3OGTulSet jlwUILaTQ1k8mYG4EvT57kCoyvgYS7vBSr2RqsUbvIC2tShkYOrLdMquZ1XjHGO85XMj4NCRruWB OisHAXBjuahag0fC9JMxpRnNMEWqZt5l9TdWvNt63nZdoK5W/u/l+2dwNwKn+KNkLMGDANkw67JP Yp4QjRGRHBD8G5Qjs1UB7FmaRHTg51YIysJGNfZye57DMWC9AMQ/6wpDif6K4oDnFJWgD7jExyPD u7mYnTJ43/c9RQD8LKAb5sv7sCPiPVlVZYrChpwp98o/e+j4mrLz16Xrafgy5pLgiCTXif4K/MOm B5mzCDDmzRK+yd/mlQrARrHlVTsarI0lcjuluzX2dCuiaozwjAYf2lY5TKZ2vFPIDWa0I9QLEwIN raT7SB+8sytOBPSCBD6lUm88A9Gq2z4mWiqICwknfar1QQf7htw6Uu1AUaWjFXIX7e0bhqs1iQmJ /ZGmOjlcxGKoYUPMcQylBH0ktFQZkkP7z7+gJyE5U29WilQX2tfzXP7We5wCmc1jtXtLC5qzWnt1 MtHT6qUWV2hD7mmQo8d0Ceg3fOe52iCy7+pViU0ftKfWRKY/6izDWH3FSxqKMRzz1TxL2dImLoOl w5CFY+qr/n00OLvoiQiqYfm5p9T49CpuNVae/e0z/BQsTHuTe2AmnXhxsxObCMQN4OVkPaeC8Xzn 9eLuDSgEfc9J3Ptk8EZnliK7S6fB5RGTG/zqjsozzC5QXnPzlN69claAMEn4QjUk9unS3SXhQ2Mp S3O/RmDIHxy23DaTVDsLtiFnI3LWRlpapW9HjASCSNFrsStAxt9eMZtGVnltmltz8oR/U1nv6ImK qIB558XCdn1TNfq8hAn09r22E4yn0k+btSXN+0hk4NASrHwJgLaDFU+vkwKSU32kyWle+yScCzsn boqvTm7sv2B28qngiBFSeXUm2v4eu4ZRg5ZCCnaLHFqoAqOqZ2vHcdw3/eF3id4LsGQHFqeAzGC1 +AaqMTrN7A7ZFJE3vjzbnWMbiD/SRm1o4tpy/CwL9k9lhe4LTU/AQiACO6t3NrtrR7/LBrJhOlQW ZyVhv9WCdUDMUHt4thvcN3qXXQ20+KfARPVJl6YyYPexptz1c1NoxDKpr/emT1EEWf9QSx8ZLyZu ViJzj5DmOCpjLJJFS4BvpVpsdyJAD1MXwR4iPkG/6zs0MG/pajiIrddCdMgEcMxtih3K8mtF0nGe kdvB1YF+1ytZlhGMhTQ7g3lOBScKT/MuHn5SLAj2BxpVeH4XDxSmQGCUHEDDNT5IOnCMgixp0bl3 /m0Kxp9wDvLrjQ7ldISculjGwJvqirTcJhrig+bp3O7ImVWX+DJ/xVBPU+P7+JieHOW33uXRpqnc WTrawB+YtP2gCED7RYOIeynBpOuLUy2SWUyxuzrVrAah7LhjnYnLJL+Y8ZHlaZQosKYN1eDrn82C 1uhqCwwCSc0mpBhaDqGjY8/saJA5AgRh1wvpECjR9Dw//st1Xno9axsqwKz8qo2sH8BJjky+LTTK BMjf6I058hE3PPSHaHnYdlYtL8j7PdZPje5/jIEOQQY0hpugaJpSQtuzEKjHNXzl8jGNSWzuF6Zg 3pc6toTqg3FU2Y9tbJhjIF7XjAVOQ8RdCNNTCl+1uCsveevt7JjgYUdMI93TN6wMJc+SundYJI0A 0SptIa5iBKfYqL1VlkDJUF5pJXvpGuhsoA48JfpHNVbHoN5xycQKkMHIuVUmv/eJ2yjs9JMAW5Pz qp9VFmr7m4GnVW1I0ZZJF9aBQIfK5tKyVc05Pb5k/HtwE8CWApS8NH0iWCrDcqVpXWF16FHitqn8 XYlgWXkPi2W0raBqcqjTYzJyV9il/T5DoGr2pzhLl9mKVYMpWGw2NG5tU3GYyhv4USpd03gp+PND y4UGpd7kli72LexfZrzkbZKi/UEtn+0hJAfqeDMx3NnEz9uOU2lDwDZb9Hu8/TxEWwjnMJ1lYxzb WXuEzwwuZfNEe6QnyrYnJxdq/FLOCCnIjvLpz0SJ7Qh4uAY9VE6C3Vxk8BZFAXdv4AD55mDZhIPl 9+qwv15t2RFTuMyH4Qn2XW5do9x0jr/I9BaD3RAd/FKuAa0KGqvtiGeq+PzZHkuw+KChfA3nl1MU 4M8fWoBY42pSDe+33PsGHp2GAGuwVKATJxb2RtV3hbAYQTdXhnOWTdWHk2a44SmYdeYjwaymmiez YNiYQRkKDyn3Eylyy6Ff/nk0eeJ2AhOHICdlohZXLn1TCRXg78iXh8ERv24sJXNz20W8VITAD1mL hJImFLYon0e9OleBvjWDXfMmOSgdZyTtII0FOIHkVsJwQcJWrIoXj7vsExBALUJfm76WF4RbvLDE PTJIv7+wow+Krx0+04+weMZAOvjdwNkilsDs1MdbxpihLkkawhn2Y4fUMcqOP+NVoARJ3UQCNWYj E+2hVVj3OelqVtv30fd9JH7RFwDeqJNrTR0wGi/d8pqrHiKObZdYRDuEbdHCFWTh0E11xVtuGp+q hXS4C5OnhDptX/h1mI7N7fF6jJuYfkbrf+HnoA0Ww928ogPuEKE6VIESXiokuzFuIzuczPHa3BNm XZoz5tIGcPNUb6w5tgqcN+8Nq3qa88MO9DJ5lQuaZ2a8Mt6LiysH+JjdK4DL0qZJl2Qjs7Q/sav5 i1bt8AQ2Yxq75zokYYKITHkRTL+C7bJMs3gKoGTn+Fi40Q4vGnwKXPdP67oUa9nX+u196VnMdFiM pX6VHX4CAwSqL6QlCDstvLxDhDUqQZps4Ocqd314BflMlPkcrqOarEwxSIdLno84eJPD6meTxDyR D6SoKv8uJRcv+g5QKS6upwN6yrwzPCr20Z2dhKPK+Bkbzmt35uWhSCv6jlrKc1feDppyORLaEbV6 kRzIzWcCTY/iCzCLMPyAfoLgdSUfINPnNaS4i+GGP7Ppt/K+UiHjokfojzBxABEFgQEiRxOmB508 zo60cqluEKs9LoSN2ox2qX20ZXzbB+juxeY2E/zNK71/LEjfxEGBtF7veFxigPwtBUtm25Yfqvoe qCWwdxKhzw21tabqvmZp6eX5xyOl56yUP6g1plctz22BUGrbvJLd4YK0RJNC4r+w3clzZK5kEzTd W8lS+sNO/NyZKOI33XTDWB3LB7YKLNk6dVCImgbuteUK1UM6RFLPfWup0v27vG5ydjcer6LX+w1c Jp5XnvwEt4u9gT4GKiLGEr06xLtZsrhty7myI1Rt8YOSMv2Iqa7wHceZJylEj1w4HcKjE22CFt+o e1r3W4AsQnT1OI4tPXPHeY/zWshASjELfQZPG625TzwfrS0JsYmElAhqPqZOcnkWWJxSmr7ju0ls IDckBUqa/akNjzJ6+/QzxNxs0uxoH0o1WVzFQYw6OUgmZHC6U5IrXQ19C9CPt56zkPqAlxQyaxui Wf2QKRwDHIyFgfYKQNos2XeXIUaiZFXUJWfFzMzLonmWsFTst110jUoUGXML06H69vF5gMtE8kGd Fb2fassbrLgo8Yqnpf3vV69HLSWcVQqN4WNmekVZTldYezID2+2gCBym1Mks+NM8XZtGJwkbxBTV wg55Lr/AWiccsaZ4CW0mbaQ53Dx0E054fBxCI8ItgQV0bQuH01aT4rfez+n7cQQmU5NOSsPX63vY W1PkcOS68BmfGhzoUD35rfvwAFvKbSgCyBvrrz+FZw4+D8hauNbFjM80VTfzCvh2UfNVEoJrQVeI 4nGN2q8W1+rwO+tUWKn+ZMdl1TI4BgBDrMyZu5IxjGeiAyhnBWZFR95HVzncJL81hNXeI9kG/UzP GSM4Ygr0R2cYGSvmzufYi5bze/ctf32Yrxo4kr4aV2dCvcGmwAed+aiIjqWPelcF+tg6XBfU3etk sTlmwiClBRRg6ySU/6rkzzCm+UGsBnt9GqTWiuC9OwNLiEQotx6sa9mEKIn46H2UvND1lq/Ngti+ 5FAOQPXTbX+/C78avXYrsgh67U6LSZwLKqX9BOrJVVKOn0TxNXAfAfRP3lv68a/1Q0TDlFrLhdbK AU2HYCFwykI1mxCER6/8NBmfuEEvVKLxxYDwz2t66o2ScHaTS+B76Qpo/sCwTOwhcamHiF1XGIlo +yrrRDKpoI5B0KBqPP6Z5FjvzRyJIoUmVqKle6Bf5wUhzxQU/fiNdPPkCmbQAH1+tTth3sqhrjJ2 nhfOByr507z4fG16DpYYRf9IulLchchcF8uwLSFQIAe6mKFi5XORKHulY+8I34CozquODFZf0Exw Dg4n9T4Er0/5tbVchwA1n/xMONoHE1zY30uHILat5S/rpLqLmTFdFXE9i9+EpWQ+0d90YuKEEjFx 3AJNc0jZwX++LnKD/woghg4VEEdPQWZJbt9+w9Ou7/gZtiA+gva54+mnN0GfOO1j7z/7U3TGqxs8 VFxnrOFE/jjnD3H/9Wmv5krAgQ/Z1fGOGxIch7mS3B+CnJC2EdfGe0t14FP/rmo6U8b72gjAY9jS Z58J/r4hEYRUMDHvs1nftD1j9SAMUpg5i9W3lAlmpotjh91LO9TuO5FjnbLxbUJIoikf5JMTTWNs Rf1tdNb6s76y01wmEr1XUPgxJP7IpeLcXd91JAJELiY4tUSJDH3nuwrkzavqHMkdnAs3dxHCeoUf TyE3FILQQEPZtQ9LaXi3x8HNIHG4urVRa0GUdPLyaPlmK5W56ipK3OTV7QjvUnHBsGPU7aVw+UbV hoCoiOz+iTDhnGorQ8mr6E9V64I9CqjoaP/eYlJQGjhCj0PXUlcrrR2yuFoviUGfNvRs1ZA3Pkzx 0iDBZRFUiVbMtyTe5I8NWuDIda++WGfzOj3RFySl1reIRwyraQD1JtzqDkdCbwKG9L20XSbzYsPk A2LX/V0aF7pTlNyannTmRpu+EER/MS7cxfxJVBf3/VI/aAHocVyGgWyONXg1R7Ov/X/h9SGZD8BS LBayOLD5RH4zGtsYz21aEWKKDW1dfq5RaXYrzwryk+lRe9gTjnJcTdQPZAJ8JOPgGz+URj2BI1OM 5iGYbjNGGbNOo3X1x4WOxqIhWsYuIUDArCmjTXPO+k82U4kHj0Z54y4on5x68kt3XF5OidAPuWMw 2ZE73ry+4NqX9bGQLS//e2XOJp39oUy3CSYkF8wi4WKfNkcW+wsknTF3oc6LCGbbteSVJydt0puU GL96W741ec4gYF/6kca9OFcEzHv70LtYMzL5u2Zx9HAIrUSEiIHvBGo6Yb9gAV+UWea1P2iIonfp dYEG5HJHJ5Dz+RtuhRn/eyUoDslTTI7eSkriJWahhhScN3CSMemIMfm7P5AUWFwZjxZFWpdUrihc C4etST3jJ4ui0+y6bOtKlay7JEr+B7fr7CKZMKZRqpRbW5H66RmUZtE22xS/pbs6HfZ3OQBFylT4 8QnfI9uPMZIJmp4ixZi+Q9dh3rRt44E2ryrS9TuVOlRdY2KZ72A31jZOF+lJHD2DXy8tvfDByq/H D7iUWuJKhGG9ytUTj5w9PhWxhmGHZGZK8GN0IeDu17384WsIQkcsjR57fElWvyHQPfHMz8nSh0gF y4Zkmhk74fymJYYu7Nn9INXkPe+rpEcVtzRr1GJklXHGpP7s+FOwfEqdN75BS8kthIVzaMh44zUO NTXMKm4mJHqV5ZG/xSdPjrirqTD9MiTUwaoRjFQpNg23Z9pJmYWeXqPckDV5dlxZLB8lvZAoGLWO M/Z8lZCoyusCTtaeHoiLNpl2WEWHtD8NGsimvb/WtvOO0ocUUxdG8/c/aWVzVr6K++5XQzlrF8IZ Dtrxj4qpdMEDFrY1oFlFughleTBksMmazREVIjrshmuFmSoDpTFBncBLl3h7elaX59PTcuvUJ/IY 9hZmCcdA3JXuY4/QP/bDI3Q8eJc4XzuqNFUKlEZc9g3rV1E3gPcf09jZsPZieMph6v2EK03i69T0 iMg/Wj2HuURG6+X+AnIvBlU0/tRLkJTNAnlUAk7CIIapXx/VhqzKSiSC1cYEQHRUFjYiH7PhL4Lz h87JzKCR7jXyuO5yXE5nC72U/5qjGEiZW3/UURSmIfeNMRF/3ekfYDVV0vqNopIb9JnAr0kiJSYa ijDFS7AxzFzHTNYgRBAP6vf/KI0AOm8ox11BLCK1/tMcQXASLLe5Wmfr/fsVHPHe+Y0JkQdcoLIJ 7VFZN6s+fjPv+RK+h/oeIWLjvNirlaNaG9JYEvYR5aP/j7KuTyPYKPFdiw3itIWaNclDydYPbysk n+tNZlI+hHHXAmO4fHswxwCB/ExfrhV1JT/y/mdUix64h5EQYL7j1egkXEVwOYUPRNzVDZaQ25GG l6rHXe2M7KTqk5zPiUohmySa1FQS1XZdSi/w5ec+FJInGZ2x08DR+eDX808ySMNpNt3RnRXLv5Fk LAPJCqxwQlCZnT0mlII4urV61Gcoi7vSKxFrLJc6GFzblr/DcwkYrAxbtfP02446JjEXywMnbsrD F+6WXA7tT3SFjN0DlkFL6Ky8mDMDVYpce+piFIbNLNm+wHUbJk5Cbb6HgvrAL2L+w6IETHivu/N5 vMDI11OHV50Gptftges+edRDsEJrSAa/GuGi/hkm6mbHZJWAPY8DWckkWtl2YoBitJ63eQdfrgoO V0n76E6HHJPPCNoajk8hWUWo07vexCfLGNPZivahA4s7T9yAiW8zHjSZ9AKni4Y8DOJThoFpPqj9 Yc5BvZZh6AX2yMEtLeT8nxJUSg7f54iBiz/bOU16q45QSbBtmwUU4NS4/adxbl5XEiRO51BaTmw6 s51zm5jHzqoWdYb7uEhPSIZWNSZ6JrqBhNY1fGipmGk4dwjzRhO6q7ZS8sidK56yJUPrNqN3p6Sc 9O4kVTAlh851GRdmB3yMop8gUYKBJgxphXPaFY1esta+KAIWPgSe2ZqNq/cJehLFOprIww27MdUL vF7ZtZBfSq2CUzk55UnlSECJPyOo7/zUoQ+ETWEzj4VBKN+RJ8ThJHZptCs2PzDaURCEu42MYtnd kd+FTgoJWx5GpdCwm5o0gGdX+xf/FonjF0QuQ3pmtUkpLySwI0f6fiO/UjCll5PYVuhPXfUrB1YE VJMmppHM602fPJFToDqAFyaODNhAnW08O6lBH+Cr+23jYE9To5CV+fCpO41uqn389hxoL3+Jw4nW 5Uc5dkoaRXvpZaFb3gHLj0FGG/OpwIkt8AHmdgzhXKN+HbvbOkZhqjI5ce1N/A4lTJJxUVrqZ2+X CugE+tfqT3iVxG7TRCNh6EMIp8/KjJQH7R0hfGHzyqZlpw9aQl9ywTuogpFYnfCB+6u1nazFHXih ROrV2izd3RF+JBWTSp8oM8DU1lvnqZvkVR4/nFudlye2eHsvOidfhkP5hCS9+mBjjbXM0K5pByz4 eCHnzO1pc+z70wQ+mQSWZlZNOx9UpgP1F4mRHlPyQ6jJ6QB3r6fZJHf6no8paTjWekrS8JwexLDe c/6hX8F1G20FiCAR7sCVwRhGGWDPbKg0kObXORmxsCFtMp1ebxFB1Ai0i3oICAhSJ698wvKp2TmQ 7LXpNa4P7L7I1BOejXfE0QCNtA3jh+xf0Y0PN6YEPAGlHcnEeHfwsfAcCt3AnaPWa5mzQg047GXy PX94BtJ4QGTzGndiL/PswbMwtpJUlq/ifEo8tloypGTWHuK6TWaE4MT1dWJPu4JmmmiVHEBKYF5p Lmznn7X20/zTuXFYjlen/aFgwhzCNbFlObqC4sT9lu2Q9Bk1Ze+oDG16f2dyMPduf2ipUpOSDkSN uq+iIwkHRd4p6PR9TDvOf8VSVK/NIdlKLO5u73E2crkJjl9sn11C2/ETJ8XXk2Ui4c452v5xnzPz bTVamFJLlWlf5o7MLVU8sQiWQaUdbB++oiQsavf+5At5h44Fe22tGb1Efwd11VzZyrGkpocXBi+n G1xOo8uLIOFmeuqSX2TC8/46nBfyGPYu+Zwqypcq5DBAQ49WnUnVLkNIrcT7VKiTZJvfEJwCC6+1 UhPZAij2gOkqGbIKFUE8M1NuIXXMIrhKa5YUQsP6dwsMrl6dXU9HoOvbLVkGUSXMtKFFW6ptJmfy SLvyn5B/hOQmdh913TY3cZOgktASMkQV5oKG1XH11gbCX/tiFQa52BBH1pgKjY+Ba2oCEInLj33v XgFMbkvG7I2BUwkN535qc1tO0LiKtkXh+SfBrcAbe7pMm66+BlCQ2l7N8xmGadvuQ3JW/jlQP+DM 7+LY+P7Nmd93EPEloE6cRW2s6oqkzktFQc1NVzhNIIQd/kkoeVF+1HuScztkv+qM/V3lJHX9ypgh XIwrNe9lwiZXXn5S0v4BFMZBc3j9D35IVq6QXeHyPnaV0IcLw+Qh15bXq5InF/XbbNOEAYewytFX gKTv7nSpwbtTqMUJE686L5tScJ0hu10qgfUW4X4SfEMk5fEhP0HHRtVc6Pjx8xpz4h8DyRBJOwww jjS2+6hVC0JL6JQPxt9OP3PtqGG93LUQaHeMB9JyfbOesCM5k7eUi3U+Ptnbb+YvRiKG5ao0nEnE eb5N3RotdEIj7O0V/WWH2J8n7SMDsrYTdDkBMF7Owjrp1nWcUEMjSomaIzwSh2cogg7R7sEA6Ocd cEojMl1edukIsv8pJZ54p5czrWvuYEZwrnlQOfG1mcdyDUdNzlfE5iVPoXupo4VP2WWtdFde1x7F Mra9TsfaYhBArQ0IhBiQ1CWkMgWbdPl6niTvRJmKd2FIqav8WNLXTJ5alfc3NAohdLjTb77FnqTY IJTv30Gcb4sPcWP799Ygm9138Wyl33raTpHf2GXx1sFYPLJF0HqSqyBn4TpMgOQTjcE46UWFicVD FZKSJVR+EMa0ZV+yTbxI9h4vuN09uQzZZGNUYJ/WV9IPuw64X5Ivv4eiXyDjnM9R0YWLOJa4pbYQ dxpmYDVr6nYDjBSMcJx6+LA5puc8Fc6/aSDf5QAXTlvc7OdziyMHKhH5xA4ylsU9WUfkf1NgJsnB r/FZ24tPXLUXMYgEtIMtdDP/8HCAH1HXqoCpTOKx0hdnQHf1fYaiItgtqvNGAwmugSxyLd2raMRL +vjAVxIyLNWS1ibel8JyA9770ieNVthYe1YWu6grx4PNiXp8PQ9bqfwkaDMko08mLnLNm/DjyUAn Kjt21q6HVEhgO/bTG4n7KapOMnRBgBwKpvlUSnEeDeNlICYaBdy7ixs+3ZToGx4+NrcaMnRs5Rtx 4lH6HGpGu+OOWW653KA8po/m1ucGPAGLNoqibW0zaTnyyZ3bzqJdwqOhioILPOxxpIfZ2jf43IXK 3coi6b2V07rWwwlBypSV0LBu4gzftY21g+U0SmL9aGOvJRcd7GiER+gHOn+/o1aFWOrffGj0FzRT jaodwDnBI4PxZ2vrOEZsEkkgKqa+HOzd1BzrL6pOqSHdGSYRUyCuBh3UzlfBvtsLlF7NLKap+j3d hHCmM0nQS3xy7yaWYuOy57EPQk7HUJ99MWHzc0Hn0w+v1cQgJzNBqaxR9qWjT2E/Vev6MLEfEyEL StounhkxxQLB4z97+OTYYseQmTNFo7lhXHR6J5Fd9tOyoCm4rPfAxckIAkz4UD+UsUgipJydYQhP //cfxMNFNLp8K8pLVgdrBvfYQP/XYKem/H58qA6CmGK2QetClA7VNI8qo2C3ewsuMVICLqiXvJxE xtSC3i/cewAp+q7NTLWNqN6t0bMOsfMOCFHHrU4ZnEdzG3rVeOdFWy6sSHp+P1AcCrl/A5imTZwF WV9qcRZof6FmcQKBqsvVFDXbN+TQ+DbYicrI3SgR/NrVTPXYTS1uhoOT8W/88mV5dO1CU1GQai5Y 70cRFcJUNQ78ySp3F1LhCRaEMyceqvpmqretWPTJ38sbN/26n6Vcr9Os2vxGb6at+Mwjh/VSTlEH yi8CarAAJl5lGcHBuA7KE9W1wh7GvfZYv8Js0PPiBgdQeB1Ey/2JMzxu1jaTfxaSPUeyTrq7BWh4 a4NKD2DrWrF+YAq/gZjtU8iA+8ubKFu8C+V3JjEcVlEdXlz0Cdsh5+jNAZBxCo1X2L1lzZpLmVH6 6gfzzrLz4OxPywNoR736iD5EMHOi3NNRzGb8vU+kGEjhNPYkQB3G5FBo/cQ67VQBjGQCgmXGfK0v 9BnbZ7fc7+ACwO1SFT3A1cJVcoSrm3kGF3DzOgDstmFDTWYC9/YbX2BIjCm7dIT0GjAQ8w5p41Er RhggxV/hW5XE1bZK085dj2ncLWKS0gKhtoJGm/1TZ8bRI5uAUV49LC7P/UepaIAkk+uZmtHA47Ow ezrdQxMBhOaZes6xgGKNfLHv7PFSFOwhiiLYdIa7RGVAHm2Fr6xDvFzp9JtONQDgAXNt5SPMJaR4 +eKvGGt7gXHp8JoQVHNciCUh9hzpFvmOXFkwNOVIDpzN4TA7Q4sxSYYEhShqA/TvqE6fIIAKmEyP d2TqaydkI8dDT7wNrlOnQb6OydMo41nRgizahZYBxRZwbeWMuy01oawTb51hun8q/qW+Vyx7+1+d pO+48d/R6tOpElxzarFkpO5CwUjtKEC1b4PXBpkiabn6oosH4x3a8Feyrz5fKcP0MPBkI3HFDAKf 8Y8lKnszNZg5vP10cni5LCkdeTRBJ+zXMVdhRWc+bogDbncuWME8sL4HDti5E+8cleoZ/kJ8eSBS 20JGsRW/JbKzuNHVh42mf9alLcSusBLR0BQNwfkgB3n9QHfKfdXd66ruk2YLU6HatJ46dWuKSIDF oOW9Hg31qxVM4SS/ADB0VMYqlMFouOpWx6AbEbW6bZSuUJ8ZZexOiX9FBAEPYTzTSSLiuL0w9iLE ooU6hjEsJo1rr2l5NL5WgBRv0GlSSka7+qW7te9XHxxYnWbCaIMrEXEOcgELfmJvKNCiwW28Gr/2 FTpAJWeYyEMWQhSRyC1AqDYfeZJ18uoJQWMtm1yeUAzOfbCgW3m158qI0PJIl42brhvNmP6iJjqM BbkD27M7g6qsH5Qhmz/OgditXmfZzMtBYonCaxVyFEqACKmfF74WyRV5vR68RC/RKIp27tpuHKgK cFomqK34N5GOt8RvGZltUgOeHTc8TiOOg8uOhUi88dfQqeas6tVr0pfPLkmY/Rgiwa921WiIF/Jd 0O2IlnlORFmKdknRm5WtVS/3W2yLZqNXHVqIwgHU2Bmd/odTkaXdZMWu09EcJuJoGUMqWks0XIRW CMygQFXpSE+ZHzLJ8FxpjwiYsLNuenY/EtsiFhXNlbBDsSBeFxjaCg/QdzDLgeHdPSMjJqg/ZD2K /vn6heLzvMvh95wyJ9i8rFHb9TGdUK4XTtQ6mVpYX4+q9kTzcKR6qX5GM7QJx7MRrY1BW1KiyXZ0 MI5kxPQknFVrPFKS7XZmqjImY2nwBZIOPGEo7xvJFYH3n7CcyyWOwu1VGBPTrqrgLsZe4OdfWLXl SY2M8YWPVBdwdeOF9fM/op9E9Pp6+uKpyImPhfrb0C6Q1bYsRWLJ2GNpE3g+LGvgShofuzmXxneZ oM0bN0swjqmLXE4WDC7bryaQ29u0y8cGeuCizlDJqzM6Cd3T2UblZRhJES1PrCOFt3WJyratJvMU JOwoUG76irP9bGEhVaZMLLB3qOGF1QZarbKLCsl09GswBklizYWJHnE3q8SDGDlBxXmc8U+5dV3x FRghFOb+AUSwQJ4mPEEcwc0lfAAszfc3F7aObI9VmYmz0LIMniPUmEz8nQ9sW6eP37UmowZ92xVw +xIR2KIXOFJu2wwlVyqVDkQS+iyvcFC/4bNG2NWE84U/dA6s8BXEXwQLZYl7DwYD2lmGPgEitkEV Ql4lYowPSRAXZt3xCv4bO0mnfVzQYtnl5Ae38gT9APT+SjWwDf2OYtgOa5swJfXV90wUARSjd6QK y2C76DP/sNqihSDhn2rCrgPWheAswi6reDC9UCrOmF9592lwfaUG7XFvB7NA81STA82KejvIobWW tNhuT61ILnkTG/Ylap6+jAFXafgZ9XCQrEnEoX0gG1WYl+gOc9FEr1zrGwEub6vTncgQ2xEIRUMG 0ECi/t3H9n00ZgbEYI4fTNOcRzeS7QoxkjkwcclygEYVtXzgPgydze5DtxBsn7eSgUddo7TXECy6 pB+MgxoubzFqdHcLtd6u/p8vINb95AsbnoPpfsgUHUyjskdAI4sPP5XQoiwOKaqJBCLsTEnBwQyP a7A7FlUPVUe43Hh9Nhc83iiO3o3cZKU7MLz2Suxqd/+fgXRTFBJ0xPCDJvMLQpTIQjpRgaJ2u7j1 YtEaO3Xe9kqLy2RYmTjMGxZA8re1AyThx8IUwLxRhqVOpcIR7psK6Uj6foT6EZZKoctOGxJRC9kR dn0F9QjUfUXiEoaAlvaHifK1VUV866k1Sa04KU3j+glucZEw4YtVvkLCIQzv/jX7C44UZw8CtPv+ 1zmpqpEntIGaTMPnehZlUw878t4Wmuc4AEgzPjaKay/DCTtdxQ7CAAUtfJTdfjhy63BjyS6ZiQ0E ZmiuyvfmXZaUGvLJxFNHg8yzxo6bpws190cYOCzVsEFBeun3ex7lMoSqBbnf2XpUt4CYsy839oHw 3imy2vxUagGW+A6OCn+F5iV9qEU1gV/vAXgk0Sv0qXlDadBGPwFzS1vTZdhm4ECOS+mxgz+Y0PLR j0WGBO4dG0GCVkGvzXhmcCj3XAa4xDjsVSKxpufGdbGehavu5RIHbeTim8pShimEwwCZB8IjjYTs lWZV5JEKSte/gRahOTeUcBqZ7jidrdltnhHpjok6/UjPVz14DD8Ci0k47DS4XhKi6obqXiKqFme9 5PU0Xu3S9N896ejiynH3B1Fn/lyznqyqn/UY+pH0uOXbqjZlsQ58WxWXzsI6uKHr1Kw1ekIFnRgn Su9jUihfDw4rOZojXZ5UCdif7nnMMdNgwczaDjBhXsMjuSoIVt+MO3rhev4I5huIXMFkW0BNlGNn qK0g9PJcoXdZi8NZ31N76RLLtaHwvTuEZJP/YRrhORuNycs7N3G4kt+nMJoSJE+9XFqXogJWqMGO 18XB2ovIK8fsiXCBWqCFJ1wSDAv5mbUGYluShOr95cDz9N8ULBomESsLMMdtiS1xuNxQbCKygcOK 1n4JafnTpvxgU4o7kg+rTORqI4PT1HJ5pxAMPLZZv2EIrg2Kz763lfC9ryrdZiGoheiWTf01fFbI iqd6ybtH3FK6taVT5dewNM04kxGeGaQay17jU3SwhYOscKJ5OIMBWiNF86zcztXSDSGe81y2DQAK GsHMYKLSATTy7wY85fnVtPqf2aiBzKaREe9h+ik75WdI1+f58D4BnhfU9QhkQfVtq1Y1A7EHbiRY OoT+ROrCjfH/ohCUb49tDu8iYqcIft9pOgHOSrkcCcrITcIbbQM54FetiWaMPBnRI0teMGyS/wNz J1TJug2fghMCmD9M00SRrlHwrpk0i1vXD0AHNWBAu2QLRUm0WQC3jdVJ9WlNGgGSmCWGNAvRDfHq G0PrSK8EbuJTQUvehPXCqLlk0Ehzjjs7uc0c1kon0xPPc5SUK2GqrhYapf/HDinAJtgqmepFv1tp li7uepHRvPk8jgjJo7eYTtpDl87CZKAFxswHOmuGuHjPEzz1jrQkFpw/lcR4GZ/uomgMpybgdA2s 5uAHS8eTu22Ohn32DBPrIzJm+MZ9CG5tih/DJ03ymcHRxfDfeCrR8tAo23NsYtSfNN0KZiRzFafQ 4rvaOS21ZqlMhn9GV3YXXUjU/NiPCowy1QYl/ADrsADOgJfqQu8LjvK1iJl3a5S08x54SjKdf5Yv VZ0J1EDh6Lvp9s58KcxCCCD5fmcQveJuf5S6R029e5YZIuuuCX8T5JYtOfgAtrXwz0xWOJHRm9Zc xLuAbqxBk4B2kLOCAASJDgn3u/CXCbG9/oTDDRRXwjwyXQ9frVIwlbmSLbPM/YSEmv+OY5KwDjQZ ahdp4b2M2qYQl4zyXFRe1Sn5IPNnzSqiBGbILELLPnQBeZdxWhavoFgElZgZ3x06wwxAy/89NFFw 804qt7X36024hrZUbc+QXuF3VAyL1pa9TuA+cslHO4EW7XTNX0ET17pCiYPZJCpx38Pe1BA2y24F Mk+L72B7N3LqMaSdQ0tBmaF03Ad01hMoSf9xDVl7nAjykvLMuVR0HbQR7mlYziqMuQGVpG9KPO63 B/+Zvkf9RLhvnf6+m1/2/Pm2RCrNgoOnlrrp5Ml7gxmr/T00UcwnxU5mlrws2oA1prO/V7EHcTiA 0+AVFpjQIotebvxK7XqhUY72GUU3k6XLHqIzBBsR7eiMXuNQvu/86BRhndlmbpij23Q8nIJYx/Zi WKOSvfSwwGFD4NV+2EDIn4w/IPfeeQaU7cc/60/B8KcVZYlvPx/VIrV8RQ37PazBdCncfhWNACu/ HbTR84TElA5dltXlozpYGGNvan73UDy8ZvsI3xreHJ3LeW3OvtW12UjNsYKa2gPJ9BcGH0O+7tgv NKTp/H2X+4VNPHDnOz7cRDFJSneI14jsDTJChCHXR92nse0DTIm0vXXifqeUobSCmeT357MRsxpt VlSKqP3tTgWMzX/OFULw9Ez4xzYgWh418RIUGtlwW5SPYfDbOjf1MzaN4t03SvBWQZyOBnbFvQo4 1JtiNjhYc/2x4onlCwOnDsJwxZpF/270xCVLc3eDf21UC5Xb3hCGBpyUiOtAR851U0QKoKIpxYdb OTlyvarKL5pytl2KIrEefLpMjpVA4gIjXskhbHK/keL3D1V3hRblmu6vxgzK5D92pWW3Pyt2c3k+ FiTD3ZQGQlXwN/yHYIQOQM3Rcd0ECVFcE3OnksjdDlt6a4jAAjWaUcJCrHCLZs2rJM0kXr7oj/B0 q/aT3m16ay3UaIsfl05irquHqKlU+gj7AYg3QGio6GXUMW3oQipZ4TO1JVdTPz7eYNycn72VGBhn nNOTJcnIu7sz836t2oyOe1JtBklgKknGGOG81SkuY6w40XKtz6l7/zU6NcyK0XY+CuLZPAPr4Aox ia3j3ZYcJpWtGjjxf0LSVHodrvt3hH2mJgcww8BXd+ixb+rHR4Wg3M+s2zVR0D7BnjscZGOo45CZ SdFHiQn16KaOiWCnju9XPRDynQAHekW64ZcTXjnMYZLGxbFCD+fxf5kCMx3woJMI5Aj3F9KkSheH bFcj02p38lOy3BLvNQMUAtXJugZaZVfZXwPpJDb/Ud4BwWYktpp38dEQm8HF+zZpQ1TDaE/w95Mx lROPk0NByOpPjjjQXHLvPldPNEyv8atUzidE0qBA63BvSgP1DsJkdmTicrbl5KKjiHlALRfqs+2I XxNGzwEJq1nOQoK/1+O9mtuSYpAjxvtGmDpQXQmAPkSRESf6anZPQxh7p+uee9C0/Oq26Buat66y 0zr5NDe/xvwVtgj1VpLqnzbV2PuQkpSQqW5GC0vHjo3VCH0ivoxwM7Ck8hABesDf4/c4fhwMP7Y2 gl1X/cmoPTLkoqDwNyFdbhbLhp1zS5MRaXTIl+VFNpabC8CgWA79sYrW0DUmaEObRdOI+jUUU/cD CKXNmQnMk1ConMzm9ngqXygFVi56WepMV1Htst+P0hG+R4EIuwNvpcYOzq8TkmQnl9Wprraofpen xCzzZmQQwHNeSMgm7ZPuotT2pLJcznD1g/Wr5JP6ZpJXj4HMH5nY+lwA39K2xKL0MgTJh9JYb085 oEfGtI7c5jJ3nNNZ/k9A3eLUqooDGEgtKQngI7jZeh4pzDueVRgCmwMKqTQf6nvr66wB7KQMGWV4 mT5jnP4mdrxv6JPpkws4ft5vFeWw3U1AudDUfqZZKh9N7GS//skM78E5t+W/HrfX2DIH9kvdt2T9 geQALwl8vyJUMp/NfAZCtvFDISJ8kgy4buN7ToSLMDEO6hU960E6Lxkd+yhq/Fbr0WOzEe32uncn pW/qXT0lQpZKujvfOZxZ7+uJhUSA0/w3fOgEOsMJaSZHPVsid4SOAL4cCe11VpLCGOe4tu6rx/zS RLeOSvWNDjq7HLcipMyM0uEu7D9AzlNQ2T/nek6HtOV63Fmqt77ahPOEK8ZwaShCGIoa9jioSAy9 H5KCNYpEaqUxGAAA9Tkw1ktK4/W6+4PdL4hPpitxgMMNbyC8+MfpC1B7ST8aWAE0RxndmRWcTfC0 72tjUVKEYdpYwUpIZCwEJAbLK+YlXp2iUKbCHXuoFcKwPpQUUlF0GDUlw4epnm6lk8HcBcpd0X2S PTN4rtM2lhbazUeyH/6U7BQVXO/9dp+4hmh9wWDISMcM7+fqVGFZmFPUjmxGb33fOowRyyC7m+xu Ay/dioeDTHQahawZwM6jo1L9+TfaM9L8f6aYMhte/z1l1YyrErJURSAlGpx1KKZEkAOiYWJSQlag eJ9JG5ccTyAS1e+HNOBwQmCi5OjMx47P3Gxtz8x/hNJIyfjCYZXGQlPune1icDIV2jV7Fb1ZxDok by8zqK3yj84aLHFgwJx9oxmuA6zZeBHUbBOo4C/g+g23VWpgqEk6L9fZ23q0LTycXCidZ3xu7cM2 LnOTxQC3JQXM/NDLfsQRpJEuzDnu/s2FXW0Prtu+7f5Sv+b6XqFA+Aq4bhQaATfGXF6GQNSpGrB2 DEMxnRX550eqVAMAWn7Hi8SSmSK/iBIvh5dFu7RD1II0PzOb9x6jBpaRiZmtWuexyA4ue3/ep+O/ HdMJ8Q5/8UghbKR6kHILDf76wggg1n1DKYJbkXhQa7qG9GJSYitwmsjx+hQtULhCTwZHIs72yCAY 0/hPdNQMmKQp4R6/+zVQfT/jMkCZmuiZ092oFuBdcQlmZmRceyYPOHlVWPmYBmGXR1QmkmUom5aL yBueCOTOJZ532X1qwkzXqfmeY/F0J1QOlRpsXYQb8J5IYzEs2IiZhcjMDog+T1TXNJ5RFVdzPnpz gdr+YkqKBJ8taP2jk71Lq9eWPPcy3w8sEogXRR77CeW01Q24LTYYNB5gkMJpcXJMLSR7/0zWOxav etBs/90qK8+MYsG9rARCkvrjqvUIyBN6dBK/RxBDZBFKNdZmvX0L2Mig3gKgdkk6J3nWT7MqpnD1 NHEFyrIel0e6gG3SUvGRQeWvh1nutqSgq1XJHudegvdMrNuRS2gOt2KuA8SEbLnwAzM5IfCmnXMC vuRuep4EV83j4OTsBwMwhiu86yzmrWVwO0wgPe24iOxGhyUBCdZeLO/e6aA7qGsBebBCvvrSDF+7 4heyIBtyV40Ae5u/bTmERfeFIix+qyofkLp3QQgd2SI3ZKlStTQWnsA2NHTp/QJxGQFS466AUIHq h5Vq7u/ieitde/mG+7R4AkqBndWtOTxyKW+zVccyOXOR3gHuKrO5Cv6z8Xh/6IX2RZ/ozGsosXVz O3svxu8qPCPDfd5WnKVCzBphLtk4ahLnpqKfifRxbgkpD53nTea7c/yOTw5CFjna7EdlHuOBoLZl 08gkyVXHPVZtOYo39jrO69u6cvAaU5gBRZPNjlFqTNCF9eVi2s8grI89Mh+P+B4wls0Ozbv40FxT KZhh/mXPjRBrwh/QRCZEFqjkwR3WJejn/v7tV+Y9GVSQMYyL8EjReuTakuPbvRlA11p3XD+cpx5Z /WdFJanjr7ErZlcGFUav3c0osMM30gVK0jp1ENXTS1g6pRMqvfHaTZUIvXFcMcyQpvk+nS5MZ1qn DBYBdT4epCp8hOO6YJ5Awqn9Jin5vU5NCD4esxHoU59lHXTAk1VxiBFbqH9Mw2HnUhyes8N/xWc7 8Ge207w2V7FiGFqVof6Wx3Y9ABsch1pranLjpGdie6bHYQaHkgq073jQrEu9YOdky98CtEkr/T+d OVNV9+heqtPF8tMLBxxJZjGz5FMyQIMzWXFXo4y6Ytn7f3mC2EvtUavDJPMqp22/ABuCY42c32im vvOehBn7lJUQar86ZZt4r9qtaSrZfFetrPJje5gF02uBceJ85Mpn4EKq9ZIIkxnmsRQdXiKv9k85 W3CNrnE0k742wnFpxB4rFruRJOk+JVnrQuRCUWHDF6ysONDsV3bCrR4IPADJprxbk+nmXld1bpYc ivkdRUL/9SzaOPO4+MeE20ZqgZBnXM9aUhuFqVDMoct2F6fzrUsdeBh0sbYF/N3k1I6ex4RJBm5q AR5nQsLSEUJ7MoaAsW9t2c0Z8mruXiORv+jTNa5f8//xvNLb6rWh1Mcxu06eJo6HmWpEUxi5zndm twAur6c6ZuAoAjvF4Hrn8azVO9Uf3eL2LAq6lcp5jDdhRcvR+zC+zw8Sbve7eiPS4xVx7nc4v84x PBTYcG0DKcNGXiLqCFBib4/gNu4cdmD147BqSUDb1gYqK8FGMC0vH1M8GC2HQFNYXuNnPyyuwEkI JK2h/5S8H3pYUi95alfbFQXD9dN4nqJ7iT09oS5GZ3NnHGy/ReFuZht1NzoiNeed12BLgtJPw+jA batUX9k2svPrWcCgXWfx9L5pX048tXvZZQdjSXfC1iOKfngv63SdZmjo5f0NAJ0DL6YJ2qelqf/i 3NrB1842CyCY5gHyWg3g3t8VkjuCE7gVVV7TaR+YMntxTZ/ZBpHCigKel2xkrBdWFO0MXkxpLpok 4TkSEc4ZlNA7U4Ju0Rtmp5Vj6AlutIb4huCmGBn/C3XU26qc4UdcZsvjmRSbksBKp2EA9kK50yFp a0GQdzqmVJsqxudUf+QZ6HQW++mtLJ92U67eWuZnb/NHHcU/1j7V4DaO3p/jDCpEBA4traB39dKn IA8bTyttUuS939v0DPRescUTHmwgVMiYeLfVo+AQcCZgb1jGG0vp1JmOcE9invcQeU7ZI3E7WWE9 Mu/4+8Z+5BnPjCawrFK8Dk8Mgb0QqOAtQEeOG49RkKKGVYBKSoF4xq/s7hjmHB3Biwbh8aubp+W2 jS9p89KsL6CulszRTNJwZndlWqwhPqUUdRxqJLhAkOcfN6eI0am6uxghI2NHQwGl/G4wyoXCMG4K jYwQFzFxRMpe01ePKppEh8BcDF/i1ZjisNW2b1Sv1Cn6eSyaoahWS7Vp3Rn33WztSCloehuPhLPk G+R+5IsNoV6+7fjkSMWYy1qY9Io0QKTS/l1bivoMyPl5Q8xYUQsXhL/wKVZqTi+YyDIqFdNb3kRM ap6YE1nwSDTSJZtEt362qIb49uOBYC3qkpoV5XkLf9xYNBeOZqf9rOoYMnpmFA0+R6tZEnBrgf7t LfhZxgromt24J5975CqVxOZGbQQ5bYyDvxUuVI4Gq7udMhS/XAFlsBj1CqLte1FO5P8qTuoBSpWf MCo1Szm4c56o4VSuJt2BTcAwklKnJ8VNCyqcH26wAI7rwIE5kHEJi4C2dIMVzVYLpcz0q2o4X+B9 Rq5T3zZUpsQAbVXLfEliIzNLpmewN3svvjRE+6jBvNAK/DdDgtCtnGVUbKOu80VLc78tQ7/9WhCN ZMRMhCidJaNm1ra8OMoCnzvdtzfAYLTbv17G77heQWykmE4ppvLPkwisxzsEFWbd7NQ72mCvGx2z N/JE69ve/e5ATwZbOH2mhUWJaeZQn0XFqQ8vxB1njap93DXCogZ/AurYhjqq7DCwudFdtJyPBi7S 4izU+po+siKbcx44Vi3VPpo8qTfXAFNDcV6Dw/sjrFPbVIf939eCZRNEcMhClLPCLm2FZX7y/lwa rZEI2II+0qsuYSkWloqsBqj8jlIvyW3keJwRrXtQ7oD3i0Mqc/JYpMoKxjHzIgDepO4yWyQqbMgK 8Nlp1kB/BWl3XKAC6XFYh2ZgdeGTdDhN+6hUDCOdzJwAtvmdao2k0lAQvZ+ETOHxD4cTVytCcpoq Mpx6BI/BulWlyjaMMc0Fvvi/ETHuJ9EmBnrIGlF/BjSa1CboPUiZ2/bvNz8RocSc4VI4j4Y/7rRW ly1T2BcVX7WQbTHER7XufmXuTb5Tf8xAMxmNRZYavG00eWsSZswsCf1WjI5dwRqJGfF8+Nz1mh2j G70vrUooEKJxWgXC3IxiqDwEhaGZDSjand/llXnaldJVS7EeoriZBTjR9eHytIOwZns8rtpqLsvI CejAYcAH1gYssiOzXgS1/vHaR39CpGE/k5vhDRFY9NfKuavpSfFWuh6MukfakA+IHidY1B8hzRB9 kHGT4uvonv2Op7nR+oNELMJHKpArSmrfo+BhrkZRZxKEOZmB+SCSiSqf+hijCfDK27c87E9//tIB yJqZqBbECRAGknJYu4Yw28kL10QSeiR/HzzXXMcoZdCEj4z9vr3cSC1DEN60o74e3Uzi/97ddnfE im/bbDBhD9pGVTI7OZPguT5+gDbBscrd2yApThtdUZ2/PIzaLYH9tpKjiDAIFx8Ijpo8ob/rTuiE 0C8chzarQxdMZ/JjKEChRYw5X49jhLcqAotJ04+Kj8Y4RGAYMqUlZOGqz/vHwm9ZDJ4zxk0DiM6T KldToOhqDP43f9VVH1awSsu3g4xA2atBXWEeipfrjf5pTfyn6GEq6KAX9gbcjLKhqJm/dMpR3xbm n6d9erIlyQPJt1wJsiE9MzoKd1vGiRHA4YIL2lsni0qu3mSN2XMmLL9S5zZkIjhysr1zbHuZgs6r f6W2bS9ka2l6u5k4PKTf4ezt+ryDHMpI7rstutjKyTKtjP/9qg47VIbqBXDs6bg5+C2YKWz0zron fbYJIO6CZCYFCz5WSmgGmL9BTrQT9NOs0AhJCrIfLs9nFi/Fbav78XTgYOLJb1ir71spQtahi1RI c674Joo++opdgW3SAiwbCMuuzoiW7lHoWHejplcXqYUML21FDRzwGIL+k2JYfiqT3REPVA9l0Phl O8QYWJSBfkYN3qNLy8S2p0oVdpadry07d3uxj/uDyUF8jUlFc0D6SeaU7qnVXxShh2XGknDDztXU xKNPl5G+Y4z0FmzXM4j5jVIR+pL/qzw+CCaT4wE+oNaZIF7Vw2vsthzHygAb8xcAYlIypLNZ8b9k 696oE56S8XEU42aozTBYIvjaEZsFZtWXUKHUup4HgFF57V5OurTkVzBK+kP4uBJaYJ7Ed6zCQUuM B7Bp9MvD//Ls6QyYksgzygyhG6GbeSxC5jQny3SwWLPSnuD6ksHIRAmg9jifveTs+95YStMpp2Hp yU39c+PyNTpKb0XrTu28Q8oQeDmyKZ22JUP63w8ev8DXcscggA/cMfEUlbZAY66zkZeBOxtjnbzo E6RnLLFJykyeP0hdlBXzi+84BAB+q3qraLxD+iZ8a86TdEnOwotfQBI42nYOc5q81Fs7n6mkFGMl Ng7XhOuV4/S0ixPY61QmP/XWnsHxzomt1fyT4EfvQ1B22zDrZzg5kRlWxHg9R7HaXpR+4EinJfow YTG4WYOEztzFt79Y5F3NPWjyFAgDKylM5KsSSAze+w4Wo3bu3xnHkbk2zSwDWCya7MXaSWy2lFbh 0GQ/xVXUlH/SoK19OMsxJWWBB6qKZdB3Kn1A3nXQ+IorICX/BQRMLWIO38dxb5sdOG1YW+w1kn2G zDbMkt2Ld+fptWKbiUfz3ci8ipTt6bOcv5D/ZbA4QxjGkABnN1oZIvkpCwId1gTHTFvYK3tN7US6 KcGzg4R/HPT43ZPD1qmMS/X3lYVgOY5O80ymxOByg13kj0ENUsRwP25rniE9NiDNSmNPWfMF2hTe iC/xWlov9QbELtttuYp4r20nVczIifcxpj98XR71Dy/KdlZCh6Jqp6vO7UwQegZImz+X66RYHwM3 npJOFRYVV+U8e+j1t/QZchXTnCD8cUwgkd+gXO9BjvPYwQXmD84LdnbxDLI6EwIX5gJq+NBR63pq nR14q4vTBo92cm5CPoEMMH+dfwsD1f4pIX4fLSf/r9B3cOqr17C4PiCze868NuL+68SogpUQIBWu VeoJk8ri/qco8tQz5yFaDHFjYi1BqcwwvTKRx69eEnDMsT6RUfbGhWy9w9W5zHpqKLmBlyONKXxT jqiHAdXRikcKLS8hxPQXIbKJ99GOL1ca5JOr4555fu/33QhwNXUkFpEmhItELymPqRYQjgIzMfKl PfT12dEBsvUDldMJKdGvKBXC9H3GEudbWPcRJWkvlipcFxYWvIcQ/PLkzTIF74z11FAQ3sBVLmEm wXgxlODVeMuXFikIcxvVtwYKfN0r0Q0f25l0mDqojBVWGB9n0tDyw1CT35nOMZT9TXfUwbMF5OJH 4IIRMy/a8CFB8blCHP/QYnK+p5lXJ1tC1qnAePD7qzJonkuwZKJnra8Y6Hi2VUgQs6up4RD7T64l gCsmo55QH77RsZNE1c78CB6L4I0hWmm9+aEJYezbcdl7clabQb3pCwhLW5HfzEIFZsUh3ECZ67Gp qlDY67CODuNai7Mj9XEXST/FWQkNdAGnfLV7lbJCtUv/AY+A5V39GXNt04E4EG3bxILEAxHvwC22 x+AvVUXuKOLforsS8iMXAc4R4pyxovelCvbl7ftf5Kq3ih9B+5/gx+WQXSXG2kFZipMu4eK+UhaU L5s5SRK0oxVi2BjJqZcbTf2iPKUi+BsJay8YW55PJPMfLugLdaOyjxXThblBknHGHu6jD24CVb/g d/tDHWj1zFUvjygc4uzf1kDguklsV/QrIyu7MoKNwICcPXR/1+88xq2t16Fa9hhf5Y98OimIYn4H OKFBJoPzvZipLRlVUiUuMkKgdQudIOZ+vyn8PIqrsdNWL1QBq9hyb5hYF9abTOQovqnlfNk2TQqr eYjxDgyuN7VoHAaTpxd5xM/G2s31+/WVsbg3AB2xUzGN1XSCgFvyyuOH04dRbGx1o48EfeGQO42b S1b2x19YDsuuHz049Ik5tP6XDvJU+tqKbEul5VOWkpI915Yt8UvMXawKQ1Ti4oABV98jlCXyADuv 913tJVQ+/WXqVyuUJFNJDZiRswj6ZHd+jsw/18uhCSkjoP+lUpX/RMdUiKQISczGImDvsC6RQMSo k7qXTIG3Q8/F4aUjU3gwNeprYQUjQaRk4H8F+26TFOP15C/NAB4wlCqYGXuMIWx0ON/s+rys6lcr yIauPnY8qa0AhhNXIhX0/jpwCp1srDqdybRA/HS/W4hINRaMhxGDqgxZ/ruUPI3+pefiAsLM5hWC nxtomt/RAbPeUGRXCFolVWal4aE02rYRJZNsnOAa/kwsPwWgXead49mxczDFjGyQvJismpUklU4i aDUUDjVi/+MYAXVwfnMyqxsVi0z7aDB6DFsiScvDRtIR6ans2zo7MO5WrvMC00ZNl7j35g0Uecw5 XxwTLQ+ip6bOJRyi5RyDAk3/BbF0dgQeW5M+A/XNJC5Q60baUNTE+QNnVjlZ6WRuLZmeBgn6i2+X NhCM49WBCym0ENQ7jDT1i9n1X0g0Mruq9SqJjwpf0cu8TTn9stFN0tgqhHLDTARdZz85UvHdc3ql hCH/SNYN5y84DGK0u1zuvEqW90BwoGxTLahb5QPb/Dn5Fam/JXHZaQeYGWbtXBd2ZEGDL8JsIbL4 W/FSqJoO0gm8StlbCnSilcQttQ/6m3jE8q0hGlfDPort6paRyk9yGJCFeadnBBUwOT6paPnmFwWq izU7otZ7yAAK1ll/0jIPP52i7PWAWniJY4R5NB8jizoG7j5oh2FrygcQQwgddEcJ896pLsbi39J1 ftumsgWtr7F0bHR1OMs2wkKwt8giDbBQ857WrNcZFi++mZTNBa+eSfFxO3zNY0XCrU/TENY+3NPK oDWmgiD2IK0bFw77PVuYgRoDXtST1Evb89wufOqnK5yBUFrEIpuT87P4XHbEvyBgAbk9ppqZxdEB ZMv/PKavoYXbk5coD2OHLu8Sbz0nPE8oP4qg/FkcoYM+TmSmQnKLR+kWfIT0v71ZBqzm0OnaC1+T IpjACbzE61JhFa+s/Y1pfj/K3WakNxQ0AyxpFU07CLe/LwV6DwyAbeAB2oOHo8nOrpGbuY7c4SIX 5+zqlQv/Nufsmy5B/EhY0og480z9aBSue4iGiK+0j2Owm1gGun4Oj64kD0xQe2MMiKQrLD+r0FXB 368CsPj71hMPiOZ858QAgzDkLtOydMz2e4DQZNbllETz5PMWbIgZmi/eYY7rnO8Q06j2W/qaE5b2 PhMxxyoctF/0Qn2YAR36bBLeZdCHcGlGu12votxjyCayN8lRoka79aXP6iazKZEq4nfJIBu3+nmz PdxcMTl3XQ4Puk1umIVEeomyNuvzDrscSOdP1hBDn9yHWBq8fZJUhQ9yIbsdhlcezwvtH/vTHdu6 vJTFutty0leL+99/gKfeRN8VlZPmD0AmLBZr1BWWEWGzLLhQjHceXSSWAF6Ze6AY6E/ToF3C3rWE sAEknfhkmdevXhixqcs4suU7Miwx/kSvbiRc7MYY+py8ss7SYWL6P0ws5Stk1YUsZckGrSNlLwwp hgPY8L3b+jL2P0VawJkgofdgdPqMhROdRYl53XXIX/ac2rWYiFfV39n+Idch+DL5i1gaADxkFpei 5BgD1UAG13ebnhl7BdfMLTdssG0N6iZ2iInOp+N1P91tvJYKFM1qV8VOIH7dTPGZpq4BcQees4t5 W4yQxeAH4ENiWE2JMLjIYqlvCw8i/8iZrHKyeFlfafeRO9/e0CHtvu3MOuLBM9vdz2ZP68QThilS 6SFfHO1BeBg/f5pCt5JKQfjciRD3P7t42Ll6aNm6Q1esl0j7zN4xqW0aIS7zTPKSMPoUlVYA54OA 9iXaxf9lRCOz5Il6UHX721qiXLIIu5q8CEC4tWtOSw7dT/NIfyjK85r+Ez3nW+aqLLJVNZoUnxHs Q1Z4NB861HWDlrxjS/BoTrd1yC8noZGc0lX9NPlJFcKr0PnEqJglywMr3f9mFRlup8B8s127TVsY 9+0b3SkDNhUl3zVvOvs+iHyP2z9pGzx94EBvEsiJ6B2oz4w3v9xE15wLJ0hbH6IsO2+9sjMsNh8h HDrpvjD5v+wLKp7rmH1Vcm46fVfXoNpwNa1QvFFIg9xgny/Vvj5Q2oWjgZRVUQRFU/k8LtAUW/u/ tkKlNHHKgzCZ4qp5tdqaNYddbJmpcXM0XQALOjlQUrYZINvFtwSWEsr3umlI2Ss/GDelbmNx+6da eJSaobuI8bCnOcriHcdGzHVw1/KJ9ASxhKDOgq31ntnMhvLHrF6zOnVgJ/NUFPLafpxLFjZQWBa5 /GTu+LUttDVymSdYh9OcEG+EL+QlLkR6ICyFqtBQRLV8yJDtHJ4PTXYHWBSMh2bRyap6JAjt2ZoW 9VVisrwOCskgMvrVoJcDhV08Gf7+QzWNvRzQlm4qUcmpV3N8pKD5AlTq61R32jp5v8qiHg0ifiLR G1r4hk18zOOSLYXLi+vdcbXdrNC8ZxKORNL02uhM2kmGP+3RiUdowAcKSK8rRx8RcOWQ2//Crdg9 /E4caD64dr8TVHY5KsVP6lWkVB07zNjmVCEDDixM0thHqMQuw5TfhxHFg1cqEDzVMp53xbWii3xR pYrE3+ox5cwYOjX28WAeUg8W4t15JL1zJ6SLr+Z9zNgbThK4UB9y+7PQnP0RWIX3GgAGZkgrHBML 2zhlxOpEoj2xga68Vehrnp3NZ3CtAfW3uGuUBUrrWOEdSXy4GqYb3Yn6gCnWciKa9ggq831C1j7o 5ME/ZJIO9svKr1cHnSlxb2mvGhQ7f58eCqGeyhgcBRp1TBaoq0VxhYOuUg7hvlAK8WGexUofadqW EhnCWdzGq2/F94XhUgTeroLVyvpSn0HVavfLAoMLWwHlNZVtKxfB5eXVV/bxQTVoi/Z7vZKF2HZb C9ao1FfKfTM5OHBil4Sc5DvsUu6q38HNbxYdFklAIkL663mllHvgMxHPCQ/uVmp079FmLKLTjIBY ibuOcScunNh1uEL8zYwIqRpob3lec3j3MdY4sz6d0Lz7aFZuDazJJcr80kA58TOG73XohRJeOW8B oekUjKR6KroTLjR+wwLnSTfTi+gTlawzEMMj6ZjPbU0HVNwM3sq2VzPK2oeOlYWQ6RtGkit35gDr R47NjPWZfccK7ts3zqAinA615QOTFo9+1lFNit6cWQNPDizOramF5lO6yHRSGVMv9j2VIRay1N5n 4BnkGp12Zz3zMTgulgmpZNqThc9YEyQbDaA9x8lkUPgiTuMQzgIjP70AUsfsOAV06POys1NFukFX 6jmP+EPDuu0vc1saroK0SbXXwUAPG6GYwvJI/J4rnw10acFdKeoFefcDvM8mw5xx1jA8/nfiuuJs B8G0T6imXUv+1NkCBpEkMAzAYJUxJdj6yiBh0fSbJ/5jptjq7fdgYku4ipaR2y6E99NKR0aS0uXG i+Za1RBL8NRH0rrIaCZ6BO4EAkpmua5u9BLnOdeLtCaNy5pPJD2TaXdG+SxE7a7d41BHzLo20WiT UtKv2P0zVl2QsD1N+zO8TvPco2KxsDbhDM6fl0UhSjC8MvAwnYIVnbq5e1wB4vmLwTDq7PEzsAFr R1Am+u75vGrL1FVa0GMTavJmE0rf8ET1D7RLB9SdlIz4ZabGs5q8C9Dql0SrpT9cEaO4m9yzme9v 2L5wiaOANeEV2s0RP4DB+7n7B5dXvaLLoW55bBVbm/iRk1V6oN8jypqMxEoj3YpZfVIbxLmnemvt glhlwIvyFC6a0UJgvB/PvozQa0enuu5Fpny8uNJvPU5U2jJSTWamXH4aiD5x4dNvxXnk3HP6Xzae V5nJuPlNtH4umREYnARnfIJR/YfXE3VCj0kLnn2XyMljPxUqQ6PWMr1VeHuMEYZFAD6m/CCfnYd1 eN4m52G6cFjb5dStA6TlgNze7+SEMVzZi+NKvuisRxyG83Z8IPafFrWtZoqsvlFFmB/R+Qsm6AcG b25GZ7KnaCzkxOUTARzfwJjJwTQTWEK371K1lEVhkyh2h9IedW8ibp8q/ORMqilSCZY6fXg8DmZC o0sjBn69Xp2M3bTuwLNhgr+T3pGukBftmITftxQc3/osT6n5ZF+ZbDVFjh9Q/4JXEhcYAksOgd3P yQPQVJNBsKODOxX9XnsrK7tFV9FkzMEmi7qOPo+D4fSZ2/TuBYAUPO9r/zQzOTPCI6eAbRa4Y4LC NcavQv7xyHOo4t+C3u8xBEwiCI79g6hchljlUEX6RqdmirFT+u7wOLiB8jqEqafMLaZN+DJ/PZW8 sZsyuV/ek2yQccdJ6dwZHDSIel6BV8GDRNAz7U3TTSlwNdjTCPS1NCoW425WibsPITlXIoQHQsq2 FEufSJDm+LY0/T4GaclucylDanCXqga2ZRLMjuM+hJj0Q08hf6IxQq0tl0nqikSdLB8Vy0clyhF2 ZOdaJAcESsqje13P6ttW3RIoGoVi4FIwsGN754F0YeStrdtqyZ1FNpdBuUGwQtoiQGI01Sv0i3Z0 5jMvBMfuTWxHsoSGhYasvx6I0utNXhyjvaFJP0YDzZH2A3RWPc5I4fNWfPzeMpjnOpBI7EI7psom pJYIi52mz63YytwqZ6k86e6uiHKVyIUIuE8VaVmjuXtUeOyB0SWiZTNvXaI/S6UUdStjCpqx12vy K4kQ+MomoHTtupgZpjRgxby4uTFy+5cFdeood5V2Wxr94Rih+ORXBWjdpurxwyrUOFP+T7DoVr0W BNeZXR6iaA1tPdg4PKgBk5+oELzhAXx7rLqh3QXWsZu0cesEAJcAC33dPmbxdTQFt2gRJXHKdsBk YWqz4DJV44XRnw01vEh2nUwRyNt+YYj2SxlZhUDqrWh3L22kpcokw+oB4S7xI8TDfAuiTMa/qxN7 Pu60paJgFEXUAFJRG/1jUXiWUROjflXWjx344kMPXfxtO8cjNatha6xgA1xBsWhFz2fy77ck4kw8 l4oFxN1LmKriBa7XsFvJXH3El87etoKmXqX1uL6AiMiJn2Qc9DopBxXjxSJwUMzZGfh4WffpVDQv i50m5ia6WmUM6e9gxXWC5bERhQWsdtPZaq5RsCsf2wkUTaDVpOVV4D3LmM/PHBQ6D3QqNcWE2Vjo DZUi3GEuqQRZbvUEtQUDlY+t2tf8Bgq4vIjWHi5IjPBDtP8xXx+ipqbqGKQQF8NH8VDZfg7ldAuC 9ffauG9pULr9u8u6QJdKfZRoaJzVx9Hx+PRb1yHxvlUC3kLSYLHpAdMffdSEJfYFm0UhPq4lS+Xm cpBQ2bFZRPnY+4edDWu2qI7x8xHbVFWB3p/JtMs5IrYWQs78jtAzE5iGsIKn2iJe1VAByIedHk4Q orGDD7zkmMNUS+2+XYp/a8k842aLsxgRw/ff5fLb5lbF7Nh+awtUIGKMgW22WFDL7H91SsYNwLXN u4fiMOnbA2OxJAX4ikdqcc2LoHY95kEDHsnFp359YVWzWjBVmaxSojDuFV/EDJ+at6ilgZZsGXJO kqFFpHeavk7knr9AdaP14GVi78ExBgu4Qn7ahGae53mXt9UjEB1JF+0gaYtKfkgdMoCGO1PPgtoG RKYAsod2ll7Dn7WDgSHgPgqbg744uYX7Kt2bfYbyx2lZqC5jjvdW4mkze9pBgwAshxiOT0L91aO/ p18iDlYoPCUmk6Am0GxLsVUjYaBIeUAcqXDuahI1HKK/0tNY+A0ejtxIrxRkA8WoQVc3Itszr3sS 7RYrpLAyPh1unzFgbqqcUwSgjNT5qwTCVS6PiH4vJ9b7T2pQPNflYr5boTaVmp79/y7RNvJkHOJl CE6PhrzoR7gX/Z5frtuzGsS74AM7w2H2u1Y8q7H8rwL0EMSlvu5S3MKqAVc/CqbdmYzHbvinTyNF 7m718Aj6otexSIgMhBomPvmdJzvWZMlJZxZXBkwPX1N5g2yPAC4DRUBE9fraNcbeyLGkqplRmwnz 61f7Dyy9mh5ZGZ3pksEzaJPtCAzWlNa8qPCyf2kfcukmCdPTuaTGunQvVvTt5kbIlTg+zGEY/2Kj vPeGR40WXETIiaUNFHRDeHsCQmyzsKOGUGgQRDvUxRF4Is88IHvBuW188nHSqLbqEa2tCHLsPISW +QPv2xuGLS2hFkFtrQBN6UP4IH79D9RTFi4ePSc1moP17PGbTh82ZKQqXugO44E81hGAk0lz8Cw2 /tuCeCNqwHts00eiaYS5q1DFbv4rXFO4InSZfp+FYsMDRTGFzCaLP38KgjUMonO2iPdVPmLZzFAY S4zMuJL6Zb7hznF6aSh7DSnSc5zrBSzF0nc3J52Jbc9UpeHaPyxSrciQTkUop+U1Vll3JwI1oVKx FO8lgVo4yic1iLiMvMF/TBDl997V5j97TGI4wGpsW5zKcMhgapF+7v6W6DhFPwPMiJWuLDMAKjXK MGPTlPlLc6LssFYaPFAIfDKbSOjG+X/4UTkBsxaLB2SuoTxzfqtUFFUmsMss37jQM8C66fW8LHLF WBJpJ/7YqnvcntyyqO1oIQMXrEPlKf6fD26aK9wPH+qcsDphPH+bj08U1fV2A28JNJUKaZs61bSo qKJIoAaWEElF+DjsNF1Aw+zUyQRYmxJCVqJM1LwHmU4NDUAN+XUb7r1WC6XwwB8t+k81ePzPiq3M rFwQ8KehNQwKkeXLmX01zDy0f7tLNDODRpeAFwmAFwjROsha1Wt6+vleJ58hwFK1xyVMD3Of4tC4 biigqQ/wWLS/GCog/JMGbFX8OUUvQTXUpZOoB9DV+xaQI4hWWIVycsLFnbUPPTJ//uDbX4e41Mpt WaAj/Byl+JM7t+pqXEJF60Va1m6IsjJA331MCrYRxFPiewJNl5fTDWyYyQ4425R/02DVC/NctV6+ 7rtN9vskWlNFp1fDxtjqDGdTpSSWLiRneKacjG0ASui9zWTPMQRkBuvtRc7T99vf6o+UKcOiWdEM 7jYF2rixHr4dHPruKD2PTasjDZvXVvWtrKZY7dquPOIdMoA5tEzkokbTxT8lpQlYz/htL2dhfq2/ a8eTQBxsWSymtTPe4cZiYoIBqXacIIiSH2ol/zuT/jeIRTHH/x7IFSr5BMR7MpUoqOoExqELA4Uo x0fa7xrl+516r4Y4hF0Dd+Yh+t7Z5BV5HIKfvF/SB6zuseNnSJDpuSWPcuTysq9Jtwq+uRcwQO84 vsHcpawFTR7ER3PPLwcmoS4NN6ICAKm/NHe19+gAVPgVLhQ35yP14sQo4Vd3glFpVtIA+7FxNeGF DlOEpkdpzijQ0NLjDHRiNgqcnt0LUOg2JcHpQYhNC5znPdLZDhrTcKyq2J1Zna1dMvFH/z0FjcEw IYSiyS9bnLwrO7Gqf3IF4VwWy4g/CfObgnuEHHsMmr3Upc0RHSUu6K9MWG2+iWsZdruKLML4OQ+G tGCSp/OmVU9rw458HFPaD/hNyphqAlpMXVRny1JUqcJ3t42CoBxEKZatDrH0/iR443sI2Ou/MPLp bpixI/QJAi73DG9jTAp+a859RjLrEc74zLOrKIvYoOL4g5/Yplc+W+LU3CEDMbsYmpAX5sdFZC0j hAHb3zdeIh0ZGnlbWirjl1FjtI1QnglFueQhAOxAjZ4qYOPojc3QlbsJOYigdCE+GiRuIHxE5Wm5 m4j3GZHX6iPaq+Sig+nkW9kGOtMHrlDmn5dayQiE/RwqadlAjsl7ymMuFVDZkoBPqJxBIiP+es+y AR9yZhGfi0ohOHlf4xTJ3EtiH7EPvnlX5swqyTcQrBYeEAOl6M/g0FwZY5LaNO9nSY4GdZAhgDdr 9VpdxI9isiuqrkYavY+esjjgw4Up8zQr6Duv6giBiEqXjks/xwgl6yE5+KkvOqV1slOg2kjasGGI Ud1FI+G4+GFBbU50OhUfAE1fTAQv4KVKyzbnY3som9S//aOiqpW827IE/qAl/Jpu5dK1XTtTJ4i3 PuWO37KmbQ0S6gA3rng0BLJQPWy+5lgtUfbEGzqDUuDK4pb/4T60J/n6No1QMlKXMRA8dQrkvNcn VkrhpW5Jqn0laRo3UOUBBw0z5hY51C1FV1pwDuxulEKrv1gARJNDFDWNZnZTq2bMuKR3iGHgtXkJ tQ0gldE2kWPJDpI51TQpDwhUH7b0PDYFOP+71dJKHnNeBzGrFpe3iSXEitYMaMey63ZKD3xS6UM2 ZHnmvAzFI8CWWnAVoV0hKsKEWEi5/GcFoy+uRbhiAqbtAROajb9R38qc7iTJ82uEXr0oGdi2NDD8 0wBN0f3GHSEb7CGlB6OlUFFyTwMWlgukooEymHXMhJXIa27es2cYFwiKGrMnhiXlb9QFpLqkXL/z t1gTQ1+2MtaFBmbBETN4WDXQtQdotvGU3ENELqdWspz9HbvIjPEAcUXkBROPMTMGRaY969QRR7dJ BnOESWQ9If55T1niwVwA5+DAFRaGKUAUC40PU5kTsXEmuKhoF/33PHS1Vthm7c9ZKQ6jJclkHaEP mG7097edPzoENNFamSygm3S18H257rdZWrLWmicg+rtygcguxINz3k8JSUBp5lQwzZvKxb+C8Yqy TODh3x2RJxByw63IE0WA4A+9ZWjFU4QUEgCR3FOW3oLM6m4uYULe08Xp6oqaWzpgmQX2Y8O6fU/B UY/KgfReFUzJbgYIHSqZt2Np6HF6qKUp9Kop2bsxdMn1AKCHNgb76oyQW0qSRt6VBYZ76z6j50zz AmcFq3TD3cQq7cZwGmF9jt5+VlsaeTsiBSlcWpp5XlHO++pk4gCk5bI9wVJt6yH5mUWm1CLCjHdd OtqrMP/SZ1SmkM6iMfIvYQIodgshi4QXwxyiK4seqkYws8z0kHHIlUffks0OoVxJ/1ekS/SurpUF /sHAquSjG1fw+I7dpG9+ZsVQcDj6r9zROOoffb35tfFXW+0ZC83iaOImcCCx7oCMIM1YG8KLExZL 46qTjzI4WQ+iFNaV7WGwyoeVJBSnzPWOREdmWjzQidxLkZDhp/gr4n06i6Na7jEVSNh8to5iVte0 y3b4ZuJFFgCF/Bz/0BWgMetVgg9DTI6eSPXfE8mT054aobN2fKw+/3NM53EtncLm4aKoEdYZxSo6 N54JUHOnQ4cgnDeCJqi/o04AzAQnxFUpRWgqCsOh9C3sIMjTWYu9X7Wim9nQtybrjbRRFXDWxc1M WLSdJths/wWzeLAyXWgA5plWk0hrXIK8iAOdgYSdR7qBGkqH8xwTZ+VUUGYoPxajWSGsD5mc+h2C X5//unemHUcpDiPgGsOPbcr0ae2gMMZu61aiMgh3HnGWQiWIcQbNDd/+UNn3niWkmH0YO/0oLqVu P+itWFYTWoCg21aJjYaY/gUrS9yk+UiRYA+8ST6Gwi4KJvZJQA/xOKg5y3wbdOMswjGJY2InrysG YkVVtQgp01R7TYm53ERxppVgZUzqBs2P+89c/VTgDC8h6Lb8BltNj04Am6oNk4saH+53JKsG1hQG xvRBliB53oQXvBDzmvjIa2BKniG/umWW1BCiCwXXFbwJJUCAZHsFYJugSGGH2Y559FOioR8VSTS0 HaIiD46ge/0kmgmEMbOKt3l1l+EZBmkijfMoi/Wlz2QoLMU/SWurmG9bgRj3AP1BXm+GQxIG3aX+ pDtQbsS6oyycBG34GQyKqr1x0I4jId9CRlBcYG0B2RChYMqCkCVw8DtMyYMzZIPkMetLPBG6u24c 2+WFzQm9gXGQVlpyEX5xz3xbTlJPMpcBf15wpLdXv3CxHX0Edtnhu+RU0pUTUUEnsk8sz4qR2AJY cqC3ExRhQIbIuBqiFaiyn6QVrASB2LtjMTkjZ6MjqevEm1yu5WCr3Vs3YnbpcN0pHdDzntxVU7k5 iPz0LZB2HXQwSyWcBBFRtSN7WFI8a1hpyKefQ/4qDwOlW/6LQ6izacCQCsq8Jl5XP9N1geeRGla5 HXPONrBBOeBenvxL3lG60AyVaPDTukk5m7qI8uVJ2HvkzGldeqLeX4yDHtg/0Vl/vYPLsyGhpspp ytCpCAi6EmpnrnLif4R0aNzbps5zabmearPzZWlq9mregD8jM5wReiR0Zr4MVKhSTNHHtjn5L8pl 7wyYtvQmlyy2DFQr7cZYGW7uX1dTHAZ3bolM/rcTycJUE+XvhIKSbzMiPqlcbfjSo6kjqPuitHWa SjshwLnAfjpbzZvrkz8+svOZi1hB7bK38WJjHnkKqgPWliUhXmhnaDcFdZrarQP1KQ2mbeHsOF/F VygJ3wV/vXUMEplU2I8i1Z+oVOA4EJuTog+kwOSv4Zwra/pOYANiYRHt/lBfLnnJJuaf/Q/BB2qy IA/N1bC8KDNceKhy3ObA4N/NPBHf1x27iDLsWdGyAd7U02hVEILx0t59KgZLeQo2D1iHxQEAwsUI q1DdHuJNeIitOcNlNx7FwJe40Jnl8qEkM8NyPVIee4ptgj8yG8kx1OfL0bMgj10d/s+kdujqOhth RU9A/2HYm9h04Sd9mWw6CkCZsnkns9p7LTTC1ATVun7O4lvmw1Rj5/AiiEffRyGiO5VQrJF1/Tvl 8IAxLQ4vtvj9QVFJlwDP2ezgqS8rzGrswx/RKHz655tN87HgJoXkoPEt1AxQI24UZM33qZboT/HZ Hk+JAS7JAvC1CFtAIKFsEHmwfKqApg3RTyaaB/hDO4qWpJqW/LOEbo2fdzZ2wzsjLuKdDMzgkSML XDAiX9ICx3ehSVx8Xhly+HiHagpaVZ9/AkrqQFnBeRQmZAHAVHKOiN3a70WyCH2vxq3e7BH4dKft OSkME8271JYxpo2c/hjNWMJFPchKEa+TIjWVluBxkUcdaElsAAemgdhxJHCg9r9aI4RGU/NCO+rD UESF2Zya/RAJG+r8BWPEvj+371HB3ftk2FtMC0ctauVUjNG9duFVDN65QlKodbMwBAgCvB08jFSL kNODC0b73Bo449xtxZQ6SF2/jbvmz/9INeTQFXkLBq4W3SrJl4MnRiNo41QcGSEfP/Ds0PAZjm9J 3R4KGzM+0LmE1q55uxBIgNv+LjRJZSp0cqkPpXZUOBf4pZGY47BcZ0A3yVyH/SW1PsPM4UfxJIIC uPiMKZ+WtuQ4+G4P6rNHr0iF4elLcXxmaZYN7v3QIo/AdrT8LFEUGR65JzoKG1r7r8TPl88qpu6g UOlHgfVyzwRYmopzQjPWhYh14SFcC8XfIY722Fcw0T7S3+DyepARswpYWYvcrVdiArR1W2fbWeM+ mtWto9WBSOfwh3sbzsuvUAs7H7AgT4xyUINzuUoXQeuulE28cyg3vBIrS7TwHkciVwzGz219xG3S kOGhHRR+bLuA7c1xv1nWS47ZJC9nOtTDTBi1Y7q7CCgG/dH0XMtwh9dIMQngIpdkyzA8ENDd1kPC WIcBKdcN4NmHv/KzTx2O6seSm/436HZxJJchn+Q4IyZJ+3HJEiI6OINWFBw7XCvNZXVBQXpNL4+L z/I+CqsnvCmj6joj29WU+hthguIHK3Or+rIW9vcTJawRIu7FHrE1jUTAIkgvypNYVPPQndicPjUR UsCVq+VZnclQOuzztUMxVFHbZZNcihX8HfkXs5poaJcbNV4h8O+ck6TloLvBHi6Z4k6tuKev0XoB DiP8TOKjwrg+V617Uk7t1hxlcd1bMfv3GjZbesOFFqDglbucpvYf6HrXk9Z5ICrgO9qaeV7jdvk9 zb9HIr7/LgKloT3THl2rX/o2VIorUaNtNV605szyF4JxzR9zv83dlq+AASk681Uwuj740A8/+grL VWT5fa/6alZygafB7a6ceE1lnyE+Qtafwq2HqBAe9Gszv29UWsuwrwo1J+fyIFHoejP2GLZ023Mq fLGpyxK8DzRR3UTLbWL2i+c/JM2YYtirlThZN8pqlGiVFcrZW6zuYb5o+IkvCObE6mAlEApTg2mq ncZJ+fzRO04Sf7iHesXglhu5C1s62wik8rYQISubm3pe4bNugkrFrb1FsdzNDDHcujNsfCXDXeWe fzIWVv0da++9kToMRnHhmAS0VB6uzzUEPF1Owy4aYJId8PQP5z5xfjFdkbkaebqolsNfnnmRqLW6 f8UmW9gdTPPl9HUssLtUhAWfkun+FRnS2Ri/6DIu+kDA/y6ex2GfoSLxvacUgjM6F13ZOZZ3t4mH QMeUttaajPb1TUFGHBxdrWGNv+YwhZKQjmu6oT0bUBT36pvjH/I5oBLgSGiW0ptzuW6HgaASOfjR tsQQw/d/AFb2Nh+B6Is7+RtyR2WbxjP1KqI4HEGIFMQzYYlJ4KiByNDpKC/A9cs0TV3Av9DzYX7r YJZq2DndzPQJCPHi5KY3657FVfaDHaFrlKz0b2Kf/lk9t3M6b/fdCpIY5c9d7+f4/t10mJzNA9mn iDzs2+URiDcTgS0L4U9kILdpEyrWVvXd9VoImJmcS+GVvV3ePdlN3BTjs0x9dQvinT9qOX1TjpNf VDWitHa0YkWqVs66ruWL7bve3TrtN7SgV+RLUO7biVY/RRfZeYJpq/m+6oT1lB7Y3oMhMdLp4e5P znMt+PAiBDK00VsVXHmhvTiWpvjEQzY4ah7x06sC/IMSk+MZQIkOHjOJ2RMQIH6yW5HVH9/aIUOf 03g1t6igrQjXm9K7pTfgrXnh2XUeTQLNsIB+GGqZdRL6rvnDVdWiiXCBj8fSKO2lRkFr6qnJypWf +vBnoH/p0nPvZVyvZsRZGRjxleNAPIOQEIFA3xshOTXDMBAaRqesxYgEMlOGMJ/IeEXqdeKP5Onl ApDrBHelkcAthrIuQIyvYT81SkSPbmJ9iJHgCZVcDpocBdLg4CQgTbqqmfIWW5UBUTcc+KzN361h ttMgFhY5Z5626+JXysm0Hl9B/ELVRC94YS9qTHOHVDbDrwcBNd8hwvreTbJ+zgXUX4TForrb1uGM BGwaM7fixQbdhhT0B+QKsfvENmav+lgEkgb0mCq6p6wnkhAyGnwt+YPPOJYxQGYoqP2CbmfMMS/j PHFn/9GqdJBufzn5yarWUk3JGcJmzhwNmedl5SCXkcZJ+kIZlB4L+5naPD77KEtknSIiGHvaa+ug DbAuSnR807JDwNZwIYabIMVsHWIYYkT2lUzbz9FmE6M4ZNOm3Wa/zxkeh9A/ZgVnRwsZVEU4aeki fHwauGJ3b9kd+9Nr8cIWWLwCbfPwhpZbvFlUL6qek2TpwfG2TauPdJEetJ4dlWjPlBdZG3JoONcY HOnyhXAMpoeV/oAiiFcrZ5++8Vs/2U3s8XhKwx1Ly+/5sNWZjszJH2n0CBv0Z3YUdscPRvEQMwOH gOORIPBpCINdXOTzdcieC2IEyR9Zv+qukQ8EZPqSFz1CsF1kMUQLxj5yQGXs9ldC9nNzYyMnjy3S R8RXvK0E/3BbmgphB16qrx1G5nt4kMzqxclMK9Y4VxhUdIyfSaZNmr1ZHfBBjFffrNmieTRj5Bd7 q39PN7g8aeN56p49X2VNG4fe5jUn4EzvZocrpAE/LDzdbKlVNWxUT/4pPJ/reVEpIKVi1YKxWGIH cBi78lWd8/tQoFDVNY4iA5z+gw3DMMs4mWGCS52tEHf6W18GgqrXAeIMSPpD6qLO86wQve17QqzO 7TirFfIVO3uHMy0vA5irZg7Sdb/Mxexy9XGMyk2dgOL235RAB0wsRpYuGfT6mj1zDAOFawU3ATGm ICoPuqMZmHrJLpIBwC6lgypQsXJg2SLAkAqxBOdcoVjylEvvhvy/TjG3FXiSa2oY6djt1OGY8/sU vJtKxseZDjx8aa14C/WXRpZfXew7qSHUZ6pUErcbhVXujTPZsFQE8gmOZeem9eheq1RcZn7b0ThN Xi+KaVEVr3fM6UqX4T83qHg5V5QnMqu92AtYHuOR35JFRS7Lw+W9R6IS1ZJlNOun1Dqe4p1TUQWu 2H2idvTCU+fQMoyBRTB07rKU7r6N/EN3GH9jKlWP1gvFoZXdt6AcWqyzMCfgiLgCVPuMldSgpGWh AQ1xsRz4N7FhHOZekLW23t8H1gvxk1iqBL9UiDvXeDaQ9x7GJzaO31gGhqOVvGG85BU64bhNonWd yFflOI0sguS0F3hw7nGm7OMlsnFVkfOQZmnY/9HSF0KNGdarhmv/ipPSZ+t2rCGBgxpY6UWOEFWa yLBrIAYC2gaU1eI8yz7InC2TUIGwSWtuaeD6kJqANKsRxGqC7FEJGXaX/871Wcp2uxwwL7UJ4/79 h+He42GYiiHuryTglLsEUY9giwQ9VsLyzqrD2WPO9x1ATnCLY5KvzlWkQFAoknFJn8wgXtAkxctR Sxlnz3VudtXNKECSttYkrKOLhHssBJDu2wHs1SFhpCq5TSf30N4l5DljQe97O0RrZSYduGrDzxVD Rmky3dZd0dJjtP8Z94eRT/mxbZ2pHJDgp1argOFkAtSy/xac79/k2+QepSz42ir2kJ2HC9wj3PiP e2eRCK/CygnU/ilGrL2CJehbr2TUETxQYwJ1fJ2uIc95vPpB+Wrnt4/Bb2FpKJ49AzuuPDVBWMO9 kHRpTp8BUmMN8p84/mBbidz+Nvpdqwt7PQCxgaE5NkBJbahS2jv+QnkuCeH9bDk6O9737Pg2c4dO lu97wwWo4v8je3QVRhLdiWZ+TzIKCO/GT5A9dbaB6hKqHPyIn7ZWjpWTNsq/b7V++bb5ZegW7FNO WPZL0s1amcu4W0KG52MYKTEurRGzO5YkOOmjscoBdP52p1ju5vGNzR7/ivwxfvaHJd9g82V26LYi MGqW645FwwWANjAMlPDOZx/wlMKYepfm5TRapA9QnB1afgneebJsv/7m65srMgI90SwcrZfhmgmi xnrqB59TqQobjYhe8blXDkOHuh3UurUfcodmypSsrgy8d8/P8+itWsEvAounua4+5pLv/nseNF5/ r9UZ5WhTk2/blibSlqOvRzWDyTkTKrcjz29YgmJ3FsVt2tlYowUbu3ntKDR0ywiDHguDY5RD5WQ0 gllCYdDONMavLJoh8olda7idQBchVNVtCPvzv2SclNhcMBpiDM1q4FZ5ipoH5HCPlVTSFt5WIsaG XWJtMdpg9RXkTA5H8R7F8y1p1cuYY5u+Ot1njyBf8/e+o3sYe0z78hmXWR9SHFwbehn2WiLJFa67 xzh2WTuyOV6ag8kPih6k2fhmeT4ro9xQl8rT2haxvtgvjEk0mWuhwBZEPfsuHy1TfeTFOl6E7VL5 h/MMExd1S7JhUD9+pKFrsM02W+Ol6w/UT6uNn8BLNPXycjOKTuVHzziFy80ZSzmQCf5hBrUmaAs+ 8SCjFDR1IGLd+bzlapAxHZaO3wu7P2rHOXYEyJUU20T2J1//CH6dSlbk+Pc5dD6gGeb6hzRZ38Ge oBWfYvqmZJmbnDEDKJLS8s9M0qv7nAGEEUPcvkI55IUy1/q7fAwwuO17unTsc1bWWxlfoV/9lP5+ xKB/WmvTwPQUeAjs9GMQgeIXb2JswUKZsdVxsxR5Q1u4yuRajmbVUpS55tr6Q56p928vhAQceg9e lnSB1fPiYsIiXRpj/U2MRIvh2buLoocswM2nmuSB31fAsrr6qBbv7FDTiyYEN9zaJyDHjPahj0hH YlBTOMkklibSWd//qC/WkfnIqqEKjltv0qqoSs+KVnAU6ChKnIIC2L3KpvUfi414ap0lnt7k7/oJ x3wjIy49+nHnzm8iHNH2KPqzM7UBwL8sSjxjBhZyWAoHQlhfaGlKLMKOI7Qu1wiTxkQWU2VvMWcZ 3oRiYOe6SY+XTSEhcpD01fv5lTtakvyOl1YXq4mrI8Y80DyW+ofYTa7YGtYyS3ubODxU/81J/s04 8PbQ5ivFPVN/7prS4Y2S6mBgZAWQ68qysksrCJf7VckjGOOXh14105j9Sl1m7LolZzd53HUDWGQc oC6Os/MTCp7o17mKJLzky4HeRSNaIbJdWQVtUirNbsZxSUk7nlw1TX6v97Wziwb/wT0UKEYcU/om iBf24yL4LLX+KRRs8Cw0P0NqydrZ3me1RDCaasCuVZ8b6A/LnZniVtnOz80klaaHB1B8I5yqLjiA PFSZjiH2ttdcMrdHJVAZsTk0gf5VgTKq0TZfEYWbpmpCsbhML893qw1gi+A7sbdvypv4pAC02th4 7BavYWQZrZeERxlpeEOxNKcWEdSEOT4a94THMhgByoKyYjzNeZcDrC002Wfg0UXuLqIaCC+X++S7 jILo9j25ecY6TPO2TKAH29jRYXRBAXfdxxVjw6p6j/+wjH8lvt++0oSuQxUmhzWYRk4mw1t0MVg6 2YuUI5/KAQCwS2MUqtG5cw2Ed+a6s1WjiauLOtD55d4YGKNc/4/5ivO3IVP5dBmnhTF+fUWD++Uc FxsvKVt9zB8fnjLLMdoo8b9h0Lqixh6s4l/hvTWD5nPLC79Zj4RYVy2AuOzSkeXYIw2oM9JAURSQ BJdrX1RjE9Z6pFjxOi2ISl1WQZRqdhOj26oDjj5/Bc93z3P3ptdrHM8LhcPznW1zVlxWzmhvjOi1 qXdDQWyKkkR1gR7sVPKPe3Am+LZTsaWKQKTImAdC9hPOe4RzSGeMnKcchU8yr2W3aa7cPgirfVMy JQMt12SEACEyt051TYmf3ZnuAu8xEfkJ7eO4/68tCN69V2HZd9SFOC8MdlCOjGeioMcYxoRGIMxG 4XqrqFNzpfKbISltGHML4P7S2p0Eua0HUXXliKjitQzTnMajRs3/0/y4LgR3Ky01rnzsPB/hEWlb O9HjB0ISIk3ikQQ6xxcOHXq11EL2FiB1Sg3fair7gRropHOLEo94mKr4uSexWaeOTAMrKrSZL9WF mlwQG25H/X6XknoqV0moJvvi3F5K9HYMLVEJLqtCa7fwL1XwSZ7V9cjqM9RB3M2/hgx/mGWkjCvq urXEKjwGs/FtGWtoeNLnlve92B6utZ1IBIXl4x6I6hiGiEY8KWATkboSDjZMhsvmszleEGKbSA/B IDSugqFh7UF0xDdk6B79v6YBehwDB4pbbHiPtUuaZ79B8Mtqrond6urPoaieWsjp88mvrluKGo79 RjzXkxAkZQgaNOL40geGjKRvLlxEi5gJUxVXwHB2hJ5UlIO+GIh2DOZ5zZKQZqQhOEu2uMM9bsUS eNRmhkjakVngQId/NrBF0vNq4DfAAHnngF4qT7pm8B6GsgDJZEPfDqEjj5woQgfxbBXhFofAVAyf gftbLYnnDm5taDxT+OTt1aXMvkdmUv+15c2eiWv05t4OX5dPogNpc1ec1BKbrKpgh17oBX9KqQDy dUYPjPCC5zX7stmEp8AMBrqry/C/ag9cM1M6Qce+SHjzmvY7d+DVvbyKpgKeF7LLBiNFWdRrh0+C CJreRd832M6qNy03MpsEzijszauN5fkzc6WJMJsF9gWv3/bk4mKGQQcYEQ7AQjVSdj11j7hmCX5h vnS6tDKVDvzplX+sKFSDmIhQ9x2Y2G70SW8xfrHcv3fEa6LwD3c51V84rha2rKwpR9vTiAenkjVs tBHKYywDn621AzZuwE6akYkvNSlcEFdbvvPtoL2GFbdr4NbQ98jVvz0ra9qvxPej6CSlwstQ/NFV VADUispl6Q9ULROqfBfr6uF74P4CScsOt31ePU3oRSI3BIlwBEYbOmzLH5Lzedo1I3EMoBxQFEHm QzmUUjugrPORBy0m2vYHzJrJnOSZ7g/lN1kLMK1vKPaFucjo34zVJKBaOsMlkq2gUkTur9lXgXQT bt5Vqx8cFszvwjJIaMcjr6CNM/5mNSndPWv+QNnby1pkLaAgsXrtUNUAS3DAyCbzaYkTE3v/onpT w6Np2YrYMyQRg0dSb6VLNFASgWeym0oqi5qsLXzlFWmaKVkohuvPfg0azmrTOosyrONxccf1eN2g WsgyiDFhxjdu9nnWYcsRLO/erCVTo8GmQfG/UQ/QxHS6ikNqg0ZQyw7otSzUoHlG4aqocuB3aT5r I+IGE25/piDtQnl2kb57nABRPt+gVk6WZ3tAxqd57xRNTLmCBNzA4vB73ZVdE8voIFsMhx89Yb0e 497k35vPYWE7VRbUj+7CjiQgKkJyzH5mMA1NyxxbRqA9zN2GeBnIzahwNj3ZGtd5itKVAqFq9SOf Uf7xdvkeSPjVXlgllDldRlwALhldaxBFoWb0B0Z6tu5SCMXKWdpINL5K09oKqyOivaXszsvjSFra pORZcqG4PeoIxpyNbjba1np5DMtQmTK+m1VL6UO774Vw98n9g43o7M4lST1Q+Qq9BllNnCBAWvaB 84x3sSQAxytqtYNb6dRBe8n58bIyzxGmWej6Ox/J8xt2fU+jiSubEIBOzeTHCH81iPjY3rybARsB YT9043YVmzI1sUejaKc8R7vPebAMuxxLcBWYpuHm/7Xip4Wn50EyW4PYP3E4hUY6hsSbj5YQgvUK HDODt+1712qO0ZhhQgAZ2tpEuh3C1O/cQewrPE5bo/qlhFpx/cjH+xm64mCt7JevL27PIxbHg1yz 8IptdEZp8PnayA330eXP1sHlcPo+xWs4qzcg2FjeaWeA5w1/+QH4IVDM6zzSosBB4nlqdIExPOsR rCtrUDEeEeot+eJmtxuoJAcanHd0G7JtrCsYBNeGphsPWjw0S1UNEwpIkVUqk/jUO3bhlSqGCSTd xDBJ8P5oFEmd9c0SCZhqlxdJeXXoLZiMW4NEK/d8TlOCWc/jxHf1YJ/5bnne3Gzv6he/SjPk9vEA RaZ2P7Om4w4WvNKWSOhy+9ZdCrWmiPez9QpZfF2K/J0UVqCs46p2I3pRy6HTo4bTybQXAeznwzyz jQZOsZojuZkEt9Nai5vRzx4Sj0XZoqO+ZTQMzp/uOYUKeg4JgD2sEIfnRyV121JRmX7UyvNlnCzC mGEpV3RS31oTjlCLUsKVb7ACXUK0ec2Bh4b70mSID69b9ls5C2aTDfYmSfAbtSPyQu9ZsVtPqcjB 8GOIOjUqWK3fMobb2NeX+6MkMtikk8zRzre1IyDLgNjcL8+bgpIDrwWotssiwY9mAoDX6xkooR3I /oKAE84QE+HJ/MMcGQKC/KFcRmaJjdOPuW2k/ZInebN6vCu3ww4wGTAlCDPvH2iXhF1tlOzXqaGt f3eEAmrqDs3zopJ3PXfCLcY4VWsrJjzQk4rb6nd7Sb/CJhB2wVlvMp0KMUQjcub0ReUNX6/FLfHZ 6inwr9IPeQXzdhIaNJyfqTqldXhhvGj/A7KQv/QLYP6trYHGo96OW/YhPzjXpL5Ixi74c7QRE+Ud U+BFC96/Dxj49eLlEyt0qZTeBRq4cPBytw7pI1yRTBFr/PXKjRoayiNuXH5gdO9+519caJXGLbjQ n12WDQQqb37Na0wvoBvxgZoK1KkWLweihHwlyNat1DJGYqCBIjUCdLEqwpfVgx4MRczlWmuBpZ3c eDr1/QgwrVZ4WlGTdkhYJuV65QD7dos0yo25wK0wRg+vIyIaPXLZAGft5Th/EMRz6elABLmm14jU g1AFPClDeBRI63qbxPt8CL/vHdFOUlLQ2tawQ7IN+lCLaU/vyrMEsBbkl4s0+8PXZq9AYDGxTXrZ qdMaazBkjQ3yBqYJ63kfg/tzCdQ/UTOTYyJoq1W2lb0sBd2XmmeEbFfL8EHHP6/ElHurpu/oQXgr ZIYcEe+5JWqyOrYWK9vPdmhJoP7TMjlsoeJrRTPmcGEPp9d4oYkCQSRcUUMCoWsbH0uRLQFkd3xJ X6qPdJZwctJWlhRev/ZikaIT7p9RJwDclqWqjgqj2/8hzc8oGv45BetJHbmFAAvOjDzruH8cQSuD EqT+7wHI53EwtW7NMlmvOsfFmAAt3ec99CZyyPTJEMj7/3cq63HJ1rfgqBQAAYGJ5i3Ej9iNQgCN sQHIIV51BLzUQYAibjQKzFwZqkZGTTPU5vnCmsblC2vyn48Y9W2mIVZdjsBVSFrDJTEqzOL3o2uX mGWATtAoLzY16NEF7vhbNJWPpYFdPU3FeFu1sfopdmpwu2q/QEMOs0kqNJpw57D9H5XYSx3hkELf b5RsryKgGNkqiyZDsZwnTzsSPJWgRltOEFlcZxzzzl/0igSrObSycWZMfB7y8KoYxiDQgEMrbQLk +lThxoa9LmVMr4TDiyRJ3Dz4JeDtxnU69Wh7NVaK6VmPRI40cG2tdP+mSoO1UFn8XfyQGEeSR8QK PFkeIbPiaPdpT/t2u+oqEUif6KvsP0mPNU3NzeVYu2z+CXPTLfDzGEOORe8YjW2ou9GctQ/evHms j5x1bA++/emeiouiJmRrTxop4nxCE+DmTi4RxHTuddVpmY0eLFqZrcdR+YxU8E6tDg8+CS4saRI4 RT7QYWA5ZdURTfQSibSjtPd4Em1QukgQxkz0uqEBlqHJlhq+BtXucJzl9JcUvN5qRdZw/TDVfJaC meqvidhog7ODCsWk6PFk+lF/gaOSCKB8FUl6C1WcNJD3KZcovko54SwLzzOAgLGosYeapqGGNcnq Xd7maqmIG2R9V0+7jR9xwYOOl5e8sY+r6ul1wZ1AuUl60a09fgGBmitvqtLmoIDHVrI2KsygZEEO f8uCgT3yhCXqUJO6SDf1xg7Kr2OHY7RHyris10gNN70+PrVv302+nMvkI9Jd1EbdDce57/luA8oa bxFtzQ9+LcMsupStrHcki7pW0QG+mlASIE+3WPJ9N7OBVRimZ4WE+6oBfgvnwT9K0gj04e9yk8U3 dU4+T3NKb095UiknUpys4k6EjCiiyN5x9/MvlOneDVrbgGjdM9DSz32xM7HCEp6qbCsde+lBX4xM gWPOjH+s029rA/yqG/W6LaUDd4MMOBL7U7+xFsbQmAoh/pjwu2Hki8/3WtZ2OnXPKuERCsF1WyiE agpSc6C54TpWgJfS1wiKzXm7FdlmG47m/DcgRs/aHFZSsoB/v6cN9Gl8wXVKwGr58wcajzTe8jFM 6l4EMholuFWsqOSCMXiu1vEw+e1scxvYpzmbfCYFROUVKQnsx74Cjqixqk4e8g5BulU31Or5Vr4b rxdfLZ97CYux0HTNvD1seW71wpMsR6GlLsDt07PzDyGUlog/kp3A6TnFVi8x+VwdkZ1jDiX3mVU9 FUUA3QnSkmw3er52Cj1yZYx5u+GCERYocGoKRGj5rrks3VUNtjxKZn0uCAHOWE2ChWHNeZgbjIYM /U84DPhbdT2A99VMEmnitvc7ML5aFCvx9NSwZGj/28VyfpiwQnJXWxBB4bk+ZKNFU9frQ5vCvZ18 W1yq+fGSqpJx9Nd4nea/jBxdstOzp/d0op5IICKcg8Y73mp4bM/bWu/ilJVG6uM9Q0wauduKHLwP hQ0ykMWySZji+XleFOxpUbgGFh10WQGrQRTRGg/GJzTHzQV8svWUZjYBd1SlanCdaieggryhvBkz 4psvcD4Id8pHE6+srul+tWbb26A3AzfbR+RVfdb8NVQkS0GmGrJjW/KEtC//ONFlhRknS3JNkxxf 1N9eMU1zjYfClC3W2vklAEE0cocmXnHcOolRMFBFJJ+ckA5Yqj+3avgm13/s2VVSZaVRXVHt3X4F UU/qRFKKe3BXpFDUif3g4lGhB9y1jHNMjdMDGPhOu8gJfMCq4bAIRMRw7GahjeUC8JFvru+4dxBM RBCW2/VaSbALIveJzaBPH5RLKhUHxuJlGDJvTZ2q6UdQgIo4+6VGADGUfu55ZVj2xD80HMuhmfWf Y3Dju04p9imRUTCQbXpfkGPUp0FQKCqaJRwsf3FtswLiEZBFbhLrMiID6JW73pgdCRChrNu7YQh2 dBDvdiAF9AW0NFEq5HU9t8DfGlYF/5tGUkZmW5o57wxKRf1Jp8mo9vsM3rXe2Y+rO7tnh7BiP0PF SUrHKTA44Vs/D4qwDIBoHEHBXPmw2qeCknC5wFGvj5QV26v83w5j0R0VlZpDrbV99t60he89cMxo EY9SevIrUX3mD4T1ZKnXiXhgS8v5eEYgsMJLWZJL3GHuypgAx+2+GNSL6RCiilrSeWYaNLDTgqFn HMW3JMET6OJM8El6lRQt221bFPcNwI9lNOajjiBFsKTHzT6p6bSZVUOxOvnr6NNuJryYrSls3iJd /BZLW4pj+0+lwT1xbp6Q1XNClqn0M16wPkdwI+eTEtzO8Tm0KTCpciXFiuVeJG8PgEm/NiYoSmWL Bx9DbbKTwcgum8DhgdXMigFvwf6aYg0ZNw/8b19EWSyqQeNUr+Q4LQWfJdgCwpi4jUKtOYPfU962 hqvA2kas0QpL4DYcHRYuANpttWsVaRA1iK9Azu0YwhS/6MYndswRpHj3eHkURg42IrNfedN+zEcx jyvQMTYec/BPlrVQTjNvGIjbU8g5gkM+hk9DLbAQS8M+EnBDx62ZBA4hJhBB+p2hgLw9ynb0N8dm Ktc1jvn7jCCH78qi5PG8jcoUcOzM9KJ5rxNVA21riG9v5velPO5UfYZj4BWSGxZcO2kNBMWVWYEH zZu+LPD93XXRjEh/HNoijwyXpHVRF/Nk7Pvae2J+9Rx5JPVEG0RyWz+r1UvX4uvX6EM+yMLVL4xZ 45V83h7Fbv9ZGEaSvX1otjR21kVBAz6NK1kYbjOauK3DQp4Vg5o4+hJw1D42QvfYDQUUnJy14sGH enyx4nCdm480Shsis2tPuiKKRPPI2Dyv3VCoKXSqXwN/wXToyJLd/DfXDiNm/X4wl67szIzWIsv4 48PNtfhqAyzpIps8kExAFkpAuRrPQhfdhmEGCQhqD2iUspWXL/vesPWU9XGJSpLtyT7tza4seqCJ H8YILlPGoFyXSymEubLx70d3EySusaE171J0gjDLS4umtfc16shzzKXrqOywQmxH9/L3daKzMfUe MszEEc2gntdWZiy2QAkV3LKlZA6unUI74tPISrXXxy4SUgiu5MNxMtdVMmFzepWTUXhxFcdnxhoQ lELrdQIqu/uVgA3pgp07aXYtqTI5ngeZXPUloJHpP/QkqrTSE9G+1vuQ2wZbao/YIaEqyqJgXj/x CmPKljlqzDW6QYu+1+3OgkOXQxViSS/7E2+BORksJW4fY+UVdY1GoUiVoVod5a4x41QMfDz/Okmk PLFQqR8gJSQPwxffSMDoLhif+6ItR9kmA4w1o6hCpcypO+FCkmOznCbTG7R428eli/qjLUiykL/J 8SQs+cUkCG+831Yf8ePUeE6dMqDsZCFCXOrSs20x3xrIW+6b72f6XsyMxM7Ay66L8oJLf2sZ6ufz 79BubJBk0fG2Q6U2QP7XolZCn3Ie347nJxtdePGmdTfdUkwtoaJt1DE7bdsM+Mi5wg/jpsDT4b6J mRiUf9e8jRmImimUbd8elzzNT1s82dWzaeC91iUwOlUFaskDC2d3goFniOOOIZt0seO9BtN3oq4z uzDhOrGCZshEYCHUEwZEDrPDp1KPRknga5wsFTimZQZezIjvnN/PfCmXB31LQJ4n+0fhFhaYB82G SWWpF2Psjk7qUl5AlfNqPhOCQFVSYUlg9MWAseGWk10xrm/LXgo3GJZSvC93bcWMDUuw6PjWibgy Bt9D1WdVmRorlstrPtI1hQP7crkLIXxY+1+jyyiQ0tpTQFWaqmjpJIiWW8uwyRnmheqeQOQ8sdG2 sMNq6Loa974WMnYGRm4+6yRgnW94iIUqJQ42I7fvfhRswsUE3SSS7S9nfHgK9BhUQaTXpdazhKgh vxRM+UxdYL9G2lt5XMoHEKzQ5/h6fdhBmO0iteuJ+F0VHZAGz6RNOA3nt/z65xzAunVqXQoPSJis psxkaE0bsgjQ91wIKUWF7lR6FxORLy+9ogUH8GAKV100J/YZat4BpEOIZAE2CVnbcSMvIFnR5+Mj 7cOT943Aj6Jv7/2Tgm6YylSTP2PWnhorVc0rlat9yxU/M6KJum9EOEEe4jhYvJUF92jfSSTLa0J6 dzxjrsAfW4K79dHWJupQutuXbRexZQKPicLLz32woecjLDLjjX1SSPp1jl3wqrvyaOxMjIAC6wDZ SksKT1sybS9tbhJFUP4YP8t6IBcnVAI2GZGjYEB68jpxWDsea2XudAyme7OdMTypobwNZyHkZXja eo1NcJW8HGkWW1KnLIZdupaY35Gi61IlyAWXkQAAVR+Tvl+z1/ik2h8rLISqk/oMj6Ykqq4y86sr /eC3B/Z5HaeuMbRqs2tDSGY2/nVv7A/KRGW6aOasRcaITAgpKd3QhhriepTDH2qw3PVSCSLGExZp 6i/3p7cBdNj+v8DAh5YF/rIiGhruXae6lpf09XT70q1zYDXb4RoL1lhZvEZmLNglPtGrnoPhSMXr wdYKZX+4Kw1vNQwjI86so5JZcsxFkNy5kPdW01XnMa1XbGqaaGXBQVAgaDJgYWUEbMMraD8LEYy0 EhGl+08rxLCF21vrjaIuIZst1AMTBcKGxwLe674PHqMq+iMCo1WZS5Nnfmeb/OL/bPeYgKaK6i4r q5mUOvkXN8NobDjNlcoleKbwSoDs4oCXCKKQbuVIDciLrpyi9lilOIeliVx24lciu0U08eTJ0ol7 4C3jbkK0LmUjwqGYdikbR2W1QB7GK1iK/K1zviJy7ffY/0Evs8xOZUKZgoSQd8XsYfQgHX3twI8L fZTHNluVclFHyL1PlI1B4u6ZyhgH9V/AA38k1KHNlFlM8/ry/MGOal8cNglCWpk55eQTJb70nVUf mT4BjoQ+VUHnGL+C8w2MUMR6BrmlIhZDwv+3NG0TKs1bZPKORdZy7WUeez3LUL0zGXW0ajOax/bM ojNDhWQgPzu2n2fpWozGrY1PC0QorWjyXU5ziLDE1N+acn8leWZJPpNEt5bDCw0m5OFG/CL8Idmi 1d7remhWqazOuN2HJpundeF8LsZDk/3WZAaxCAekWlfu99mJAOfqYAnSG/ChPkdOteMY+UzlUZKK Y7w4UcWZm8k4R+Wv4wUnob7zPqipyMk18wBaW49rNqwb3EIhB0EuRG2TySkKFM3pf7O7rH5xsB49 LwdTkZ8ApMck2qn+rFDBrlkewpXTkAwV2hZUWibaoC94F+jMkxstbw5yZQVdj2G+QX6KLUCYdqn9 YSGDBQXM4CFItNC+U/vBpmR5eU7xaCTHJY67XH+OogK0eGkVfNCSDr+24XgPLka9Pcuyh8UBsWBz ZqBVLGoEQBXJG8/zd0XFHcP9ogp6Rz4ighiar1YciJnclvwUm5YJ0sBfdbojrhJVPt+kPCh1hsX+ 6CtgRDlDV3bTWnd1rjZvE916clUTPNEsZkI7KltkQn7HdHP/o9MtKwlE0ROmDy411//wG2UjlV9+ IN4utaJ+2SfFTLsb/xZnEuO8f+v5ab7k411DSYdOFUhEfhXNPNN8CIyU7M3HXHc/iiAe+/yzezVh xOByoA9V4KsRhyVfK6yYC1OWUBR9YwMsf6wrtOrLDuoBTEdBMYJWuNL4nYc6S0UqgXx9MJ7Mzq0P iYE5I6mnNjcgQf5F9mNcgE2fJ8bONBwOgNP4XecYvNHcG7Xt9l7FuUYYwiEmwFTntQmPzY4eGG6C NivGoufSVMGeZUjj04SvD1e+lim/Jy5MESsMC62z86M90dnDtBYlacIePwCBCTz79OHA1jOMlp3E EaTOQ/Ying6M4oJflOR2fToyAflEcZi1J6TsTb7V+xqrxzmx9z+4tJu4xsY84K5wv+Khz2cIE0wL 40/tc1+FqXHaFRtFnw/vI+1jS/RTdHD3BD9ziK0gEitjfBbdsr4EzE/59AQ2qlibBxh09vIYHhVq 9fr5DnQ05gfOTbe6AeHDqCH6zE9mxZ1Yw8uAJHCeXHIN4vp6yyme7iD78mgtetapMC6t7B1/bGzA qKgdihHxR0zYZGaV5GeTeuepPtNCb2rNOeTAUskMi12S42wIRovBoXI6k9++cEN9aRB4jANn+Ulk glSIk9vAmBU/cKxf+OriM7OP+MV7Dq8UPblaf8z1MecEJ7FnuuRJ3fIUi+tpjBl728+GaHOqzGai 47c/xYo+f5Yk27Kp5RsARl8sz/d02waUW6COaT/ar34jE1TstWCG1NAHBn/r6KwdO8Md02Go5rRN 2ZY4s7zbO9ESqj1CkFleezLusRpQPwBGkFcEtQ893d+b8c1G8XetoxZ6hyp2u5ZL+JNlJoEEtZ+6 zgoYLM33sO8+FO7M5hpOeOj4VXMFxf/CiBHNSCnEyJRpl/x8hZvcWjVBEx6SFfQpHxoiDnushVbd MT/kLxswnkyPZdrmhnec2XLJ3aO7O1H29nQBqp6/3XBehkOCyU97fV5Kp5qnuitqmhUywjo7ro9y ArjKa1DhOype1tgpaEkeUms9mmRzx2jUJfXkmVyidbG4JtX97I4NS9upCqYr6MQuJrXsi3wW0OWJ ML+IiyMi8uBEpAeJoIfq35m1ZM6VcBR4XsNAndUOdEiwBl53XoqAoKUnnP7b/5HpHyJRgn6grICU zCcmRjpp51Z/RZqSjIzyNcdm9PwVuCQsyp8j6s5Gyz2aoYOrdhSYsYlGu3T86IBpFQz4O0OxlBS5 Q4/k/XZPEngL6Xx7FIbqNN6DxRQREi0DNx3xjI6yLZTGGRWcR9EfemFi2E2RVRg4IyHOIP13ryh8 VOeG49gRsS8jWy368fp75rkcrhpBpFW+Dc2s45mC8K6WlSGVVNqR3v5F1etO9cwGGwxd/vjtl/CT xMjH6kDwUn6KLDm0YMo1KSgKOHbE/VKVgBdhSX+Ps708Tm/U+O6um322yisFXCjNH3A4W4d9L4c1 7vtoSQ9cGr4XXsOlxy+nBpP5lrLfnGOQ0NzNELIXUVSSOAN3rPqfuPPTagjbDyzxx5acnYSMCIp/ pr6hWzfn4HeM+cbnshv+/46ltn629R0sTJXDlpqe1xCe6jKiBpUjFdmqE+UnphB2WJS3SGU6KLyR Ta6xg4Y+GrvtXqGn7F477i+ohc/P6LQgdbRTdKQDv+SHe5C57kneOU27g3erdRqhmijXjeSUi/2f z+BCRtXGiCSYD47MlBmJSuj7zbXJGqpFh6H06hn5CKajCXZFVMuSHMhjfxpk+zi666CZ71+npyiv DFRJpm3JY2QgP84vfaaQEwV7krvBqJBMLDz+nU2cykBX8ZJhmcey50wVrAobIntdA4hhc451cisp O1Lux+DyBrrpophkVhyt4xJJrG+MWNV4B+uqvkfzhxYrNEeOLNM8IFv5rMREwTk0R1AtYvvzCu4b pPh4d6f/msi+pB4LkZ7ndjB/jC+926QGyE/BCrScgORmhyoyFyPz6yUHG76gVsQYeE1YIOiPQ0Yz K1LO/Mq0uSMmiyseBpy+dixhsuOtveg2/gQLGV15OwfufKw0GSrPsbEzJwJ/k5y/x5VQ5JjCQw3p 16EA5oOz/9IoK3BaoIS9fJ8LBRh7zNOS62pztb+yPXkryAxu43oPBpHBHtb8qGvDSw8fwuWNsv4h j3dsrXee+Ll5uxh1vnY5nQSLclpdbwb+Pu2K6Ts9pO8Osonh0Gbspy2rOGN4rkSfPD57xiFOaJQj exbv64hpx9i3Ln74kKtGp7YAQj29YkiCEF7PyOSczTeGF/VqYbPdxUcXhwG4DbVv0rYu6oq6md1l kXTVKcSSaGSsifYh74vLXncUuTnTkjKLR09FodkNJ+6BdMK4oF/YOhu88K0csC4hJygzmGvao2sW K6PqCT5bEjsgOce4w7uNK/r1D70USC8h+Qf+/Ebn5gnd/RV+gA1cd1arKQtdYqSSGhKnejyfEjLW 5HK6gaOxEGCU//QXLiDBBdqKm/O/VWtrGK4DctIAgyJlv7wRiT/+luAaoAw7vMHldmd9Pxfq7BSY gmUEKJdA8lojX9cHmTQhIQp/cfgJDnGpwa0AtKnVZcSTE9wVDpZUcZFn7fx4cXETHTi/q3HvyTxK TvRztogpLVYTwWF57yha6lvMkTBp4q1v3TqSy1vuDeAW0RnznJKCOdUfE3Ri1wifUdC1vtKHf6N7 30F//wT0Kmr5byvFXsTPeSgxmiE04Z6nL9PlnTGy9q/kXfrRD0Pe72+zqc/QzZxrkpLABTeTklAA vzF4jZqJHPw3ihadurt6HuARg6JfJPg5sG9y8xUSCHtjTNZGnxOinBH/ZNUINR89wtWrLOlA1/C7 naPGFUSh55dWLzQwMYebBpFYgZJRxEkx6sWW8pRFs+9y+4mG59ewgTvDq/ZAmasjhkV7sT+Bu4Sn cQI/72OY/rXyTYv+A1vyrWD7QZg97HX72nFzl6wQhqjtqo1dgAAdEeZEMQ+wDgJyN8Nit4YY6yw9 PWMX2LS2iR2PLvxBiD/59dLqom7PbioSdRoKly1a1ipsVAfqTu93XgcP9ZN8LzCZKM02iZoKOGua pFcIEBcmFotEWFsaZ2+8dNHs66Z+YDNBKfslfaDX/RjhFhfNL875fbLP3plXT8JvacJrcbeyo1JU w7BOwtB7FgpLPNFZ12mTPNpdG25c/GL7MWJJ4bes/h5nkqlgPRkzFJGzbJnXFq9MjhzGB5VDeIPG qfnxlahkUlYkLbD9ZeCHkqUV34EkD5uGsa4nBbsOT0erMb6da3Vvojz+JufIyj0m1suLmPTrvsoh 8Lt9HJ7GaCFKraf51jb/ntU6BWa7bpVD+kAOO8mmxFdOKwh60eDpp7kBfIbZAbgQmFfLUE0rJY96 VSliJZaW0kYmEVW/JAv3n/mlz59ckY2uRE6p3HBXXgfnEt/uzAXjVWN54gUMnS7tDKFbzEGf6jgQ yNl0MYTtFXtBhG0tDvnemTBQBxaWd/0ChPwIGDTIqdIhk8SAvcUNXjRUd+992aigrGnnSb8BBqG+ Ifzt9Fzra5jydIayKOBS3P4/KNclxx17emfTJtA0Ol1sfiPiU1QHbo03v6Xk0Zw6ffkggx3Cqj3s RikznsnagnYmn92EYoME47+L4si5xzm7YTLn+iKxw3Wu6LCyEnLXJDdPS3ZjaMcug7FHPc6kI2OO 8i6ysbzXY+/U78hUtwpgeOY66BGziMI61c9jUc8/qO4DZ69R4i+Tb9TCTbbRAW46QZ8MJqYVki/R N7E7EvXeiGiFBDZeK36hQVG6J3gfuLOqr47OvrLiwBg7NwCXO5ziHyVENE3u/T/+EIcOC+sm9Vn9 CKsWiSqkOTMlNPdcFB0CCaSj5ZJEFViFbEXSCt9qL4aos66vZjvZoOE5EDcft5/XMQRSZKVBspta dPvctHOZ5q3+Wm/jDQaT64WM1X++oAna+NPM7ks4v9LZcuB6Xwjvq5bBc5jj9ggRloq1CnYlLs+W oGB5JPDk53MF3rNZCb6JgZgIfMUCagX+mbDIi5Ot23qI1nfptw5OBHSQOlrTKzQlc2Q0vD9n2aUo 6SJ09pJ+RLmYJzQXDsFkgMayL78I9RmFjNdAiHY9HnalwgW6Jw8Ywn8+yJKf/xI4lCvXPlx7SqZU VrcS8S7jb4I1SNEfszB8GeEDdVcNzWdm9dZ2tl58nyBjiMs5vDplMCQ+mUfJ/j1jB/kburuF1Z/u 8UVpd0KZ0lZ9prlsTVBNPBkE0Yj5Ti/iL8Hvxbk6dWZNqiKYPhKxrwoUnFMM0WhZ2lo4IV4fo1kc GxfEorNzVp2bJjOSFidro6/5L/mbbdJowZlZzbofFaanHftyMJHdMYzcPl/2wp+iwoAlkEa0hJTN V4Sz3xLMgBvga77v0oIl49uFq5bZ7LKnoTNEH/raIW795E9ey6DFZUhHh5La4a0CDsmce1JhFDXx aPZ78V75wpndjGgYd/3zc0lW+wHyoageTV9sq0cZui3o/P/G79W9N/N32hfEQutuh8oQ1tyaommi DaJY6TmHdFVCGDjtotukWtldhfhVAWCYkivxN766NIeTmCcGPeO/+txq3+aeRIiJN4nqSGWuGAEU ds4/MgZ/f1RhNNUAUibBlZuPVR66ml2ovUrNvdebXpNHgZLwGFOSsk6iPdVmfzB9PK0EmI/VF1dS 4Qhfe0mxbSig2e5+ba4ChNmL/UJeLxcY4DicT01HyXOjoFFwt6ogVQj3cVZ2fcoxCbmT2RdW9N2S DosxDdzaY3l2hBcaaR2UC97R9rljMOXcWFyW/JcfX2c+j6OvgbMxOwJOq1SHK0raQ8rYm0MR1KkH 9847ruhVhd+FkovcO3leRwqyQx8vlTeMOFbm5QPU858zeQLqwSd4ghh0NkMDYkJbppdR+HzF/rvn D2MON5r8pegiDjSCo26bVHVGtS3YRoRGNfL3MSulmTayH4C/2gC2OyGwKn0vJOgdaniKIhVyIAWP lzSOmYbEoOEUUikv1a88jW/bN3T8nyAtMhk5FEBXtxGY48VF3PcvrUBI256ICUYCsUNnHuM+f3wE z8i0w8SDJuSaUaeEYW9Esajq4j4teVEj+4ARcKjq15kRorlNvmO0Jvl6+YjE3GXsPInIlo+dvori dgH57DKfN684/T1/yj9tKmLSmWBrtQbZhm8A5Z8QQ8EvNqa5yy+xWeesp12gDDasqYKvgoItpQaL ALClhPE8JIL1dBORezQ+o0qVw/9lrubEwrwdYIaTBuju32M1V8FSdnLTGoJTLL+LESFJ9JmXaQ5I UHiSv1KS2cDR1JXcef06A4BwK8yetBAB73rYLyr00RUEBYudEdDH9wrq9JamKqN6RWDzcFXicijg oQFX+c+ibejxoXyztYCnVuqFllJ4P7jzpyLGvWOvQ1DDL63jP+TuzEDnYQ5YomnC7GpI8M1G/L59 z/FqFqZKLhvxlmu5TPs9atjnyoT/BOGRdxPuOMdJ7PgHDEdmqOl6Fm9b35aEjDZWgibD7HTDfGRD qERUnPGASiL5AETCiHe+VJ951zqAoD2fMFqC4UNtclYOFc0VZ1rgv6fcyHswM38nlm6Zk3zT9OgI 5486PV2wO3TlfZ2xxQyKxXIZyXVycLJJc6e6gb+ka5BMZN0fddxwr0ngW9RBtTwn6kYWHkyPq0QY zA0rEEszkO8Q1VCYp8yOrkdQiExAJ2QrL4RgbXovluBPaamcffIFyOHUxhm6kv4fl6Um6n9v/On9 kxYVrSbTyRuOA5vTGYl+QztoqcxY153T6YxZUxMeLMPZgvLwt7m4625s4Z6bPwr3PBJm3BHp13Ae 0qnvcZDGHYPYdgzyxGpqLGCUuvEMeCepOyeJpnuvuva/adGloXmxsd+IyHcR9ICZngwcQ87EUuFa c/ock+4FBo8uUL5Gy0QAO4ojSQvrfp8dRad6wmzC7RhbXpB7R4Q2GHJoNwviJzBaEgvKeSNey69j pfBOFgJ0UoPoeyjMQWk3jpTX3Tre1ImTtsJXx4dS6vOYCu66gRD38AJ9FTdxQdeUWmCTNu9IPgTd mF7S+XR23YDaGD7Pu6rk6kGjiNodixTk5V0w/2UIhmGtw3SEywh/5tI2XGZ90OnYSKo3nO51PxVo tzvvMgcfDO7xHLaVOJygND9OkvXMfQRMZV6ibm/dG2C7N7VvQyJztw9fR4PtHKZbz7+W263CuBcu QDqHmHcNSt1kaqW7TeLIznRu1kSKEVlkeS/+AsM7/V95s9icBOIHwMSX8RHNZD6LmeMaRWib64Wo eZ1VLyZkhs48piTKwak68dKERHCQMLxRbxQ7Nfc8G0ElPyTQarrDQtf9S+wXJcwDH5HgFJepK9+4 IlruzbutG/TsHhOAOzFpL154pm6J6Gxen+Pjgx9K0i83twcud7LQRUE4Pq+HSSpWeGR/W2TXS+Yo d9ZDYpMbpX1wLfxEUaNKz3o9jQQqGPtAS0YfSRODZ2vLet6ZZK2STVsPYI0J0biDFQvyvVucmeDT eRUW2PPWxEEhz8fc0ztLNUqZ+YZagxb7ZXMfVS2snaXo5cY+X97XRWQbtQzrio7Ut/BUo8kfX0LR XReyyznpEuxc3bA0hHpaIKry9YxjWGGKA6jshAAP5soAQbsQatfwQRaFO4jCYETpWiYk6ZfPufXH sPzNiBZklwPRRZzQWgAptzJouTPiz4rqbjHBS51wujQ7aHSPRuBGs31KiiOiqOT9/8sHcHT1+Rn4 MoNdpdLF8X540+5CIZ1LVE/TTN5SgO9sTzl+ryiSmJUNzf+FM85soUunjOkohisBxRqzYQoOqUhL ZW5D0AGTUgipvRQMII2t5u/j8veMjtpztEkWqG6JWOvTHqFBHPbJe7F2DAC8HTsg9fTu27kTJHm7 mD8Ftp025ThiAXxlxFXka5XJIKYynSXtzEiJZSqsARXgi5chrRKYwAXnqjt3pCuXUoR6XrKV8c/2 L/CfMBc7ovCgE30Ol5nuOV8EbORjr9ki/V2AANT2rY6HJtis1LuDxNGYBrUn7kHKChxdKLU5e7eb MbhQaeNCUmc0/3y4VSy2yopkOOAF5JpUB9zjmKSy21rVzN9iQ1OueaoxrC73gxarrCjVhiYQt5Wz +/pO0olKC48UrUiHjOmOAXFVldS+AjtLKF3yjZf6H7wES7BWw7FrApGdYwnyBY+Czdy24YmLvqeN tZ5HoKaGumqfBZCkcV/0/VIznLtASOW1XdsYxckj7ZuvcBKuGNwgz1ZYQAChM4S1NHGm6W4BcQsn bO0xmZIiPu8LT/ifUZskzsjghQDO/remagKuBDQaLDI7onvYAIiEB3PC1LDLZ4PsikN37CZPtLjZ Few8NTLWRh0udRZrx4whWV0IsekdF6d9y52cLOIOhzqPxZdQDvkx79hpk7PNL8Oo5j7oNE2vBsOE fulQ7wO2PiYcTYIUDt9ZUTLIY7870JB/gUMrKFplNez98vcjhmwNSgY4G93GiQEqYf9LWytckQ13 LljZNURbzWfBF916nx9U+rPjKxqBcD4erOo9oowjU5Z/6orRTcv2bfb0qU5FgaxVFhLsNXyxYxLB wA+BVvfT/PWKveC3/fcxIK1rDAXpBW/j9uSWagmGZ1zJbcUVNMgbojIJqP/iQglVLl0QQkLjPAdr l5oVaoy57TD8FpeqX6wbSJ7jyPf4mx6yJNGM17UOhHpl8z5PO46LBC9RTHp5XRABRfSA2Jfu6l1Q jlxiW1TKDJE1IHzo0HksuStUlUiLAo038afqKgcsX/kcrH8BX64+slCGF4LSzlNxlUKq5nH12dxl OYjEK9cG2AL0pjvLkUH4l/N5WUIZcBklzZ6bHLb9S2Orv9/EOn8JzIpJxlN7r7Y0aC8TrQrAyRXF aHYd/UOkt/mYmFJmkqoYnD5vI/N2ixuosFI7pnloYTDy9tXs4fZkWBiv3LywV6XF6ABn3oPtK2Ij QE12nrcuiaqwXDmdcQo7oDEMxxW+tdKz/LkyunYpuBIOvTf2uf48CAAVju2fVTSuiMt2Dw3t9oVd hBWtl0m9dCV0kik/kIbGEzHWjNAMBsaEjSGulD3TJyJng6eEKcNhTO7IExZrufe5WrZwT2lPt6/n 5O4A1S8PvsBbs13HDbEZLdizwpN/Zg0cR/IdM74ny4jkjRtl82O93UmV0RAJ7ai3Ow/mC7fIqsHm fI37a7hpV8rije5lxuL0A2Bfzp5WBI0imE8JVgXhO2IT/knvrIipUEeyPIJjFU5DtqTtsykwy2hF n2rZ6dxcbFfisArZ7wEtWXIwgcR4TylxUlA1e2uMsyyP9rGfb/aKQ7wOL6CEaVmL7mp1XaMmFXst wkfwNon8+sq1N2MKVsE+KU/ZnJiPf4RzY7z3N4HD3nSdQgCMcPIY8cSVvvkKQ4JcK63drw8HKVtx dGhWJNuslfT7yp5qaI5VqrMWeRIf393Y96sxnaXe4v0NuJ51sTiqYL8QboeG3TPa4egRQ0R4BeXe L2/13wZZacjt4dDdaeEbBS3DFjza/beu0EsFzMJudh94HPXMYKxOyWPrv1HfqMXGAufastUwod5u i2TwllNj3hElqgZtKZ+uc4nBbckB/yas9NibWEZdk+a3qxE6+/2aEcwrNRn5gBbPpN55a9JtKRe9 wEcsrIwOSVJV79WXEUTZWib0xZC6RsV5/9jN6cT3KfbQvwPPu46JI3GJSg0n9PlVsgePc6HJNFk2 /SLCmp6obPFcI/WS4wyao7Nr1mMSGpYzX7LAkchu39t8rv6ZfaBiHWsLWS98i/BKklBLGssvtI20 iktLMB1h6xVpy0Uq/GHhWvLELnvsEKYjvSZornoFY1lCtdMlLRMy9D8IBN9xzY/vJq6QSpQ0Um0F quzab+n4atPnZIBGLu/55FEF/OyoS9KErg6x5kVOZfXU6/MzYymfDMZ7cO53USNf1VInpSwKTJ21 LC8/wzL7f2JMRi12oO1wch+3NFcl9gOAU1mdw2e8oRMaEVOLXE45N+leImqK3i3fZXN4RtddUjBq 4cEgfl3xs/Xd1nm/lKvuZaho8ZLy13k8ZKQ/Ms1gH4aERKEhAn3A+ZJUJy0xMhPihCHaYl97oxmu 2EdCSUSw7kJjSLhazuqpnT7VCXJWNvRHffx6cq9j75tb4FlSdvbzYZgC0n8n+yX7IWnESCWeY5r8 yBpXFYMFIalsj8RUDtoUa9dqZAlhehgg2EbF9UEnjdsZY/2f4vu64XQWDNo49wkLcbV5EsAL8B2b xT+2I9/iwYTq6ln+tXlCzRZzppTiT1ecE+WLIEvFk+nZAzgIhyRKxJdIpvT1MEITy2Ukf0vZ3c3s nNiU2e8DntwOF72Gixr3xodFV+02mK5m5yD3c1Nw2DdNyffFFmh87NziCaPK9xSFKKlcE/rnYp1V fCz9FVabUP5vS7O+ziA7Wnd76HrXtcDmwMESbtlNetGkWAhzPic0FV/p6zDCf4YiCdeOrBqZa1Bi jKZyDZIWqMukFLksvUE8bLl404nB36bPjgDGPcgBWo9AxGKXwr+lK6WetzUzUlN69HPIby30dFzJ meTfsVCwbjp0Hd48fBXFZE564JW8zXRZvJm3hzyXOi/uOiclSjyL8mjzo2bqynrLgyfGA3lqhppf 47sjSqbs2BvaBjHj7+xPhoO0k/klkTbTWl3EHFIOYxLMyXDVePli8rHc2S3giGQG/YE+XdSCS5gQ ri8dv0wjZt7Yx4gd64mEP07howBlRgJO6h6zrQvyNpb5oI/gHtYkiDPb5vtyI4au1wNgiz0LJiM9 /ims3BV3qL17rbI/cBP/Emr1BagbajTg07gufsO4CVhM2OJzZwT0sk+y+UR58Fn9ueUR8CHVY4GU pDAhtphYcV1IWV+Fw/mxcJxeR63Zs1CEXSxdmweMelIVXntRoSiV5YLgg6FZZMllZsTNV0d73OAU k3hx8nGZUFHj99lXicgF/YUJHEPP/aPocD6WemZPh7MOTRxK8ysctK11+zHLjchZRUPNDMjy3WCQ zF8QRpzVau4GufP7WU0jQMmhdMDKA8gzVo8iAnyakfEBK2B/+LSDY8HKM5W8oT+G4rECkEttmnzp duhAB6ScqIepmfwNTtXKYcMYjT9MENjeKY3fPnHeeJy6jX/x8cfgvJAt1rSHUMVD3lQIkpmP8m8X kUQ7cfsJWj4enX3NuQeord7dD3KfV3BvqfOKjYhbv5dTS1y+ta8sTC83NuMOLXyNhGZaaK0dH1kh a/iwl/ru+rCY+iby8Fmdhv8WUdVqmc4QQNUFKspjTX7nMZL/QmG/DAqF+VeDXaRuEIVCNkKVTlqk wIs3/eahE0SH7liW69WZtaoqnmym2vrwKDc03r2L3wQ6+eTrTJPaFpyQJyuDjGG7SUrE9Fr/NBnZ JVEqr00EUzsT4kKpN9MaLgTJNVRmjiBc6K7U9vRzEK+SnbfUIYtIUTFR7+IhivN/AWWJP31kPMUD eRb3L/85xNk6lqlKpPz4slvRsmdewVlbUmfQE5HiDeO+cBkv8Rrqd8UO3jwjZjBtpoXn13LaV6zw 3rIbzxkHM4rfNity5n6S6EaUZ3+TQ8ZnUchGOnfVG82LC/zXpe6xaRB9Dhjjjl4gF32bPdH2ez7v 1bEJRxoPMVzsvVxBPoEOnlAM8Kr5jN3cMqZnUwcJhamAz+oG1WwbIxQ/qW4rVxrGFCE= `protect end_protected
gpl-3.0
luebbers/reconos
support/refdesigns/12.3/ml605/ml605_light_pr/pcores/dcr_v29_v9_00_a/hdl/vhdl/or_gate.vhd
7
9289
------------------------------------------------------------------------------- -- $Id: or_gate.vhd,v 1.1.4.1 2009/10/06 21:09:10 gburch Exp $ ------------------------------------------------------------------------------- -- or_gate.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_gate.vhd -- Version: v1.00a -- Description: OR gate implementation -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- or_gate.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- History: -- BLT 2001-05-23 First Version -- ^^^^^^ -- First version of OPB Bus. -- ~~~~~~ -- GAB 10-05-09 Removed reference to proc_common_v1_00_b and pulled -- or_gate and or_muxcy into dcr library. -- Updated copyright header -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library dcr_v29_v9_00_a; use dcr_v29_v9_00_a.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- C_OR_WIDTH -- Which Xilinx FPGA family to target when -- syntesizing, affect the RLOC string values -- C_BUS_WIDTH -- Which Y position the RLOC should start from -- -- Definition of Ports: -- A -- Input. Input buses are concatenated together to -- form input A. Example: to OR buses R, S, and T, -- assign A <= R & S & T; -- Y -- Output. Same width as input buses. -- ------------------------------------------------------------------------------- entity or_gate is generic ( C_OR_WIDTH : natural range 1 to 32 := 17; C_BUS_WIDTH : natural range 1 to 64 := 1; C_USE_LUT_OR : boolean := TRUE ); port ( A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1); Y : out std_logic_vector(0 to C_BUS_WIDTH-1) ); end entity or_gate; architecture imp of or_gate is ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- Replaced with direct instantiation 10/5/09 --component or_muxcy -- generic ( -- C_NUM_BITS : integer := 8 -- ); -- port ( -- In_bus : in std_logic_vector(0 to C_NUM_BITS-1); -- Or_out : out std_logic -- ); --end component or_muxcy; signal test : std_logic_vector(0 to C_BUS_WIDTH-1); ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin USE_LUT_OR_GEN: if C_USE_LUT_OR generate OR_PROCESS: process( A ) is variable yi : std_logic_vector(0 to (C_OR_WIDTH)); begin for j in 0 to C_BUS_WIDTH-1 loop yi(0) := '0'; for i in 0 to C_OR_WIDTH-1 loop yi(i+1) := yi(i) or A(i*C_BUS_WIDTH+j); end loop; Y(j) <= yi(C_OR_WIDTH); end loop; end process OR_PROCESS; end generate USE_LUT_OR_GEN; USE_MUXCY_OR_GEN: if not C_USE_LUT_OR generate BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1); begin ORDER_INPUT_BUS_PROCESS: process( A ) is begin for k in 0 to C_OR_WIDTH-1 loop in_Bus(k) <= A(k*C_BUS_WIDTH+i); end loop; end process ORDER_INPUT_BUS_PROCESS; OR_BITS_I: entity dcr_v29_v9_00_a.or_muxcy generic map ( C_NUM_BITS => C_OR_WIDTH ) port map ( In_bus => in_Bus, --[in] Or_out => Y(i) --[out] ); end generate BUS_WIDTH_FOR_GEN; end generate USE_MUXCY_OR_GEN; end architecture imp;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
source/hardware/nfc-substrate/tiger4_nfc_substrate-1.0.0/DRSCFIFO288x16WC/sim/DRSCFIFO288x16WC.vhd
6
33467
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DRSCFIFO288x16WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END DRSCFIFO288x16WC; ARCHITECTURE DRSCFIFO288x16WC_arch OF DRSCFIFO288x16WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 288, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 288, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 14, C_PROG_FULL_THRESH_NEGATE_VAL => 13, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DRSCFIFO288x16WC_arch;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/IPRepo-1.0.0/Tiger4NSC/src/DRSCFIFO288x16WC/sim/DRSCFIFO288x16WC.vhd
6
33467
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DRSCFIFO288x16WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END DRSCFIFO288x16WC; ARCHITECTURE DRSCFIFO288x16WC_arch OF DRSCFIFO288x16WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(287 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 288, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 288, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 14, C_PROG_FULL_THRESH_NEGATE_VAL => 13, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DRSCFIFO288x16WC_arch;
gpl-3.0
luebbers/reconos
support/refdesigns/12.2/ml605/ml605_light/pcores/plbv46_dcr_bridge_v9_00_a/hdl/vhdl/plbv46_dcr_bridge.vhd
7
24559
------------------------------------------------------------------------------- -- plbv46_dcr_bridge - entity / architecture pair ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2004, 2005, 2006, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: plbv46_dcr_bridge.vhd -- Version: v1.01.a -- Description: Top level of plbv46_dcr Bridge core -- Instantiates plbv46_dcr_bridge_core and plbv46_slave_single v1.01.a -- as Component and interfacing -- ------------------------------------------------------------------------------- -- Structure: -- plbv46_dcr_bridge.vhd -- -- plbv46_dcr_bridge_core.vhd -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Author : SK -- History : -- ~~~~~~ -- SK 2006/09/19 -- Initial version. -- ^^^^^^ -- ~~~~~~ -- SK 2008/12/15 -- Updated version v1_01_a, based upon v1_00_a core. -- -- updated proc_common_v3_00_a and plbv46_slave_ -- -- single_v1_01_a core libraries. -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Short Description of the plbv46_dcr_bridge.vhd code. -- This file includes the interfacing of plbv46_dcr_bridge.vhd and -- plbv46_single_slave_v1_00_a signals. ------------------------------------------------------------------------------- -- Generic & Port Declarations ------------------------------------------------------------------------------- ------------------------------------------ -- == Definition of Generics == ------------------------------------------ -- C_BASEADDR -- User logic base address -- C_HIGHADDR -- User logic high address -- C_SPLB_AWIDTH -- PLBv46 address bus width -- C_SPLB_DWIDTH -- PLBv46 data bus width -- C_FAMILY -- Default family -- C_SPLB_P2P -- Selects point-to-point or shared plb topology -- C_SPLB_MID_WIDTH -- PLB Master ID Bus Width -- C_SPLB_NUM_MASTERS -- Number of PLB Masters -- C_SPLB_NATIVE_DWIDTH -- Width of the slave data bus -- C_SPLB_SUPPORT_BURSTS -- Burst support -- Definition of Ports: -- == ------------------------------------------ -- PLB_ABus -- Each master is required to provide a valid 32-bit -- -- address when its request signal is asserted. The PLB -- -- will then arbitrate the requests and allow the highest -- -- priority master’s address to be gated onto the PLB_ABus -- PLB_PAValid -- This signal is asserted by the PLB arbiter in response -- -- to the assertion of Mn_request and to indicate -- -- that there is a valid primary address and transfer -- -- qualifiers on the PLB outputs -- PLB_masterID -- These signals indicate to the slaves the identification -- -- of the master of the current transfer -- PLB_RNW -- This signal is driven by the master and is used to -- -- indicate whether the request is for a read or a write -- -- transfer -- PLB_BE -- These signals are driven by the master. For a non-line -- -- and non-burst transfer they identify which -- -- bytes of the target being addressed are to be read -- -- from or written to. Each bit corresponds to a byte -- -- lane on the read or write data bus -- PLB_size -- The PLB_size(0:3) signals are driven by the master -- -- to indicate the size of the requested transfer. -- PLB_type -- The Mn_type signals are driven by the master and are -- -- used to indicate to the slave, via the PLB_type -- -- signals, the type of transfer being requested -- PLB_wrDBus -- This data bus is used to transfer data between a -- -- master and a slave during a PLB write transfer ------------------------------------------ -- == SLAVE DCR BRIDGE RESPONSE SIGNALS == ------------------------------------------ -- Sl_addrAck -- This signal is asserted to indicate that the -- -- slave has acknowledged the address and will -- -- latch the address -- Sl_SSize -- The Sl_SSize(0:1) signals are outputs of all -- -- non 32-bit PLB slaves. These signals are -- -- activated by the slave with the assertion of -- -- PLB_PAValid or SAValid and a valid slave -- -- address decode and must remain negated at -- -- all other times. -- Sl_wait -- This signal is asserted to indicate that the -- -- slave has recognized the PLB address as a valid address -- Sl_rearbitrate -- This signal is asserted to indicate that the -- -- slave is unable to perform the currently -- -- requested transfer and require the PLB arbiter -- -- to re-arbitrate the bus -- Sl_wrDAck -- This signal is driven by the slave for a write -- -- transfer to indicate that the data currently on the -- -- PLB_wrDBus bus is no longer required by the slave -- -- i.e. data is latched -- Sl_wrComp -- This signal is asserted by the slave to -- -- indicate the end of the current write transfer. -- Sl_rdDBus -- Slave read bus -- Sl_rdDAck -- This signal is driven by the slave to indicate -- -- that the data on the Sl_rdDBus bus is valid and -- -- must be latched at the end of the current clock cycle -- Sl_rdComp -- This signal is driven by the slave and is used -- -- to indicate to the PLB arbiter that the read -- -- transfer is either complete, or will be complete -- -- by the end of the next clock cycle -- Sl_MBusy -- These signals are driven by the slave and -- -- are used to indicate that the slave is either -- -- busy performing a read or a write transfer, or -- -- has a read or write transfer pending -- Sl_MWrErr -- These signals are driven by the slave and -- -- are used to indicate that the slave has encountered an -- -- error during a write transfer that was initiated -- -- by this master -- Sl_MRdErr -- These signals are driven by the slave and are -- -- used to indicate that the slave has encountered an -- -- error during a read transfer that was initiated -- -- by this master ------------------------------------------ -- == SIGNALS FROM PLBV46DCR_CORE TO THE DCR SLAVE DEVICE -- == ------------------------------------------ -- DCR_plbAck -- DCR Slave ACK in -- DCR_plbDBusIn -- DCR to PLB data bus in -- PLB_dcrRead -- PLB to DCR read out to slave -- PLB_dcrWrite -- PLB to DCR write out to slave -- PLB_dcrABus -- PLB to DCR address bus out to slave -- PLB_dcrDBusOut -- PLB to DCR data bus out to slave -- PLB_dcrClk -- DCR clock for the slave devices -- PLB_dcrRst -- DCR reset for the slave devices ------------------------------------------------------------------------------- library IEEE; use IEEE.Std_Logic_1164.all; library proc_common_v3_00_a; use proc_common_v3_00_a.ipif_pkg.SLV64_ARRAY_TYPE; use proc_common_v3_00_a.ipif_pkg.INTEGER_ARRAY_TYPE; use proc_common_v3_00_a.ipif_pkg.calc_num_ce; library plbv46_slave_single_v1_01_a; library plbv46_dcr_bridge_v9_00_a; ------------------------------------------------------------------------------- -- Entity Section ------------------------------------------------------------------------------- entity plbv46_dcr_bridge is generic ( C_FAMILY : STRING := "virtex5"; C_BASEADDR : STD_LOGIC_VECTOR := X"FFFFFFFF"; C_HIGHADDR : STD_LOGIC_VECTOR := X"00000000"; -- PLBv46 slave single block generics C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 32; C_SPLB_P2P : integer range 0 to 1 := 0; C_SPLB_MID_WIDTH : integer range 0 to 4 := 1; C_SPLB_NUM_MASTERS : integer range 1 to 16 := 1; C_SPLB_NATIVE_DWIDTH : integer range 32 to 32 := 32; C_SPLB_SUPPORT_BURSTS : integer range 0 to 1 := 0 ); port ( --PLBv46 SLAVE SINGLE INTERFACE -- system signals SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; -- Bus slave signals PLB_ABus : in std_logic_vector(0 to C_SPLB_AWIDTH-1); PLB_PAValid : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to (C_SPLB_DWIDTH/8)-1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); --slave DCR Bridge response signals Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); -- Unused Bus slave signals PLB_UABus : in std_logic_vector(0 to 31); PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_MSize : in std_logic_vector(0 to 1); PLB_lockErr : in std_logic; PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); -- Unused Slave Response Signals Sl_wrBTerm : out std_logic; Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdBTerm : out std_logic; Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); -- signals from plbv46_dcr_core to DCR slaves DCR_plbAck : in STD_LOGIC; DCR_plbDBusIn : in STD_LOGIC_VECTOR(0 to C_SPLB_NATIVE_DWIDTH-1); PLB_dcrRead : out STD_LOGIC; PLB_dcrWrite : out STD_LOGIC; PLB_dcrABus : out STD_LOGIC_VECTOR(0 to 9); PLB_dcrDBusOut : out STD_LOGIC_VECTOR(0 to C_SPLB_NATIVE_DWIDTH-1); PLB_dcrClk : out STD_LOGIC; PLB_dcrRst : out STD_LOGIC ); --fan-out attributes for XST --fan-out attributes for MPD ----------------------------------------------------------------------------- ATTRIBUTE CORE_STATE : string; ATTRIBUTE CORE_STATE of plbv46_dcr_bridge : entity is "ACTIVE"; ATTRIBUTE IP_GROUP : string; ATTRIBUTE IP_GROUP of plbv46_dcr_bridge : entity is "LOGICORE"; ATTRIBUTE IPTYPE : string; ATTRIBUTE IPTYPE of plbv46_dcr_bridge : entity is "BRIDGE"; ATTRIBUTE STYLE : string; ATTRIBUTE STYLE of plbv46_dcr_bridge : entity is "HDL"; ATTRIBUTE MAX_FANOUT : string; ATTRIBUTE MAX_FANOUT of SPLB_Clk : signal is "10000"; ATTRIBUTE MAX_FANOUT of SPLB_Rst : signal is "10000"; ATTRIBUTE SIGIS : string; ATTRIBUTE SIGIS of SPLB_Clk : signal is "Clk"; ATTRIBUTE SIGIS of SPLB_Rst : signal is "Rst"; ATTRIBUTE SIGIS of PLB_dcrClk : signal is "Clk"; ATTRIBUTE SIGVAL : string; ATTRIBUTE SIGVAL of DCR_plbAck : signal is "DCR_Ack"; ATTRIBUTE SIGVAL of DCR_plbDBusIn : signal is "DCR_M_DBus"; ATTRIBUTE SIGVAL of PLB_dcrRead : signal is "M_dcrRead"; ATTRIBUTE SIGVAL of PLB_dcrWrite : signal is "M_dcrWrite"; ATTRIBUTE SIGVAL of PLB_dcrABus : signal is "M_dcrABus"; ATTRIBUTE SIGVAL of PLB_dcrDBusOut : signal is "M_dcrDBus"; ATTRIBUTE BUSIF : string; ATTRIBUTE BUSIF of SPLB_Clk : signal is "SPLB"; ATTRIBUTE BUSIF of DCR_plbAck : signal is "MDCR"; ATTRIBUTE BUSIF of DCR_plbDBusIn : signal is "MDCR"; ATTRIBUTE BUSIF of PLB_dcrRead : signal is "MDCR"; ATTRIBUTE BUSIF of PLB_dcrWrite : signal is "MDCR"; ATTRIBUTE BUSIF of PLB_dcrABus : signal is "MDCR"; ATTRIBUTE BUSIF of PLB_dcrDBusOut : signal is "MDCR"; ATTRIBUTE BRIDGE_TO : string; ATTRIBUTE BRIDGE_TO of C_BASEADDR : constant is "MDCR"; ----------------------------------------------------------------------------- end entity plbv46_dcr_bridge; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture implementation of plbv46_dcr_bridge is ------------------------------------------------------------------------------- -- Constant Declarations constant ZERO_PADS : std_logic_vector(0 to 31) := X"00000000"; -- Decoder address range definition constants starts constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_PADS & C_BASEADDR, ZERO_PADS & C_HIGHADDR ); constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 1 ); -- Decoder address range definition constants ends ------------------------------------------------------------------------------- -- local signal declaration goes here --bus2ip signals signal bus2IP_Clk : std_logic; signal bus2IP_Reset : std_logic; signal bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH - 1 ); signal bus2IP_BE : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8 - 1 ); signal bus2IP_CS : std_logic_vector(0 to (ARD_ADDR_RANGE_ARRAY'LENGTH/2)-1); signal bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal bus2IP_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH - 1 ); signal bus2IP_RNW : std_logic; -- ip2bus signals signal ip2Bus_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH - 1 ); signal ip2Bus_WrAck : std_logic; signal ip2Bus_RdAck : std_logic; signal ip2Bus_Error : std_logic; -- end of local signal declaration begin -- architecture implementation ---------------------------------- -- INSTANTIATE PLBv46 SLAVE SINGLE ---------------------------------- PLBv46_IPIF_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single generic map ( C_BUS2CORE_CLK_RATIO => 1, C_INCLUDE_DPHASE_TIMER => 1, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => C_SPLB_NATIVE_DWIDTH, C_FAMILY => C_FAMILY ) port map ( -- System signals --------------------------------------------------- SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, -- Bus Slave signals ------------------------------------------------ PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, -- Slave Response Signals ------------------------------------------- Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, -- IP Interconnect (IPIC) port signals ------------------------------ IP2Bus_Data => ip2Bus_Data, IP2Bus_WrAck => ip2Bus_WrAck, IP2Bus_RdAck => ip2Bus_RdAck, IP2Bus_Error => ip2Bus_Error, Bus2IP_Addr => bus2IP_Addr, Bus2IP_Data => bus2IP_Data, Bus2IP_RNW => bus2IP_RNW, Bus2IP_BE => bus2IP_BE, Bus2IP_CS => bus2IP_CS, Bus2IP_RdCE => bus2IP_RdCE, Bus2IP_WrCE => bus2IP_WrCE, Bus2IP_Clk => bus2IP_Clk, Bus2IP_Reset => bus2IP_Reset ); -- component plbv46_dcr_bridge_core interface starts here plbv46_dcr_bridge_core_1 : entity plbv46_dcr_bridge_v9_00_a.plbv46_dcr_bridge_core port map ( -- IP Interconnect (IPIC) port signals ---- Bus2IP_Clk => bus2IP_Clk, Bus2IP_Reset => bus2IP_Reset, Bus2IP_Addr => bus2IP_Addr, Bus2IP_Data => bus2IP_Data, Bus2IP_BE => bus2IP_BE, Bus2IP_CS => bus2IP_CS(0), Bus2IP_RdCE => bus2IP_RdCE(0), Bus2IP_WrCE => bus2IP_WrCE(0), IP2Bus_RdAck => ip2Bus_RdAck, IP2Bus_WrAck => ip2Bus_WrAck, IP2Bus_Error => ip2Bus_Error, IP2Bus_Data => ip2Bus_Data, -- signals from plbv46dcr_core -- DCR_plbDBusIn => DCR_plbDBusIn, DCR_plbAck => DCR_plbAck, PLB_dcrABus => PLB_dcrABus, PLB_dcrDBusOut => PLB_dcrDBusOut, PLB_dcrRead => PLB_dcrRead, PLB_dcrWrite => PLB_dcrWrite, PLB_dcrRst => PLB_dcrRst, PLB_dcrClk => PLB_dcrClk ); -- component interfacing ends here. end architecture implementation;
gpl-3.0
luebbers/reconos
support/threads/dummy_ul.vhd
1
2810
-- -- \file dummy_ul.vhd -- -- Dummy hardware thread -- -- Does not do ANYTHING. What a life. -- -- \author Enno Luebbers <[email protected]> -- \date 27.01.2009 -- ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- -- This file is part of ReconOS (http://www.reconos.de). -- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS). -- All rights reserved. -- -- ReconOS is free software: you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ReconOS. If not, see <http://www.gnu.org/licenses/>. -- -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity dummy_ul is generic ( C_BURST_AWIDTH : integer := 11; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector( 0 to C_BURST_AWIDTH-1 ); o_RAMData : out std_logic_vector( 0 to C_BURST_DWIDTH-1 ); i_RAMData : in std_logic_vector( 0 to C_BURST_DWIDTH-1 ); o_RAMWE : out std_logic; o_RAMClk : out std_logic ); end dummy_ul; architecture Behavioral of dummy_ul is attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral: architecture is "true"; type t_state is ( STATE_WAIT ); signal state : t_state := STATE_WAIT; begin state_proc: process( clk, reset ) begin if reset = '1' then reconos_reset( o_osif, i_osif ); state <= STATE_WAIT; elsif rising_edge( clk ) then reconos_begin( o_osif, i_osif ); if reconos_ready( i_osif ) then case state is when STATE_WAIT => -- wiggle pins to prevent being optimized away o_RAMData <= not i_RAMData; when others => state <= STATE_WAIT; end case; end if; end if; end process; end Behavioral;
gpl-3.0
makestuff/vhdl
analyzer/sevenseg.vhdl
1
2577
-- -- Copyright (C) 2009-2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity sevenseg is port( clk_in : in std_logic; data_in : in std_logic_vector(15 downto 0); segs_out : out std_logic_vector(6 downto 0); anodes_out : out std_logic_vector(3 downto 0) ); end sevenseg; architecture behavioural of sevenseg is -- Refresh rate 50M/2^18 ~ 190Hz -- Refresh rate 8M/2^16 ~ 122Hz constant COUNTER_WIDTH : natural := 18; signal count : unsigned(COUNTER_WIDTH-1 downto 0) := (others => '0'); signal count_next : unsigned(COUNTER_WIDTH-1 downto 0); signal anode_select : std_logic_vector(1 downto 0); signal nibble : std_logic_vector(3 downto 0); begin count_next <= count + 1; anode_select <= std_logic_vector(count(COUNTER_WIDTH-1 downto COUNTER_WIDTH-2)); -- Update counter, drive anodes_out and select bits to display for each 7-seg process(clk_in) begin if ( rising_edge(clk_in) ) then count <= count_next; case anode_select is when "00" => anodes_out <= "0111"; nibble <= data_in(15 downto 12); when "01" => anodes_out <= "1011"; nibble <= data_in(11 downto 8); when "10" => anodes_out <= "1101"; nibble <= data_in(7 downto 4); when others => anodes_out <= "1110"; nibble <= data_in(3 downto 0); end case; end if; end process; -- Decode selected nibble with nibble select segs_out <= "1000000" when "0000", "1111001" when "0001", "0100100" when "0010", "0110000" when "0011", "0011001" when "0100", "0010010" when "0101", "0000010" when "0110", "1111000" when "0111", "0000000" when "1000", "0010000" when "1001", "0001000" when "1010", "0000011" when "1011", "1000110" when "1100", "0100001" when "1101", "0000110" when "1110", "0001110" when others; end behavioural;
gpl-3.0
makestuff/vhdl
dpimext/topLevel.vhd
1
5845
-- -- Copyright (C) 2011 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity TopLevel is port( -- Main 50MHz clock clk : in std_logic; -- Reset button (BTN0) reset : in std_logic; -- Host interface signals eppDataBus : inout std_logic_vector(7 downto 0); eppAddrStrobe : in std_logic; eppDataStrobe : in std_logic; eppReadNotWrite : in std_logic; eppAck : out std_logic; led : out std_logic_vector(7 downto 0); sw : in std_logic_vector(7 downto 0) ); end TopLevel; architecture Behavioural of TopLevel is type State is ( STATE_IDLE, STATE_ADDR_WRITE_EXEC, STATE_ADDR_WRITE_ACK, STATE_DATA_WRITE_EXEC, STATE_DATA_WRITE_ACK, STATE_DATA_READ_EXEC, STATE_DATA_READ_ACK ); -- State and next-state signal iThisState, iNextState : State; -- Synchronised versions of asynchronous inputs signal iSyncAddrStrobe : std_logic; signal iSyncDataStrobe : std_logic; signal iSyncReadNotWrite : std_logic; -- Data to be mux'd back to host signal iDataOutput : std_logic_vector(7 downto 0); -- Registers signal iThisRegAddr, iNextRegAddr : std_logic_vector(1 downto 0); signal iThisAck, iNextAck : std_logic; signal iThisR0, iNextR0 : std_logic_vector(7 downto 0); signal iThisR1, iNextR1 : std_logic_vector(7 downto 0); signal iThisR2, iNextR2 : std_logic_vector(7 downto 0); signal iThisR3, iNextR3 : std_logic_vector(7 downto 0); begin -- Drive the outputs eppAck <= iThisAck; led <= iThisR3; -- EPP operation eppDataBus <= iDataOutput when ( eppReadNotWrite = '1' ) else "ZZZZZZZZ"; with ( iThisRegAddr ) select iDataOutput <= iThisR0 when "00", iThisR1 when "01", iThisR2 when "10", sw when others; -- Infer registers process(clk, reset) begin if ( reset = '1' ) then iThisState <= STATE_IDLE; iThisRegAddr <= (others => '0'); iThisR0 <= (others => '0'); iThisR1 <= (others => '0'); iThisR2 <= (others => '0'); iThisR3 <= (others => '0'); iThisAck <= '0'; iSyncAddrStrobe <= '1'; iSyncDataStrobe <= '1'; iSyncReadNotWrite <= '1'; elsif ( clk'event and clk = '1' ) then iThisState <= iNextState; iThisRegAddr <= iNextRegAddr; iThisR0 <= iNextR0; iThisR1 <= iNextR1; iThisR2 <= iNextR2; iThisR3 <= iNextR3; iThisAck <= iNextAck; iSyncAddrStrobe <= eppAddrStrobe; iSyncDataStrobe <= eppDataStrobe; iSyncReadNotWrite <= eppReadNotWrite; end if; end process; -- Next state logic process( eppDataBus, iThisState, iThisRegAddr, iSyncAddrStrobe, iSyncDataStrobe, iSyncReadNotWrite, iThisR0, iThisR1, iThisR2, iThisR3) begin iNextAck <= '0'; iNextState <= STATE_IDLE; iNextRegAddr <= iThisRegAddr; iNextR0 <= iThisR0; iNextR1 <= iThisR1; iNextR2 <= iThisR2; iNextR3 <= iThisR3; case iThisState is when STATE_IDLE => if ( iSyncAddrStrobe = '0' ) then -- Address can only be written, not read if ( iSyncReadNotWrite = '0' ) then iNextState <= STATE_ADDR_WRITE_EXEC; end if; elsif ( iSyncDataStrobe = '0' ) then -- Register read or write if ( iSyncReadNotWrite = '0' ) then iNextState <= STATE_DATA_WRITE_EXEC; else iNextState <= STATE_DATA_READ_EXEC; end if; end if; -- Write address register when STATE_ADDR_WRITE_EXEC => iNextRegAddr <= eppDataBus(1 downto 0); iNextState <= STATE_ADDR_WRITE_ACK; iNextAck <= '0'; when STATE_ADDR_WRITE_ACK => if ( iSyncAddrStrobe = '0' ) then iNextState <= STATE_ADDR_WRITE_ACK; iNextAck <= '1'; else iNextState <= STATE_IDLE; iNextAck <= '0'; end if; -- Write data register when STATE_DATA_WRITE_EXEC => case iThisRegAddr is when "00" => iNextR0 <= eppDataBus; when "01" => iNextR1 <= eppDataBus; when "10" => iNextR2 <= eppDataBus; when others => iNextR3 <= eppDataBus; end case; iNextState <= STATE_DATA_WRITE_ACK; iNextAck <= '1'; when STATE_DATA_WRITE_ACK => if ( iSyncDataStrobe = '0' ) then iNextState <= STATE_DATA_WRITE_ACK; iNextAck <= '1'; else iNextState <= STATE_IDLE; iNextAck <= '0'; end if; -- Read data register when STATE_DATA_READ_EXEC => iNextAck <= '1'; iNextState <= STATE_DATA_READ_ACK; when STATE_DATA_READ_ACK => if ( iSyncDataStrobe = '0' ) then iNextState <= STATE_DATA_READ_ACK; iNextAck <= '1'; else iNextState <= STATE_IDLE; iNextAck <= '0'; end if; -- Some unknown state when others => iNextState <= STATE_IDLE; end case; end process; end Behavioural;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO64x64WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
85
19921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ F0QO+ZZlvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O dw5/lv3XsuSXKGnf8V0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f 0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC lN9AukRoCBpCWkU/kGY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8 ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008) `protect data_block ugPchIic85pVoazhFaH2TWuQjqsMIDhfmCLDBUOtUS/RF04DCSHl4KkxPTtW6P+RbyiD3T+m3kTR N0N334mL4z3weuQnltN6rjwv5421Of+ebjf9jNPWmR9lf0e4KC1P2a+RqcNXNDLyWLeUSh5xhG/Z 78Dc0xYUHiF3d+hrOZnBHDBSzBMBxH85jBmUl3hJ6N8TJlBFLvzDJLAwx9rMqd+/ukKUbS92XXmq GUfEtXOD/kOjfUAMdbSYK383ZTVeO8P00Ys+990ShfmBjW11X5zfC8a6pcCnYMWovhs5zhxlg5VY 5qaNHLAk3jG98jGzfgSX7h68H0TVRR7JEDFOWoBp6nHeaNm3GUeQnBHvRRf9iGTHwtNoBo20CT3s B+8CdJKTLLUzk6qAj2GsiBGHS8LnYKmNkY/fLp6AmB2/qs4DlNFJIF8WLDrSqDSxN7vLmxfW02dn 97TZdyIIRU0sSDWmckU00Bj4DR6lVWvrorzqz+TKptQht1fc7GrTmUsh2hItQeA59cOw1AOmZDS9 1wq0ZKLVSXAjdB+CY84byFDq/ggnql+ym9df++F4CndyzeHn/77aqPKlsW1vloWWAkuV+/oxdhDW p20TyTT2O/VPp3m+EzlmnnWV4xK70h3N6PHM98xVk6mnffhh/Vgh2FPYUMBhFoA1R5ZkVo2hBFx+ BdBPaixrD1Bh0/1sYSrIxRoyOp3yESYfh6j5q6cxlNTa1qH+ifL4xeUh7yFpIAKcpGKV/BHZ4BXZ dzcowFS0zr5D1j59GJ2fBtclaFagM874kSHoT7saPkMwJZzu0a9Suyo2oyHeDY5u0tqwoOjIxSPD LgfMjS5eI/7kq2LRK6vuUkw4NPeUXfLEpGv5FCJ6L5qj3kBW2g6KAhG87smejtyOyPsvsfynrIc2 3VnDVEHbU7JdT+65asCO43n+F2twwXTbRz3siKaGFW/pSUKMJST4WLbItR8/WK8o1ze8PIiuzlcV 2q4JbKB8FDK4mLtzmIeYo7UTwNP6U9iXbLmMvu0dzyoUw3NDGJK4KUCMCVvDKN1R/+YL7Uwr/kfr H2I7nTHDRk6JDcgnN9lfHUT2S8C2BMkKRraWkqRs0K6NTq153MMgQ0I68tioSH/TpTwOz9oXVKBC IPIOjB4kXex4kXwRz23kXOxBtWL2ufKqg40K9aFi7BK+LFkdT9TJGBCwlY11kGcbWle5fHFUxBgl D7qMX2r59mWmGBSSP9gWsTMEGB58WJb0FCVJzJGA6OZLDvK1GTVkE3XRxGSmgNPHSS7w3jMJyMM1 LUnr75CCm+QeHuKzLZmM4W96dN4nPXd6yqiYEO85iAqDcN94rfIuZjKzOQpcTx9Oi4DIbX9uESmY tjGl70Xxr/adCaR8ilSvqqc+b4MBKqY7ELJDs0L3vXhscTGf4aQPSq6GF+yybBqE/m0z770fLOgC kzFPyfhoCNMoGzjgznhNFl/K2SBBjlumjwB4VoL4kPAv9g27PQzII7LCyKyJowsuyed1QFyLx6e0 zPEPygamJ5b2aKJfHjhq6Imar4DfdI9b/lJ2m3mKH5VW2TviID8sew7r/S+Cqsd1khcH0dn+JSpQ Cz5CskuqoPSTdJzTOv3pTxDL59oUgopc8EhlWAJY+mQaF0YTUq6eOMJWIqiTRPuWQs6VbYgRaUWq gGyiyprJEh8tjGjzF1g0t47LQBCmfF+ET+Qos0n0Wh5P3AuYe2d26zA4VHkOum3I/l6rLBKqZWgw 80FOjGL5rFavkxULg1QQ4CE5oihzlPEto13KkUan3UDd4FbgJCBwxe8aBRFgid7TZjfFiKmhUFt5 VQdmXePim15/U9KY4mMIYrnEKT0toYoS7SwJCXalf9S/2xU0+tvNWpw1X6qO/7v3BrnHauRDb8p7 DXnByUOEvR5Iwn7KTkPdDsC19IFFE44KJQW/TLM47NkLTnUgjtpw8GJXRgECeGgmVvN4zDWAz4kH JoZvUApvZD/k60yS9lZdKVETDuGnJLMPWqaE9URGx1F68zV/t6gNNzi4Vbw84HrncqaVQ9wkyUgz NG85xmeRL3PM9bycBzo2/5tEUhya/xB50ZheKo/wFA+vGxItbWD3A/cZCrWTA58Qp5cdb0eH8meI wFkrjyP9iCxkcXPvTVMY8oL8o5pJxxGdMvGwC7jjkK1ms7Vqxk+flNpQwFOxc8Bhi0iZ0/TmPVEf F+ZGV5tPUjYRSTzxofFD0jffamlYZQpqwKkMAfWbYnog1yK+fbQm41jBZ/ovWhcEWoIbKWZIjFSA OD5IG/kjBWkEXyU9LTohftglq69r0maNKbr7EKYPsDpvo8izUbasMLapY/Ny14fG6BWgAYo5xI4s djD2qS4vNfqRKU4rAsx+aRpvVQxZ6OeKvHYxiqiIPhOi8yi5g6zUu2EdUUni0ErRMnKL38mmI4KK 4xPf2PcduRPfhljJVhFLQAL/Vx9rs8TI2ixHSpthP0bZl7TycX1Ef3z74wUQ6nP/jp9Yi9pNL4Es OrsoIJiJrNwmzskoRB+FpS0XmnGK+WXeWg8mUkqP5uDqsrTxbhy1nUF9+bxAHJTjfax7I9UPhkOO g0Ms31UeKJczoUQXY4xQ2756Cr+BoXCCgUE63OOGha4EZNIFVDjshALTXX/K1Zamfq3M4naQOWi7 h36LS6E/7kjsvKD0qig3B+bw4eYn9D7xp9W/b/xnQX1Y6b+KJI1mq3ewFW6FWhzfiushu/g1D3BH IVDnqB2RNE5KByrzrzTWfPXtAzqwVypuv0uPzMYjP/HUWBWWxaM0698XRYq6tEjyEZmrbmcmdddB F+978ctayhLeGND3gjJzMD9GVyWbsp22+eKzIrPfgf+zir8BuPgUT2EfbWNhBLNuDCTbpqX3h5p5 UQgkeDx9fuGyyfEwSY01Gj+b0y0ZfFaPQ8GsQKQ544ltOq5D2c2hxCm8+bT33Xox9Byh0dE54yji mtCKhyFgjWGN+nc8kZemzz58Qc+tFgq33sNTvW+u613NDE6weCWYbSHGw+zKAAKUT3bn5wnjKlNP Za0+LvGGrSLhqhB1E+mDbzQz9WPa7pk2U5Yx8hXeWw49WqeFimySWFNJyPEM3fxsATP6UzAn8oSB gaeOEqF9ZAokKdVC3hxFEdR4hES9AH5L8QlOcAwytA0XEPo7+VJ1dvQy+CUJFMSViL4UyQ/1d3w3 /JflFzwiR+Pqp+4cC7R1OcxV41N/Xis6AjZZ+L7AUqsfgANI6OaDHJs7hPXGw7n3rtuNAlK2NiwM bWvK56olPYG7yuoHmsKWkg4wJLoG/MjMFjZEijGpYHYAri6CzgPrNOGFw45dmw2Adhf7PPKe3wyy LOw0Ka9N83vjMWPcpVP+2ScLxhfRWLsSQwqGhh8AaitW2OiTx7ekbXijvvIy/TeTns9LEnf2mN7s IBe+4wzrMc5PmHPVAy16ju/wQ6j1XAbp9cyi6gY0S6FWOq1l8lKsx96z6qBwWwgxdynUzi7lARod UBJaDyt9i7nIhqjp79HJziAlaRo1Xrnt+LN/sCHD7PBmqF50pCSW6YzEuP164twgaiGlAi/yYTc3 kt2l9rDpHVSNaJM0oz662UavJO7BxnuoM2PoAzBcoBY78upERvNQBQFzOo9XtFM6zaeXPNJPSIJz H8F3j7maBdtYWMjy4sDalZ7Fyxlo/lRm19Ds8/86OjGDBNR9PMmPiZAwnLvtNG3yp5gmgsW1sskF +jyaMoW9SFHOaU0dQ9hPXG6sflnNACAOh4LqrSjDxOdDGXJIBbCV85aakvibPiTbvRihxfcymks/ F3Vg2mB0MLQN1C41ypwTN41Z7iy6mmfowNrVB6suL0B4C23X5uXmksOWaa7Fqhue8voYHNYz87AX 1CmUnsx+ESMgq+Cnk/6CljrQ65N4INHD44SXvqqsH7KGdCK/VJXrSrd2MBqWddVIeoLXCuqYwOxj ezDRKd9jCIrgi/Tp6uGj5vAaqWNO0i6KQ+V1odyjNq7xhDwfSyL15I44zNRkGVJd7ttEs2zo+e9M tTkR1igHWulM5TMUdbMdej0p4q9rY2Vpez9BWoTMI+NMTosN/VBa1uoXg3e1i5Mey6pH68hXSc/y OOl6NP0lAsF6r0vso9xG+KIJcJZ1ldonn048etdsDOsRodP/ZiitgPAjFW8b3r38t2L5uZfsEwWr WnyK9Yy27tLFMgyfeBmKMin/bqqg+xVK4GGjb8oravkTjBVW9VCYc8nzEU0RlL3Kpd4DNscR6Chn fBK2UambbZE0jsrc/gF32wOzEjsRYq2t/NxyOzmZ6TBogtMcqTuJUWvDPcmPB7KFI1xve5KpTnFH b+AGLQebcHUjlzNAfV1aH3VZWNbetP9Op++JhuKwV84xkXVR7HuIdr3CIedCHKzWW9k6bDKi27rn MpImtyw+Jhjrdjb6GpTy/V9Mt35CVz0ilaAlzYnQJBMH+HbaZ2u8yveI4vSTZwSZ51DlN6u2fdtZ OHLA4WhhHPzW93UgL+Z3Yn1ZfGH8L0XL0rG0Wmi5mZuQTP2nfGHnKvEpiUV4uhQnQoO4ovh+VRRa UdTp3aYvOwcLa1Tbfxs0zG8896q6sLndM++95zagL7jOyZnFmQddlKEtNZvqtBxvKiZzUa4mudH8 2QAR8ewUfAWLEQ3FDWqtbRklVLgvxrbFK8SrAIE3lwO5YHXnIYHasG7Q8kSregntWeOmW9T414pQ js7uEMYE6soIZDftkj7r2aw7chVXBS+0VcsvZ/buAIoIiNyiZISKmnQvXIqWI/07V/7ZcJb1Mul7 iyxId91udFo7+4FtchFIwNV+RHeV4jxN6vLH4C160myH5id0QWN9saHX31nAzxNCLbI/8NdaMApz Pe14SASWdOWHeESkoxp32P0cnYi2VaV9dE8aaiiuHcNyfSbIndQdMiAHZADN8h5t9ZH+FUd3QsyF Sqpl5mKnkQ4Iee+gH/GeM4GoWyqumkfLSfvgpjCP+glKrnG8oarvPatTNQyzZxLcT3qc3gw+2qD3 w8EFzIZpIIllJuwyHGtnzdAofwWQ2t2QhS2nw4dvDoLydqXqtl22O0UF8tdDfG0ePnfvBF1OJ6tX 27vnuoiD7/sNn1iXhX00lrc+/ZM5JU80RRA1t6eEcOzVORKNgDQJFnuujNJH7wLQ7EHTUmeR+sAt Cv1KJNSHlVz/cK7/iUC0r6axtv8mKAVntAgTy/SGFP6roiqTVFExrldW4nVtzASpqjulJ4OusT++ eOEove+a0qg2KM7bTk0Bn45YeIrsgjan3/mlE07UhfoZ4SSfMl7EtZvaRR3ipwx6e71+8ku0ngoS qy8nRgtaRqRY7Jk1nDpdNe2iqhTgF6b7cG3phYYw/NBlzKtuyfmjvBqZyBwCH9LpIGKRL75azkK3 lLHg6yhSIhU+EORJ2y1YtfqGUmiG9Niiw6+HG4pf6osSsJn2bE5IAMUdFnPsLXbLZGp7eSXJQyFq 8hpiZMpF1xQkxYpxz/wk5LDqfQjjU8223MNGUH4fNxNkw+ADIhqqCZqHIOIpsfQzG71AfZu814ak jBTWPgeR/JYYF+PVJCvoxDhcgNL8XkOo2SzCjPaxCZFctxOkd/vDMvfhEwUgLbji10FGbOWGlVBq NZCJSflITRjCxkEaeIs4knmuyTIB7itLSpYbJz2ASoRzfyhtxl7lRvRhoI3I8JWSjaE0gzCDLYgi RDsCb2RiJ8XohoAuLRZKRVerVZcZf3rUI+0/K6zcdFL0klSPqnlt5vcgh5NgguKaJ9GabPGAD2NP 9fbfs1buAvi4uv69L1MqWzQSAvacBFAa4P9AT8u+t+aH0rU9nbdivFCa4QBcrbu4dIurpEOTlz6v Q4y+hklWTfxSNJo3P03O2zVvqDbYdlUSMVa47POuEwZqhOYuMHlll8NMSrwLAgOBiQ0GMCj71pVW mThWwktWKUqfgfmCpBcf1vO3YgSc0eGbNhSvwHYOyVLBPc7ySaMgxTOBJYVsnEQHWtVqoSnTAk3G QvSI8/SSOhE95/e4PurKfyZRuJflbeXE//Tnmh5ucLit5o7PbNAx+7Vgzx7QWY2EmPlpS1vNTfXL E8YrX+xRsIaJTA8fEBWnr/2c6i8iEenn++STYpXoY5RK8zeq8W+hGwmkOPtbQzLKXWJlpFkYVR4o nSLEIJnCAjsqDoVa8M9WHzmMxduRz+5JHd+ulXyw6HuVbSfxGl7+1vmiwX98jOJV/B2rnUnZ1WzQ frG/pjNNX8cyOnWkREdG+pBC3KYfZH9J7OP2KLdC7ZPxSR9hYJYqhq0xq0pyolbbeIXSnahGLH2A zQklbExh0qDnU0yp1f5U2TmWZDcf3jcHCvmJAm+cSplprSIUCHpP9yfCr087fRyet37UlxQTirZ2 Cu275t1hYOJ0EpvJYJ1S6sdM1QsbzskwSDC8Y0xP98UWE6/+AMHdv3GnE6SvwNZMvTGJkn96ab2o 5znQmV8122xq0E6e+2wD/XdQve42BvedJmozWGevKv7/RLHlK2YbqQsVKlDxeFIBunkkGOBmRsbL aBqwLRvIuO95OqqPOiRjf3RRcpxxM7y2a/uM3IuxuvLPfmYxV99uAcrvlwJkoiAnFLTlQKD7L8v8 0aeXoVkGq8/cq0354bbX7VSOJvu4cu5VUhtCS1YI7frRP2oJmWAeQRekteggVCoDflkDUqzUsZLQ tS+fjwPiGPLI1b4K4HZvtGwp+EUT7UUzfFeEJNhEPPa+GT1sHRDq43kuHJUO2l6pG02DdQwejKvE BgHQyX4JsLUlFp54yHA03+7z/+1+hVbZf1gG19WdyGmqON33qKq4fecMxXgb08hJbkLI/bzfiPJo GIIUI4ZgP80hbq3wJt3KVfhyMfbQNTfFTs1DiS/ZtB2YMSgErGn+A8gpB2VHPyovp1MWHUhPfsGl Cicec2BTQreiNhgJSU3tuYBD8HFEOkNuG8HxwffyjMrTOQUDf5/DcRSh8/QZN9aRP7vqMB5+ouLB BM3Cm3zzlWfS/lNhRsCrewOzfzFINfPGZqgmtF/1UyksiLB0VrU1KzHhWCMK6qwQepPPMmH1Ww+H dhP/5e4gNAw7t33+ciyoMyKCb/1I3sCedU6yjgejvKIdLhu4OloBAgbaBVAYhb2QP5GixZZruar8 K5r644IqRnPKDjNB2MY1tUwguYv2cPh3zYwZvI+maiWwHhQAHwjXFwx1y/zRxF9+OnpAHpeqga7K cmvuqKPjBhbGo0Vk/Dw7A1CQ4CG6b6M1AdZYpimNvVrzA7NKArpavLkGw2GCxuoWS2GCBqcumTKP MVGztQTL4F7W5ufN9sjaCh1Lh6LHjWq3ufgoEP3DK6R2KPwPrRnfEsTQLbdQS9SGjcpVRNcpQfAV i3OBYmpj60typMrGJyAP9EqSaOwlG6sWtz/p1e7AG72uyH2OT5PMSlQ7z3WCFYKRc/eI7/svcCLw TV3v7TH8B/1z+usu9X3y5Ad4fnvZ1jaSCKRO6KEjdKoIKJRsV9UnmMm8XyrUZtRcQpdWogyhqTKZ 3hODX4nK2UKq0ZPcoq4unvTXSvUW31Esqd5c3IcGDTMPHGceQHYmNfhkha6dJvTce7iJ/Dx4eMzW k5DDQml26ol8E9GGKeVa/awQmyAqtlmpvtHU/sBtxfrCTFmD2TcUGW6FdwFXMTu1MPwHJCE+Uwe0 VOmcC+/Mgaron1eLzMWV8XyW0ACztshoHbJfD9d9JsU1cJbGyOYQXu5pydYlv0r7f6HmDVxM7O1S rCuOYx2DZtSqmHzS1d8qCYlF2TkW0CVBcb8FCABSIM6caQ2aR+2MnCP+f/2uXHkH5acFGclk0M3n xc2A7ebD6mzZEOYWWxJBDElzxDKstwuB0ok5v2gwisV8CeuWAs8bjHOs5An7XdyM5g/9hyxXZivj fr0OMVLnw6u/Q+8rJV8/MoRSJ6wjs2qI8Z5MJSh4pBnHrwZbv2MWl+AIAMlq94qF4weEhBVNaHGH CfVPrMuRFwHVRkRhdFZAcsjaQBs+s+E3oenDYAe7Ko4bHmAA1sKKYLiatMXxmmwXSSCz6FewD4PM EFO9Gv7SsiECezHUmJxNcolWOsuk0RE9MakoNlaBgzKEkiDTqa13GwLnNg9Ck+cLCcHgnzIO1Njk L4b7LBFITgmMMm5B6INns4IdMbmaNO6KziwlBDOx22CmDUoV38m699UmEYCaUoDauHrMWbFAX2Nh 99QDJ0gI/4echrXMMbmcWyrizwN+QOYXN7WcSBMBW3iyy3ttsbDzaeq9/oPU18zzPAM+D+zFmeR2 zdbBrzQa9sESX1LphCo2CrKvbqZOJ65K3Sd+BlGnzi2uADnDnEqjwGRXXAnPihzVAbwbYxSLMvk6 bz6SW+eG9w6sZkC8M6DnGvKjF+Qm5tm241A6vyreUHmwA24lFL2F4DQ/wcOaGIxI1V/cxiaxxG24 bhp4Q3ZDgkwS12sHr+j/380mxAtPIeOyNv5Jc15jyBWwkCJ+pKuzrwu2la3lwDcbZaIRB3QwG7Jf +b1aBgR3kCCQkyUMFk+7nJuGWWpI26G89k9mbt7RGBKNhowreUdEkunfV3Ce6DswgpB0DHe7dO+x tYunTbfkcJvJCaDIg7MmBnaK7vEHSDIQ3vk1/JqRqFQj88Qiw5BwFV10oPymHswGDs5Y6PIHtWvN 0OdG7RqnNFk0sFTQkgR5IsxR6CcQIL7TUw/dBEwMvmQ8tO+jtpxMwOPKu5I1RjaTOYHWhHWp5c7V u016PT3exfxVU6/P2PRvvG5ppHbkirnTGwfDZ+0Z1HnVvBLbIeYkU9VlfXZdMcm7gBcbme7Lo2Ie NpSu2PZffaAlMGWZjninpfmmeGrjGRquaDcN3kbZA+M0d4d4v6QtTLCCZDKC5n/KD0pphYQ1yEwT 0e8gU+Q2n+LvWiAji6Ij6kRFmwkk/Ym2MuKGSakMztcBzZdTqCc22uHIwNPqU9lNGV64pnT+6O8s g7U9zg4/JzFhnIO8EtTmid/2CZhfhXsyAB3ze0Ak+sHyY9ad9eZNwnQkJ2UzOsaKXYa/8t+ZvTAS btPANzI6CtZktmDemOgTWKfrwG4Z77GYcEk4VOzTFeQfsVSqJ1SyAIMGgqQUF+pGk22sT6h/6xmx zv6T41noCLQD/2wf31d6iEkF+z5HA86qxKIduLnahwesrHJnZoQHLtO/hg6W9q4tpmy8dchOt3vR 7NcdOx9P1A9EUI3iNDQCD4ppzR08rxLqrO1f7wdD8FtZuyLGgcRceKh/9iBM5MYtFphzbai+Y/NT +30bD8xPgfqjtCtbeuAjR0Sh8WeIy9gDKwc52WwOe7ljeiABuBxt0WpaYOMY9vxWP9J/IyaUWi54 4bH72orR/xMzYfnJjD0qjxak7K+1Ebo1ieyrkJFUoqTJsM2nNolYkf281GAO7pp+BkzZyvUxT6c7 bJlMXA7bUFfEtIEw+Osw0rZ1RASz2N0aFrVISS0O9xN/TFlWN9N5ukA4cn2rjzxCAVg+qTkacZGj rCP9graLLlQOkF+pC5atmThyzkSWPYf+2oYU84nB5w1RwUxI4otUS9wKKkMgjXtp7d5S/fKAFVfG ysAivsHGSG5R4bKNY5QwjJ/6968hMuVya9rih0BjYQPX9VC4yTuyUtsn7qW89ivRqrKy1qYT/xQ7 bY+dA/ciLOIdM2mEWvwZgfCynEcS+Pzqx0w/WR2HUSB1r7hKIgyrXjOC7egRl+cM9BOFaQmJBL2F kJcdNUzEO7hPsyDvlbZ6G8pdkEZDeQXn5MtHpFw/KMAmLXuBxBoPMTDD7XjsZTR171GOdb1mcll9 19pbVmD2rH4l0dKbw2J8qX6swvH7+/eF/l7k40+b4jZQMmHSkbbxrHTbTTSqHrVlk6eWZ0CuujCm 9PrZ3s983CBMJnA3GlCkvwK50OoQFFweh/2Hd5bBoXZUg+SshWddUSlOoq5nZlfeB0hcXfpYkOwt C/TfGMV56JMsRwITN/SkKO04Hgc6fQc6qkEpag40qHmTNgDSWOfHuskqDoVpHBGBPqAE+saW6FMp YmcxpAKVJKxfvvyIEUBAlomVPep1FH1wK0Q6punWKQasWvG0qdmdjmDh01QIff56cAN1sefxkwYH k51x7eB6p2FZ/DN6fSB2fYfP7Yg9HFTKcj8ef1Bym7/QJRHw5PbTw1A+RX8sNM4dbuzQFX1WsaXN J/F26uB4ePpD5ukYZ6C/Fenm0EsDQ4ztrXPvoGT1UzkkHNNGS8EGXQvW5SYsScQWcO2l4JI3V9lr ayfyEkpYTAY/goJCjCLUTpecHTO+LW5xQMPp93TqgereowbY0WIDoU1978EVVQlcZr2XjnsoyO8u Tj9ddSY/bj52JU/ncZVEX+pcvAqiuo8L4jeeM2zQc8hBW2zvvlUFYSSqrukjrKIcx26CC3t92CyU 3344G28F5g8PpzlcvW/zQevzj8wXNI+0XkSEDZp9db6eJ0bCv3oCDxdwv0CA9wIAYq9XYqvn64RM lvol0JsLKJNQ+10znu/ocWJpvhlWYvvn2tt4Nb+V4NIZGmOZ4p9dpD0L/wBawvlgvT5bDKjEFIP8 1OBK3j3ho7+ai9XZqauvpF+aIBvqrzPZ7wj/fiyKCZpWcstSDSvXfcRhBBRkI+o86RC7vs0SOSqd T5rhbnqyil3D/1goL5PMVEoW00401lLrCd+zkkejZqK0Al6+zkepngWwOyEQAlM19owEMIaNM2cL dwEfWOcPpfx4Nxzpp36wUaaF/yAz1VMl6a+ovf/lIeFeFk4IceDOA0PapHAUjnWnInce8cgOdr2B p134X8lSBSmziEVvJW9sW9FP3M9Xou8+TaWQgkT8RkhzP5gzLkRbfz/kxE1m6V8e8n77J7JHmnK8 T3XM4sxf+sdEpaE6oOSzIRDT1NL7d5KC4r6bI9icoLS6Ug9fJL8HMLvOwFtrj+K78q8mqb0ut1bY fTCEyh39fot4pOBGhSJgBXjXEnDKOXvzM08S0rBwFVZhHHbtXf5wHNuPnWYFNXwArkCBPZLkrB8G azj++KGft7tP7KD2c4asdVZuzSKiI0UCRThyqY68yeLdJ4I5raRtfygrShHo5hnhUuZ+vcK4mZig MN9d5f2ncdrtDmQWQWCcJXsWoVUbD73fz9KCFSv+bJSVWtvGCotvRZQJkGCXGX4GywfgzwJL7Ia8 hdL+E8NMKcQ0Xyjqe8sF45B80bSzv/nILgAZezqqVHjgL8XfTvWDugeSMEZtd+XYVNcQ2r4hh1fV rfqgBcK7Lqhqik31Fcv6plYuZwlSdS6QLmFbGJmrM3aeRuCSITKzKv8b2vrPeSeQRnn0NYvjwN30 WdBH96SMxryYnzbcNbwt2cwyb47TC1ABhh4VSr8+dSAi5IpcfVH0lirSnmJn7iqlDNXk6nvEsXxA WMiUtohOXx3BXSU/ZPIHO74Vu9Goo9wm9l7aCiAcXXzF0Ke8GthcuJ8vHve0eeAu0XqxqgpwXLi1 Bs7OfGoNoC36vJNAqzCLaPXl5CJSSWuOTWHKPsy617fPHbicLO1I6pdea9nntSSamFVfisjxI3MW DfLj0R3udqh6X7qPxEX0Tzzlt+XyU20zKZgt1cDXGqKFDTmpJzQA4ko22drmws26lRXIA91KuoFZ p+BZ7czB8HyiwOzzWrBGA0ReUAV27py85PBMPJlh+gFMcG03zPXrNqGch9z8tFh/1VAls62/6Ddy 8GfAkltZyl8q/d8MRiDM5R2RonoC67YJl4an18W+qwN71gXOA5o8XbCa+7iBuJz92/d7GpMkDIHJ j/fOmyetG9ZdKfNEfWtcGTZ1vz9G+5odQjEgYSVC3RErKMREr5K1kR1mUdZiyHiJncWlvIAum26C G3+Hlwq9bIyE1ECmS6ZIm1VeE2VgycYhQTADzIYxLBKT+KjJiMaB32C7+w5VqoeFlPKK/DXXuH// r44w51JR7mvybF594ZYYds2yqXdrItNspSz1uU5f8DQ39F83vW/JBmQ1zv/lcuAkZdv8Uji2OxLL obq1EFFvP3+STnuRi4JZjmoxVCIBnidcHN0hq6lNDdEbrv1BJ9HxN6ppWUJHRsydw4RZT3ZXpXj7 5M235BkgZUyU0XUqfv0mjBIx5dd0p6NHmkQ2xo+op1W7dJRzfcRw0+sZWEwFC6mwPc13v2alCppr yml4wNPJ4rT+lfKt7vZrKM3d4zPFLqxUTAnP2f3BSm+j2aItYzm+Vybw73ITBU1IaLS/zgJ5nIm2 rbIUyJHdfedhzJ46x+Xv3jcs5Nu+oXZ4I8Qy0Myoyh7G6gAvU5rA2WwB7cR7uG+4Ib/quG/oAc+b E341x8TpKcVUIq0bVEf+I9q3+pvJ7dCRHunigoZzeQUfmqePNfN0LKuEn1+MVb8wrYwrty/3fpRp kC64tuZlmzVkdePyIIX69uw4IDqrdAjvPNRMLB0pxG5nrjf5iIP7qC60L09XpQdOIKNcuTgPDRw6 uVNwVaaftCIzLTmvK1qcubxea2lec3W63wzyCJ8pHO3j61l2uUr98GyqP0IbjhMe6sUihs0YB1Mf HFCnS71UcLFrQqjYs91ZHbNNBZSYfWxxCChRHflqqR3ZEpLyN6LUHXB99z1S71vcsWuWy1osz932 RGY+k2K6NVBTflCNylerKecDr4tjkw81N8j/7oxzC3rNGivhkTIewJtyCZV7SRaeCJzODXfTIFGh z68mTx3f2tuhGA+k9UU1OYLLl/f1MSQLXzEiyd+ydFsc6yTAYRtXkvLjnwFh3yA0V9H7rLx/P8nC iunAKO593zELp4AKBBPKMY0saoxvpZtddejn+YC7dLQXLavFrIeS4OUXVnkpoQU1aUKHLaiiOZLp lt+0O3aie7aWtihUXFQnvZD4194rsZE/v2w7SdUyrYWelW7s0IJ8OychbrGT3SwvSAkcXWsSDwLw X13XM/qkwIzky2FoovpDQDBNbaLNFTeV/oTLoNp/EZoxCm5kkqfadB4uMDGvU28Ut0xXOz/3wLCu iFeSrlo+Drn5Yu7NZnVKYy4KOT21lSo+0+VuazH96fB3wq35PG9puYjeih8Gbj1iHPg/XUJhuOZt ehsJc/SAhN/Z0QK+kXYLDH/k5eEPyMEADNfTZmXMvuDLpbqHwU/jIDpikOYhghTtcbRvpDRDdRSS U4pC+9FXZ9ACizUPzH1lFGlQs0buk1jzRSYnp+wPWeNE635Zo9zXG2nuLXwYo6sWmufeHpJLBGC/ rS4pL3zLnffVbMQc25hG12sGq6Gpxw/LLxZNMCBg0JievUQ3TcQ5JK6n6a57jb7/WfHUtzUtvaYf Qh728Q639I0tOVxvPe1wtwtkoOBA+ouVDElxhqy9Tn/FhNSyBHnQIAfrLC1lxpp/2KYvK9halNgU +KPe69LEGjFGxNeIMGMcJXBOVsUW4Wo09WHQCqA5fMckLi91kZEy3r7WsEuVouiF+2NpnXl5gcZY yBgv/9EnfOJUEHcC/kSIcMKUR64NdyYVNmOgHthLVHPMhcIrk3XPPxzKDj4FKJY7mhi1pLqOUiGM 1/L/4XjdtmAM4cG+wClRetoPHCwV1PuobCAxSdyRCcsDrcbItMiLtnc5DK6B4hK1Xj9tGKjpME18 M1KTAH0xP70UpEDGPb14A/Ecldf3q6K7GX6vHn/aio25Fqs9+1mgmABQ1C1rg7D3mJ0ckNRiexdd KCG3JuJYpB0lJPhd9zVxyNVwriqGxVBudweNf8IrAHzscfMvDi+3A7xohLJJWK7HRNC/ndxHMvxq 8PZkrDQVovaQbf+EBZqBe1thSH6N2KbKKE8kABhNrFHltnt8vMhNcz/9RqQYRmmRLhayTs/Lakhx XIfXj6jJndmo83miUReEFVlxwxCsjNsckDkX4MbQVsfTBHMyPXU8F75pAeP2CLkA0bKlWbbVc4L5 YxYBLNQFbJM3jCfdKlYh01q9CKWjxtoQZeO3SzfHVUiITFxnc8fxj2kIUKryilqTXBSurXTjjXvk mACBBoko4KGanV7DTBqmS62mKPs/J2kIQJ/JbRSQyZTzV+DUsfB0uV0KW6ajQaQ6yoFCCoVIDqeD +r9E1WeKGKvrFjzy/Lz7BEo2ymbE0xgBOE7XYdKXe2nUY71+K5p/IkubjPq2fZiwceowlRDSdyUt cZbvpRZc/vaYm+hsw2YxrivJr3ez7Be/3+3OMEZeQs5GrSitXbJLXRtMUoKjU3fK2sE0mc3aVTc3 QrFi15AtNyL7Wy6lzMbROkYxwMl+UrJ0iPAfDMpsF6bYr/sV+SA3mYB+1MREVLo/XJDqbyYVT0Yc 6w7i5vG8uFjKi/hr1Jie5vp8UuPQdpawPoxWbLQz2pEpeG9Z/vlNtvAwq0FflZR9Hiy0R2PfjGMm uBfjOwNzByOi6kKXfgMxPTgMwCLncOjGng9DZYG98a1paYiI8bf/Z+KqpgMKGkYg0dpJzj/m0WCY rqTsZM0lRsXBpo1jaOQYAxfUIvFKYztuAA68gm0a/YrJIBqqDocTZJVkp3pZ4r7ZZ6AzeHoaZf7E 74SUIlp9ow5Rx0FJhLyodHgP0JlvJtsPeYx6b0W3dqPDj/RqHVBeW8QKqSJjCcgEAtyTWmNebl2f e6LlunmSYqm3moX0NqLP/wEeYBDghM1R3+PSNfFTmiaqjwogHcnXNMdM72B9nx+Y+oT+fDRHxax0 HCYv4vgTs807cZVf85JuQl+WatT7YYXP+KiqX90qDM57qrzwLtcOf/hRig5qnj9+ksKikNdITAmf i0SVFXQHC+/dJ9Uygh1acgIHUfGVIhTupF09LChEIy0bmwWRSY9swIe/wccFl9bZRfNpC4eF1XxZ DOEOY9NhlJANvfh3PnZL7qXRa2PozfDYHgrHUYk6MI0KsXaN03CNGkPEUxc80g9ytkpsAd+TzTD1 WfcYV2qUCkST49D6Wd0mMJ42fAakqB4+drDuhXzR+bHvGrm9fw3/ZBI5HGZzc5PGCOSqUWCuvG7T fuYHUMdFwwEtFoy0ySgH9gTfsaLll1ZKSqD78tdEAVTBXGJj1n+diirnUgKAFH2ubr3QP03dK0IJ xZ4LBQ9PLah94xYFHVN43uypBCJOBxKbY3m6kLLCtyJOW2YCt/tbu0YOzKKHGIIR8WcfaVzP7c1P FezRlWgn4ntbt3F3GVWZYqN6FhQmd+ym6BUYR4BiGMs+/0Rn7YnqbkZVe8IwvYSRmaEO9z8E9I1Z 7bnpJpCUXucDF4p+Ohqw155sf2eUrevX4rxBj6WkV260495kjKZSKNlH0wdPt9mdTA5H5WeU6V4f NxcjdbqP7C6+KqnHa2dGePxZ8Lyhd09Hj9YzfCvyzCHRlGX8ROGRHpxaE9x6Jf/RNJz+zYlzhbzs /LlDnpN9JFFTsAQeMF6MfY3F7RGiBPqebWwFR8CsMQ4W8XPIOXuaKYyylOYb++Drw4CK3Vv9LFzl +A/fk4eZOHQ9IhX+EHwGNm4GWWESQNg9Fc9yUpyj+xXbQDqttvFID3u4MWNV31VQ2brn98h5F6NE 1QsfY6Dzcjey9H1CXFLdllcrBnF6mEar9xYpEyRNXLsOsNTrckLqzP2dnj4ACRICh4bDxoPZzcNW xXsvW0W8rOJId0P0vUoVruR0GUjpGX6rFxD1S3WssAnqpQecSJ4HE9ZbrntAw7zXL6aDxePQmjS7 +S5YTXFS4nKMOiGj3n0hx/yo6Lre2tDh0S8R+b5mb9Wa4NMTuAtGA9hAttlu++H1VYQf1Pj9TC0J 4/zNyetpYD2mAGW7UCWjwVesT2Yu8VIzLRmU4bttf2kf3/VE0YwCXDS5oAHkH85ufacusLmu17Kj vN9gqSnhIZxHH1nbUup2fz0rzfgpN9P1p4oAkjK0/NSDw8bZOSIqetArZSAVpg/SktGqowyg+O94 Xd70Q8ASaC+hTa+M055K6w/oAHSk/Pxu2nvrvmkqQ+bLKAMKp484IGjHSNVD///69jkgI+4IFnwA nu6lGc1Bd9ESQz02JDsXIwVvm3mTtwIgmlm+xgASGaEE36LNHKijHNNeZjPYGS4ruUE836OFzz4Z tTWapLGklaz34QdaIFpfombdnV1rQEvllFqeJ6DVo/qvaS7WzKqWXyKfPF62Y4oeXYXQPPe6sXtC xDwvU+s9nroMCX6VfJXipzRmNYPEycByt+Zc4VlwG3RUyGJRFSXpYwPHm7GTV5nRVvbFpFdtqOtU WlxA15+gcXG1fndKEZdwq6n0zzqpjP0mSSmB/QJIVeMpLmF7NJo+Oe/xOLdpZXf1jI7lccmihQVE 1tpqKZuPZm3DojCoSc5nbQ1ka7MXmUIwVE/Hoz6SlyjuNvkUZm8GufiIGf+Nzp6hSmY1+2IkgGWa l0DbdT3Cgh/JtPLA01D8dQoxkb9Ztx4NH3c4+3vBZ0Wu7N34W/E0GaRjgVbie2D/Tpz9yNjlGBpQ ZZBA8yrD3smw2NrGLmuG+ETQHQcoCKDO/sM4AAiYNvQXEXN+uuZuru3Ndh/ppWDwh/1qOP1kfvgf QI1QSY4EjEPwgV9EJ59Q0P+RpiiDzxa5D3/SF4vXfJ9iuY1Mt3V19DMchnLnQ592OqOEp3s6Exr7 th/cnhytGnZArdqtl/GU26TrvSuHH6QQ1gY1w3RQQRS2fuNZUtJPV+ImLzvTeYMtQoLUrBk8EU9f 20UDRCnAruVNLpM0YqoqxO0uUPCCCYM1RmAfbGf4+foDDRE143uk8lYfQVSSlfTy1SOZTY6AyByY udyCsxlRRG/2aAYbe0F1LMP8Bo7t+81Lyad4mgf27kxzDb6O4MMFm8rJRSYW4Z0x6WoAwK5HCuwB XSgRbXzA7R/tjyH1seP6xH5asIXCu3OklsXNmycZYjlRqoL5sABGu7HVm2OLpgEhaHLAEx6wbfcB bn0bzkudXZVhswT8f4/rX/wSGPLiGux9nGJGdl3g3HocR9IRmFqwwo7zwREhVaXtyVBfQqFYWKUA 8KXjyH0sTYlpr5/rg7OBSjjUAe4GwKPB5489Flm5YbnTqLnhnDJZf2psbRvaYcM7upugJbs4Fvcz RxGyzuOF1WoFQLSTm54TQiduwY6awsD197ugIKWQiYt3jkY+UWh2mUUpYlGNTN9aQobtH76RZdjj klFnCvKyzEjDPzkukgEunqFTXPM2zMxPvcZ1LSzKGwwcSkLppjjfVeAQKu4zX2m397JudSPLKH6j VZMuz4dqqTcZFB3krSCsQ3kos90MeESuIAjO7wAdXO2Gyp9Whfmar6v6JI4gvS8ON5RTa6Niegmv I8+RzgWl957LmvGefGfrj6p5B2hEZ7SUNW0O09Z11sdHa/sCsEBUyCiXgUDwmqZe6mM/PvDR9AS9 yfNP8OseoF3PEoH5 `protect end_protected
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBDCFIFO64x16DR/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
85
19921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ F0QO+ZZlvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O dw5/lv3XsuSXKGnf8V0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f 0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC lN9AukRoCBpCWkU/kGY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8 ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008) `protect data_block ugPchIic85pVoazhFaH2TWuQjqsMIDhfmCLDBUOtUS/RF04DCSHl4KkxPTtW6P+RbyiD3T+m3kTR N0N334mL4z3weuQnltN6rjwv5421Of+ebjf9jNPWmR9lf0e4KC1P2a+RqcNXNDLyWLeUSh5xhG/Z 78Dc0xYUHiF3d+hrOZnBHDBSzBMBxH85jBmUl3hJ6N8TJlBFLvzDJLAwx9rMqd+/ukKUbS92XXmq GUfEtXOD/kOjfUAMdbSYK383ZTVeO8P00Ys+990ShfmBjW11X5zfC8a6pcCnYMWovhs5zhxlg5VY 5qaNHLAk3jG98jGzfgSX7h68H0TVRR7JEDFOWoBp6nHeaNm3GUeQnBHvRRf9iGTHwtNoBo20CT3s B+8CdJKTLLUzk6qAj2GsiBGHS8LnYKmNkY/fLp6AmB2/qs4DlNFJIF8WLDrSqDSxN7vLmxfW02dn 97TZdyIIRU0sSDWmckU00Bj4DR6lVWvrorzqz+TKptQht1fc7GrTmUsh2hItQeA59cOw1AOmZDS9 1wq0ZKLVSXAjdB+CY84byFDq/ggnql+ym9df++F4CndyzeHn/77aqPKlsW1vloWWAkuV+/oxdhDW p20TyTT2O/VPp3m+EzlmnnWV4xK70h3N6PHM98xVk6mnffhh/Vgh2FPYUMBhFoA1R5ZkVo2hBFx+ BdBPaixrD1Bh0/1sYSrIxRoyOp3yESYfh6j5q6cxlNTa1qH+ifL4xeUh7yFpIAKcpGKV/BHZ4BXZ dzcowFS0zr5D1j59GJ2fBtclaFagM874kSHoT7saPkMwJZzu0a9Suyo2oyHeDY5u0tqwoOjIxSPD LgfMjS5eI/7kq2LRK6vuUkw4NPeUXfLEpGv5FCJ6L5qj3kBW2g6KAhG87smejtyOyPsvsfynrIc2 3VnDVEHbU7JdT+65asCO43n+F2twwXTbRz3siKaGFW/pSUKMJST4WLbItR8/WK8o1ze8PIiuzlcV 2q4JbKB8FDK4mLtzmIeYo7UTwNP6U9iXbLmMvu0dzyoUw3NDGJK4KUCMCVvDKN1R/+YL7Uwr/kfr H2I7nTHDRk6JDcgnN9lfHUT2S8C2BMkKRraWkqRs0K6NTq153MMgQ0I68tioSH/TpTwOz9oXVKBC IPIOjB4kXex4kXwRz23kXOxBtWL2ufKqg40K9aFi7BK+LFkdT9TJGBCwlY11kGcbWle5fHFUxBgl D7qMX2r59mWmGBSSP9gWsTMEGB58WJb0FCVJzJGA6OZLDvK1GTVkE3XRxGSmgNPHSS7w3jMJyMM1 LUnr75CCm+QeHuKzLZmM4W96dN4nPXd6yqiYEO85iAqDcN94rfIuZjKzOQpcTx9Oi4DIbX9uESmY tjGl70Xxr/adCaR8ilSvqqc+b4MBKqY7ELJDs0L3vXhscTGf4aQPSq6GF+yybBqE/m0z770fLOgC kzFPyfhoCNMoGzjgznhNFl/K2SBBjlumjwB4VoL4kPAv9g27PQzII7LCyKyJowsuyed1QFyLx6e0 zPEPygamJ5b2aKJfHjhq6Imar4DfdI9b/lJ2m3mKH5VW2TviID8sew7r/S+Cqsd1khcH0dn+JSpQ Cz5CskuqoPSTdJzTOv3pTxDL59oUgopc8EhlWAJY+mQaF0YTUq6eOMJWIqiTRPuWQs6VbYgRaUWq gGyiyprJEh8tjGjzF1g0t47LQBCmfF+ET+Qos0n0Wh5P3AuYe2d26zA4VHkOum3I/l6rLBKqZWgw 80FOjGL5rFavkxULg1QQ4CE5oihzlPEto13KkUan3UDd4FbgJCBwxe8aBRFgid7TZjfFiKmhUFt5 VQdmXePim15/U9KY4mMIYrnEKT0toYoS7SwJCXalf9S/2xU0+tvNWpw1X6qO/7v3BrnHauRDb8p7 DXnByUOEvR5Iwn7KTkPdDsC19IFFE44KJQW/TLM47NkLTnUgjtpw8GJXRgECeGgmVvN4zDWAz4kH JoZvUApvZD/k60yS9lZdKVETDuGnJLMPWqaE9URGx1F68zV/t6gNNzi4Vbw84HrncqaVQ9wkyUgz NG85xmeRL3PM9bycBzo2/5tEUhya/xB50ZheKo/wFA+vGxItbWD3A/cZCrWTA58Qp5cdb0eH8meI wFkrjyP9iCxkcXPvTVMY8oL8o5pJxxGdMvGwC7jjkK1ms7Vqxk+flNpQwFOxc8Bhi0iZ0/TmPVEf F+ZGV5tPUjYRSTzxofFD0jffamlYZQpqwKkMAfWbYnog1yK+fbQm41jBZ/ovWhcEWoIbKWZIjFSA OD5IG/kjBWkEXyU9LTohftglq69r0maNKbr7EKYPsDpvo8izUbasMLapY/Ny14fG6BWgAYo5xI4s djD2qS4vNfqRKU4rAsx+aRpvVQxZ6OeKvHYxiqiIPhOi8yi5g6zUu2EdUUni0ErRMnKL38mmI4KK 4xPf2PcduRPfhljJVhFLQAL/Vx9rs8TI2ixHSpthP0bZl7TycX1Ef3z74wUQ6nP/jp9Yi9pNL4Es OrsoIJiJrNwmzskoRB+FpS0XmnGK+WXeWg8mUkqP5uDqsrTxbhy1nUF9+bxAHJTjfax7I9UPhkOO g0Ms31UeKJczoUQXY4xQ2756Cr+BoXCCgUE63OOGha4EZNIFVDjshALTXX/K1Zamfq3M4naQOWi7 h36LS6E/7kjsvKD0qig3B+bw4eYn9D7xp9W/b/xnQX1Y6b+KJI1mq3ewFW6FWhzfiushu/g1D3BH IVDnqB2RNE5KByrzrzTWfPXtAzqwVypuv0uPzMYjP/HUWBWWxaM0698XRYq6tEjyEZmrbmcmdddB F+978ctayhLeGND3gjJzMD9GVyWbsp22+eKzIrPfgf+zir8BuPgUT2EfbWNhBLNuDCTbpqX3h5p5 UQgkeDx9fuGyyfEwSY01Gj+b0y0ZfFaPQ8GsQKQ544ltOq5D2c2hxCm8+bT33Xox9Byh0dE54yji mtCKhyFgjWGN+nc8kZemzz58Qc+tFgq33sNTvW+u613NDE6weCWYbSHGw+zKAAKUT3bn5wnjKlNP Za0+LvGGrSLhqhB1E+mDbzQz9WPa7pk2U5Yx8hXeWw49WqeFimySWFNJyPEM3fxsATP6UzAn8oSB gaeOEqF9ZAokKdVC3hxFEdR4hES9AH5L8QlOcAwytA0XEPo7+VJ1dvQy+CUJFMSViL4UyQ/1d3w3 /JflFzwiR+Pqp+4cC7R1OcxV41N/Xis6AjZZ+L7AUqsfgANI6OaDHJs7hPXGw7n3rtuNAlK2NiwM bWvK56olPYG7yuoHmsKWkg4wJLoG/MjMFjZEijGpYHYAri6CzgPrNOGFw45dmw2Adhf7PPKe3wyy LOw0Ka9N83vjMWPcpVP+2ScLxhfRWLsSQwqGhh8AaitW2OiTx7ekbXijvvIy/TeTns9LEnf2mN7s IBe+4wzrMc5PmHPVAy16ju/wQ6j1XAbp9cyi6gY0S6FWOq1l8lKsx96z6qBwWwgxdynUzi7lARod UBJaDyt9i7nIhqjp79HJziAlaRo1Xrnt+LN/sCHD7PBmqF50pCSW6YzEuP164twgaiGlAi/yYTc3 kt2l9rDpHVSNaJM0oz662UavJO7BxnuoM2PoAzBcoBY78upERvNQBQFzOo9XtFM6zaeXPNJPSIJz H8F3j7maBdtYWMjy4sDalZ7Fyxlo/lRm19Ds8/86OjGDBNR9PMmPiZAwnLvtNG3yp5gmgsW1sskF +jyaMoW9SFHOaU0dQ9hPXG6sflnNACAOh4LqrSjDxOdDGXJIBbCV85aakvibPiTbvRihxfcymks/ F3Vg2mB0MLQN1C41ypwTN41Z7iy6mmfowNrVB6suL0B4C23X5uXmksOWaa7Fqhue8voYHNYz87AX 1CmUnsx+ESMgq+Cnk/6CljrQ65N4INHD44SXvqqsH7KGdCK/VJXrSrd2MBqWddVIeoLXCuqYwOxj ezDRKd9jCIrgi/Tp6uGj5vAaqWNO0i6KQ+V1odyjNq7xhDwfSyL15I44zNRkGVJd7ttEs2zo+e9M tTkR1igHWulM5TMUdbMdej0p4q9rY2Vpez9BWoTMI+NMTosN/VBa1uoXg3e1i5Mey6pH68hXSc/y OOl6NP0lAsF6r0vso9xG+KIJcJZ1ldonn048etdsDOsRodP/ZiitgPAjFW8b3r38t2L5uZfsEwWr WnyK9Yy27tLFMgyfeBmKMin/bqqg+xVK4GGjb8oravkTjBVW9VCYc8nzEU0RlL3Kpd4DNscR6Chn fBK2UambbZE0jsrc/gF32wOzEjsRYq2t/NxyOzmZ6TBogtMcqTuJUWvDPcmPB7KFI1xve5KpTnFH b+AGLQebcHUjlzNAfV1aH3VZWNbetP9Op++JhuKwV84xkXVR7HuIdr3CIedCHKzWW9k6bDKi27rn MpImtyw+Jhjrdjb6GpTy/V9Mt35CVz0ilaAlzYnQJBMH+HbaZ2u8yveI4vSTZwSZ51DlN6u2fdtZ OHLA4WhhHPzW93UgL+Z3Yn1ZfGH8L0XL0rG0Wmi5mZuQTP2nfGHnKvEpiUV4uhQnQoO4ovh+VRRa UdTp3aYvOwcLa1Tbfxs0zG8896q6sLndM++95zagL7jOyZnFmQddlKEtNZvqtBxvKiZzUa4mudH8 2QAR8ewUfAWLEQ3FDWqtbRklVLgvxrbFK8SrAIE3lwO5YHXnIYHasG7Q8kSregntWeOmW9T414pQ js7uEMYE6soIZDftkj7r2aw7chVXBS+0VcsvZ/buAIoIiNyiZISKmnQvXIqWI/07V/7ZcJb1Mul7 iyxId91udFo7+4FtchFIwNV+RHeV4jxN6vLH4C160myH5id0QWN9saHX31nAzxNCLbI/8NdaMApz Pe14SASWdOWHeESkoxp32P0cnYi2VaV9dE8aaiiuHcNyfSbIndQdMiAHZADN8h5t9ZH+FUd3QsyF Sqpl5mKnkQ4Iee+gH/GeM4GoWyqumkfLSfvgpjCP+glKrnG8oarvPatTNQyzZxLcT3qc3gw+2qD3 w8EFzIZpIIllJuwyHGtnzdAofwWQ2t2QhS2nw4dvDoLydqXqtl22O0UF8tdDfG0ePnfvBF1OJ6tX 27vnuoiD7/sNn1iXhX00lrc+/ZM5JU80RRA1t6eEcOzVORKNgDQJFnuujNJH7wLQ7EHTUmeR+sAt Cv1KJNSHlVz/cK7/iUC0r6axtv8mKAVntAgTy/SGFP6roiqTVFExrldW4nVtzASpqjulJ4OusT++ eOEove+a0qg2KM7bTk0Bn45YeIrsgjan3/mlE07UhfoZ4SSfMl7EtZvaRR3ipwx6e71+8ku0ngoS qy8nRgtaRqRY7Jk1nDpdNe2iqhTgF6b7cG3phYYw/NBlzKtuyfmjvBqZyBwCH9LpIGKRL75azkK3 lLHg6yhSIhU+EORJ2y1YtfqGUmiG9Niiw6+HG4pf6osSsJn2bE5IAMUdFnPsLXbLZGp7eSXJQyFq 8hpiZMpF1xQkxYpxz/wk5LDqfQjjU8223MNGUH4fNxNkw+ADIhqqCZqHIOIpsfQzG71AfZu814ak jBTWPgeR/JYYF+PVJCvoxDhcgNL8XkOo2SzCjPaxCZFctxOkd/vDMvfhEwUgLbji10FGbOWGlVBq NZCJSflITRjCxkEaeIs4knmuyTIB7itLSpYbJz2ASoRzfyhtxl7lRvRhoI3I8JWSjaE0gzCDLYgi RDsCb2RiJ8XohoAuLRZKRVerVZcZf3rUI+0/K6zcdFL0klSPqnlt5vcgh5NgguKaJ9GabPGAD2NP 9fbfs1buAvi4uv69L1MqWzQSAvacBFAa4P9AT8u+t+aH0rU9nbdivFCa4QBcrbu4dIurpEOTlz6v Q4y+hklWTfxSNJo3P03O2zVvqDbYdlUSMVa47POuEwZqhOYuMHlll8NMSrwLAgOBiQ0GMCj71pVW mThWwktWKUqfgfmCpBcf1vO3YgSc0eGbNhSvwHYOyVLBPc7ySaMgxTOBJYVsnEQHWtVqoSnTAk3G QvSI8/SSOhE95/e4PurKfyZRuJflbeXE//Tnmh5ucLit5o7PbNAx+7Vgzx7QWY2EmPlpS1vNTfXL E8YrX+xRsIaJTA8fEBWnr/2c6i8iEenn++STYpXoY5RK8zeq8W+hGwmkOPtbQzLKXWJlpFkYVR4o nSLEIJnCAjsqDoVa8M9WHzmMxduRz+5JHd+ulXyw6HuVbSfxGl7+1vmiwX98jOJV/B2rnUnZ1WzQ frG/pjNNX8cyOnWkREdG+pBC3KYfZH9J7OP2KLdC7ZPxSR9hYJYqhq0xq0pyolbbeIXSnahGLH2A zQklbExh0qDnU0yp1f5U2TmWZDcf3jcHCvmJAm+cSplprSIUCHpP9yfCr087fRyet37UlxQTirZ2 Cu275t1hYOJ0EpvJYJ1S6sdM1QsbzskwSDC8Y0xP98UWE6/+AMHdv3GnE6SvwNZMvTGJkn96ab2o 5znQmV8122xq0E6e+2wD/XdQve42BvedJmozWGevKv7/RLHlK2YbqQsVKlDxeFIBunkkGOBmRsbL aBqwLRvIuO95OqqPOiRjf3RRcpxxM7y2a/uM3IuxuvLPfmYxV99uAcrvlwJkoiAnFLTlQKD7L8v8 0aeXoVkGq8/cq0354bbX7VSOJvu4cu5VUhtCS1YI7frRP2oJmWAeQRekteggVCoDflkDUqzUsZLQ tS+fjwPiGPLI1b4K4HZvtGwp+EUT7UUzfFeEJNhEPPa+GT1sHRDq43kuHJUO2l6pG02DdQwejKvE BgHQyX4JsLUlFp54yHA03+7z/+1+hVbZf1gG19WdyGmqON33qKq4fecMxXgb08hJbkLI/bzfiPJo GIIUI4ZgP80hbq3wJt3KVfhyMfbQNTfFTs1DiS/ZtB2YMSgErGn+A8gpB2VHPyovp1MWHUhPfsGl Cicec2BTQreiNhgJSU3tuYBD8HFEOkNuG8HxwffyjMrTOQUDf5/DcRSh8/QZN9aRP7vqMB5+ouLB BM3Cm3zzlWfS/lNhRsCrewOzfzFINfPGZqgmtF/1UyksiLB0VrU1KzHhWCMK6qwQepPPMmH1Ww+H dhP/5e4gNAw7t33+ciyoMyKCb/1I3sCedU6yjgejvKIdLhu4OloBAgbaBVAYhb2QP5GixZZruar8 K5r644IqRnPKDjNB2MY1tUwguYv2cPh3zYwZvI+maiWwHhQAHwjXFwx1y/zRxF9+OnpAHpeqga7K cmvuqKPjBhbGo0Vk/Dw7A1CQ4CG6b6M1AdZYpimNvVrzA7NKArpavLkGw2GCxuoWS2GCBqcumTKP MVGztQTL4F7W5ufN9sjaCh1Lh6LHjWq3ufgoEP3DK6R2KPwPrRnfEsTQLbdQS9SGjcpVRNcpQfAV i3OBYmpj60typMrGJyAP9EqSaOwlG6sWtz/p1e7AG72uyH2OT5PMSlQ7z3WCFYKRc/eI7/svcCLw TV3v7TH8B/1z+usu9X3y5Ad4fnvZ1jaSCKRO6KEjdKoIKJRsV9UnmMm8XyrUZtRcQpdWogyhqTKZ 3hODX4nK2UKq0ZPcoq4unvTXSvUW31Esqd5c3IcGDTMPHGceQHYmNfhkha6dJvTce7iJ/Dx4eMzW k5DDQml26ol8E9GGKeVa/awQmyAqtlmpvtHU/sBtxfrCTFmD2TcUGW6FdwFXMTu1MPwHJCE+Uwe0 VOmcC+/Mgaron1eLzMWV8XyW0ACztshoHbJfD9d9JsU1cJbGyOYQXu5pydYlv0r7f6HmDVxM7O1S rCuOYx2DZtSqmHzS1d8qCYlF2TkW0CVBcb8FCABSIM6caQ2aR+2MnCP+f/2uXHkH5acFGclk0M3n xc2A7ebD6mzZEOYWWxJBDElzxDKstwuB0ok5v2gwisV8CeuWAs8bjHOs5An7XdyM5g/9hyxXZivj fr0OMVLnw6u/Q+8rJV8/MoRSJ6wjs2qI8Z5MJSh4pBnHrwZbv2MWl+AIAMlq94qF4weEhBVNaHGH CfVPrMuRFwHVRkRhdFZAcsjaQBs+s+E3oenDYAe7Ko4bHmAA1sKKYLiatMXxmmwXSSCz6FewD4PM EFO9Gv7SsiECezHUmJxNcolWOsuk0RE9MakoNlaBgzKEkiDTqa13GwLnNg9Ck+cLCcHgnzIO1Njk L4b7LBFITgmMMm5B6INns4IdMbmaNO6KziwlBDOx22CmDUoV38m699UmEYCaUoDauHrMWbFAX2Nh 99QDJ0gI/4echrXMMbmcWyrizwN+QOYXN7WcSBMBW3iyy3ttsbDzaeq9/oPU18zzPAM+D+zFmeR2 zdbBrzQa9sESX1LphCo2CrKvbqZOJ65K3Sd+BlGnzi2uADnDnEqjwGRXXAnPihzVAbwbYxSLMvk6 bz6SW+eG9w6sZkC8M6DnGvKjF+Qm5tm241A6vyreUHmwA24lFL2F4DQ/wcOaGIxI1V/cxiaxxG24 bhp4Q3ZDgkwS12sHr+j/380mxAtPIeOyNv5Jc15jyBWwkCJ+pKuzrwu2la3lwDcbZaIRB3QwG7Jf +b1aBgR3kCCQkyUMFk+7nJuGWWpI26G89k9mbt7RGBKNhowreUdEkunfV3Ce6DswgpB0DHe7dO+x tYunTbfkcJvJCaDIg7MmBnaK7vEHSDIQ3vk1/JqRqFQj88Qiw5BwFV10oPymHswGDs5Y6PIHtWvN 0OdG7RqnNFk0sFTQkgR5IsxR6CcQIL7TUw/dBEwMvmQ8tO+jtpxMwOPKu5I1RjaTOYHWhHWp5c7V u016PT3exfxVU6/P2PRvvG5ppHbkirnTGwfDZ+0Z1HnVvBLbIeYkU9VlfXZdMcm7gBcbme7Lo2Ie NpSu2PZffaAlMGWZjninpfmmeGrjGRquaDcN3kbZA+M0d4d4v6QtTLCCZDKC5n/KD0pphYQ1yEwT 0e8gU+Q2n+LvWiAji6Ij6kRFmwkk/Ym2MuKGSakMztcBzZdTqCc22uHIwNPqU9lNGV64pnT+6O8s g7U9zg4/JzFhnIO8EtTmid/2CZhfhXsyAB3ze0Ak+sHyY9ad9eZNwnQkJ2UzOsaKXYa/8t+ZvTAS btPANzI6CtZktmDemOgTWKfrwG4Z77GYcEk4VOzTFeQfsVSqJ1SyAIMGgqQUF+pGk22sT6h/6xmx zv6T41noCLQD/2wf31d6iEkF+z5HA86qxKIduLnahwesrHJnZoQHLtO/hg6W9q4tpmy8dchOt3vR 7NcdOx9P1A9EUI3iNDQCD4ppzR08rxLqrO1f7wdD8FtZuyLGgcRceKh/9iBM5MYtFphzbai+Y/NT +30bD8xPgfqjtCtbeuAjR0Sh8WeIy9gDKwc52WwOe7ljeiABuBxt0WpaYOMY9vxWP9J/IyaUWi54 4bH72orR/xMzYfnJjD0qjxak7K+1Ebo1ieyrkJFUoqTJsM2nNolYkf281GAO7pp+BkzZyvUxT6c7 bJlMXA7bUFfEtIEw+Osw0rZ1RASz2N0aFrVISS0O9xN/TFlWN9N5ukA4cn2rjzxCAVg+qTkacZGj rCP9graLLlQOkF+pC5atmThyzkSWPYf+2oYU84nB5w1RwUxI4otUS9wKKkMgjXtp7d5S/fKAFVfG ysAivsHGSG5R4bKNY5QwjJ/6968hMuVya9rih0BjYQPX9VC4yTuyUtsn7qW89ivRqrKy1qYT/xQ7 bY+dA/ciLOIdM2mEWvwZgfCynEcS+Pzqx0w/WR2HUSB1r7hKIgyrXjOC7egRl+cM9BOFaQmJBL2F kJcdNUzEO7hPsyDvlbZ6G8pdkEZDeQXn5MtHpFw/KMAmLXuBxBoPMTDD7XjsZTR171GOdb1mcll9 19pbVmD2rH4l0dKbw2J8qX6swvH7+/eF/l7k40+b4jZQMmHSkbbxrHTbTTSqHrVlk6eWZ0CuujCm 9PrZ3s983CBMJnA3GlCkvwK50OoQFFweh/2Hd5bBoXZUg+SshWddUSlOoq5nZlfeB0hcXfpYkOwt C/TfGMV56JMsRwITN/SkKO04Hgc6fQc6qkEpag40qHmTNgDSWOfHuskqDoVpHBGBPqAE+saW6FMp YmcxpAKVJKxfvvyIEUBAlomVPep1FH1wK0Q6punWKQasWvG0qdmdjmDh01QIff56cAN1sefxkwYH k51x7eB6p2FZ/DN6fSB2fYfP7Yg9HFTKcj8ef1Bym7/QJRHw5PbTw1A+RX8sNM4dbuzQFX1WsaXN J/F26uB4ePpD5ukYZ6C/Fenm0EsDQ4ztrXPvoGT1UzkkHNNGS8EGXQvW5SYsScQWcO2l4JI3V9lr ayfyEkpYTAY/goJCjCLUTpecHTO+LW5xQMPp93TqgereowbY0WIDoU1978EVVQlcZr2XjnsoyO8u Tj9ddSY/bj52JU/ncZVEX+pcvAqiuo8L4jeeM2zQc8hBW2zvvlUFYSSqrukjrKIcx26CC3t92CyU 3344G28F5g8PpzlcvW/zQevzj8wXNI+0XkSEDZp9db6eJ0bCv3oCDxdwv0CA9wIAYq9XYqvn64RM lvol0JsLKJNQ+10znu/ocWJpvhlWYvvn2tt4Nb+V4NIZGmOZ4p9dpD0L/wBawvlgvT5bDKjEFIP8 1OBK3j3ho7+ai9XZqauvpF+aIBvqrzPZ7wj/fiyKCZpWcstSDSvXfcRhBBRkI+o86RC7vs0SOSqd T5rhbnqyil3D/1goL5PMVEoW00401lLrCd+zkkejZqK0Al6+zkepngWwOyEQAlM19owEMIaNM2cL dwEfWOcPpfx4Nxzpp36wUaaF/yAz1VMl6a+ovf/lIeFeFk4IceDOA0PapHAUjnWnInce8cgOdr2B p134X8lSBSmziEVvJW9sW9FP3M9Xou8+TaWQgkT8RkhzP5gzLkRbfz/kxE1m6V8e8n77J7JHmnK8 T3XM4sxf+sdEpaE6oOSzIRDT1NL7d5KC4r6bI9icoLS6Ug9fJL8HMLvOwFtrj+K78q8mqb0ut1bY fTCEyh39fot4pOBGhSJgBXjXEnDKOXvzM08S0rBwFVZhHHbtXf5wHNuPnWYFNXwArkCBPZLkrB8G azj++KGft7tP7KD2c4asdVZuzSKiI0UCRThyqY68yeLdJ4I5raRtfygrShHo5hnhUuZ+vcK4mZig MN9d5f2ncdrtDmQWQWCcJXsWoVUbD73fz9KCFSv+bJSVWtvGCotvRZQJkGCXGX4GywfgzwJL7Ia8 hdL+E8NMKcQ0Xyjqe8sF45B80bSzv/nILgAZezqqVHjgL8XfTvWDugeSMEZtd+XYVNcQ2r4hh1fV rfqgBcK7Lqhqik31Fcv6plYuZwlSdS6QLmFbGJmrM3aeRuCSITKzKv8b2vrPeSeQRnn0NYvjwN30 WdBH96SMxryYnzbcNbwt2cwyb47TC1ABhh4VSr8+dSAi5IpcfVH0lirSnmJn7iqlDNXk6nvEsXxA WMiUtohOXx3BXSU/ZPIHO74Vu9Goo9wm9l7aCiAcXXzF0Ke8GthcuJ8vHve0eeAu0XqxqgpwXLi1 Bs7OfGoNoC36vJNAqzCLaPXl5CJSSWuOTWHKPsy617fPHbicLO1I6pdea9nntSSamFVfisjxI3MW DfLj0R3udqh6X7qPxEX0Tzzlt+XyU20zKZgt1cDXGqKFDTmpJzQA4ko22drmws26lRXIA91KuoFZ p+BZ7czB8HyiwOzzWrBGA0ReUAV27py85PBMPJlh+gFMcG03zPXrNqGch9z8tFh/1VAls62/6Ddy 8GfAkltZyl8q/d8MRiDM5R2RonoC67YJl4an18W+qwN71gXOA5o8XbCa+7iBuJz92/d7GpMkDIHJ j/fOmyetG9ZdKfNEfWtcGTZ1vz9G+5odQjEgYSVC3RErKMREr5K1kR1mUdZiyHiJncWlvIAum26C G3+Hlwq9bIyE1ECmS6ZIm1VeE2VgycYhQTADzIYxLBKT+KjJiMaB32C7+w5VqoeFlPKK/DXXuH// r44w51JR7mvybF594ZYYds2yqXdrItNspSz1uU5f8DQ39F83vW/JBmQ1zv/lcuAkZdv8Uji2OxLL obq1EFFvP3+STnuRi4JZjmoxVCIBnidcHN0hq6lNDdEbrv1BJ9HxN6ppWUJHRsydw4RZT3ZXpXj7 5M235BkgZUyU0XUqfv0mjBIx5dd0p6NHmkQ2xo+op1W7dJRzfcRw0+sZWEwFC6mwPc13v2alCppr yml4wNPJ4rT+lfKt7vZrKM3d4zPFLqxUTAnP2f3BSm+j2aItYzm+Vybw73ITBU1IaLS/zgJ5nIm2 rbIUyJHdfedhzJ46x+Xv3jcs5Nu+oXZ4I8Qy0Myoyh7G6gAvU5rA2WwB7cR7uG+4Ib/quG/oAc+b E341x8TpKcVUIq0bVEf+I9q3+pvJ7dCRHunigoZzeQUfmqePNfN0LKuEn1+MVb8wrYwrty/3fpRp kC64tuZlmzVkdePyIIX69uw4IDqrdAjvPNRMLB0pxG5nrjf5iIP7qC60L09XpQdOIKNcuTgPDRw6 uVNwVaaftCIzLTmvK1qcubxea2lec3W63wzyCJ8pHO3j61l2uUr98GyqP0IbjhMe6sUihs0YB1Mf HFCnS71UcLFrQqjYs91ZHbNNBZSYfWxxCChRHflqqR3ZEpLyN6LUHXB99z1S71vcsWuWy1osz932 RGY+k2K6NVBTflCNylerKecDr4tjkw81N8j/7oxzC3rNGivhkTIewJtyCZV7SRaeCJzODXfTIFGh z68mTx3f2tuhGA+k9UU1OYLLl/f1MSQLXzEiyd+ydFsc6yTAYRtXkvLjnwFh3yA0V9H7rLx/P8nC iunAKO593zELp4AKBBPKMY0saoxvpZtddejn+YC7dLQXLavFrIeS4OUXVnkpoQU1aUKHLaiiOZLp lt+0O3aie7aWtihUXFQnvZD4194rsZE/v2w7SdUyrYWelW7s0IJ8OychbrGT3SwvSAkcXWsSDwLw X13XM/qkwIzky2FoovpDQDBNbaLNFTeV/oTLoNp/EZoxCm5kkqfadB4uMDGvU28Ut0xXOz/3wLCu iFeSrlo+Drn5Yu7NZnVKYy4KOT21lSo+0+VuazH96fB3wq35PG9puYjeih8Gbj1iHPg/XUJhuOZt ehsJc/SAhN/Z0QK+kXYLDH/k5eEPyMEADNfTZmXMvuDLpbqHwU/jIDpikOYhghTtcbRvpDRDdRSS U4pC+9FXZ9ACizUPzH1lFGlQs0buk1jzRSYnp+wPWeNE635Zo9zXG2nuLXwYo6sWmufeHpJLBGC/ rS4pL3zLnffVbMQc25hG12sGq6Gpxw/LLxZNMCBg0JievUQ3TcQ5JK6n6a57jb7/WfHUtzUtvaYf Qh728Q639I0tOVxvPe1wtwtkoOBA+ouVDElxhqy9Tn/FhNSyBHnQIAfrLC1lxpp/2KYvK9halNgU +KPe69LEGjFGxNeIMGMcJXBOVsUW4Wo09WHQCqA5fMckLi91kZEy3r7WsEuVouiF+2NpnXl5gcZY yBgv/9EnfOJUEHcC/kSIcMKUR64NdyYVNmOgHthLVHPMhcIrk3XPPxzKDj4FKJY7mhi1pLqOUiGM 1/L/4XjdtmAM4cG+wClRetoPHCwV1PuobCAxSdyRCcsDrcbItMiLtnc5DK6B4hK1Xj9tGKjpME18 M1KTAH0xP70UpEDGPb14A/Ecldf3q6K7GX6vHn/aio25Fqs9+1mgmABQ1C1rg7D3mJ0ckNRiexdd KCG3JuJYpB0lJPhd9zVxyNVwriqGxVBudweNf8IrAHzscfMvDi+3A7xohLJJWK7HRNC/ndxHMvxq 8PZkrDQVovaQbf+EBZqBe1thSH6N2KbKKE8kABhNrFHltnt8vMhNcz/9RqQYRmmRLhayTs/Lakhx XIfXj6jJndmo83miUReEFVlxwxCsjNsckDkX4MbQVsfTBHMyPXU8F75pAeP2CLkA0bKlWbbVc4L5 YxYBLNQFbJM3jCfdKlYh01q9CKWjxtoQZeO3SzfHVUiITFxnc8fxj2kIUKryilqTXBSurXTjjXvk mACBBoko4KGanV7DTBqmS62mKPs/J2kIQJ/JbRSQyZTzV+DUsfB0uV0KW6ajQaQ6yoFCCoVIDqeD +r9E1WeKGKvrFjzy/Lz7BEo2ymbE0xgBOE7XYdKXe2nUY71+K5p/IkubjPq2fZiwceowlRDSdyUt cZbvpRZc/vaYm+hsw2YxrivJr3ez7Be/3+3OMEZeQs5GrSitXbJLXRtMUoKjU3fK2sE0mc3aVTc3 QrFi15AtNyL7Wy6lzMbROkYxwMl+UrJ0iPAfDMpsF6bYr/sV+SA3mYB+1MREVLo/XJDqbyYVT0Yc 6w7i5vG8uFjKi/hr1Jie5vp8UuPQdpawPoxWbLQz2pEpeG9Z/vlNtvAwq0FflZR9Hiy0R2PfjGMm uBfjOwNzByOi6kKXfgMxPTgMwCLncOjGng9DZYG98a1paYiI8bf/Z+KqpgMKGkYg0dpJzj/m0WCY rqTsZM0lRsXBpo1jaOQYAxfUIvFKYztuAA68gm0a/YrJIBqqDocTZJVkp3pZ4r7ZZ6AzeHoaZf7E 74SUIlp9ow5Rx0FJhLyodHgP0JlvJtsPeYx6b0W3dqPDj/RqHVBeW8QKqSJjCcgEAtyTWmNebl2f e6LlunmSYqm3moX0NqLP/wEeYBDghM1R3+PSNfFTmiaqjwogHcnXNMdM72B9nx+Y+oT+fDRHxax0 HCYv4vgTs807cZVf85JuQl+WatT7YYXP+KiqX90qDM57qrzwLtcOf/hRig5qnj9+ksKikNdITAmf i0SVFXQHC+/dJ9Uygh1acgIHUfGVIhTupF09LChEIy0bmwWRSY9swIe/wccFl9bZRfNpC4eF1XxZ DOEOY9NhlJANvfh3PnZL7qXRa2PozfDYHgrHUYk6MI0KsXaN03CNGkPEUxc80g9ytkpsAd+TzTD1 WfcYV2qUCkST49D6Wd0mMJ42fAakqB4+drDuhXzR+bHvGrm9fw3/ZBI5HGZzc5PGCOSqUWCuvG7T fuYHUMdFwwEtFoy0ySgH9gTfsaLll1ZKSqD78tdEAVTBXGJj1n+diirnUgKAFH2ubr3QP03dK0IJ xZ4LBQ9PLah94xYFHVN43uypBCJOBxKbY3m6kLLCtyJOW2YCt/tbu0YOzKKHGIIR8WcfaVzP7c1P FezRlWgn4ntbt3F3GVWZYqN6FhQmd+ym6BUYR4BiGMs+/0Rn7YnqbkZVe8IwvYSRmaEO9z8E9I1Z 7bnpJpCUXucDF4p+Ohqw155sf2eUrevX4rxBj6WkV260495kjKZSKNlH0wdPt9mdTA5H5WeU6V4f NxcjdbqP7C6+KqnHa2dGePxZ8Lyhd09Hj9YzfCvyzCHRlGX8ROGRHpxaE9x6Jf/RNJz+zYlzhbzs /LlDnpN9JFFTsAQeMF6MfY3F7RGiBPqebWwFR8CsMQ4W8XPIOXuaKYyylOYb++Drw4CK3Vv9LFzl +A/fk4eZOHQ9IhX+EHwGNm4GWWESQNg9Fc9yUpyj+xXbQDqttvFID3u4MWNV31VQ2brn98h5F6NE 1QsfY6Dzcjey9H1CXFLdllcrBnF6mEar9xYpEyRNXLsOsNTrckLqzP2dnj4ACRICh4bDxoPZzcNW xXsvW0W8rOJId0P0vUoVruR0GUjpGX6rFxD1S3WssAnqpQecSJ4HE9ZbrntAw7zXL6aDxePQmjS7 +S5YTXFS4nKMOiGj3n0hx/yo6Lre2tDh0S8R+b5mb9Wa4NMTuAtGA9hAttlu++H1VYQf1Pj9TC0J 4/zNyetpYD2mAGW7UCWjwVesT2Yu8VIzLRmU4bttf2kf3/VE0YwCXDS5oAHkH85ufacusLmu17Kj vN9gqSnhIZxHH1nbUup2fz0rzfgpN9P1p4oAkjK0/NSDw8bZOSIqetArZSAVpg/SktGqowyg+O94 Xd70Q8ASaC+hTa+M055K6w/oAHSk/Pxu2nvrvmkqQ+bLKAMKp484IGjHSNVD///69jkgI+4IFnwA nu6lGc1Bd9ESQz02JDsXIwVvm3mTtwIgmlm+xgASGaEE36LNHKijHNNeZjPYGS4ruUE836OFzz4Z tTWapLGklaz34QdaIFpfombdnV1rQEvllFqeJ6DVo/qvaS7WzKqWXyKfPF62Y4oeXYXQPPe6sXtC xDwvU+s9nroMCX6VfJXipzRmNYPEycByt+Zc4VlwG3RUyGJRFSXpYwPHm7GTV5nRVvbFpFdtqOtU WlxA15+gcXG1fndKEZdwq6n0zzqpjP0mSSmB/QJIVeMpLmF7NJo+Oe/xOLdpZXf1jI7lccmihQVE 1tpqKZuPZm3DojCoSc5nbQ1ka7MXmUIwVE/Hoz6SlyjuNvkUZm8GufiIGf+Nzp6hSmY1+2IkgGWa l0DbdT3Cgh/JtPLA01D8dQoxkb9Ztx4NH3c4+3vBZ0Wu7N34W/E0GaRjgVbie2D/Tpz9yNjlGBpQ ZZBA8yrD3smw2NrGLmuG+ETQHQcoCKDO/sM4AAiYNvQXEXN+uuZuru3Ndh/ppWDwh/1qOP1kfvgf QI1QSY4EjEPwgV9EJ59Q0P+RpiiDzxa5D3/SF4vXfJ9iuY1Mt3V19DMchnLnQ592OqOEp3s6Exr7 th/cnhytGnZArdqtl/GU26TrvSuHH6QQ1gY1w3RQQRS2fuNZUtJPV+ImLzvTeYMtQoLUrBk8EU9f 20UDRCnAruVNLpM0YqoqxO0uUPCCCYM1RmAfbGf4+foDDRE143uk8lYfQVSSlfTy1SOZTY6AyByY udyCsxlRRG/2aAYbe0F1LMP8Bo7t+81Lyad4mgf27kxzDb6O4MMFm8rJRSYW4Z0x6WoAwK5HCuwB XSgRbXzA7R/tjyH1seP6xH5asIXCu3OklsXNmycZYjlRqoL5sABGu7HVm2OLpgEhaHLAEx6wbfcB bn0bzkudXZVhswT8f4/rX/wSGPLiGux9nGJGdl3g3HocR9IRmFqwwo7zwREhVaXtyVBfQqFYWKUA 8KXjyH0sTYlpr5/rg7OBSjjUAe4GwKPB5489Flm5YbnTqLnhnDJZf2psbRvaYcM7upugJbs4Fvcz RxGyzuOF1WoFQLSTm54TQiduwY6awsD197ugIKWQiYt3jkY+UWh2mUUpYlGNTN9aQobtH76RZdjj klFnCvKyzEjDPzkukgEunqFTXPM2zMxPvcZ1LSzKGwwcSkLppjjfVeAQKu4zX2m397JudSPLKH6j VZMuz4dqqTcZFB3krSCsQ3kos90MeESuIAjO7wAdXO2Gyp9Whfmar6v6JI4gvS8ON5RTa6Niegmv I8+RzgWl957LmvGefGfrj6p5B2hEZ7SUNW0O09Z11sdHa/sCsEBUyCiXgUDwmqZe6mM/PvDR9AS9 yfNP8OseoF3PEoH5 `protect end_protected
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DPBSCFIFO80x64WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
85
19921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ F0QO+ZZlvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O dw5/lv3XsuSXKGnf8V0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f 0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC lN9AukRoCBpCWkU/kGY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8 ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008) `protect data_block ugPchIic85pVoazhFaH2TWuQjqsMIDhfmCLDBUOtUS/RF04DCSHl4KkxPTtW6P+RbyiD3T+m3kTR N0N334mL4z3weuQnltN6rjwv5421Of+ebjf9jNPWmR9lf0e4KC1P2a+RqcNXNDLyWLeUSh5xhG/Z 78Dc0xYUHiF3d+hrOZnBHDBSzBMBxH85jBmUl3hJ6N8TJlBFLvzDJLAwx9rMqd+/ukKUbS92XXmq GUfEtXOD/kOjfUAMdbSYK383ZTVeO8P00Ys+990ShfmBjW11X5zfC8a6pcCnYMWovhs5zhxlg5VY 5qaNHLAk3jG98jGzfgSX7h68H0TVRR7JEDFOWoBp6nHeaNm3GUeQnBHvRRf9iGTHwtNoBo20CT3s B+8CdJKTLLUzk6qAj2GsiBGHS8LnYKmNkY/fLp6AmB2/qs4DlNFJIF8WLDrSqDSxN7vLmxfW02dn 97TZdyIIRU0sSDWmckU00Bj4DR6lVWvrorzqz+TKptQht1fc7GrTmUsh2hItQeA59cOw1AOmZDS9 1wq0ZKLVSXAjdB+CY84byFDq/ggnql+ym9df++F4CndyzeHn/77aqPKlsW1vloWWAkuV+/oxdhDW p20TyTT2O/VPp3m+EzlmnnWV4xK70h3N6PHM98xVk6mnffhh/Vgh2FPYUMBhFoA1R5ZkVo2hBFx+ BdBPaixrD1Bh0/1sYSrIxRoyOp3yESYfh6j5q6cxlNTa1qH+ifL4xeUh7yFpIAKcpGKV/BHZ4BXZ dzcowFS0zr5D1j59GJ2fBtclaFagM874kSHoT7saPkMwJZzu0a9Suyo2oyHeDY5u0tqwoOjIxSPD LgfMjS5eI/7kq2LRK6vuUkw4NPeUXfLEpGv5FCJ6L5qj3kBW2g6KAhG87smejtyOyPsvsfynrIc2 3VnDVEHbU7JdT+65asCO43n+F2twwXTbRz3siKaGFW/pSUKMJST4WLbItR8/WK8o1ze8PIiuzlcV 2q4JbKB8FDK4mLtzmIeYo7UTwNP6U9iXbLmMvu0dzyoUw3NDGJK4KUCMCVvDKN1R/+YL7Uwr/kfr H2I7nTHDRk6JDcgnN9lfHUT2S8C2BMkKRraWkqRs0K6NTq153MMgQ0I68tioSH/TpTwOz9oXVKBC IPIOjB4kXex4kXwRz23kXOxBtWL2ufKqg40K9aFi7BK+LFkdT9TJGBCwlY11kGcbWle5fHFUxBgl D7qMX2r59mWmGBSSP9gWsTMEGB58WJb0FCVJzJGA6OZLDvK1GTVkE3XRxGSmgNPHSS7w3jMJyMM1 LUnr75CCm+QeHuKzLZmM4W96dN4nPXd6yqiYEO85iAqDcN94rfIuZjKzOQpcTx9Oi4DIbX9uESmY tjGl70Xxr/adCaR8ilSvqqc+b4MBKqY7ELJDs0L3vXhscTGf4aQPSq6GF+yybBqE/m0z770fLOgC kzFPyfhoCNMoGzjgznhNFl/K2SBBjlumjwB4VoL4kPAv9g27PQzII7LCyKyJowsuyed1QFyLx6e0 zPEPygamJ5b2aKJfHjhq6Imar4DfdI9b/lJ2m3mKH5VW2TviID8sew7r/S+Cqsd1khcH0dn+JSpQ Cz5CskuqoPSTdJzTOv3pTxDL59oUgopc8EhlWAJY+mQaF0YTUq6eOMJWIqiTRPuWQs6VbYgRaUWq gGyiyprJEh8tjGjzF1g0t47LQBCmfF+ET+Qos0n0Wh5P3AuYe2d26zA4VHkOum3I/l6rLBKqZWgw 80FOjGL5rFavkxULg1QQ4CE5oihzlPEto13KkUan3UDd4FbgJCBwxe8aBRFgid7TZjfFiKmhUFt5 VQdmXePim15/U9KY4mMIYrnEKT0toYoS7SwJCXalf9S/2xU0+tvNWpw1X6qO/7v3BrnHauRDb8p7 DXnByUOEvR5Iwn7KTkPdDsC19IFFE44KJQW/TLM47NkLTnUgjtpw8GJXRgECeGgmVvN4zDWAz4kH JoZvUApvZD/k60yS9lZdKVETDuGnJLMPWqaE9URGx1F68zV/t6gNNzi4Vbw84HrncqaVQ9wkyUgz NG85xmeRL3PM9bycBzo2/5tEUhya/xB50ZheKo/wFA+vGxItbWD3A/cZCrWTA58Qp5cdb0eH8meI wFkrjyP9iCxkcXPvTVMY8oL8o5pJxxGdMvGwC7jjkK1ms7Vqxk+flNpQwFOxc8Bhi0iZ0/TmPVEf F+ZGV5tPUjYRSTzxofFD0jffamlYZQpqwKkMAfWbYnog1yK+fbQm41jBZ/ovWhcEWoIbKWZIjFSA OD5IG/kjBWkEXyU9LTohftglq69r0maNKbr7EKYPsDpvo8izUbasMLapY/Ny14fG6BWgAYo5xI4s djD2qS4vNfqRKU4rAsx+aRpvVQxZ6OeKvHYxiqiIPhOi8yi5g6zUu2EdUUni0ErRMnKL38mmI4KK 4xPf2PcduRPfhljJVhFLQAL/Vx9rs8TI2ixHSpthP0bZl7TycX1Ef3z74wUQ6nP/jp9Yi9pNL4Es OrsoIJiJrNwmzskoRB+FpS0XmnGK+WXeWg8mUkqP5uDqsrTxbhy1nUF9+bxAHJTjfax7I9UPhkOO g0Ms31UeKJczoUQXY4xQ2756Cr+BoXCCgUE63OOGha4EZNIFVDjshALTXX/K1Zamfq3M4naQOWi7 h36LS6E/7kjsvKD0qig3B+bw4eYn9D7xp9W/b/xnQX1Y6b+KJI1mq3ewFW6FWhzfiushu/g1D3BH IVDnqB2RNE5KByrzrzTWfPXtAzqwVypuv0uPzMYjP/HUWBWWxaM0698XRYq6tEjyEZmrbmcmdddB F+978ctayhLeGND3gjJzMD9GVyWbsp22+eKzIrPfgf+zir8BuPgUT2EfbWNhBLNuDCTbpqX3h5p5 UQgkeDx9fuGyyfEwSY01Gj+b0y0ZfFaPQ8GsQKQ544ltOq5D2c2hxCm8+bT33Xox9Byh0dE54yji mtCKhyFgjWGN+nc8kZemzz58Qc+tFgq33sNTvW+u613NDE6weCWYbSHGw+zKAAKUT3bn5wnjKlNP Za0+LvGGrSLhqhB1E+mDbzQz9WPa7pk2U5Yx8hXeWw49WqeFimySWFNJyPEM3fxsATP6UzAn8oSB gaeOEqF9ZAokKdVC3hxFEdR4hES9AH5L8QlOcAwytA0XEPo7+VJ1dvQy+CUJFMSViL4UyQ/1d3w3 /JflFzwiR+Pqp+4cC7R1OcxV41N/Xis6AjZZ+L7AUqsfgANI6OaDHJs7hPXGw7n3rtuNAlK2NiwM bWvK56olPYG7yuoHmsKWkg4wJLoG/MjMFjZEijGpYHYAri6CzgPrNOGFw45dmw2Adhf7PPKe3wyy LOw0Ka9N83vjMWPcpVP+2ScLxhfRWLsSQwqGhh8AaitW2OiTx7ekbXijvvIy/TeTns9LEnf2mN7s IBe+4wzrMc5PmHPVAy16ju/wQ6j1XAbp9cyi6gY0S6FWOq1l8lKsx96z6qBwWwgxdynUzi7lARod UBJaDyt9i7nIhqjp79HJziAlaRo1Xrnt+LN/sCHD7PBmqF50pCSW6YzEuP164twgaiGlAi/yYTc3 kt2l9rDpHVSNaJM0oz662UavJO7BxnuoM2PoAzBcoBY78upERvNQBQFzOo9XtFM6zaeXPNJPSIJz H8F3j7maBdtYWMjy4sDalZ7Fyxlo/lRm19Ds8/86OjGDBNR9PMmPiZAwnLvtNG3yp5gmgsW1sskF +jyaMoW9SFHOaU0dQ9hPXG6sflnNACAOh4LqrSjDxOdDGXJIBbCV85aakvibPiTbvRihxfcymks/ F3Vg2mB0MLQN1C41ypwTN41Z7iy6mmfowNrVB6suL0B4C23X5uXmksOWaa7Fqhue8voYHNYz87AX 1CmUnsx+ESMgq+Cnk/6CljrQ65N4INHD44SXvqqsH7KGdCK/VJXrSrd2MBqWddVIeoLXCuqYwOxj ezDRKd9jCIrgi/Tp6uGj5vAaqWNO0i6KQ+V1odyjNq7xhDwfSyL15I44zNRkGVJd7ttEs2zo+e9M tTkR1igHWulM5TMUdbMdej0p4q9rY2Vpez9BWoTMI+NMTosN/VBa1uoXg3e1i5Mey6pH68hXSc/y OOl6NP0lAsF6r0vso9xG+KIJcJZ1ldonn048etdsDOsRodP/ZiitgPAjFW8b3r38t2L5uZfsEwWr WnyK9Yy27tLFMgyfeBmKMin/bqqg+xVK4GGjb8oravkTjBVW9VCYc8nzEU0RlL3Kpd4DNscR6Chn fBK2UambbZE0jsrc/gF32wOzEjsRYq2t/NxyOzmZ6TBogtMcqTuJUWvDPcmPB7KFI1xve5KpTnFH b+AGLQebcHUjlzNAfV1aH3VZWNbetP9Op++JhuKwV84xkXVR7HuIdr3CIedCHKzWW9k6bDKi27rn MpImtyw+Jhjrdjb6GpTy/V9Mt35CVz0ilaAlzYnQJBMH+HbaZ2u8yveI4vSTZwSZ51DlN6u2fdtZ OHLA4WhhHPzW93UgL+Z3Yn1ZfGH8L0XL0rG0Wmi5mZuQTP2nfGHnKvEpiUV4uhQnQoO4ovh+VRRa UdTp3aYvOwcLa1Tbfxs0zG8896q6sLndM++95zagL7jOyZnFmQddlKEtNZvqtBxvKiZzUa4mudH8 2QAR8ewUfAWLEQ3FDWqtbRklVLgvxrbFK8SrAIE3lwO5YHXnIYHasG7Q8kSregntWeOmW9T414pQ js7uEMYE6soIZDftkj7r2aw7chVXBS+0VcsvZ/buAIoIiNyiZISKmnQvXIqWI/07V/7ZcJb1Mul7 iyxId91udFo7+4FtchFIwNV+RHeV4jxN6vLH4C160myH5id0QWN9saHX31nAzxNCLbI/8NdaMApz Pe14SASWdOWHeESkoxp32P0cnYi2VaV9dE8aaiiuHcNyfSbIndQdMiAHZADN8h5t9ZH+FUd3QsyF Sqpl5mKnkQ4Iee+gH/GeM4GoWyqumkfLSfvgpjCP+glKrnG8oarvPatTNQyzZxLcT3qc3gw+2qD3 w8EFzIZpIIllJuwyHGtnzdAofwWQ2t2QhS2nw4dvDoLydqXqtl22O0UF8tdDfG0ePnfvBF1OJ6tX 27vnuoiD7/sNn1iXhX00lrc+/ZM5JU80RRA1t6eEcOzVORKNgDQJFnuujNJH7wLQ7EHTUmeR+sAt Cv1KJNSHlVz/cK7/iUC0r6axtv8mKAVntAgTy/SGFP6roiqTVFExrldW4nVtzASpqjulJ4OusT++ eOEove+a0qg2KM7bTk0Bn45YeIrsgjan3/mlE07UhfoZ4SSfMl7EtZvaRR3ipwx6e71+8ku0ngoS qy8nRgtaRqRY7Jk1nDpdNe2iqhTgF6b7cG3phYYw/NBlzKtuyfmjvBqZyBwCH9LpIGKRL75azkK3 lLHg6yhSIhU+EORJ2y1YtfqGUmiG9Niiw6+HG4pf6osSsJn2bE5IAMUdFnPsLXbLZGp7eSXJQyFq 8hpiZMpF1xQkxYpxz/wk5LDqfQjjU8223MNGUH4fNxNkw+ADIhqqCZqHIOIpsfQzG71AfZu814ak jBTWPgeR/JYYF+PVJCvoxDhcgNL8XkOo2SzCjPaxCZFctxOkd/vDMvfhEwUgLbji10FGbOWGlVBq NZCJSflITRjCxkEaeIs4knmuyTIB7itLSpYbJz2ASoRzfyhtxl7lRvRhoI3I8JWSjaE0gzCDLYgi RDsCb2RiJ8XohoAuLRZKRVerVZcZf3rUI+0/K6zcdFL0klSPqnlt5vcgh5NgguKaJ9GabPGAD2NP 9fbfs1buAvi4uv69L1MqWzQSAvacBFAa4P9AT8u+t+aH0rU9nbdivFCa4QBcrbu4dIurpEOTlz6v Q4y+hklWTfxSNJo3P03O2zVvqDbYdlUSMVa47POuEwZqhOYuMHlll8NMSrwLAgOBiQ0GMCj71pVW mThWwktWKUqfgfmCpBcf1vO3YgSc0eGbNhSvwHYOyVLBPc7ySaMgxTOBJYVsnEQHWtVqoSnTAk3G QvSI8/SSOhE95/e4PurKfyZRuJflbeXE//Tnmh5ucLit5o7PbNAx+7Vgzx7QWY2EmPlpS1vNTfXL E8YrX+xRsIaJTA8fEBWnr/2c6i8iEenn++STYpXoY5RK8zeq8W+hGwmkOPtbQzLKXWJlpFkYVR4o nSLEIJnCAjsqDoVa8M9WHzmMxduRz+5JHd+ulXyw6HuVbSfxGl7+1vmiwX98jOJV/B2rnUnZ1WzQ frG/pjNNX8cyOnWkREdG+pBC3KYfZH9J7OP2KLdC7ZPxSR9hYJYqhq0xq0pyolbbeIXSnahGLH2A zQklbExh0qDnU0yp1f5U2TmWZDcf3jcHCvmJAm+cSplprSIUCHpP9yfCr087fRyet37UlxQTirZ2 Cu275t1hYOJ0EpvJYJ1S6sdM1QsbzskwSDC8Y0xP98UWE6/+AMHdv3GnE6SvwNZMvTGJkn96ab2o 5znQmV8122xq0E6e+2wD/XdQve42BvedJmozWGevKv7/RLHlK2YbqQsVKlDxeFIBunkkGOBmRsbL aBqwLRvIuO95OqqPOiRjf3RRcpxxM7y2a/uM3IuxuvLPfmYxV99uAcrvlwJkoiAnFLTlQKD7L8v8 0aeXoVkGq8/cq0354bbX7VSOJvu4cu5VUhtCS1YI7frRP2oJmWAeQRekteggVCoDflkDUqzUsZLQ tS+fjwPiGPLI1b4K4HZvtGwp+EUT7UUzfFeEJNhEPPa+GT1sHRDq43kuHJUO2l6pG02DdQwejKvE BgHQyX4JsLUlFp54yHA03+7z/+1+hVbZf1gG19WdyGmqON33qKq4fecMxXgb08hJbkLI/bzfiPJo GIIUI4ZgP80hbq3wJt3KVfhyMfbQNTfFTs1DiS/ZtB2YMSgErGn+A8gpB2VHPyovp1MWHUhPfsGl Cicec2BTQreiNhgJSU3tuYBD8HFEOkNuG8HxwffyjMrTOQUDf5/DcRSh8/QZN9aRP7vqMB5+ouLB BM3Cm3zzlWfS/lNhRsCrewOzfzFINfPGZqgmtF/1UyksiLB0VrU1KzHhWCMK6qwQepPPMmH1Ww+H dhP/5e4gNAw7t33+ciyoMyKCb/1I3sCedU6yjgejvKIdLhu4OloBAgbaBVAYhb2QP5GixZZruar8 K5r644IqRnPKDjNB2MY1tUwguYv2cPh3zYwZvI+maiWwHhQAHwjXFwx1y/zRxF9+OnpAHpeqga7K cmvuqKPjBhbGo0Vk/Dw7A1CQ4CG6b6M1AdZYpimNvVrzA7NKArpavLkGw2GCxuoWS2GCBqcumTKP MVGztQTL4F7W5ufN9sjaCh1Lh6LHjWq3ufgoEP3DK6R2KPwPrRnfEsTQLbdQS9SGjcpVRNcpQfAV i3OBYmpj60typMrGJyAP9EqSaOwlG6sWtz/p1e7AG72uyH2OT5PMSlQ7z3WCFYKRc/eI7/svcCLw TV3v7TH8B/1z+usu9X3y5Ad4fnvZ1jaSCKRO6KEjdKoIKJRsV9UnmMm8XyrUZtRcQpdWogyhqTKZ 3hODX4nK2UKq0ZPcoq4unvTXSvUW31Esqd5c3IcGDTMPHGceQHYmNfhkha6dJvTce7iJ/Dx4eMzW k5DDQml26ol8E9GGKeVa/awQmyAqtlmpvtHU/sBtxfrCTFmD2TcUGW6FdwFXMTu1MPwHJCE+Uwe0 VOmcC+/Mgaron1eLzMWV8XyW0ACztshoHbJfD9d9JsU1cJbGyOYQXu5pydYlv0r7f6HmDVxM7O1S rCuOYx2DZtSqmHzS1d8qCYlF2TkW0CVBcb8FCABSIM6caQ2aR+2MnCP+f/2uXHkH5acFGclk0M3n xc2A7ebD6mzZEOYWWxJBDElzxDKstwuB0ok5v2gwisV8CeuWAs8bjHOs5An7XdyM5g/9hyxXZivj fr0OMVLnw6u/Q+8rJV8/MoRSJ6wjs2qI8Z5MJSh4pBnHrwZbv2MWl+AIAMlq94qF4weEhBVNaHGH CfVPrMuRFwHVRkRhdFZAcsjaQBs+s+E3oenDYAe7Ko4bHmAA1sKKYLiatMXxmmwXSSCz6FewD4PM EFO9Gv7SsiECezHUmJxNcolWOsuk0RE9MakoNlaBgzKEkiDTqa13GwLnNg9Ck+cLCcHgnzIO1Njk L4b7LBFITgmMMm5B6INns4IdMbmaNO6KziwlBDOx22CmDUoV38m699UmEYCaUoDauHrMWbFAX2Nh 99QDJ0gI/4echrXMMbmcWyrizwN+QOYXN7WcSBMBW3iyy3ttsbDzaeq9/oPU18zzPAM+D+zFmeR2 zdbBrzQa9sESX1LphCo2CrKvbqZOJ65K3Sd+BlGnzi2uADnDnEqjwGRXXAnPihzVAbwbYxSLMvk6 bz6SW+eG9w6sZkC8M6DnGvKjF+Qm5tm241A6vyreUHmwA24lFL2F4DQ/wcOaGIxI1V/cxiaxxG24 bhp4Q3ZDgkwS12sHr+j/380mxAtPIeOyNv5Jc15jyBWwkCJ+pKuzrwu2la3lwDcbZaIRB3QwG7Jf +b1aBgR3kCCQkyUMFk+7nJuGWWpI26G89k9mbt7RGBKNhowreUdEkunfV3Ce6DswgpB0DHe7dO+x tYunTbfkcJvJCaDIg7MmBnaK7vEHSDIQ3vk1/JqRqFQj88Qiw5BwFV10oPymHswGDs5Y6PIHtWvN 0OdG7RqnNFk0sFTQkgR5IsxR6CcQIL7TUw/dBEwMvmQ8tO+jtpxMwOPKu5I1RjaTOYHWhHWp5c7V u016PT3exfxVU6/P2PRvvG5ppHbkirnTGwfDZ+0Z1HnVvBLbIeYkU9VlfXZdMcm7gBcbme7Lo2Ie NpSu2PZffaAlMGWZjninpfmmeGrjGRquaDcN3kbZA+M0d4d4v6QtTLCCZDKC5n/KD0pphYQ1yEwT 0e8gU+Q2n+LvWiAji6Ij6kRFmwkk/Ym2MuKGSakMztcBzZdTqCc22uHIwNPqU9lNGV64pnT+6O8s g7U9zg4/JzFhnIO8EtTmid/2CZhfhXsyAB3ze0Ak+sHyY9ad9eZNwnQkJ2UzOsaKXYa/8t+ZvTAS btPANzI6CtZktmDemOgTWKfrwG4Z77GYcEk4VOzTFeQfsVSqJ1SyAIMGgqQUF+pGk22sT6h/6xmx zv6T41noCLQD/2wf31d6iEkF+z5HA86qxKIduLnahwesrHJnZoQHLtO/hg6W9q4tpmy8dchOt3vR 7NcdOx9P1A9EUI3iNDQCD4ppzR08rxLqrO1f7wdD8FtZuyLGgcRceKh/9iBM5MYtFphzbai+Y/NT +30bD8xPgfqjtCtbeuAjR0Sh8WeIy9gDKwc52WwOe7ljeiABuBxt0WpaYOMY9vxWP9J/IyaUWi54 4bH72orR/xMzYfnJjD0qjxak7K+1Ebo1ieyrkJFUoqTJsM2nNolYkf281GAO7pp+BkzZyvUxT6c7 bJlMXA7bUFfEtIEw+Osw0rZ1RASz2N0aFrVISS0O9xN/TFlWN9N5ukA4cn2rjzxCAVg+qTkacZGj rCP9graLLlQOkF+pC5atmThyzkSWPYf+2oYU84nB5w1RwUxI4otUS9wKKkMgjXtp7d5S/fKAFVfG ysAivsHGSG5R4bKNY5QwjJ/6968hMuVya9rih0BjYQPX9VC4yTuyUtsn7qW89ivRqrKy1qYT/xQ7 bY+dA/ciLOIdM2mEWvwZgfCynEcS+Pzqx0w/WR2HUSB1r7hKIgyrXjOC7egRl+cM9BOFaQmJBL2F kJcdNUzEO7hPsyDvlbZ6G8pdkEZDeQXn5MtHpFw/KMAmLXuBxBoPMTDD7XjsZTR171GOdb1mcll9 19pbVmD2rH4l0dKbw2J8qX6swvH7+/eF/l7k40+b4jZQMmHSkbbxrHTbTTSqHrVlk6eWZ0CuujCm 9PrZ3s983CBMJnA3GlCkvwK50OoQFFweh/2Hd5bBoXZUg+SshWddUSlOoq5nZlfeB0hcXfpYkOwt C/TfGMV56JMsRwITN/SkKO04Hgc6fQc6qkEpag40qHmTNgDSWOfHuskqDoVpHBGBPqAE+saW6FMp YmcxpAKVJKxfvvyIEUBAlomVPep1FH1wK0Q6punWKQasWvG0qdmdjmDh01QIff56cAN1sefxkwYH k51x7eB6p2FZ/DN6fSB2fYfP7Yg9HFTKcj8ef1Bym7/QJRHw5PbTw1A+RX8sNM4dbuzQFX1WsaXN J/F26uB4ePpD5ukYZ6C/Fenm0EsDQ4ztrXPvoGT1UzkkHNNGS8EGXQvW5SYsScQWcO2l4JI3V9lr ayfyEkpYTAY/goJCjCLUTpecHTO+LW5xQMPp93TqgereowbY0WIDoU1978EVVQlcZr2XjnsoyO8u Tj9ddSY/bj52JU/ncZVEX+pcvAqiuo8L4jeeM2zQc8hBW2zvvlUFYSSqrukjrKIcx26CC3t92CyU 3344G28F5g8PpzlcvW/zQevzj8wXNI+0XkSEDZp9db6eJ0bCv3oCDxdwv0CA9wIAYq9XYqvn64RM lvol0JsLKJNQ+10znu/ocWJpvhlWYvvn2tt4Nb+V4NIZGmOZ4p9dpD0L/wBawvlgvT5bDKjEFIP8 1OBK3j3ho7+ai9XZqauvpF+aIBvqrzPZ7wj/fiyKCZpWcstSDSvXfcRhBBRkI+o86RC7vs0SOSqd T5rhbnqyil3D/1goL5PMVEoW00401lLrCd+zkkejZqK0Al6+zkepngWwOyEQAlM19owEMIaNM2cL dwEfWOcPpfx4Nxzpp36wUaaF/yAz1VMl6a+ovf/lIeFeFk4IceDOA0PapHAUjnWnInce8cgOdr2B p134X8lSBSmziEVvJW9sW9FP3M9Xou8+TaWQgkT8RkhzP5gzLkRbfz/kxE1m6V8e8n77J7JHmnK8 T3XM4sxf+sdEpaE6oOSzIRDT1NL7d5KC4r6bI9icoLS6Ug9fJL8HMLvOwFtrj+K78q8mqb0ut1bY fTCEyh39fot4pOBGhSJgBXjXEnDKOXvzM08S0rBwFVZhHHbtXf5wHNuPnWYFNXwArkCBPZLkrB8G azj++KGft7tP7KD2c4asdVZuzSKiI0UCRThyqY68yeLdJ4I5raRtfygrShHo5hnhUuZ+vcK4mZig MN9d5f2ncdrtDmQWQWCcJXsWoVUbD73fz9KCFSv+bJSVWtvGCotvRZQJkGCXGX4GywfgzwJL7Ia8 hdL+E8NMKcQ0Xyjqe8sF45B80bSzv/nILgAZezqqVHjgL8XfTvWDugeSMEZtd+XYVNcQ2r4hh1fV rfqgBcK7Lqhqik31Fcv6plYuZwlSdS6QLmFbGJmrM3aeRuCSITKzKv8b2vrPeSeQRnn0NYvjwN30 WdBH96SMxryYnzbcNbwt2cwyb47TC1ABhh4VSr8+dSAi5IpcfVH0lirSnmJn7iqlDNXk6nvEsXxA WMiUtohOXx3BXSU/ZPIHO74Vu9Goo9wm9l7aCiAcXXzF0Ke8GthcuJ8vHve0eeAu0XqxqgpwXLi1 Bs7OfGoNoC36vJNAqzCLaPXl5CJSSWuOTWHKPsy617fPHbicLO1I6pdea9nntSSamFVfisjxI3MW DfLj0R3udqh6X7qPxEX0Tzzlt+XyU20zKZgt1cDXGqKFDTmpJzQA4ko22drmws26lRXIA91KuoFZ p+BZ7czB8HyiwOzzWrBGA0ReUAV27py85PBMPJlh+gFMcG03zPXrNqGch9z8tFh/1VAls62/6Ddy 8GfAkltZyl8q/d8MRiDM5R2RonoC67YJl4an18W+qwN71gXOA5o8XbCa+7iBuJz92/d7GpMkDIHJ j/fOmyetG9ZdKfNEfWtcGTZ1vz9G+5odQjEgYSVC3RErKMREr5K1kR1mUdZiyHiJncWlvIAum26C G3+Hlwq9bIyE1ECmS6ZIm1VeE2VgycYhQTADzIYxLBKT+KjJiMaB32C7+w5VqoeFlPKK/DXXuH// r44w51JR7mvybF594ZYYds2yqXdrItNspSz1uU5f8DQ39F83vW/JBmQ1zv/lcuAkZdv8Uji2OxLL obq1EFFvP3+STnuRi4JZjmoxVCIBnidcHN0hq6lNDdEbrv1BJ9HxN6ppWUJHRsydw4RZT3ZXpXj7 5M235BkgZUyU0XUqfv0mjBIx5dd0p6NHmkQ2xo+op1W7dJRzfcRw0+sZWEwFC6mwPc13v2alCppr yml4wNPJ4rT+lfKt7vZrKM3d4zPFLqxUTAnP2f3BSm+j2aItYzm+Vybw73ITBU1IaLS/zgJ5nIm2 rbIUyJHdfedhzJ46x+Xv3jcs5Nu+oXZ4I8Qy0Myoyh7G6gAvU5rA2WwB7cR7uG+4Ib/quG/oAc+b E341x8TpKcVUIq0bVEf+I9q3+pvJ7dCRHunigoZzeQUfmqePNfN0LKuEn1+MVb8wrYwrty/3fpRp kC64tuZlmzVkdePyIIX69uw4IDqrdAjvPNRMLB0pxG5nrjf5iIP7qC60L09XpQdOIKNcuTgPDRw6 uVNwVaaftCIzLTmvK1qcubxea2lec3W63wzyCJ8pHO3j61l2uUr98GyqP0IbjhMe6sUihs0YB1Mf HFCnS71UcLFrQqjYs91ZHbNNBZSYfWxxCChRHflqqR3ZEpLyN6LUHXB99z1S71vcsWuWy1osz932 RGY+k2K6NVBTflCNylerKecDr4tjkw81N8j/7oxzC3rNGivhkTIewJtyCZV7SRaeCJzODXfTIFGh z68mTx3f2tuhGA+k9UU1OYLLl/f1MSQLXzEiyd+ydFsc6yTAYRtXkvLjnwFh3yA0V9H7rLx/P8nC iunAKO593zELp4AKBBPKMY0saoxvpZtddejn+YC7dLQXLavFrIeS4OUXVnkpoQU1aUKHLaiiOZLp lt+0O3aie7aWtihUXFQnvZD4194rsZE/v2w7SdUyrYWelW7s0IJ8OychbrGT3SwvSAkcXWsSDwLw X13XM/qkwIzky2FoovpDQDBNbaLNFTeV/oTLoNp/EZoxCm5kkqfadB4uMDGvU28Ut0xXOz/3wLCu iFeSrlo+Drn5Yu7NZnVKYy4KOT21lSo+0+VuazH96fB3wq35PG9puYjeih8Gbj1iHPg/XUJhuOZt ehsJc/SAhN/Z0QK+kXYLDH/k5eEPyMEADNfTZmXMvuDLpbqHwU/jIDpikOYhghTtcbRvpDRDdRSS U4pC+9FXZ9ACizUPzH1lFGlQs0buk1jzRSYnp+wPWeNE635Zo9zXG2nuLXwYo6sWmufeHpJLBGC/ rS4pL3zLnffVbMQc25hG12sGq6Gpxw/LLxZNMCBg0JievUQ3TcQ5JK6n6a57jb7/WfHUtzUtvaYf Qh728Q639I0tOVxvPe1wtwtkoOBA+ouVDElxhqy9Tn/FhNSyBHnQIAfrLC1lxpp/2KYvK9halNgU +KPe69LEGjFGxNeIMGMcJXBOVsUW4Wo09WHQCqA5fMckLi91kZEy3r7WsEuVouiF+2NpnXl5gcZY yBgv/9EnfOJUEHcC/kSIcMKUR64NdyYVNmOgHthLVHPMhcIrk3XPPxzKDj4FKJY7mhi1pLqOUiGM 1/L/4XjdtmAM4cG+wClRetoPHCwV1PuobCAxSdyRCcsDrcbItMiLtnc5DK6B4hK1Xj9tGKjpME18 M1KTAH0xP70UpEDGPb14A/Ecldf3q6K7GX6vHn/aio25Fqs9+1mgmABQ1C1rg7D3mJ0ckNRiexdd KCG3JuJYpB0lJPhd9zVxyNVwriqGxVBudweNf8IrAHzscfMvDi+3A7xohLJJWK7HRNC/ndxHMvxq 8PZkrDQVovaQbf+EBZqBe1thSH6N2KbKKE8kABhNrFHltnt8vMhNcz/9RqQYRmmRLhayTs/Lakhx XIfXj6jJndmo83miUReEFVlxwxCsjNsckDkX4MbQVsfTBHMyPXU8F75pAeP2CLkA0bKlWbbVc4L5 YxYBLNQFbJM3jCfdKlYh01q9CKWjxtoQZeO3SzfHVUiITFxnc8fxj2kIUKryilqTXBSurXTjjXvk mACBBoko4KGanV7DTBqmS62mKPs/J2kIQJ/JbRSQyZTzV+DUsfB0uV0KW6ajQaQ6yoFCCoVIDqeD +r9E1WeKGKvrFjzy/Lz7BEo2ymbE0xgBOE7XYdKXe2nUY71+K5p/IkubjPq2fZiwceowlRDSdyUt cZbvpRZc/vaYm+hsw2YxrivJr3ez7Be/3+3OMEZeQs5GrSitXbJLXRtMUoKjU3fK2sE0mc3aVTc3 QrFi15AtNyL7Wy6lzMbROkYxwMl+UrJ0iPAfDMpsF6bYr/sV+SA3mYB+1MREVLo/XJDqbyYVT0Yc 6w7i5vG8uFjKi/hr1Jie5vp8UuPQdpawPoxWbLQz2pEpeG9Z/vlNtvAwq0FflZR9Hiy0R2PfjGMm uBfjOwNzByOi6kKXfgMxPTgMwCLncOjGng9DZYG98a1paYiI8bf/Z+KqpgMKGkYg0dpJzj/m0WCY rqTsZM0lRsXBpo1jaOQYAxfUIvFKYztuAA68gm0a/YrJIBqqDocTZJVkp3pZ4r7ZZ6AzeHoaZf7E 74SUIlp9ow5Rx0FJhLyodHgP0JlvJtsPeYx6b0W3dqPDj/RqHVBeW8QKqSJjCcgEAtyTWmNebl2f e6LlunmSYqm3moX0NqLP/wEeYBDghM1R3+PSNfFTmiaqjwogHcnXNMdM72B9nx+Y+oT+fDRHxax0 HCYv4vgTs807cZVf85JuQl+WatT7YYXP+KiqX90qDM57qrzwLtcOf/hRig5qnj9+ksKikNdITAmf i0SVFXQHC+/dJ9Uygh1acgIHUfGVIhTupF09LChEIy0bmwWRSY9swIe/wccFl9bZRfNpC4eF1XxZ DOEOY9NhlJANvfh3PnZL7qXRa2PozfDYHgrHUYk6MI0KsXaN03CNGkPEUxc80g9ytkpsAd+TzTD1 WfcYV2qUCkST49D6Wd0mMJ42fAakqB4+drDuhXzR+bHvGrm9fw3/ZBI5HGZzc5PGCOSqUWCuvG7T fuYHUMdFwwEtFoy0ySgH9gTfsaLll1ZKSqD78tdEAVTBXGJj1n+diirnUgKAFH2ubr3QP03dK0IJ xZ4LBQ9PLah94xYFHVN43uypBCJOBxKbY3m6kLLCtyJOW2YCt/tbu0YOzKKHGIIR8WcfaVzP7c1P FezRlWgn4ntbt3F3GVWZYqN6FhQmd+ym6BUYR4BiGMs+/0Rn7YnqbkZVe8IwvYSRmaEO9z8E9I1Z 7bnpJpCUXucDF4p+Ohqw155sf2eUrevX4rxBj6WkV260495kjKZSKNlH0wdPt9mdTA5H5WeU6V4f NxcjdbqP7C6+KqnHa2dGePxZ8Lyhd09Hj9YzfCvyzCHRlGX8ROGRHpxaE9x6Jf/RNJz+zYlzhbzs /LlDnpN9JFFTsAQeMF6MfY3F7RGiBPqebWwFR8CsMQ4W8XPIOXuaKYyylOYb++Drw4CK3Vv9LFzl +A/fk4eZOHQ9IhX+EHwGNm4GWWESQNg9Fc9yUpyj+xXbQDqttvFID3u4MWNV31VQ2brn98h5F6NE 1QsfY6Dzcjey9H1CXFLdllcrBnF6mEar9xYpEyRNXLsOsNTrckLqzP2dnj4ACRICh4bDxoPZzcNW xXsvW0W8rOJId0P0vUoVruR0GUjpGX6rFxD1S3WssAnqpQecSJ4HE9ZbrntAw7zXL6aDxePQmjS7 +S5YTXFS4nKMOiGj3n0hx/yo6Lre2tDh0S8R+b5mb9Wa4NMTuAtGA9hAttlu++H1VYQf1Pj9TC0J 4/zNyetpYD2mAGW7UCWjwVesT2Yu8VIzLRmU4bttf2kf3/VE0YwCXDS5oAHkH85ufacusLmu17Kj vN9gqSnhIZxHH1nbUup2fz0rzfgpN9P1p4oAkjK0/NSDw8bZOSIqetArZSAVpg/SktGqowyg+O94 Xd70Q8ASaC+hTa+M055K6w/oAHSk/Pxu2nvrvmkqQ+bLKAMKp484IGjHSNVD///69jkgI+4IFnwA nu6lGc1Bd9ESQz02JDsXIwVvm3mTtwIgmlm+xgASGaEE36LNHKijHNNeZjPYGS4ruUE836OFzz4Z tTWapLGklaz34QdaIFpfombdnV1rQEvllFqeJ6DVo/qvaS7WzKqWXyKfPF62Y4oeXYXQPPe6sXtC xDwvU+s9nroMCX6VfJXipzRmNYPEycByt+Zc4VlwG3RUyGJRFSXpYwPHm7GTV5nRVvbFpFdtqOtU WlxA15+gcXG1fndKEZdwq6n0zzqpjP0mSSmB/QJIVeMpLmF7NJo+Oe/xOLdpZXf1jI7lccmihQVE 1tpqKZuPZm3DojCoSc5nbQ1ka7MXmUIwVE/Hoz6SlyjuNvkUZm8GufiIGf+Nzp6hSmY1+2IkgGWa l0DbdT3Cgh/JtPLA01D8dQoxkb9Ztx4NH3c4+3vBZ0Wu7N34W/E0GaRjgVbie2D/Tpz9yNjlGBpQ ZZBA8yrD3smw2NrGLmuG+ETQHQcoCKDO/sM4AAiYNvQXEXN+uuZuru3Ndh/ppWDwh/1qOP1kfvgf QI1QSY4EjEPwgV9EJ59Q0P+RpiiDzxa5D3/SF4vXfJ9iuY1Mt3V19DMchnLnQ592OqOEp3s6Exr7 th/cnhytGnZArdqtl/GU26TrvSuHH6QQ1gY1w3RQQRS2fuNZUtJPV+ImLzvTeYMtQoLUrBk8EU9f 20UDRCnAruVNLpM0YqoqxO0uUPCCCYM1RmAfbGf4+foDDRE143uk8lYfQVSSlfTy1SOZTY6AyByY udyCsxlRRG/2aAYbe0F1LMP8Bo7t+81Lyad4mgf27kxzDb6O4MMFm8rJRSYW4Z0x6WoAwK5HCuwB XSgRbXzA7R/tjyH1seP6xH5asIXCu3OklsXNmycZYjlRqoL5sABGu7HVm2OLpgEhaHLAEx6wbfcB bn0bzkudXZVhswT8f4/rX/wSGPLiGux9nGJGdl3g3HocR9IRmFqwwo7zwREhVaXtyVBfQqFYWKUA 8KXjyH0sTYlpr5/rg7OBSjjUAe4GwKPB5489Flm5YbnTqLnhnDJZf2psbRvaYcM7upugJbs4Fvcz RxGyzuOF1WoFQLSTm54TQiduwY6awsD197ugIKWQiYt3jkY+UWh2mUUpYlGNTN9aQobtH76RZdjj klFnCvKyzEjDPzkukgEunqFTXPM2zMxPvcZ1LSzKGwwcSkLppjjfVeAQKu4zX2m397JudSPLKH6j VZMuz4dqqTcZFB3krSCsQ3kos90MeESuIAjO7wAdXO2Gyp9Whfmar6v6JI4gvS8ON5RTa6Niegmv I8+RzgWl957LmvGefGfrj6p5B2hEZ7SUNW0O09Z11sdHa/sCsEBUyCiXgUDwmqZe6mM/PvDR9AS9 yfNP8OseoF3PEoH5 `protect end_protected
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/SDPRAM_9A16x9B16/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
85
19921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ F0QO+ZZlvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O dw5/lv3XsuSXKGnf8V0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f 0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC lN9AukRoCBpCWkU/kGY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8 ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008) `protect data_block ugPchIic85pVoazhFaH2TWuQjqsMIDhfmCLDBUOtUS/RF04DCSHl4KkxPTtW6P+RbyiD3T+m3kTR N0N334mL4z3weuQnltN6rjwv5421Of+ebjf9jNPWmR9lf0e4KC1P2a+RqcNXNDLyWLeUSh5xhG/Z 78Dc0xYUHiF3d+hrOZnBHDBSzBMBxH85jBmUl3hJ6N8TJlBFLvzDJLAwx9rMqd+/ukKUbS92XXmq GUfEtXOD/kOjfUAMdbSYK383ZTVeO8P00Ys+990ShfmBjW11X5zfC8a6pcCnYMWovhs5zhxlg5VY 5qaNHLAk3jG98jGzfgSX7h68H0TVRR7JEDFOWoBp6nHeaNm3GUeQnBHvRRf9iGTHwtNoBo20CT3s B+8CdJKTLLUzk6qAj2GsiBGHS8LnYKmNkY/fLp6AmB2/qs4DlNFJIF8WLDrSqDSxN7vLmxfW02dn 97TZdyIIRU0sSDWmckU00Bj4DR6lVWvrorzqz+TKptQht1fc7GrTmUsh2hItQeA59cOw1AOmZDS9 1wq0ZKLVSXAjdB+CY84byFDq/ggnql+ym9df++F4CndyzeHn/77aqPKlsW1vloWWAkuV+/oxdhDW p20TyTT2O/VPp3m+EzlmnnWV4xK70h3N6PHM98xVk6mnffhh/Vgh2FPYUMBhFoA1R5ZkVo2hBFx+ BdBPaixrD1Bh0/1sYSrIxRoyOp3yESYfh6j5q6cxlNTa1qH+ifL4xeUh7yFpIAKcpGKV/BHZ4BXZ dzcowFS0zr5D1j59GJ2fBtclaFagM874kSHoT7saPkMwJZzu0a9Suyo2oyHeDY5u0tqwoOjIxSPD LgfMjS5eI/7kq2LRK6vuUkw4NPeUXfLEpGv5FCJ6L5qj3kBW2g6KAhG87smejtyOyPsvsfynrIc2 3VnDVEHbU7JdT+65asCO43n+F2twwXTbRz3siKaGFW/pSUKMJST4WLbItR8/WK8o1ze8PIiuzlcV 2q4JbKB8FDK4mLtzmIeYo7UTwNP6U9iXbLmMvu0dzyoUw3NDGJK4KUCMCVvDKN1R/+YL7Uwr/kfr H2I7nTHDRk6JDcgnN9lfHUT2S8C2BMkKRraWkqRs0K6NTq153MMgQ0I68tioSH/TpTwOz9oXVKBC IPIOjB4kXex4kXwRz23kXOxBtWL2ufKqg40K9aFi7BK+LFkdT9TJGBCwlY11kGcbWle5fHFUxBgl D7qMX2r59mWmGBSSP9gWsTMEGB58WJb0FCVJzJGA6OZLDvK1GTVkE3XRxGSmgNPHSS7w3jMJyMM1 LUnr75CCm+QeHuKzLZmM4W96dN4nPXd6yqiYEO85iAqDcN94rfIuZjKzOQpcTx9Oi4DIbX9uESmY tjGl70Xxr/adCaR8ilSvqqc+b4MBKqY7ELJDs0L3vXhscTGf4aQPSq6GF+yybBqE/m0z770fLOgC kzFPyfhoCNMoGzjgznhNFl/K2SBBjlumjwB4VoL4kPAv9g27PQzII7LCyKyJowsuyed1QFyLx6e0 zPEPygamJ5b2aKJfHjhq6Imar4DfdI9b/lJ2m3mKH5VW2TviID8sew7r/S+Cqsd1khcH0dn+JSpQ Cz5CskuqoPSTdJzTOv3pTxDL59oUgopc8EhlWAJY+mQaF0YTUq6eOMJWIqiTRPuWQs6VbYgRaUWq gGyiyprJEh8tjGjzF1g0t47LQBCmfF+ET+Qos0n0Wh5P3AuYe2d26zA4VHkOum3I/l6rLBKqZWgw 80FOjGL5rFavkxULg1QQ4CE5oihzlPEto13KkUan3UDd4FbgJCBwxe8aBRFgid7TZjfFiKmhUFt5 VQdmXePim15/U9KY4mMIYrnEKT0toYoS7SwJCXalf9S/2xU0+tvNWpw1X6qO/7v3BrnHauRDb8p7 DXnByUOEvR5Iwn7KTkPdDsC19IFFE44KJQW/TLM47NkLTnUgjtpw8GJXRgECeGgmVvN4zDWAz4kH JoZvUApvZD/k60yS9lZdKVETDuGnJLMPWqaE9URGx1F68zV/t6gNNzi4Vbw84HrncqaVQ9wkyUgz NG85xmeRL3PM9bycBzo2/5tEUhya/xB50ZheKo/wFA+vGxItbWD3A/cZCrWTA58Qp5cdb0eH8meI wFkrjyP9iCxkcXPvTVMY8oL8o5pJxxGdMvGwC7jjkK1ms7Vqxk+flNpQwFOxc8Bhi0iZ0/TmPVEf F+ZGV5tPUjYRSTzxofFD0jffamlYZQpqwKkMAfWbYnog1yK+fbQm41jBZ/ovWhcEWoIbKWZIjFSA OD5IG/kjBWkEXyU9LTohftglq69r0maNKbr7EKYPsDpvo8izUbasMLapY/Ny14fG6BWgAYo5xI4s djD2qS4vNfqRKU4rAsx+aRpvVQxZ6OeKvHYxiqiIPhOi8yi5g6zUu2EdUUni0ErRMnKL38mmI4KK 4xPf2PcduRPfhljJVhFLQAL/Vx9rs8TI2ixHSpthP0bZl7TycX1Ef3z74wUQ6nP/jp9Yi9pNL4Es OrsoIJiJrNwmzskoRB+FpS0XmnGK+WXeWg8mUkqP5uDqsrTxbhy1nUF9+bxAHJTjfax7I9UPhkOO g0Ms31UeKJczoUQXY4xQ2756Cr+BoXCCgUE63OOGha4EZNIFVDjshALTXX/K1Zamfq3M4naQOWi7 h36LS6E/7kjsvKD0qig3B+bw4eYn9D7xp9W/b/xnQX1Y6b+KJI1mq3ewFW6FWhzfiushu/g1D3BH IVDnqB2RNE5KByrzrzTWfPXtAzqwVypuv0uPzMYjP/HUWBWWxaM0698XRYq6tEjyEZmrbmcmdddB F+978ctayhLeGND3gjJzMD9GVyWbsp22+eKzIrPfgf+zir8BuPgUT2EfbWNhBLNuDCTbpqX3h5p5 UQgkeDx9fuGyyfEwSY01Gj+b0y0ZfFaPQ8GsQKQ544ltOq5D2c2hxCm8+bT33Xox9Byh0dE54yji mtCKhyFgjWGN+nc8kZemzz58Qc+tFgq33sNTvW+u613NDE6weCWYbSHGw+zKAAKUT3bn5wnjKlNP Za0+LvGGrSLhqhB1E+mDbzQz9WPa7pk2U5Yx8hXeWw49WqeFimySWFNJyPEM3fxsATP6UzAn8oSB gaeOEqF9ZAokKdVC3hxFEdR4hES9AH5L8QlOcAwytA0XEPo7+VJ1dvQy+CUJFMSViL4UyQ/1d3w3 /JflFzwiR+Pqp+4cC7R1OcxV41N/Xis6AjZZ+L7AUqsfgANI6OaDHJs7hPXGw7n3rtuNAlK2NiwM bWvK56olPYG7yuoHmsKWkg4wJLoG/MjMFjZEijGpYHYAri6CzgPrNOGFw45dmw2Adhf7PPKe3wyy LOw0Ka9N83vjMWPcpVP+2ScLxhfRWLsSQwqGhh8AaitW2OiTx7ekbXijvvIy/TeTns9LEnf2mN7s IBe+4wzrMc5PmHPVAy16ju/wQ6j1XAbp9cyi6gY0S6FWOq1l8lKsx96z6qBwWwgxdynUzi7lARod UBJaDyt9i7nIhqjp79HJziAlaRo1Xrnt+LN/sCHD7PBmqF50pCSW6YzEuP164twgaiGlAi/yYTc3 kt2l9rDpHVSNaJM0oz662UavJO7BxnuoM2PoAzBcoBY78upERvNQBQFzOo9XtFM6zaeXPNJPSIJz H8F3j7maBdtYWMjy4sDalZ7Fyxlo/lRm19Ds8/86OjGDBNR9PMmPiZAwnLvtNG3yp5gmgsW1sskF +jyaMoW9SFHOaU0dQ9hPXG6sflnNACAOh4LqrSjDxOdDGXJIBbCV85aakvibPiTbvRihxfcymks/ F3Vg2mB0MLQN1C41ypwTN41Z7iy6mmfowNrVB6suL0B4C23X5uXmksOWaa7Fqhue8voYHNYz87AX 1CmUnsx+ESMgq+Cnk/6CljrQ65N4INHD44SXvqqsH7KGdCK/VJXrSrd2MBqWddVIeoLXCuqYwOxj ezDRKd9jCIrgi/Tp6uGj5vAaqWNO0i6KQ+V1odyjNq7xhDwfSyL15I44zNRkGVJd7ttEs2zo+e9M tTkR1igHWulM5TMUdbMdej0p4q9rY2Vpez9BWoTMI+NMTosN/VBa1uoXg3e1i5Mey6pH68hXSc/y OOl6NP0lAsF6r0vso9xG+KIJcJZ1ldonn048etdsDOsRodP/ZiitgPAjFW8b3r38t2L5uZfsEwWr WnyK9Yy27tLFMgyfeBmKMin/bqqg+xVK4GGjb8oravkTjBVW9VCYc8nzEU0RlL3Kpd4DNscR6Chn fBK2UambbZE0jsrc/gF32wOzEjsRYq2t/NxyOzmZ6TBogtMcqTuJUWvDPcmPB7KFI1xve5KpTnFH b+AGLQebcHUjlzNAfV1aH3VZWNbetP9Op++JhuKwV84xkXVR7HuIdr3CIedCHKzWW9k6bDKi27rn MpImtyw+Jhjrdjb6GpTy/V9Mt35CVz0ilaAlzYnQJBMH+HbaZ2u8yveI4vSTZwSZ51DlN6u2fdtZ OHLA4WhhHPzW93UgL+Z3Yn1ZfGH8L0XL0rG0Wmi5mZuQTP2nfGHnKvEpiUV4uhQnQoO4ovh+VRRa UdTp3aYvOwcLa1Tbfxs0zG8896q6sLndM++95zagL7jOyZnFmQddlKEtNZvqtBxvKiZzUa4mudH8 2QAR8ewUfAWLEQ3FDWqtbRklVLgvxrbFK8SrAIE3lwO5YHXnIYHasG7Q8kSregntWeOmW9T414pQ js7uEMYE6soIZDftkj7r2aw7chVXBS+0VcsvZ/buAIoIiNyiZISKmnQvXIqWI/07V/7ZcJb1Mul7 iyxId91udFo7+4FtchFIwNV+RHeV4jxN6vLH4C160myH5id0QWN9saHX31nAzxNCLbI/8NdaMApz Pe14SASWdOWHeESkoxp32P0cnYi2VaV9dE8aaiiuHcNyfSbIndQdMiAHZADN8h5t9ZH+FUd3QsyF Sqpl5mKnkQ4Iee+gH/GeM4GoWyqumkfLSfvgpjCP+glKrnG8oarvPatTNQyzZxLcT3qc3gw+2qD3 w8EFzIZpIIllJuwyHGtnzdAofwWQ2t2QhS2nw4dvDoLydqXqtl22O0UF8tdDfG0ePnfvBF1OJ6tX 27vnuoiD7/sNn1iXhX00lrc+/ZM5JU80RRA1t6eEcOzVORKNgDQJFnuujNJH7wLQ7EHTUmeR+sAt Cv1KJNSHlVz/cK7/iUC0r6axtv8mKAVntAgTy/SGFP6roiqTVFExrldW4nVtzASpqjulJ4OusT++ eOEove+a0qg2KM7bTk0Bn45YeIrsgjan3/mlE07UhfoZ4SSfMl7EtZvaRR3ipwx6e71+8ku0ngoS qy8nRgtaRqRY7Jk1nDpdNe2iqhTgF6b7cG3phYYw/NBlzKtuyfmjvBqZyBwCH9LpIGKRL75azkK3 lLHg6yhSIhU+EORJ2y1YtfqGUmiG9Niiw6+HG4pf6osSsJn2bE5IAMUdFnPsLXbLZGp7eSXJQyFq 8hpiZMpF1xQkxYpxz/wk5LDqfQjjU8223MNGUH4fNxNkw+ADIhqqCZqHIOIpsfQzG71AfZu814ak jBTWPgeR/JYYF+PVJCvoxDhcgNL8XkOo2SzCjPaxCZFctxOkd/vDMvfhEwUgLbji10FGbOWGlVBq NZCJSflITRjCxkEaeIs4knmuyTIB7itLSpYbJz2ASoRzfyhtxl7lRvRhoI3I8JWSjaE0gzCDLYgi RDsCb2RiJ8XohoAuLRZKRVerVZcZf3rUI+0/K6zcdFL0klSPqnlt5vcgh5NgguKaJ9GabPGAD2NP 9fbfs1buAvi4uv69L1MqWzQSAvacBFAa4P9AT8u+t+aH0rU9nbdivFCa4QBcrbu4dIurpEOTlz6v Q4y+hklWTfxSNJo3P03O2zVvqDbYdlUSMVa47POuEwZqhOYuMHlll8NMSrwLAgOBiQ0GMCj71pVW mThWwktWKUqfgfmCpBcf1vO3YgSc0eGbNhSvwHYOyVLBPc7ySaMgxTOBJYVsnEQHWtVqoSnTAk3G QvSI8/SSOhE95/e4PurKfyZRuJflbeXE//Tnmh5ucLit5o7PbNAx+7Vgzx7QWY2EmPlpS1vNTfXL E8YrX+xRsIaJTA8fEBWnr/2c6i8iEenn++STYpXoY5RK8zeq8W+hGwmkOPtbQzLKXWJlpFkYVR4o nSLEIJnCAjsqDoVa8M9WHzmMxduRz+5JHd+ulXyw6HuVbSfxGl7+1vmiwX98jOJV/B2rnUnZ1WzQ frG/pjNNX8cyOnWkREdG+pBC3KYfZH9J7OP2KLdC7ZPxSR9hYJYqhq0xq0pyolbbeIXSnahGLH2A zQklbExh0qDnU0yp1f5U2TmWZDcf3jcHCvmJAm+cSplprSIUCHpP9yfCr087fRyet37UlxQTirZ2 Cu275t1hYOJ0EpvJYJ1S6sdM1QsbzskwSDC8Y0xP98UWE6/+AMHdv3GnE6SvwNZMvTGJkn96ab2o 5znQmV8122xq0E6e+2wD/XdQve42BvedJmozWGevKv7/RLHlK2YbqQsVKlDxeFIBunkkGOBmRsbL aBqwLRvIuO95OqqPOiRjf3RRcpxxM7y2a/uM3IuxuvLPfmYxV99uAcrvlwJkoiAnFLTlQKD7L8v8 0aeXoVkGq8/cq0354bbX7VSOJvu4cu5VUhtCS1YI7frRP2oJmWAeQRekteggVCoDflkDUqzUsZLQ tS+fjwPiGPLI1b4K4HZvtGwp+EUT7UUzfFeEJNhEPPa+GT1sHRDq43kuHJUO2l6pG02DdQwejKvE BgHQyX4JsLUlFp54yHA03+7z/+1+hVbZf1gG19WdyGmqON33qKq4fecMxXgb08hJbkLI/bzfiPJo GIIUI4ZgP80hbq3wJt3KVfhyMfbQNTfFTs1DiS/ZtB2YMSgErGn+A8gpB2VHPyovp1MWHUhPfsGl Cicec2BTQreiNhgJSU3tuYBD8HFEOkNuG8HxwffyjMrTOQUDf5/DcRSh8/QZN9aRP7vqMB5+ouLB BM3Cm3zzlWfS/lNhRsCrewOzfzFINfPGZqgmtF/1UyksiLB0VrU1KzHhWCMK6qwQepPPMmH1Ww+H dhP/5e4gNAw7t33+ciyoMyKCb/1I3sCedU6yjgejvKIdLhu4OloBAgbaBVAYhb2QP5GixZZruar8 K5r644IqRnPKDjNB2MY1tUwguYv2cPh3zYwZvI+maiWwHhQAHwjXFwx1y/zRxF9+OnpAHpeqga7K cmvuqKPjBhbGo0Vk/Dw7A1CQ4CG6b6M1AdZYpimNvVrzA7NKArpavLkGw2GCxuoWS2GCBqcumTKP MVGztQTL4F7W5ufN9sjaCh1Lh6LHjWq3ufgoEP3DK6R2KPwPrRnfEsTQLbdQS9SGjcpVRNcpQfAV i3OBYmpj60typMrGJyAP9EqSaOwlG6sWtz/p1e7AG72uyH2OT5PMSlQ7z3WCFYKRc/eI7/svcCLw TV3v7TH8B/1z+usu9X3y5Ad4fnvZ1jaSCKRO6KEjdKoIKJRsV9UnmMm8XyrUZtRcQpdWogyhqTKZ 3hODX4nK2UKq0ZPcoq4unvTXSvUW31Esqd5c3IcGDTMPHGceQHYmNfhkha6dJvTce7iJ/Dx4eMzW k5DDQml26ol8E9GGKeVa/awQmyAqtlmpvtHU/sBtxfrCTFmD2TcUGW6FdwFXMTu1MPwHJCE+Uwe0 VOmcC+/Mgaron1eLzMWV8XyW0ACztshoHbJfD9d9JsU1cJbGyOYQXu5pydYlv0r7f6HmDVxM7O1S rCuOYx2DZtSqmHzS1d8qCYlF2TkW0CVBcb8FCABSIM6caQ2aR+2MnCP+f/2uXHkH5acFGclk0M3n xc2A7ebD6mzZEOYWWxJBDElzxDKstwuB0ok5v2gwisV8CeuWAs8bjHOs5An7XdyM5g/9hyxXZivj fr0OMVLnw6u/Q+8rJV8/MoRSJ6wjs2qI8Z5MJSh4pBnHrwZbv2MWl+AIAMlq94qF4weEhBVNaHGH CfVPrMuRFwHVRkRhdFZAcsjaQBs+s+E3oenDYAe7Ko4bHmAA1sKKYLiatMXxmmwXSSCz6FewD4PM EFO9Gv7SsiECezHUmJxNcolWOsuk0RE9MakoNlaBgzKEkiDTqa13GwLnNg9Ck+cLCcHgnzIO1Njk L4b7LBFITgmMMm5B6INns4IdMbmaNO6KziwlBDOx22CmDUoV38m699UmEYCaUoDauHrMWbFAX2Nh 99QDJ0gI/4echrXMMbmcWyrizwN+QOYXN7WcSBMBW3iyy3ttsbDzaeq9/oPU18zzPAM+D+zFmeR2 zdbBrzQa9sESX1LphCo2CrKvbqZOJ65K3Sd+BlGnzi2uADnDnEqjwGRXXAnPihzVAbwbYxSLMvk6 bz6SW+eG9w6sZkC8M6DnGvKjF+Qm5tm241A6vyreUHmwA24lFL2F4DQ/wcOaGIxI1V/cxiaxxG24 bhp4Q3ZDgkwS12sHr+j/380mxAtPIeOyNv5Jc15jyBWwkCJ+pKuzrwu2la3lwDcbZaIRB3QwG7Jf +b1aBgR3kCCQkyUMFk+7nJuGWWpI26G89k9mbt7RGBKNhowreUdEkunfV3Ce6DswgpB0DHe7dO+x tYunTbfkcJvJCaDIg7MmBnaK7vEHSDIQ3vk1/JqRqFQj88Qiw5BwFV10oPymHswGDs5Y6PIHtWvN 0OdG7RqnNFk0sFTQkgR5IsxR6CcQIL7TUw/dBEwMvmQ8tO+jtpxMwOPKu5I1RjaTOYHWhHWp5c7V u016PT3exfxVU6/P2PRvvG5ppHbkirnTGwfDZ+0Z1HnVvBLbIeYkU9VlfXZdMcm7gBcbme7Lo2Ie NpSu2PZffaAlMGWZjninpfmmeGrjGRquaDcN3kbZA+M0d4d4v6QtTLCCZDKC5n/KD0pphYQ1yEwT 0e8gU+Q2n+LvWiAji6Ij6kRFmwkk/Ym2MuKGSakMztcBzZdTqCc22uHIwNPqU9lNGV64pnT+6O8s g7U9zg4/JzFhnIO8EtTmid/2CZhfhXsyAB3ze0Ak+sHyY9ad9eZNwnQkJ2UzOsaKXYa/8t+ZvTAS btPANzI6CtZktmDemOgTWKfrwG4Z77GYcEk4VOzTFeQfsVSqJ1SyAIMGgqQUF+pGk22sT6h/6xmx zv6T41noCLQD/2wf31d6iEkF+z5HA86qxKIduLnahwesrHJnZoQHLtO/hg6W9q4tpmy8dchOt3vR 7NcdOx9P1A9EUI3iNDQCD4ppzR08rxLqrO1f7wdD8FtZuyLGgcRceKh/9iBM5MYtFphzbai+Y/NT +30bD8xPgfqjtCtbeuAjR0Sh8WeIy9gDKwc52WwOe7ljeiABuBxt0WpaYOMY9vxWP9J/IyaUWi54 4bH72orR/xMzYfnJjD0qjxak7K+1Ebo1ieyrkJFUoqTJsM2nNolYkf281GAO7pp+BkzZyvUxT6c7 bJlMXA7bUFfEtIEw+Osw0rZ1RASz2N0aFrVISS0O9xN/TFlWN9N5ukA4cn2rjzxCAVg+qTkacZGj rCP9graLLlQOkF+pC5atmThyzkSWPYf+2oYU84nB5w1RwUxI4otUS9wKKkMgjXtp7d5S/fKAFVfG ysAivsHGSG5R4bKNY5QwjJ/6968hMuVya9rih0BjYQPX9VC4yTuyUtsn7qW89ivRqrKy1qYT/xQ7 bY+dA/ciLOIdM2mEWvwZgfCynEcS+Pzqx0w/WR2HUSB1r7hKIgyrXjOC7egRl+cM9BOFaQmJBL2F kJcdNUzEO7hPsyDvlbZ6G8pdkEZDeQXn5MtHpFw/KMAmLXuBxBoPMTDD7XjsZTR171GOdb1mcll9 19pbVmD2rH4l0dKbw2J8qX6swvH7+/eF/l7k40+b4jZQMmHSkbbxrHTbTTSqHrVlk6eWZ0CuujCm 9PrZ3s983CBMJnA3GlCkvwK50OoQFFweh/2Hd5bBoXZUg+SshWddUSlOoq5nZlfeB0hcXfpYkOwt C/TfGMV56JMsRwITN/SkKO04Hgc6fQc6qkEpag40qHmTNgDSWOfHuskqDoVpHBGBPqAE+saW6FMp YmcxpAKVJKxfvvyIEUBAlomVPep1FH1wK0Q6punWKQasWvG0qdmdjmDh01QIff56cAN1sefxkwYH k51x7eB6p2FZ/DN6fSB2fYfP7Yg9HFTKcj8ef1Bym7/QJRHw5PbTw1A+RX8sNM4dbuzQFX1WsaXN J/F26uB4ePpD5ukYZ6C/Fenm0EsDQ4ztrXPvoGT1UzkkHNNGS8EGXQvW5SYsScQWcO2l4JI3V9lr ayfyEkpYTAY/goJCjCLUTpecHTO+LW5xQMPp93TqgereowbY0WIDoU1978EVVQlcZr2XjnsoyO8u Tj9ddSY/bj52JU/ncZVEX+pcvAqiuo8L4jeeM2zQc8hBW2zvvlUFYSSqrukjrKIcx26CC3t92CyU 3344G28F5g8PpzlcvW/zQevzj8wXNI+0XkSEDZp9db6eJ0bCv3oCDxdwv0CA9wIAYq9XYqvn64RM lvol0JsLKJNQ+10znu/ocWJpvhlWYvvn2tt4Nb+V4NIZGmOZ4p9dpD0L/wBawvlgvT5bDKjEFIP8 1OBK3j3ho7+ai9XZqauvpF+aIBvqrzPZ7wj/fiyKCZpWcstSDSvXfcRhBBRkI+o86RC7vs0SOSqd T5rhbnqyil3D/1goL5PMVEoW00401lLrCd+zkkejZqK0Al6+zkepngWwOyEQAlM19owEMIaNM2cL dwEfWOcPpfx4Nxzpp36wUaaF/yAz1VMl6a+ovf/lIeFeFk4IceDOA0PapHAUjnWnInce8cgOdr2B p134X8lSBSmziEVvJW9sW9FP3M9Xou8+TaWQgkT8RkhzP5gzLkRbfz/kxE1m6V8e8n77J7JHmnK8 T3XM4sxf+sdEpaE6oOSzIRDT1NL7d5KC4r6bI9icoLS6Ug9fJL8HMLvOwFtrj+K78q8mqb0ut1bY fTCEyh39fot4pOBGhSJgBXjXEnDKOXvzM08S0rBwFVZhHHbtXf5wHNuPnWYFNXwArkCBPZLkrB8G azj++KGft7tP7KD2c4asdVZuzSKiI0UCRThyqY68yeLdJ4I5raRtfygrShHo5hnhUuZ+vcK4mZig MN9d5f2ncdrtDmQWQWCcJXsWoVUbD73fz9KCFSv+bJSVWtvGCotvRZQJkGCXGX4GywfgzwJL7Ia8 hdL+E8NMKcQ0Xyjqe8sF45B80bSzv/nILgAZezqqVHjgL8XfTvWDugeSMEZtd+XYVNcQ2r4hh1fV rfqgBcK7Lqhqik31Fcv6plYuZwlSdS6QLmFbGJmrM3aeRuCSITKzKv8b2vrPeSeQRnn0NYvjwN30 WdBH96SMxryYnzbcNbwt2cwyb47TC1ABhh4VSr8+dSAi5IpcfVH0lirSnmJn7iqlDNXk6nvEsXxA WMiUtohOXx3BXSU/ZPIHO74Vu9Goo9wm9l7aCiAcXXzF0Ke8GthcuJ8vHve0eeAu0XqxqgpwXLi1 Bs7OfGoNoC36vJNAqzCLaPXl5CJSSWuOTWHKPsy617fPHbicLO1I6pdea9nntSSamFVfisjxI3MW DfLj0R3udqh6X7qPxEX0Tzzlt+XyU20zKZgt1cDXGqKFDTmpJzQA4ko22drmws26lRXIA91KuoFZ p+BZ7czB8HyiwOzzWrBGA0ReUAV27py85PBMPJlh+gFMcG03zPXrNqGch9z8tFh/1VAls62/6Ddy 8GfAkltZyl8q/d8MRiDM5R2RonoC67YJl4an18W+qwN71gXOA5o8XbCa+7iBuJz92/d7GpMkDIHJ j/fOmyetG9ZdKfNEfWtcGTZ1vz9G+5odQjEgYSVC3RErKMREr5K1kR1mUdZiyHiJncWlvIAum26C G3+Hlwq9bIyE1ECmS6ZIm1VeE2VgycYhQTADzIYxLBKT+KjJiMaB32C7+w5VqoeFlPKK/DXXuH// r44w51JR7mvybF594ZYYds2yqXdrItNspSz1uU5f8DQ39F83vW/JBmQ1zv/lcuAkZdv8Uji2OxLL obq1EFFvP3+STnuRi4JZjmoxVCIBnidcHN0hq6lNDdEbrv1BJ9HxN6ppWUJHRsydw4RZT3ZXpXj7 5M235BkgZUyU0XUqfv0mjBIx5dd0p6NHmkQ2xo+op1W7dJRzfcRw0+sZWEwFC6mwPc13v2alCppr yml4wNPJ4rT+lfKt7vZrKM3d4zPFLqxUTAnP2f3BSm+j2aItYzm+Vybw73ITBU1IaLS/zgJ5nIm2 rbIUyJHdfedhzJ46x+Xv3jcs5Nu+oXZ4I8Qy0Myoyh7G6gAvU5rA2WwB7cR7uG+4Ib/quG/oAc+b E341x8TpKcVUIq0bVEf+I9q3+pvJ7dCRHunigoZzeQUfmqePNfN0LKuEn1+MVb8wrYwrty/3fpRp kC64tuZlmzVkdePyIIX69uw4IDqrdAjvPNRMLB0pxG5nrjf5iIP7qC60L09XpQdOIKNcuTgPDRw6 uVNwVaaftCIzLTmvK1qcubxea2lec3W63wzyCJ8pHO3j61l2uUr98GyqP0IbjhMe6sUihs0YB1Mf HFCnS71UcLFrQqjYs91ZHbNNBZSYfWxxCChRHflqqR3ZEpLyN6LUHXB99z1S71vcsWuWy1osz932 RGY+k2K6NVBTflCNylerKecDr4tjkw81N8j/7oxzC3rNGivhkTIewJtyCZV7SRaeCJzODXfTIFGh z68mTx3f2tuhGA+k9UU1OYLLl/f1MSQLXzEiyd+ydFsc6yTAYRtXkvLjnwFh3yA0V9H7rLx/P8nC iunAKO593zELp4AKBBPKMY0saoxvpZtddejn+YC7dLQXLavFrIeS4OUXVnkpoQU1aUKHLaiiOZLp lt+0O3aie7aWtihUXFQnvZD4194rsZE/v2w7SdUyrYWelW7s0IJ8OychbrGT3SwvSAkcXWsSDwLw X13XM/qkwIzky2FoovpDQDBNbaLNFTeV/oTLoNp/EZoxCm5kkqfadB4uMDGvU28Ut0xXOz/3wLCu iFeSrlo+Drn5Yu7NZnVKYy4KOT21lSo+0+VuazH96fB3wq35PG9puYjeih8Gbj1iHPg/XUJhuOZt ehsJc/SAhN/Z0QK+kXYLDH/k5eEPyMEADNfTZmXMvuDLpbqHwU/jIDpikOYhghTtcbRvpDRDdRSS U4pC+9FXZ9ACizUPzH1lFGlQs0buk1jzRSYnp+wPWeNE635Zo9zXG2nuLXwYo6sWmufeHpJLBGC/ rS4pL3zLnffVbMQc25hG12sGq6Gpxw/LLxZNMCBg0JievUQ3TcQ5JK6n6a57jb7/WfHUtzUtvaYf Qh728Q639I0tOVxvPe1wtwtkoOBA+ouVDElxhqy9Tn/FhNSyBHnQIAfrLC1lxpp/2KYvK9halNgU +KPe69LEGjFGxNeIMGMcJXBOVsUW4Wo09WHQCqA5fMckLi91kZEy3r7WsEuVouiF+2NpnXl5gcZY yBgv/9EnfOJUEHcC/kSIcMKUR64NdyYVNmOgHthLVHPMhcIrk3XPPxzKDj4FKJY7mhi1pLqOUiGM 1/L/4XjdtmAM4cG+wClRetoPHCwV1PuobCAxSdyRCcsDrcbItMiLtnc5DK6B4hK1Xj9tGKjpME18 M1KTAH0xP70UpEDGPb14A/Ecldf3q6K7GX6vHn/aio25Fqs9+1mgmABQ1C1rg7D3mJ0ckNRiexdd KCG3JuJYpB0lJPhd9zVxyNVwriqGxVBudweNf8IrAHzscfMvDi+3A7xohLJJWK7HRNC/ndxHMvxq 8PZkrDQVovaQbf+EBZqBe1thSH6N2KbKKE8kABhNrFHltnt8vMhNcz/9RqQYRmmRLhayTs/Lakhx XIfXj6jJndmo83miUReEFVlxwxCsjNsckDkX4MbQVsfTBHMyPXU8F75pAeP2CLkA0bKlWbbVc4L5 YxYBLNQFbJM3jCfdKlYh01q9CKWjxtoQZeO3SzfHVUiITFxnc8fxj2kIUKryilqTXBSurXTjjXvk mACBBoko4KGanV7DTBqmS62mKPs/J2kIQJ/JbRSQyZTzV+DUsfB0uV0KW6ajQaQ6yoFCCoVIDqeD +r9E1WeKGKvrFjzy/Lz7BEo2ymbE0xgBOE7XYdKXe2nUY71+K5p/IkubjPq2fZiwceowlRDSdyUt cZbvpRZc/vaYm+hsw2YxrivJr3ez7Be/3+3OMEZeQs5GrSitXbJLXRtMUoKjU3fK2sE0mc3aVTc3 QrFi15AtNyL7Wy6lzMbROkYxwMl+UrJ0iPAfDMpsF6bYr/sV+SA3mYB+1MREVLo/XJDqbyYVT0Yc 6w7i5vG8uFjKi/hr1Jie5vp8UuPQdpawPoxWbLQz2pEpeG9Z/vlNtvAwq0FflZR9Hiy0R2PfjGMm uBfjOwNzByOi6kKXfgMxPTgMwCLncOjGng9DZYG98a1paYiI8bf/Z+KqpgMKGkYg0dpJzj/m0WCY rqTsZM0lRsXBpo1jaOQYAxfUIvFKYztuAA68gm0a/YrJIBqqDocTZJVkp3pZ4r7ZZ6AzeHoaZf7E 74SUIlp9ow5Rx0FJhLyodHgP0JlvJtsPeYx6b0W3dqPDj/RqHVBeW8QKqSJjCcgEAtyTWmNebl2f e6LlunmSYqm3moX0NqLP/wEeYBDghM1R3+PSNfFTmiaqjwogHcnXNMdM72B9nx+Y+oT+fDRHxax0 HCYv4vgTs807cZVf85JuQl+WatT7YYXP+KiqX90qDM57qrzwLtcOf/hRig5qnj9+ksKikNdITAmf i0SVFXQHC+/dJ9Uygh1acgIHUfGVIhTupF09LChEIy0bmwWRSY9swIe/wccFl9bZRfNpC4eF1XxZ DOEOY9NhlJANvfh3PnZL7qXRa2PozfDYHgrHUYk6MI0KsXaN03CNGkPEUxc80g9ytkpsAd+TzTD1 WfcYV2qUCkST49D6Wd0mMJ42fAakqB4+drDuhXzR+bHvGrm9fw3/ZBI5HGZzc5PGCOSqUWCuvG7T fuYHUMdFwwEtFoy0ySgH9gTfsaLll1ZKSqD78tdEAVTBXGJj1n+diirnUgKAFH2ubr3QP03dK0IJ xZ4LBQ9PLah94xYFHVN43uypBCJOBxKbY3m6kLLCtyJOW2YCt/tbu0YOzKKHGIIR8WcfaVzP7c1P FezRlWgn4ntbt3F3GVWZYqN6FhQmd+ym6BUYR4BiGMs+/0Rn7YnqbkZVe8IwvYSRmaEO9z8E9I1Z 7bnpJpCUXucDF4p+Ohqw155sf2eUrevX4rxBj6WkV260495kjKZSKNlH0wdPt9mdTA5H5WeU6V4f NxcjdbqP7C6+KqnHa2dGePxZ8Lyhd09Hj9YzfCvyzCHRlGX8ROGRHpxaE9x6Jf/RNJz+zYlzhbzs /LlDnpN9JFFTsAQeMF6MfY3F7RGiBPqebWwFR8CsMQ4W8XPIOXuaKYyylOYb++Drw4CK3Vv9LFzl +A/fk4eZOHQ9IhX+EHwGNm4GWWESQNg9Fc9yUpyj+xXbQDqttvFID3u4MWNV31VQ2brn98h5F6NE 1QsfY6Dzcjey9H1CXFLdllcrBnF6mEar9xYpEyRNXLsOsNTrckLqzP2dnj4ACRICh4bDxoPZzcNW xXsvW0W8rOJId0P0vUoVruR0GUjpGX6rFxD1S3WssAnqpQecSJ4HE9ZbrntAw7zXL6aDxePQmjS7 +S5YTXFS4nKMOiGj3n0hx/yo6Lre2tDh0S8R+b5mb9Wa4NMTuAtGA9hAttlu++H1VYQf1Pj9TC0J 4/zNyetpYD2mAGW7UCWjwVesT2Yu8VIzLRmU4bttf2kf3/VE0YwCXDS5oAHkH85ufacusLmu17Kj vN9gqSnhIZxHH1nbUup2fz0rzfgpN9P1p4oAkjK0/NSDw8bZOSIqetArZSAVpg/SktGqowyg+O94 Xd70Q8ASaC+hTa+M055K6w/oAHSk/Pxu2nvrvmkqQ+bLKAMKp484IGjHSNVD///69jkgI+4IFnwA nu6lGc1Bd9ESQz02JDsXIwVvm3mTtwIgmlm+xgASGaEE36LNHKijHNNeZjPYGS4ruUE836OFzz4Z tTWapLGklaz34QdaIFpfombdnV1rQEvllFqeJ6DVo/qvaS7WzKqWXyKfPF62Y4oeXYXQPPe6sXtC xDwvU+s9nroMCX6VfJXipzRmNYPEycByt+Zc4VlwG3RUyGJRFSXpYwPHm7GTV5nRVvbFpFdtqOtU WlxA15+gcXG1fndKEZdwq6n0zzqpjP0mSSmB/QJIVeMpLmF7NJo+Oe/xOLdpZXf1jI7lccmihQVE 1tpqKZuPZm3DojCoSc5nbQ1ka7MXmUIwVE/Hoz6SlyjuNvkUZm8GufiIGf+Nzp6hSmY1+2IkgGWa l0DbdT3Cgh/JtPLA01D8dQoxkb9Ztx4NH3c4+3vBZ0Wu7N34W/E0GaRjgVbie2D/Tpz9yNjlGBpQ ZZBA8yrD3smw2NrGLmuG+ETQHQcoCKDO/sM4AAiYNvQXEXN+uuZuru3Ndh/ppWDwh/1qOP1kfvgf QI1QSY4EjEPwgV9EJ59Q0P+RpiiDzxa5D3/SF4vXfJ9iuY1Mt3V19DMchnLnQ592OqOEp3s6Exr7 th/cnhytGnZArdqtl/GU26TrvSuHH6QQ1gY1w3RQQRS2fuNZUtJPV+ImLzvTeYMtQoLUrBk8EU9f 20UDRCnAruVNLpM0YqoqxO0uUPCCCYM1RmAfbGf4+foDDRE143uk8lYfQVSSlfTy1SOZTY6AyByY udyCsxlRRG/2aAYbe0F1LMP8Bo7t+81Lyad4mgf27kxzDb6O4MMFm8rJRSYW4Z0x6WoAwK5HCuwB XSgRbXzA7R/tjyH1seP6xH5asIXCu3OklsXNmycZYjlRqoL5sABGu7HVm2OLpgEhaHLAEx6wbfcB bn0bzkudXZVhswT8f4/rX/wSGPLiGux9nGJGdl3g3HocR9IRmFqwwo7zwREhVaXtyVBfQqFYWKUA 8KXjyH0sTYlpr5/rg7OBSjjUAe4GwKPB5489Flm5YbnTqLnhnDJZf2psbRvaYcM7upugJbs4Fvcz RxGyzuOF1WoFQLSTm54TQiduwY6awsD197ugIKWQiYt3jkY+UWh2mUUpYlGNTN9aQobtH76RZdjj klFnCvKyzEjDPzkukgEunqFTXPM2zMxPvcZ1LSzKGwwcSkLppjjfVeAQKu4zX2m397JudSPLKH6j VZMuz4dqqTcZFB3krSCsQ3kos90MeESuIAjO7wAdXO2Gyp9Whfmar6v6JI4gvS8ON5RTa6Niegmv I8+RzgWl957LmvGefGfrj6p5B2hEZ7SUNW0O09Z11sdHa/sCsEBUyCiXgUDwmqZe6mM/PvDR9AS9 yfNP8OseoF3PEoH5 `protect end_protected
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd
85
19921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ F0QO+ZZlvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O dw5/lv3XsuSXKGnf8V0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f 0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC lN9AukRoCBpCWkU/kGY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8 ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008) `protect data_block ugPchIic85pVoazhFaH2TWuQjqsMIDhfmCLDBUOtUS/RF04DCSHl4KkxPTtW6P+RbyiD3T+m3kTR N0N334mL4z3weuQnltN6rjwv5421Of+ebjf9jNPWmR9lf0e4KC1P2a+RqcNXNDLyWLeUSh5xhG/Z 78Dc0xYUHiF3d+hrOZnBHDBSzBMBxH85jBmUl3hJ6N8TJlBFLvzDJLAwx9rMqd+/ukKUbS92XXmq GUfEtXOD/kOjfUAMdbSYK383ZTVeO8P00Ys+990ShfmBjW11X5zfC8a6pcCnYMWovhs5zhxlg5VY 5qaNHLAk3jG98jGzfgSX7h68H0TVRR7JEDFOWoBp6nHeaNm3GUeQnBHvRRf9iGTHwtNoBo20CT3s B+8CdJKTLLUzk6qAj2GsiBGHS8LnYKmNkY/fLp6AmB2/qs4DlNFJIF8WLDrSqDSxN7vLmxfW02dn 97TZdyIIRU0sSDWmckU00Bj4DR6lVWvrorzqz+TKptQht1fc7GrTmUsh2hItQeA59cOw1AOmZDS9 1wq0ZKLVSXAjdB+CY84byFDq/ggnql+ym9df++F4CndyzeHn/77aqPKlsW1vloWWAkuV+/oxdhDW p20TyTT2O/VPp3m+EzlmnnWV4xK70h3N6PHM98xVk6mnffhh/Vgh2FPYUMBhFoA1R5ZkVo2hBFx+ BdBPaixrD1Bh0/1sYSrIxRoyOp3yESYfh6j5q6cxlNTa1qH+ifL4xeUh7yFpIAKcpGKV/BHZ4BXZ dzcowFS0zr5D1j59GJ2fBtclaFagM874kSHoT7saPkMwJZzu0a9Suyo2oyHeDY5u0tqwoOjIxSPD LgfMjS5eI/7kq2LRK6vuUkw4NPeUXfLEpGv5FCJ6L5qj3kBW2g6KAhG87smejtyOyPsvsfynrIc2 3VnDVEHbU7JdT+65asCO43n+F2twwXTbRz3siKaGFW/pSUKMJST4WLbItR8/WK8o1ze8PIiuzlcV 2q4JbKB8FDK4mLtzmIeYo7UTwNP6U9iXbLmMvu0dzyoUw3NDGJK4KUCMCVvDKN1R/+YL7Uwr/kfr H2I7nTHDRk6JDcgnN9lfHUT2S8C2BMkKRraWkqRs0K6NTq153MMgQ0I68tioSH/TpTwOz9oXVKBC IPIOjB4kXex4kXwRz23kXOxBtWL2ufKqg40K9aFi7BK+LFkdT9TJGBCwlY11kGcbWle5fHFUxBgl D7qMX2r59mWmGBSSP9gWsTMEGB58WJb0FCVJzJGA6OZLDvK1GTVkE3XRxGSmgNPHSS7w3jMJyMM1 LUnr75CCm+QeHuKzLZmM4W96dN4nPXd6yqiYEO85iAqDcN94rfIuZjKzOQpcTx9Oi4DIbX9uESmY tjGl70Xxr/adCaR8ilSvqqc+b4MBKqY7ELJDs0L3vXhscTGf4aQPSq6GF+yybBqE/m0z770fLOgC kzFPyfhoCNMoGzjgznhNFl/K2SBBjlumjwB4VoL4kPAv9g27PQzII7LCyKyJowsuyed1QFyLx6e0 zPEPygamJ5b2aKJfHjhq6Imar4DfdI9b/lJ2m3mKH5VW2TviID8sew7r/S+Cqsd1khcH0dn+JSpQ Cz5CskuqoPSTdJzTOv3pTxDL59oUgopc8EhlWAJY+mQaF0YTUq6eOMJWIqiTRPuWQs6VbYgRaUWq gGyiyprJEh8tjGjzF1g0t47LQBCmfF+ET+Qos0n0Wh5P3AuYe2d26zA4VHkOum3I/l6rLBKqZWgw 80FOjGL5rFavkxULg1QQ4CE5oihzlPEto13KkUan3UDd4FbgJCBwxe8aBRFgid7TZjfFiKmhUFt5 VQdmXePim15/U9KY4mMIYrnEKT0toYoS7SwJCXalf9S/2xU0+tvNWpw1X6qO/7v3BrnHauRDb8p7 DXnByUOEvR5Iwn7KTkPdDsC19IFFE44KJQW/TLM47NkLTnUgjtpw8GJXRgECeGgmVvN4zDWAz4kH JoZvUApvZD/k60yS9lZdKVETDuGnJLMPWqaE9URGx1F68zV/t6gNNzi4Vbw84HrncqaVQ9wkyUgz NG85xmeRL3PM9bycBzo2/5tEUhya/xB50ZheKo/wFA+vGxItbWD3A/cZCrWTA58Qp5cdb0eH8meI wFkrjyP9iCxkcXPvTVMY8oL8o5pJxxGdMvGwC7jjkK1ms7Vqxk+flNpQwFOxc8Bhi0iZ0/TmPVEf F+ZGV5tPUjYRSTzxofFD0jffamlYZQpqwKkMAfWbYnog1yK+fbQm41jBZ/ovWhcEWoIbKWZIjFSA OD5IG/kjBWkEXyU9LTohftglq69r0maNKbr7EKYPsDpvo8izUbasMLapY/Ny14fG6BWgAYo5xI4s djD2qS4vNfqRKU4rAsx+aRpvVQxZ6OeKvHYxiqiIPhOi8yi5g6zUu2EdUUni0ErRMnKL38mmI4KK 4xPf2PcduRPfhljJVhFLQAL/Vx9rs8TI2ixHSpthP0bZl7TycX1Ef3z74wUQ6nP/jp9Yi9pNL4Es OrsoIJiJrNwmzskoRB+FpS0XmnGK+WXeWg8mUkqP5uDqsrTxbhy1nUF9+bxAHJTjfax7I9UPhkOO g0Ms31UeKJczoUQXY4xQ2756Cr+BoXCCgUE63OOGha4EZNIFVDjshALTXX/K1Zamfq3M4naQOWi7 h36LS6E/7kjsvKD0qig3B+bw4eYn9D7xp9W/b/xnQX1Y6b+KJI1mq3ewFW6FWhzfiushu/g1D3BH IVDnqB2RNE5KByrzrzTWfPXtAzqwVypuv0uPzMYjP/HUWBWWxaM0698XRYq6tEjyEZmrbmcmdddB F+978ctayhLeGND3gjJzMD9GVyWbsp22+eKzIrPfgf+zir8BuPgUT2EfbWNhBLNuDCTbpqX3h5p5 UQgkeDx9fuGyyfEwSY01Gj+b0y0ZfFaPQ8GsQKQ544ltOq5D2c2hxCm8+bT33Xox9Byh0dE54yji mtCKhyFgjWGN+nc8kZemzz58Qc+tFgq33sNTvW+u613NDE6weCWYbSHGw+zKAAKUT3bn5wnjKlNP Za0+LvGGrSLhqhB1E+mDbzQz9WPa7pk2U5Yx8hXeWw49WqeFimySWFNJyPEM3fxsATP6UzAn8oSB gaeOEqF9ZAokKdVC3hxFEdR4hES9AH5L8QlOcAwytA0XEPo7+VJ1dvQy+CUJFMSViL4UyQ/1d3w3 /JflFzwiR+Pqp+4cC7R1OcxV41N/Xis6AjZZ+L7AUqsfgANI6OaDHJs7hPXGw7n3rtuNAlK2NiwM bWvK56olPYG7yuoHmsKWkg4wJLoG/MjMFjZEijGpYHYAri6CzgPrNOGFw45dmw2Adhf7PPKe3wyy LOw0Ka9N83vjMWPcpVP+2ScLxhfRWLsSQwqGhh8AaitW2OiTx7ekbXijvvIy/TeTns9LEnf2mN7s IBe+4wzrMc5PmHPVAy16ju/wQ6j1XAbp9cyi6gY0S6FWOq1l8lKsx96z6qBwWwgxdynUzi7lARod UBJaDyt9i7nIhqjp79HJziAlaRo1Xrnt+LN/sCHD7PBmqF50pCSW6YzEuP164twgaiGlAi/yYTc3 kt2l9rDpHVSNaJM0oz662UavJO7BxnuoM2PoAzBcoBY78upERvNQBQFzOo9XtFM6zaeXPNJPSIJz H8F3j7maBdtYWMjy4sDalZ7Fyxlo/lRm19Ds8/86OjGDBNR9PMmPiZAwnLvtNG3yp5gmgsW1sskF +jyaMoW9SFHOaU0dQ9hPXG6sflnNACAOh4LqrSjDxOdDGXJIBbCV85aakvibPiTbvRihxfcymks/ F3Vg2mB0MLQN1C41ypwTN41Z7iy6mmfowNrVB6suL0B4C23X5uXmksOWaa7Fqhue8voYHNYz87AX 1CmUnsx+ESMgq+Cnk/6CljrQ65N4INHD44SXvqqsH7KGdCK/VJXrSrd2MBqWddVIeoLXCuqYwOxj ezDRKd9jCIrgi/Tp6uGj5vAaqWNO0i6KQ+V1odyjNq7xhDwfSyL15I44zNRkGVJd7ttEs2zo+e9M tTkR1igHWulM5TMUdbMdej0p4q9rY2Vpez9BWoTMI+NMTosN/VBa1uoXg3e1i5Mey6pH68hXSc/y OOl6NP0lAsF6r0vso9xG+KIJcJZ1ldonn048etdsDOsRodP/ZiitgPAjFW8b3r38t2L5uZfsEwWr WnyK9Yy27tLFMgyfeBmKMin/bqqg+xVK4GGjb8oravkTjBVW9VCYc8nzEU0RlL3Kpd4DNscR6Chn fBK2UambbZE0jsrc/gF32wOzEjsRYq2t/NxyOzmZ6TBogtMcqTuJUWvDPcmPB7KFI1xve5KpTnFH b+AGLQebcHUjlzNAfV1aH3VZWNbetP9Op++JhuKwV84xkXVR7HuIdr3CIedCHKzWW9k6bDKi27rn MpImtyw+Jhjrdjb6GpTy/V9Mt35CVz0ilaAlzYnQJBMH+HbaZ2u8yveI4vSTZwSZ51DlN6u2fdtZ OHLA4WhhHPzW93UgL+Z3Yn1ZfGH8L0XL0rG0Wmi5mZuQTP2nfGHnKvEpiUV4uhQnQoO4ovh+VRRa UdTp3aYvOwcLa1Tbfxs0zG8896q6sLndM++95zagL7jOyZnFmQddlKEtNZvqtBxvKiZzUa4mudH8 2QAR8ewUfAWLEQ3FDWqtbRklVLgvxrbFK8SrAIE3lwO5YHXnIYHasG7Q8kSregntWeOmW9T414pQ js7uEMYE6soIZDftkj7r2aw7chVXBS+0VcsvZ/buAIoIiNyiZISKmnQvXIqWI/07V/7ZcJb1Mul7 iyxId91udFo7+4FtchFIwNV+RHeV4jxN6vLH4C160myH5id0QWN9saHX31nAzxNCLbI/8NdaMApz Pe14SASWdOWHeESkoxp32P0cnYi2VaV9dE8aaiiuHcNyfSbIndQdMiAHZADN8h5t9ZH+FUd3QsyF Sqpl5mKnkQ4Iee+gH/GeM4GoWyqumkfLSfvgpjCP+glKrnG8oarvPatTNQyzZxLcT3qc3gw+2qD3 w8EFzIZpIIllJuwyHGtnzdAofwWQ2t2QhS2nw4dvDoLydqXqtl22O0UF8tdDfG0ePnfvBF1OJ6tX 27vnuoiD7/sNn1iXhX00lrc+/ZM5JU80RRA1t6eEcOzVORKNgDQJFnuujNJH7wLQ7EHTUmeR+sAt Cv1KJNSHlVz/cK7/iUC0r6axtv8mKAVntAgTy/SGFP6roiqTVFExrldW4nVtzASpqjulJ4OusT++ eOEove+a0qg2KM7bTk0Bn45YeIrsgjan3/mlE07UhfoZ4SSfMl7EtZvaRR3ipwx6e71+8ku0ngoS qy8nRgtaRqRY7Jk1nDpdNe2iqhTgF6b7cG3phYYw/NBlzKtuyfmjvBqZyBwCH9LpIGKRL75azkK3 lLHg6yhSIhU+EORJ2y1YtfqGUmiG9Niiw6+HG4pf6osSsJn2bE5IAMUdFnPsLXbLZGp7eSXJQyFq 8hpiZMpF1xQkxYpxz/wk5LDqfQjjU8223MNGUH4fNxNkw+ADIhqqCZqHIOIpsfQzG71AfZu814ak jBTWPgeR/JYYF+PVJCvoxDhcgNL8XkOo2SzCjPaxCZFctxOkd/vDMvfhEwUgLbji10FGbOWGlVBq NZCJSflITRjCxkEaeIs4knmuyTIB7itLSpYbJz2ASoRzfyhtxl7lRvRhoI3I8JWSjaE0gzCDLYgi RDsCb2RiJ8XohoAuLRZKRVerVZcZf3rUI+0/K6zcdFL0klSPqnlt5vcgh5NgguKaJ9GabPGAD2NP 9fbfs1buAvi4uv69L1MqWzQSAvacBFAa4P9AT8u+t+aH0rU9nbdivFCa4QBcrbu4dIurpEOTlz6v Q4y+hklWTfxSNJo3P03O2zVvqDbYdlUSMVa47POuEwZqhOYuMHlll8NMSrwLAgOBiQ0GMCj71pVW mThWwktWKUqfgfmCpBcf1vO3YgSc0eGbNhSvwHYOyVLBPc7ySaMgxTOBJYVsnEQHWtVqoSnTAk3G QvSI8/SSOhE95/e4PurKfyZRuJflbeXE//Tnmh5ucLit5o7PbNAx+7Vgzx7QWY2EmPlpS1vNTfXL E8YrX+xRsIaJTA8fEBWnr/2c6i8iEenn++STYpXoY5RK8zeq8W+hGwmkOPtbQzLKXWJlpFkYVR4o nSLEIJnCAjsqDoVa8M9WHzmMxduRz+5JHd+ulXyw6HuVbSfxGl7+1vmiwX98jOJV/B2rnUnZ1WzQ frG/pjNNX8cyOnWkREdG+pBC3KYfZH9J7OP2KLdC7ZPxSR9hYJYqhq0xq0pyolbbeIXSnahGLH2A zQklbExh0qDnU0yp1f5U2TmWZDcf3jcHCvmJAm+cSplprSIUCHpP9yfCr087fRyet37UlxQTirZ2 Cu275t1hYOJ0EpvJYJ1S6sdM1QsbzskwSDC8Y0xP98UWE6/+AMHdv3GnE6SvwNZMvTGJkn96ab2o 5znQmV8122xq0E6e+2wD/XdQve42BvedJmozWGevKv7/RLHlK2YbqQsVKlDxeFIBunkkGOBmRsbL aBqwLRvIuO95OqqPOiRjf3RRcpxxM7y2a/uM3IuxuvLPfmYxV99uAcrvlwJkoiAnFLTlQKD7L8v8 0aeXoVkGq8/cq0354bbX7VSOJvu4cu5VUhtCS1YI7frRP2oJmWAeQRekteggVCoDflkDUqzUsZLQ tS+fjwPiGPLI1b4K4HZvtGwp+EUT7UUzfFeEJNhEPPa+GT1sHRDq43kuHJUO2l6pG02DdQwejKvE BgHQyX4JsLUlFp54yHA03+7z/+1+hVbZf1gG19WdyGmqON33qKq4fecMxXgb08hJbkLI/bzfiPJo GIIUI4ZgP80hbq3wJt3KVfhyMfbQNTfFTs1DiS/ZtB2YMSgErGn+A8gpB2VHPyovp1MWHUhPfsGl Cicec2BTQreiNhgJSU3tuYBD8HFEOkNuG8HxwffyjMrTOQUDf5/DcRSh8/QZN9aRP7vqMB5+ouLB BM3Cm3zzlWfS/lNhRsCrewOzfzFINfPGZqgmtF/1UyksiLB0VrU1KzHhWCMK6qwQepPPMmH1Ww+H dhP/5e4gNAw7t33+ciyoMyKCb/1I3sCedU6yjgejvKIdLhu4OloBAgbaBVAYhb2QP5GixZZruar8 K5r644IqRnPKDjNB2MY1tUwguYv2cPh3zYwZvI+maiWwHhQAHwjXFwx1y/zRxF9+OnpAHpeqga7K cmvuqKPjBhbGo0Vk/Dw7A1CQ4CG6b6M1AdZYpimNvVrzA7NKArpavLkGw2GCxuoWS2GCBqcumTKP MVGztQTL4F7W5ufN9sjaCh1Lh6LHjWq3ufgoEP3DK6R2KPwPrRnfEsTQLbdQS9SGjcpVRNcpQfAV i3OBYmpj60typMrGJyAP9EqSaOwlG6sWtz/p1e7AG72uyH2OT5PMSlQ7z3WCFYKRc/eI7/svcCLw TV3v7TH8B/1z+usu9X3y5Ad4fnvZ1jaSCKRO6KEjdKoIKJRsV9UnmMm8XyrUZtRcQpdWogyhqTKZ 3hODX4nK2UKq0ZPcoq4unvTXSvUW31Esqd5c3IcGDTMPHGceQHYmNfhkha6dJvTce7iJ/Dx4eMzW k5DDQml26ol8E9GGKeVa/awQmyAqtlmpvtHU/sBtxfrCTFmD2TcUGW6FdwFXMTu1MPwHJCE+Uwe0 VOmcC+/Mgaron1eLzMWV8XyW0ACztshoHbJfD9d9JsU1cJbGyOYQXu5pydYlv0r7f6HmDVxM7O1S rCuOYx2DZtSqmHzS1d8qCYlF2TkW0CVBcb8FCABSIM6caQ2aR+2MnCP+f/2uXHkH5acFGclk0M3n xc2A7ebD6mzZEOYWWxJBDElzxDKstwuB0ok5v2gwisV8CeuWAs8bjHOs5An7XdyM5g/9hyxXZivj fr0OMVLnw6u/Q+8rJV8/MoRSJ6wjs2qI8Z5MJSh4pBnHrwZbv2MWl+AIAMlq94qF4weEhBVNaHGH CfVPrMuRFwHVRkRhdFZAcsjaQBs+s+E3oenDYAe7Ko4bHmAA1sKKYLiatMXxmmwXSSCz6FewD4PM EFO9Gv7SsiECezHUmJxNcolWOsuk0RE9MakoNlaBgzKEkiDTqa13GwLnNg9Ck+cLCcHgnzIO1Njk L4b7LBFITgmMMm5B6INns4IdMbmaNO6KziwlBDOx22CmDUoV38m699UmEYCaUoDauHrMWbFAX2Nh 99QDJ0gI/4echrXMMbmcWyrizwN+QOYXN7WcSBMBW3iyy3ttsbDzaeq9/oPU18zzPAM+D+zFmeR2 zdbBrzQa9sESX1LphCo2CrKvbqZOJ65K3Sd+BlGnzi2uADnDnEqjwGRXXAnPihzVAbwbYxSLMvk6 bz6SW+eG9w6sZkC8M6DnGvKjF+Qm5tm241A6vyreUHmwA24lFL2F4DQ/wcOaGIxI1V/cxiaxxG24 bhp4Q3ZDgkwS12sHr+j/380mxAtPIeOyNv5Jc15jyBWwkCJ+pKuzrwu2la3lwDcbZaIRB3QwG7Jf +b1aBgR3kCCQkyUMFk+7nJuGWWpI26G89k9mbt7RGBKNhowreUdEkunfV3Ce6DswgpB0DHe7dO+x tYunTbfkcJvJCaDIg7MmBnaK7vEHSDIQ3vk1/JqRqFQj88Qiw5BwFV10oPymHswGDs5Y6PIHtWvN 0OdG7RqnNFk0sFTQkgR5IsxR6CcQIL7TUw/dBEwMvmQ8tO+jtpxMwOPKu5I1RjaTOYHWhHWp5c7V u016PT3exfxVU6/P2PRvvG5ppHbkirnTGwfDZ+0Z1HnVvBLbIeYkU9VlfXZdMcm7gBcbme7Lo2Ie NpSu2PZffaAlMGWZjninpfmmeGrjGRquaDcN3kbZA+M0d4d4v6QtTLCCZDKC5n/KD0pphYQ1yEwT 0e8gU+Q2n+LvWiAji6Ij6kRFmwkk/Ym2MuKGSakMztcBzZdTqCc22uHIwNPqU9lNGV64pnT+6O8s g7U9zg4/JzFhnIO8EtTmid/2CZhfhXsyAB3ze0Ak+sHyY9ad9eZNwnQkJ2UzOsaKXYa/8t+ZvTAS btPANzI6CtZktmDemOgTWKfrwG4Z77GYcEk4VOzTFeQfsVSqJ1SyAIMGgqQUF+pGk22sT6h/6xmx zv6T41noCLQD/2wf31d6iEkF+z5HA86qxKIduLnahwesrHJnZoQHLtO/hg6W9q4tpmy8dchOt3vR 7NcdOx9P1A9EUI3iNDQCD4ppzR08rxLqrO1f7wdD8FtZuyLGgcRceKh/9iBM5MYtFphzbai+Y/NT +30bD8xPgfqjtCtbeuAjR0Sh8WeIy9gDKwc52WwOe7ljeiABuBxt0WpaYOMY9vxWP9J/IyaUWi54 4bH72orR/xMzYfnJjD0qjxak7K+1Ebo1ieyrkJFUoqTJsM2nNolYkf281GAO7pp+BkzZyvUxT6c7 bJlMXA7bUFfEtIEw+Osw0rZ1RASz2N0aFrVISS0O9xN/TFlWN9N5ukA4cn2rjzxCAVg+qTkacZGj rCP9graLLlQOkF+pC5atmThyzkSWPYf+2oYU84nB5w1RwUxI4otUS9wKKkMgjXtp7d5S/fKAFVfG ysAivsHGSG5R4bKNY5QwjJ/6968hMuVya9rih0BjYQPX9VC4yTuyUtsn7qW89ivRqrKy1qYT/xQ7 bY+dA/ciLOIdM2mEWvwZgfCynEcS+Pzqx0w/WR2HUSB1r7hKIgyrXjOC7egRl+cM9BOFaQmJBL2F kJcdNUzEO7hPsyDvlbZ6G8pdkEZDeQXn5MtHpFw/KMAmLXuBxBoPMTDD7XjsZTR171GOdb1mcll9 19pbVmD2rH4l0dKbw2J8qX6swvH7+/eF/l7k40+b4jZQMmHSkbbxrHTbTTSqHrVlk6eWZ0CuujCm 9PrZ3s983CBMJnA3GlCkvwK50OoQFFweh/2Hd5bBoXZUg+SshWddUSlOoq5nZlfeB0hcXfpYkOwt C/TfGMV56JMsRwITN/SkKO04Hgc6fQc6qkEpag40qHmTNgDSWOfHuskqDoVpHBGBPqAE+saW6FMp YmcxpAKVJKxfvvyIEUBAlomVPep1FH1wK0Q6punWKQasWvG0qdmdjmDh01QIff56cAN1sefxkwYH k51x7eB6p2FZ/DN6fSB2fYfP7Yg9HFTKcj8ef1Bym7/QJRHw5PbTw1A+RX8sNM4dbuzQFX1WsaXN J/F26uB4ePpD5ukYZ6C/Fenm0EsDQ4ztrXPvoGT1UzkkHNNGS8EGXQvW5SYsScQWcO2l4JI3V9lr ayfyEkpYTAY/goJCjCLUTpecHTO+LW5xQMPp93TqgereowbY0WIDoU1978EVVQlcZr2XjnsoyO8u Tj9ddSY/bj52JU/ncZVEX+pcvAqiuo8L4jeeM2zQc8hBW2zvvlUFYSSqrukjrKIcx26CC3t92CyU 3344G28F5g8PpzlcvW/zQevzj8wXNI+0XkSEDZp9db6eJ0bCv3oCDxdwv0CA9wIAYq9XYqvn64RM lvol0JsLKJNQ+10znu/ocWJpvhlWYvvn2tt4Nb+V4NIZGmOZ4p9dpD0L/wBawvlgvT5bDKjEFIP8 1OBK3j3ho7+ai9XZqauvpF+aIBvqrzPZ7wj/fiyKCZpWcstSDSvXfcRhBBRkI+o86RC7vs0SOSqd T5rhbnqyil3D/1goL5PMVEoW00401lLrCd+zkkejZqK0Al6+zkepngWwOyEQAlM19owEMIaNM2cL dwEfWOcPpfx4Nxzpp36wUaaF/yAz1VMl6a+ovf/lIeFeFk4IceDOA0PapHAUjnWnInce8cgOdr2B p134X8lSBSmziEVvJW9sW9FP3M9Xou8+TaWQgkT8RkhzP5gzLkRbfz/kxE1m6V8e8n77J7JHmnK8 T3XM4sxf+sdEpaE6oOSzIRDT1NL7d5KC4r6bI9icoLS6Ug9fJL8HMLvOwFtrj+K78q8mqb0ut1bY fTCEyh39fot4pOBGhSJgBXjXEnDKOXvzM08S0rBwFVZhHHbtXf5wHNuPnWYFNXwArkCBPZLkrB8G azj++KGft7tP7KD2c4asdVZuzSKiI0UCRThyqY68yeLdJ4I5raRtfygrShHo5hnhUuZ+vcK4mZig MN9d5f2ncdrtDmQWQWCcJXsWoVUbD73fz9KCFSv+bJSVWtvGCotvRZQJkGCXGX4GywfgzwJL7Ia8 hdL+E8NMKcQ0Xyjqe8sF45B80bSzv/nILgAZezqqVHjgL8XfTvWDugeSMEZtd+XYVNcQ2r4hh1fV rfqgBcK7Lqhqik31Fcv6plYuZwlSdS6QLmFbGJmrM3aeRuCSITKzKv8b2vrPeSeQRnn0NYvjwN30 WdBH96SMxryYnzbcNbwt2cwyb47TC1ABhh4VSr8+dSAi5IpcfVH0lirSnmJn7iqlDNXk6nvEsXxA WMiUtohOXx3BXSU/ZPIHO74Vu9Goo9wm9l7aCiAcXXzF0Ke8GthcuJ8vHve0eeAu0XqxqgpwXLi1 Bs7OfGoNoC36vJNAqzCLaPXl5CJSSWuOTWHKPsy617fPHbicLO1I6pdea9nntSSamFVfisjxI3MW DfLj0R3udqh6X7qPxEX0Tzzlt+XyU20zKZgt1cDXGqKFDTmpJzQA4ko22drmws26lRXIA91KuoFZ p+BZ7czB8HyiwOzzWrBGA0ReUAV27py85PBMPJlh+gFMcG03zPXrNqGch9z8tFh/1VAls62/6Ddy 8GfAkltZyl8q/d8MRiDM5R2RonoC67YJl4an18W+qwN71gXOA5o8XbCa+7iBuJz92/d7GpMkDIHJ j/fOmyetG9ZdKfNEfWtcGTZ1vz9G+5odQjEgYSVC3RErKMREr5K1kR1mUdZiyHiJncWlvIAum26C G3+Hlwq9bIyE1ECmS6ZIm1VeE2VgycYhQTADzIYxLBKT+KjJiMaB32C7+w5VqoeFlPKK/DXXuH// r44w51JR7mvybF594ZYYds2yqXdrItNspSz1uU5f8DQ39F83vW/JBmQ1zv/lcuAkZdv8Uji2OxLL obq1EFFvP3+STnuRi4JZjmoxVCIBnidcHN0hq6lNDdEbrv1BJ9HxN6ppWUJHRsydw4RZT3ZXpXj7 5M235BkgZUyU0XUqfv0mjBIx5dd0p6NHmkQ2xo+op1W7dJRzfcRw0+sZWEwFC6mwPc13v2alCppr yml4wNPJ4rT+lfKt7vZrKM3d4zPFLqxUTAnP2f3BSm+j2aItYzm+Vybw73ITBU1IaLS/zgJ5nIm2 rbIUyJHdfedhzJ46x+Xv3jcs5Nu+oXZ4I8Qy0Myoyh7G6gAvU5rA2WwB7cR7uG+4Ib/quG/oAc+b E341x8TpKcVUIq0bVEf+I9q3+pvJ7dCRHunigoZzeQUfmqePNfN0LKuEn1+MVb8wrYwrty/3fpRp kC64tuZlmzVkdePyIIX69uw4IDqrdAjvPNRMLB0pxG5nrjf5iIP7qC60L09XpQdOIKNcuTgPDRw6 uVNwVaaftCIzLTmvK1qcubxea2lec3W63wzyCJ8pHO3j61l2uUr98GyqP0IbjhMe6sUihs0YB1Mf HFCnS71UcLFrQqjYs91ZHbNNBZSYfWxxCChRHflqqR3ZEpLyN6LUHXB99z1S71vcsWuWy1osz932 RGY+k2K6NVBTflCNylerKecDr4tjkw81N8j/7oxzC3rNGivhkTIewJtyCZV7SRaeCJzODXfTIFGh z68mTx3f2tuhGA+k9UU1OYLLl/f1MSQLXzEiyd+ydFsc6yTAYRtXkvLjnwFh3yA0V9H7rLx/P8nC iunAKO593zELp4AKBBPKMY0saoxvpZtddejn+YC7dLQXLavFrIeS4OUXVnkpoQU1aUKHLaiiOZLp lt+0O3aie7aWtihUXFQnvZD4194rsZE/v2w7SdUyrYWelW7s0IJ8OychbrGT3SwvSAkcXWsSDwLw X13XM/qkwIzky2FoovpDQDBNbaLNFTeV/oTLoNp/EZoxCm5kkqfadB4uMDGvU28Ut0xXOz/3wLCu iFeSrlo+Drn5Yu7NZnVKYy4KOT21lSo+0+VuazH96fB3wq35PG9puYjeih8Gbj1iHPg/XUJhuOZt ehsJc/SAhN/Z0QK+kXYLDH/k5eEPyMEADNfTZmXMvuDLpbqHwU/jIDpikOYhghTtcbRvpDRDdRSS U4pC+9FXZ9ACizUPzH1lFGlQs0buk1jzRSYnp+wPWeNE635Zo9zXG2nuLXwYo6sWmufeHpJLBGC/ rS4pL3zLnffVbMQc25hG12sGq6Gpxw/LLxZNMCBg0JievUQ3TcQ5JK6n6a57jb7/WfHUtzUtvaYf Qh728Q639I0tOVxvPe1wtwtkoOBA+ouVDElxhqy9Tn/FhNSyBHnQIAfrLC1lxpp/2KYvK9halNgU +KPe69LEGjFGxNeIMGMcJXBOVsUW4Wo09WHQCqA5fMckLi91kZEy3r7WsEuVouiF+2NpnXl5gcZY yBgv/9EnfOJUEHcC/kSIcMKUR64NdyYVNmOgHthLVHPMhcIrk3XPPxzKDj4FKJY7mhi1pLqOUiGM 1/L/4XjdtmAM4cG+wClRetoPHCwV1PuobCAxSdyRCcsDrcbItMiLtnc5DK6B4hK1Xj9tGKjpME18 M1KTAH0xP70UpEDGPb14A/Ecldf3q6K7GX6vHn/aio25Fqs9+1mgmABQ1C1rg7D3mJ0ckNRiexdd KCG3JuJYpB0lJPhd9zVxyNVwriqGxVBudweNf8IrAHzscfMvDi+3A7xohLJJWK7HRNC/ndxHMvxq 8PZkrDQVovaQbf+EBZqBe1thSH6N2KbKKE8kABhNrFHltnt8vMhNcz/9RqQYRmmRLhayTs/Lakhx XIfXj6jJndmo83miUReEFVlxwxCsjNsckDkX4MbQVsfTBHMyPXU8F75pAeP2CLkA0bKlWbbVc4L5 YxYBLNQFbJM3jCfdKlYh01q9CKWjxtoQZeO3SzfHVUiITFxnc8fxj2kIUKryilqTXBSurXTjjXvk mACBBoko4KGanV7DTBqmS62mKPs/J2kIQJ/JbRSQyZTzV+DUsfB0uV0KW6ajQaQ6yoFCCoVIDqeD +r9E1WeKGKvrFjzy/Lz7BEo2ymbE0xgBOE7XYdKXe2nUY71+K5p/IkubjPq2fZiwceowlRDSdyUt cZbvpRZc/vaYm+hsw2YxrivJr3ez7Be/3+3OMEZeQs5GrSitXbJLXRtMUoKjU3fK2sE0mc3aVTc3 QrFi15AtNyL7Wy6lzMbROkYxwMl+UrJ0iPAfDMpsF6bYr/sV+SA3mYB+1MREVLo/XJDqbyYVT0Yc 6w7i5vG8uFjKi/hr1Jie5vp8UuPQdpawPoxWbLQz2pEpeG9Z/vlNtvAwq0FflZR9Hiy0R2PfjGMm uBfjOwNzByOi6kKXfgMxPTgMwCLncOjGng9DZYG98a1paYiI8bf/Z+KqpgMKGkYg0dpJzj/m0WCY rqTsZM0lRsXBpo1jaOQYAxfUIvFKYztuAA68gm0a/YrJIBqqDocTZJVkp3pZ4r7ZZ6AzeHoaZf7E 74SUIlp9ow5Rx0FJhLyodHgP0JlvJtsPeYx6b0W3dqPDj/RqHVBeW8QKqSJjCcgEAtyTWmNebl2f e6LlunmSYqm3moX0NqLP/wEeYBDghM1R3+PSNfFTmiaqjwogHcnXNMdM72B9nx+Y+oT+fDRHxax0 HCYv4vgTs807cZVf85JuQl+WatT7YYXP+KiqX90qDM57qrzwLtcOf/hRig5qnj9+ksKikNdITAmf i0SVFXQHC+/dJ9Uygh1acgIHUfGVIhTupF09LChEIy0bmwWRSY9swIe/wccFl9bZRfNpC4eF1XxZ DOEOY9NhlJANvfh3PnZL7qXRa2PozfDYHgrHUYk6MI0KsXaN03CNGkPEUxc80g9ytkpsAd+TzTD1 WfcYV2qUCkST49D6Wd0mMJ42fAakqB4+drDuhXzR+bHvGrm9fw3/ZBI5HGZzc5PGCOSqUWCuvG7T fuYHUMdFwwEtFoy0ySgH9gTfsaLll1ZKSqD78tdEAVTBXGJj1n+diirnUgKAFH2ubr3QP03dK0IJ xZ4LBQ9PLah94xYFHVN43uypBCJOBxKbY3m6kLLCtyJOW2YCt/tbu0YOzKKHGIIR8WcfaVzP7c1P FezRlWgn4ntbt3F3GVWZYqN6FhQmd+ym6BUYR4BiGMs+/0Rn7YnqbkZVe8IwvYSRmaEO9z8E9I1Z 7bnpJpCUXucDF4p+Ohqw155sf2eUrevX4rxBj6WkV260495kjKZSKNlH0wdPt9mdTA5H5WeU6V4f NxcjdbqP7C6+KqnHa2dGePxZ8Lyhd09Hj9YzfCvyzCHRlGX8ROGRHpxaE9x6Jf/RNJz+zYlzhbzs /LlDnpN9JFFTsAQeMF6MfY3F7RGiBPqebWwFR8CsMQ4W8XPIOXuaKYyylOYb++Drw4CK3Vv9LFzl +A/fk4eZOHQ9IhX+EHwGNm4GWWESQNg9Fc9yUpyj+xXbQDqttvFID3u4MWNV31VQ2brn98h5F6NE 1QsfY6Dzcjey9H1CXFLdllcrBnF6mEar9xYpEyRNXLsOsNTrckLqzP2dnj4ACRICh4bDxoPZzcNW xXsvW0W8rOJId0P0vUoVruR0GUjpGX6rFxD1S3WssAnqpQecSJ4HE9ZbrntAw7zXL6aDxePQmjS7 +S5YTXFS4nKMOiGj3n0hx/yo6Lre2tDh0S8R+b5mb9Wa4NMTuAtGA9hAttlu++H1VYQf1Pj9TC0J 4/zNyetpYD2mAGW7UCWjwVesT2Yu8VIzLRmU4bttf2kf3/VE0YwCXDS5oAHkH85ufacusLmu17Kj vN9gqSnhIZxHH1nbUup2fz0rzfgpN9P1p4oAkjK0/NSDw8bZOSIqetArZSAVpg/SktGqowyg+O94 Xd70Q8ASaC+hTa+M055K6w/oAHSk/Pxu2nvrvmkqQ+bLKAMKp484IGjHSNVD///69jkgI+4IFnwA nu6lGc1Bd9ESQz02JDsXIwVvm3mTtwIgmlm+xgASGaEE36LNHKijHNNeZjPYGS4ruUE836OFzz4Z tTWapLGklaz34QdaIFpfombdnV1rQEvllFqeJ6DVo/qvaS7WzKqWXyKfPF62Y4oeXYXQPPe6sXtC xDwvU+s9nroMCX6VfJXipzRmNYPEycByt+Zc4VlwG3RUyGJRFSXpYwPHm7GTV5nRVvbFpFdtqOtU WlxA15+gcXG1fndKEZdwq6n0zzqpjP0mSSmB/QJIVeMpLmF7NJo+Oe/xOLdpZXf1jI7lccmihQVE 1tpqKZuPZm3DojCoSc5nbQ1ka7MXmUIwVE/Hoz6SlyjuNvkUZm8GufiIGf+Nzp6hSmY1+2IkgGWa l0DbdT3Cgh/JtPLA01D8dQoxkb9Ztx4NH3c4+3vBZ0Wu7N34W/E0GaRjgVbie2D/Tpz9yNjlGBpQ ZZBA8yrD3smw2NrGLmuG+ETQHQcoCKDO/sM4AAiYNvQXEXN+uuZuru3Ndh/ppWDwh/1qOP1kfvgf QI1QSY4EjEPwgV9EJ59Q0P+RpiiDzxa5D3/SF4vXfJ9iuY1Mt3V19DMchnLnQ592OqOEp3s6Exr7 th/cnhytGnZArdqtl/GU26TrvSuHH6QQ1gY1w3RQQRS2fuNZUtJPV+ImLzvTeYMtQoLUrBk8EU9f 20UDRCnAruVNLpM0YqoqxO0uUPCCCYM1RmAfbGf4+foDDRE143uk8lYfQVSSlfTy1SOZTY6AyByY udyCsxlRRG/2aAYbe0F1LMP8Bo7t+81Lyad4mgf27kxzDb6O4MMFm8rJRSYW4Z0x6WoAwK5HCuwB XSgRbXzA7R/tjyH1seP6xH5asIXCu3OklsXNmycZYjlRqoL5sABGu7HVm2OLpgEhaHLAEx6wbfcB bn0bzkudXZVhswT8f4/rX/wSGPLiGux9nGJGdl3g3HocR9IRmFqwwo7zwREhVaXtyVBfQqFYWKUA 8KXjyH0sTYlpr5/rg7OBSjjUAe4GwKPB5489Flm5YbnTqLnhnDJZf2psbRvaYcM7upugJbs4Fvcz RxGyzuOF1WoFQLSTm54TQiduwY6awsD197ugIKWQiYt3jkY+UWh2mUUpYlGNTN9aQobtH76RZdjj klFnCvKyzEjDPzkukgEunqFTXPM2zMxPvcZ1LSzKGwwcSkLppjjfVeAQKu4zX2m397JudSPLKH6j VZMuz4dqqTcZFB3krSCsQ3kos90MeESuIAjO7wAdXO2Gyp9Whfmar6v6JI4gvS8ON5RTa6Niegmv I8+RzgWl957LmvGefGfrj6p5B2hEZ7SUNW0O09Z11sdHa/sCsEBUyCiXgUDwmqZe6mM/PvDR9AS9 yfNP8OseoF3PEoH5 `protect end_protected
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DCDPRAM16x1280WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
85
19921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ F0QO+ZZlvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O dw5/lv3XsuSXKGnf8V0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f 0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC lN9AukRoCBpCWkU/kGY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8 ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008) `protect data_block ugPchIic85pVoazhFaH2TWuQjqsMIDhfmCLDBUOtUS/RF04DCSHl4KkxPTtW6P+RbyiD3T+m3kTR N0N334mL4z3weuQnltN6rjwv5421Of+ebjf9jNPWmR9lf0e4KC1P2a+RqcNXNDLyWLeUSh5xhG/Z 78Dc0xYUHiF3d+hrOZnBHDBSzBMBxH85jBmUl3hJ6N8TJlBFLvzDJLAwx9rMqd+/ukKUbS92XXmq GUfEtXOD/kOjfUAMdbSYK383ZTVeO8P00Ys+990ShfmBjW11X5zfC8a6pcCnYMWovhs5zhxlg5VY 5qaNHLAk3jG98jGzfgSX7h68H0TVRR7JEDFOWoBp6nHeaNm3GUeQnBHvRRf9iGTHwtNoBo20CT3s B+8CdJKTLLUzk6qAj2GsiBGHS8LnYKmNkY/fLp6AmB2/qs4DlNFJIF8WLDrSqDSxN7vLmxfW02dn 97TZdyIIRU0sSDWmckU00Bj4DR6lVWvrorzqz+TKptQht1fc7GrTmUsh2hItQeA59cOw1AOmZDS9 1wq0ZKLVSXAjdB+CY84byFDq/ggnql+ym9df++F4CndyzeHn/77aqPKlsW1vloWWAkuV+/oxdhDW p20TyTT2O/VPp3m+EzlmnnWV4xK70h3N6PHM98xVk6mnffhh/Vgh2FPYUMBhFoA1R5ZkVo2hBFx+ BdBPaixrD1Bh0/1sYSrIxRoyOp3yESYfh6j5q6cxlNTa1qH+ifL4xeUh7yFpIAKcpGKV/BHZ4BXZ dzcowFS0zr5D1j59GJ2fBtclaFagM874kSHoT7saPkMwJZzu0a9Suyo2oyHeDY5u0tqwoOjIxSPD LgfMjS5eI/7kq2LRK6vuUkw4NPeUXfLEpGv5FCJ6L5qj3kBW2g6KAhG87smejtyOyPsvsfynrIc2 3VnDVEHbU7JdT+65asCO43n+F2twwXTbRz3siKaGFW/pSUKMJST4WLbItR8/WK8o1ze8PIiuzlcV 2q4JbKB8FDK4mLtzmIeYo7UTwNP6U9iXbLmMvu0dzyoUw3NDGJK4KUCMCVvDKN1R/+YL7Uwr/kfr H2I7nTHDRk6JDcgnN9lfHUT2S8C2BMkKRraWkqRs0K6NTq153MMgQ0I68tioSH/TpTwOz9oXVKBC IPIOjB4kXex4kXwRz23kXOxBtWL2ufKqg40K9aFi7BK+LFkdT9TJGBCwlY11kGcbWle5fHFUxBgl D7qMX2r59mWmGBSSP9gWsTMEGB58WJb0FCVJzJGA6OZLDvK1GTVkE3XRxGSmgNPHSS7w3jMJyMM1 LUnr75CCm+QeHuKzLZmM4W96dN4nPXd6yqiYEO85iAqDcN94rfIuZjKzOQpcTx9Oi4DIbX9uESmY tjGl70Xxr/adCaR8ilSvqqc+b4MBKqY7ELJDs0L3vXhscTGf4aQPSq6GF+yybBqE/m0z770fLOgC kzFPyfhoCNMoGzjgznhNFl/K2SBBjlumjwB4VoL4kPAv9g27PQzII7LCyKyJowsuyed1QFyLx6e0 zPEPygamJ5b2aKJfHjhq6Imar4DfdI9b/lJ2m3mKH5VW2TviID8sew7r/S+Cqsd1khcH0dn+JSpQ Cz5CskuqoPSTdJzTOv3pTxDL59oUgopc8EhlWAJY+mQaF0YTUq6eOMJWIqiTRPuWQs6VbYgRaUWq gGyiyprJEh8tjGjzF1g0t47LQBCmfF+ET+Qos0n0Wh5P3AuYe2d26zA4VHkOum3I/l6rLBKqZWgw 80FOjGL5rFavkxULg1QQ4CE5oihzlPEto13KkUan3UDd4FbgJCBwxe8aBRFgid7TZjfFiKmhUFt5 VQdmXePim15/U9KY4mMIYrnEKT0toYoS7SwJCXalf9S/2xU0+tvNWpw1X6qO/7v3BrnHauRDb8p7 DXnByUOEvR5Iwn7KTkPdDsC19IFFE44KJQW/TLM47NkLTnUgjtpw8GJXRgECeGgmVvN4zDWAz4kH JoZvUApvZD/k60yS9lZdKVETDuGnJLMPWqaE9URGx1F68zV/t6gNNzi4Vbw84HrncqaVQ9wkyUgz NG85xmeRL3PM9bycBzo2/5tEUhya/xB50ZheKo/wFA+vGxItbWD3A/cZCrWTA58Qp5cdb0eH8meI wFkrjyP9iCxkcXPvTVMY8oL8o5pJxxGdMvGwC7jjkK1ms7Vqxk+flNpQwFOxc8Bhi0iZ0/TmPVEf F+ZGV5tPUjYRSTzxofFD0jffamlYZQpqwKkMAfWbYnog1yK+fbQm41jBZ/ovWhcEWoIbKWZIjFSA OD5IG/kjBWkEXyU9LTohftglq69r0maNKbr7EKYPsDpvo8izUbasMLapY/Ny14fG6BWgAYo5xI4s djD2qS4vNfqRKU4rAsx+aRpvVQxZ6OeKvHYxiqiIPhOi8yi5g6zUu2EdUUni0ErRMnKL38mmI4KK 4xPf2PcduRPfhljJVhFLQAL/Vx9rs8TI2ixHSpthP0bZl7TycX1Ef3z74wUQ6nP/jp9Yi9pNL4Es OrsoIJiJrNwmzskoRB+FpS0XmnGK+WXeWg8mUkqP5uDqsrTxbhy1nUF9+bxAHJTjfax7I9UPhkOO g0Ms31UeKJczoUQXY4xQ2756Cr+BoXCCgUE63OOGha4EZNIFVDjshALTXX/K1Zamfq3M4naQOWi7 h36LS6E/7kjsvKD0qig3B+bw4eYn9D7xp9W/b/xnQX1Y6b+KJI1mq3ewFW6FWhzfiushu/g1D3BH IVDnqB2RNE5KByrzrzTWfPXtAzqwVypuv0uPzMYjP/HUWBWWxaM0698XRYq6tEjyEZmrbmcmdddB F+978ctayhLeGND3gjJzMD9GVyWbsp22+eKzIrPfgf+zir8BuPgUT2EfbWNhBLNuDCTbpqX3h5p5 UQgkeDx9fuGyyfEwSY01Gj+b0y0ZfFaPQ8GsQKQ544ltOq5D2c2hxCm8+bT33Xox9Byh0dE54yji mtCKhyFgjWGN+nc8kZemzz58Qc+tFgq33sNTvW+u613NDE6weCWYbSHGw+zKAAKUT3bn5wnjKlNP Za0+LvGGrSLhqhB1E+mDbzQz9WPa7pk2U5Yx8hXeWw49WqeFimySWFNJyPEM3fxsATP6UzAn8oSB gaeOEqF9ZAokKdVC3hxFEdR4hES9AH5L8QlOcAwytA0XEPo7+VJ1dvQy+CUJFMSViL4UyQ/1d3w3 /JflFzwiR+Pqp+4cC7R1OcxV41N/Xis6AjZZ+L7AUqsfgANI6OaDHJs7hPXGw7n3rtuNAlK2NiwM bWvK56olPYG7yuoHmsKWkg4wJLoG/MjMFjZEijGpYHYAri6CzgPrNOGFw45dmw2Adhf7PPKe3wyy LOw0Ka9N83vjMWPcpVP+2ScLxhfRWLsSQwqGhh8AaitW2OiTx7ekbXijvvIy/TeTns9LEnf2mN7s IBe+4wzrMc5PmHPVAy16ju/wQ6j1XAbp9cyi6gY0S6FWOq1l8lKsx96z6qBwWwgxdynUzi7lARod UBJaDyt9i7nIhqjp79HJziAlaRo1Xrnt+LN/sCHD7PBmqF50pCSW6YzEuP164twgaiGlAi/yYTc3 kt2l9rDpHVSNaJM0oz662UavJO7BxnuoM2PoAzBcoBY78upERvNQBQFzOo9XtFM6zaeXPNJPSIJz H8F3j7maBdtYWMjy4sDalZ7Fyxlo/lRm19Ds8/86OjGDBNR9PMmPiZAwnLvtNG3yp5gmgsW1sskF +jyaMoW9SFHOaU0dQ9hPXG6sflnNACAOh4LqrSjDxOdDGXJIBbCV85aakvibPiTbvRihxfcymks/ F3Vg2mB0MLQN1C41ypwTN41Z7iy6mmfowNrVB6suL0B4C23X5uXmksOWaa7Fqhue8voYHNYz87AX 1CmUnsx+ESMgq+Cnk/6CljrQ65N4INHD44SXvqqsH7KGdCK/VJXrSrd2MBqWddVIeoLXCuqYwOxj ezDRKd9jCIrgi/Tp6uGj5vAaqWNO0i6KQ+V1odyjNq7xhDwfSyL15I44zNRkGVJd7ttEs2zo+e9M tTkR1igHWulM5TMUdbMdej0p4q9rY2Vpez9BWoTMI+NMTosN/VBa1uoXg3e1i5Mey6pH68hXSc/y OOl6NP0lAsF6r0vso9xG+KIJcJZ1ldonn048etdsDOsRodP/ZiitgPAjFW8b3r38t2L5uZfsEwWr WnyK9Yy27tLFMgyfeBmKMin/bqqg+xVK4GGjb8oravkTjBVW9VCYc8nzEU0RlL3Kpd4DNscR6Chn fBK2UambbZE0jsrc/gF32wOzEjsRYq2t/NxyOzmZ6TBogtMcqTuJUWvDPcmPB7KFI1xve5KpTnFH b+AGLQebcHUjlzNAfV1aH3VZWNbetP9Op++JhuKwV84xkXVR7HuIdr3CIedCHKzWW9k6bDKi27rn MpImtyw+Jhjrdjb6GpTy/V9Mt35CVz0ilaAlzYnQJBMH+HbaZ2u8yveI4vSTZwSZ51DlN6u2fdtZ OHLA4WhhHPzW93UgL+Z3Yn1ZfGH8L0XL0rG0Wmi5mZuQTP2nfGHnKvEpiUV4uhQnQoO4ovh+VRRa UdTp3aYvOwcLa1Tbfxs0zG8896q6sLndM++95zagL7jOyZnFmQddlKEtNZvqtBxvKiZzUa4mudH8 2QAR8ewUfAWLEQ3FDWqtbRklVLgvxrbFK8SrAIE3lwO5YHXnIYHasG7Q8kSregntWeOmW9T414pQ js7uEMYE6soIZDftkj7r2aw7chVXBS+0VcsvZ/buAIoIiNyiZISKmnQvXIqWI/07V/7ZcJb1Mul7 iyxId91udFo7+4FtchFIwNV+RHeV4jxN6vLH4C160myH5id0QWN9saHX31nAzxNCLbI/8NdaMApz Pe14SASWdOWHeESkoxp32P0cnYi2VaV9dE8aaiiuHcNyfSbIndQdMiAHZADN8h5t9ZH+FUd3QsyF Sqpl5mKnkQ4Iee+gH/GeM4GoWyqumkfLSfvgpjCP+glKrnG8oarvPatTNQyzZxLcT3qc3gw+2qD3 w8EFzIZpIIllJuwyHGtnzdAofwWQ2t2QhS2nw4dvDoLydqXqtl22O0UF8tdDfG0ePnfvBF1OJ6tX 27vnuoiD7/sNn1iXhX00lrc+/ZM5JU80RRA1t6eEcOzVORKNgDQJFnuujNJH7wLQ7EHTUmeR+sAt Cv1KJNSHlVz/cK7/iUC0r6axtv8mKAVntAgTy/SGFP6roiqTVFExrldW4nVtzASpqjulJ4OusT++ eOEove+a0qg2KM7bTk0Bn45YeIrsgjan3/mlE07UhfoZ4SSfMl7EtZvaRR3ipwx6e71+8ku0ngoS qy8nRgtaRqRY7Jk1nDpdNe2iqhTgF6b7cG3phYYw/NBlzKtuyfmjvBqZyBwCH9LpIGKRL75azkK3 lLHg6yhSIhU+EORJ2y1YtfqGUmiG9Niiw6+HG4pf6osSsJn2bE5IAMUdFnPsLXbLZGp7eSXJQyFq 8hpiZMpF1xQkxYpxz/wk5LDqfQjjU8223MNGUH4fNxNkw+ADIhqqCZqHIOIpsfQzG71AfZu814ak jBTWPgeR/JYYF+PVJCvoxDhcgNL8XkOo2SzCjPaxCZFctxOkd/vDMvfhEwUgLbji10FGbOWGlVBq NZCJSflITRjCxkEaeIs4knmuyTIB7itLSpYbJz2ASoRzfyhtxl7lRvRhoI3I8JWSjaE0gzCDLYgi RDsCb2RiJ8XohoAuLRZKRVerVZcZf3rUI+0/K6zcdFL0klSPqnlt5vcgh5NgguKaJ9GabPGAD2NP 9fbfs1buAvi4uv69L1MqWzQSAvacBFAa4P9AT8u+t+aH0rU9nbdivFCa4QBcrbu4dIurpEOTlz6v Q4y+hklWTfxSNJo3P03O2zVvqDbYdlUSMVa47POuEwZqhOYuMHlll8NMSrwLAgOBiQ0GMCj71pVW mThWwktWKUqfgfmCpBcf1vO3YgSc0eGbNhSvwHYOyVLBPc7ySaMgxTOBJYVsnEQHWtVqoSnTAk3G QvSI8/SSOhE95/e4PurKfyZRuJflbeXE//Tnmh5ucLit5o7PbNAx+7Vgzx7QWY2EmPlpS1vNTfXL E8YrX+xRsIaJTA8fEBWnr/2c6i8iEenn++STYpXoY5RK8zeq8W+hGwmkOPtbQzLKXWJlpFkYVR4o nSLEIJnCAjsqDoVa8M9WHzmMxduRz+5JHd+ulXyw6HuVbSfxGl7+1vmiwX98jOJV/B2rnUnZ1WzQ frG/pjNNX8cyOnWkREdG+pBC3KYfZH9J7OP2KLdC7ZPxSR9hYJYqhq0xq0pyolbbeIXSnahGLH2A zQklbExh0qDnU0yp1f5U2TmWZDcf3jcHCvmJAm+cSplprSIUCHpP9yfCr087fRyet37UlxQTirZ2 Cu275t1hYOJ0EpvJYJ1S6sdM1QsbzskwSDC8Y0xP98UWE6/+AMHdv3GnE6SvwNZMvTGJkn96ab2o 5znQmV8122xq0E6e+2wD/XdQve42BvedJmozWGevKv7/RLHlK2YbqQsVKlDxeFIBunkkGOBmRsbL aBqwLRvIuO95OqqPOiRjf3RRcpxxM7y2a/uM3IuxuvLPfmYxV99uAcrvlwJkoiAnFLTlQKD7L8v8 0aeXoVkGq8/cq0354bbX7VSOJvu4cu5VUhtCS1YI7frRP2oJmWAeQRekteggVCoDflkDUqzUsZLQ tS+fjwPiGPLI1b4K4HZvtGwp+EUT7UUzfFeEJNhEPPa+GT1sHRDq43kuHJUO2l6pG02DdQwejKvE BgHQyX4JsLUlFp54yHA03+7z/+1+hVbZf1gG19WdyGmqON33qKq4fecMxXgb08hJbkLI/bzfiPJo GIIUI4ZgP80hbq3wJt3KVfhyMfbQNTfFTs1DiS/ZtB2YMSgErGn+A8gpB2VHPyovp1MWHUhPfsGl Cicec2BTQreiNhgJSU3tuYBD8HFEOkNuG8HxwffyjMrTOQUDf5/DcRSh8/QZN9aRP7vqMB5+ouLB BM3Cm3zzlWfS/lNhRsCrewOzfzFINfPGZqgmtF/1UyksiLB0VrU1KzHhWCMK6qwQepPPMmH1Ww+H dhP/5e4gNAw7t33+ciyoMyKCb/1I3sCedU6yjgejvKIdLhu4OloBAgbaBVAYhb2QP5GixZZruar8 K5r644IqRnPKDjNB2MY1tUwguYv2cPh3zYwZvI+maiWwHhQAHwjXFwx1y/zRxF9+OnpAHpeqga7K cmvuqKPjBhbGo0Vk/Dw7A1CQ4CG6b6M1AdZYpimNvVrzA7NKArpavLkGw2GCxuoWS2GCBqcumTKP MVGztQTL4F7W5ufN9sjaCh1Lh6LHjWq3ufgoEP3DK6R2KPwPrRnfEsTQLbdQS9SGjcpVRNcpQfAV i3OBYmpj60typMrGJyAP9EqSaOwlG6sWtz/p1e7AG72uyH2OT5PMSlQ7z3WCFYKRc/eI7/svcCLw TV3v7TH8B/1z+usu9X3y5Ad4fnvZ1jaSCKRO6KEjdKoIKJRsV9UnmMm8XyrUZtRcQpdWogyhqTKZ 3hODX4nK2UKq0ZPcoq4unvTXSvUW31Esqd5c3IcGDTMPHGceQHYmNfhkha6dJvTce7iJ/Dx4eMzW k5DDQml26ol8E9GGKeVa/awQmyAqtlmpvtHU/sBtxfrCTFmD2TcUGW6FdwFXMTu1MPwHJCE+Uwe0 VOmcC+/Mgaron1eLzMWV8XyW0ACztshoHbJfD9d9JsU1cJbGyOYQXu5pydYlv0r7f6HmDVxM7O1S rCuOYx2DZtSqmHzS1d8qCYlF2TkW0CVBcb8FCABSIM6caQ2aR+2MnCP+f/2uXHkH5acFGclk0M3n xc2A7ebD6mzZEOYWWxJBDElzxDKstwuB0ok5v2gwisV8CeuWAs8bjHOs5An7XdyM5g/9hyxXZivj fr0OMVLnw6u/Q+8rJV8/MoRSJ6wjs2qI8Z5MJSh4pBnHrwZbv2MWl+AIAMlq94qF4weEhBVNaHGH CfVPrMuRFwHVRkRhdFZAcsjaQBs+s+E3oenDYAe7Ko4bHmAA1sKKYLiatMXxmmwXSSCz6FewD4PM EFO9Gv7SsiECezHUmJxNcolWOsuk0RE9MakoNlaBgzKEkiDTqa13GwLnNg9Ck+cLCcHgnzIO1Njk L4b7LBFITgmMMm5B6INns4IdMbmaNO6KziwlBDOx22CmDUoV38m699UmEYCaUoDauHrMWbFAX2Nh 99QDJ0gI/4echrXMMbmcWyrizwN+QOYXN7WcSBMBW3iyy3ttsbDzaeq9/oPU18zzPAM+D+zFmeR2 zdbBrzQa9sESX1LphCo2CrKvbqZOJ65K3Sd+BlGnzi2uADnDnEqjwGRXXAnPihzVAbwbYxSLMvk6 bz6SW+eG9w6sZkC8M6DnGvKjF+Qm5tm241A6vyreUHmwA24lFL2F4DQ/wcOaGIxI1V/cxiaxxG24 bhp4Q3ZDgkwS12sHr+j/380mxAtPIeOyNv5Jc15jyBWwkCJ+pKuzrwu2la3lwDcbZaIRB3QwG7Jf +b1aBgR3kCCQkyUMFk+7nJuGWWpI26G89k9mbt7RGBKNhowreUdEkunfV3Ce6DswgpB0DHe7dO+x tYunTbfkcJvJCaDIg7MmBnaK7vEHSDIQ3vk1/JqRqFQj88Qiw5BwFV10oPymHswGDs5Y6PIHtWvN 0OdG7RqnNFk0sFTQkgR5IsxR6CcQIL7TUw/dBEwMvmQ8tO+jtpxMwOPKu5I1RjaTOYHWhHWp5c7V u016PT3exfxVU6/P2PRvvG5ppHbkirnTGwfDZ+0Z1HnVvBLbIeYkU9VlfXZdMcm7gBcbme7Lo2Ie NpSu2PZffaAlMGWZjninpfmmeGrjGRquaDcN3kbZA+M0d4d4v6QtTLCCZDKC5n/KD0pphYQ1yEwT 0e8gU+Q2n+LvWiAji6Ij6kRFmwkk/Ym2MuKGSakMztcBzZdTqCc22uHIwNPqU9lNGV64pnT+6O8s g7U9zg4/JzFhnIO8EtTmid/2CZhfhXsyAB3ze0Ak+sHyY9ad9eZNwnQkJ2UzOsaKXYa/8t+ZvTAS btPANzI6CtZktmDemOgTWKfrwG4Z77GYcEk4VOzTFeQfsVSqJ1SyAIMGgqQUF+pGk22sT6h/6xmx zv6T41noCLQD/2wf31d6iEkF+z5HA86qxKIduLnahwesrHJnZoQHLtO/hg6W9q4tpmy8dchOt3vR 7NcdOx9P1A9EUI3iNDQCD4ppzR08rxLqrO1f7wdD8FtZuyLGgcRceKh/9iBM5MYtFphzbai+Y/NT +30bD8xPgfqjtCtbeuAjR0Sh8WeIy9gDKwc52WwOe7ljeiABuBxt0WpaYOMY9vxWP9J/IyaUWi54 4bH72orR/xMzYfnJjD0qjxak7K+1Ebo1ieyrkJFUoqTJsM2nNolYkf281GAO7pp+BkzZyvUxT6c7 bJlMXA7bUFfEtIEw+Osw0rZ1RASz2N0aFrVISS0O9xN/TFlWN9N5ukA4cn2rjzxCAVg+qTkacZGj rCP9graLLlQOkF+pC5atmThyzkSWPYf+2oYU84nB5w1RwUxI4otUS9wKKkMgjXtp7d5S/fKAFVfG ysAivsHGSG5R4bKNY5QwjJ/6968hMuVya9rih0BjYQPX9VC4yTuyUtsn7qW89ivRqrKy1qYT/xQ7 bY+dA/ciLOIdM2mEWvwZgfCynEcS+Pzqx0w/WR2HUSB1r7hKIgyrXjOC7egRl+cM9BOFaQmJBL2F kJcdNUzEO7hPsyDvlbZ6G8pdkEZDeQXn5MtHpFw/KMAmLXuBxBoPMTDD7XjsZTR171GOdb1mcll9 19pbVmD2rH4l0dKbw2J8qX6swvH7+/eF/l7k40+b4jZQMmHSkbbxrHTbTTSqHrVlk6eWZ0CuujCm 9PrZ3s983CBMJnA3GlCkvwK50OoQFFweh/2Hd5bBoXZUg+SshWddUSlOoq5nZlfeB0hcXfpYkOwt C/TfGMV56JMsRwITN/SkKO04Hgc6fQc6qkEpag40qHmTNgDSWOfHuskqDoVpHBGBPqAE+saW6FMp YmcxpAKVJKxfvvyIEUBAlomVPep1FH1wK0Q6punWKQasWvG0qdmdjmDh01QIff56cAN1sefxkwYH k51x7eB6p2FZ/DN6fSB2fYfP7Yg9HFTKcj8ef1Bym7/QJRHw5PbTw1A+RX8sNM4dbuzQFX1WsaXN J/F26uB4ePpD5ukYZ6C/Fenm0EsDQ4ztrXPvoGT1UzkkHNNGS8EGXQvW5SYsScQWcO2l4JI3V9lr ayfyEkpYTAY/goJCjCLUTpecHTO+LW5xQMPp93TqgereowbY0WIDoU1978EVVQlcZr2XjnsoyO8u Tj9ddSY/bj52JU/ncZVEX+pcvAqiuo8L4jeeM2zQc8hBW2zvvlUFYSSqrukjrKIcx26CC3t92CyU 3344G28F5g8PpzlcvW/zQevzj8wXNI+0XkSEDZp9db6eJ0bCv3oCDxdwv0CA9wIAYq9XYqvn64RM lvol0JsLKJNQ+10znu/ocWJpvhlWYvvn2tt4Nb+V4NIZGmOZ4p9dpD0L/wBawvlgvT5bDKjEFIP8 1OBK3j3ho7+ai9XZqauvpF+aIBvqrzPZ7wj/fiyKCZpWcstSDSvXfcRhBBRkI+o86RC7vs0SOSqd T5rhbnqyil3D/1goL5PMVEoW00401lLrCd+zkkejZqK0Al6+zkepngWwOyEQAlM19owEMIaNM2cL dwEfWOcPpfx4Nxzpp36wUaaF/yAz1VMl6a+ovf/lIeFeFk4IceDOA0PapHAUjnWnInce8cgOdr2B p134X8lSBSmziEVvJW9sW9FP3M9Xou8+TaWQgkT8RkhzP5gzLkRbfz/kxE1m6V8e8n77J7JHmnK8 T3XM4sxf+sdEpaE6oOSzIRDT1NL7d5KC4r6bI9icoLS6Ug9fJL8HMLvOwFtrj+K78q8mqb0ut1bY fTCEyh39fot4pOBGhSJgBXjXEnDKOXvzM08S0rBwFVZhHHbtXf5wHNuPnWYFNXwArkCBPZLkrB8G azj++KGft7tP7KD2c4asdVZuzSKiI0UCRThyqY68yeLdJ4I5raRtfygrShHo5hnhUuZ+vcK4mZig MN9d5f2ncdrtDmQWQWCcJXsWoVUbD73fz9KCFSv+bJSVWtvGCotvRZQJkGCXGX4GywfgzwJL7Ia8 hdL+E8NMKcQ0Xyjqe8sF45B80bSzv/nILgAZezqqVHjgL8XfTvWDugeSMEZtd+XYVNcQ2r4hh1fV rfqgBcK7Lqhqik31Fcv6plYuZwlSdS6QLmFbGJmrM3aeRuCSITKzKv8b2vrPeSeQRnn0NYvjwN30 WdBH96SMxryYnzbcNbwt2cwyb47TC1ABhh4VSr8+dSAi5IpcfVH0lirSnmJn7iqlDNXk6nvEsXxA WMiUtohOXx3BXSU/ZPIHO74Vu9Goo9wm9l7aCiAcXXzF0Ke8GthcuJ8vHve0eeAu0XqxqgpwXLi1 Bs7OfGoNoC36vJNAqzCLaPXl5CJSSWuOTWHKPsy617fPHbicLO1I6pdea9nntSSamFVfisjxI3MW DfLj0R3udqh6X7qPxEX0Tzzlt+XyU20zKZgt1cDXGqKFDTmpJzQA4ko22drmws26lRXIA91KuoFZ p+BZ7czB8HyiwOzzWrBGA0ReUAV27py85PBMPJlh+gFMcG03zPXrNqGch9z8tFh/1VAls62/6Ddy 8GfAkltZyl8q/d8MRiDM5R2RonoC67YJl4an18W+qwN71gXOA5o8XbCa+7iBuJz92/d7GpMkDIHJ j/fOmyetG9ZdKfNEfWtcGTZ1vz9G+5odQjEgYSVC3RErKMREr5K1kR1mUdZiyHiJncWlvIAum26C G3+Hlwq9bIyE1ECmS6ZIm1VeE2VgycYhQTADzIYxLBKT+KjJiMaB32C7+w5VqoeFlPKK/DXXuH// r44w51JR7mvybF594ZYYds2yqXdrItNspSz1uU5f8DQ39F83vW/JBmQ1zv/lcuAkZdv8Uji2OxLL obq1EFFvP3+STnuRi4JZjmoxVCIBnidcHN0hq6lNDdEbrv1BJ9HxN6ppWUJHRsydw4RZT3ZXpXj7 5M235BkgZUyU0XUqfv0mjBIx5dd0p6NHmkQ2xo+op1W7dJRzfcRw0+sZWEwFC6mwPc13v2alCppr yml4wNPJ4rT+lfKt7vZrKM3d4zPFLqxUTAnP2f3BSm+j2aItYzm+Vybw73ITBU1IaLS/zgJ5nIm2 rbIUyJHdfedhzJ46x+Xv3jcs5Nu+oXZ4I8Qy0Myoyh7G6gAvU5rA2WwB7cR7uG+4Ib/quG/oAc+b E341x8TpKcVUIq0bVEf+I9q3+pvJ7dCRHunigoZzeQUfmqePNfN0LKuEn1+MVb8wrYwrty/3fpRp kC64tuZlmzVkdePyIIX69uw4IDqrdAjvPNRMLB0pxG5nrjf5iIP7qC60L09XpQdOIKNcuTgPDRw6 uVNwVaaftCIzLTmvK1qcubxea2lec3W63wzyCJ8pHO3j61l2uUr98GyqP0IbjhMe6sUihs0YB1Mf HFCnS71UcLFrQqjYs91ZHbNNBZSYfWxxCChRHflqqR3ZEpLyN6LUHXB99z1S71vcsWuWy1osz932 RGY+k2K6NVBTflCNylerKecDr4tjkw81N8j/7oxzC3rNGivhkTIewJtyCZV7SRaeCJzODXfTIFGh z68mTx3f2tuhGA+k9UU1OYLLl/f1MSQLXzEiyd+ydFsc6yTAYRtXkvLjnwFh3yA0V9H7rLx/P8nC iunAKO593zELp4AKBBPKMY0saoxvpZtddejn+YC7dLQXLavFrIeS4OUXVnkpoQU1aUKHLaiiOZLp lt+0O3aie7aWtihUXFQnvZD4194rsZE/v2w7SdUyrYWelW7s0IJ8OychbrGT3SwvSAkcXWsSDwLw X13XM/qkwIzky2FoovpDQDBNbaLNFTeV/oTLoNp/EZoxCm5kkqfadB4uMDGvU28Ut0xXOz/3wLCu iFeSrlo+Drn5Yu7NZnVKYy4KOT21lSo+0+VuazH96fB3wq35PG9puYjeih8Gbj1iHPg/XUJhuOZt ehsJc/SAhN/Z0QK+kXYLDH/k5eEPyMEADNfTZmXMvuDLpbqHwU/jIDpikOYhghTtcbRvpDRDdRSS U4pC+9FXZ9ACizUPzH1lFGlQs0buk1jzRSYnp+wPWeNE635Zo9zXG2nuLXwYo6sWmufeHpJLBGC/ rS4pL3zLnffVbMQc25hG12sGq6Gpxw/LLxZNMCBg0JievUQ3TcQ5JK6n6a57jb7/WfHUtzUtvaYf Qh728Q639I0tOVxvPe1wtwtkoOBA+ouVDElxhqy9Tn/FhNSyBHnQIAfrLC1lxpp/2KYvK9halNgU +KPe69LEGjFGxNeIMGMcJXBOVsUW4Wo09WHQCqA5fMckLi91kZEy3r7WsEuVouiF+2NpnXl5gcZY yBgv/9EnfOJUEHcC/kSIcMKUR64NdyYVNmOgHthLVHPMhcIrk3XPPxzKDj4FKJY7mhi1pLqOUiGM 1/L/4XjdtmAM4cG+wClRetoPHCwV1PuobCAxSdyRCcsDrcbItMiLtnc5DK6B4hK1Xj9tGKjpME18 M1KTAH0xP70UpEDGPb14A/Ecldf3q6K7GX6vHn/aio25Fqs9+1mgmABQ1C1rg7D3mJ0ckNRiexdd KCG3JuJYpB0lJPhd9zVxyNVwriqGxVBudweNf8IrAHzscfMvDi+3A7xohLJJWK7HRNC/ndxHMvxq 8PZkrDQVovaQbf+EBZqBe1thSH6N2KbKKE8kABhNrFHltnt8vMhNcz/9RqQYRmmRLhayTs/Lakhx XIfXj6jJndmo83miUReEFVlxwxCsjNsckDkX4MbQVsfTBHMyPXU8F75pAeP2CLkA0bKlWbbVc4L5 YxYBLNQFbJM3jCfdKlYh01q9CKWjxtoQZeO3SzfHVUiITFxnc8fxj2kIUKryilqTXBSurXTjjXvk mACBBoko4KGanV7DTBqmS62mKPs/J2kIQJ/JbRSQyZTzV+DUsfB0uV0KW6ajQaQ6yoFCCoVIDqeD +r9E1WeKGKvrFjzy/Lz7BEo2ymbE0xgBOE7XYdKXe2nUY71+K5p/IkubjPq2fZiwceowlRDSdyUt cZbvpRZc/vaYm+hsw2YxrivJr3ez7Be/3+3OMEZeQs5GrSitXbJLXRtMUoKjU3fK2sE0mc3aVTc3 QrFi15AtNyL7Wy6lzMbROkYxwMl+UrJ0iPAfDMpsF6bYr/sV+SA3mYB+1MREVLo/XJDqbyYVT0Yc 6w7i5vG8uFjKi/hr1Jie5vp8UuPQdpawPoxWbLQz2pEpeG9Z/vlNtvAwq0FflZR9Hiy0R2PfjGMm uBfjOwNzByOi6kKXfgMxPTgMwCLncOjGng9DZYG98a1paYiI8bf/Z+KqpgMKGkYg0dpJzj/m0WCY rqTsZM0lRsXBpo1jaOQYAxfUIvFKYztuAA68gm0a/YrJIBqqDocTZJVkp3pZ4r7ZZ6AzeHoaZf7E 74SUIlp9ow5Rx0FJhLyodHgP0JlvJtsPeYx6b0W3dqPDj/RqHVBeW8QKqSJjCcgEAtyTWmNebl2f e6LlunmSYqm3moX0NqLP/wEeYBDghM1R3+PSNfFTmiaqjwogHcnXNMdM72B9nx+Y+oT+fDRHxax0 HCYv4vgTs807cZVf85JuQl+WatT7YYXP+KiqX90qDM57qrzwLtcOf/hRig5qnj9+ksKikNdITAmf i0SVFXQHC+/dJ9Uygh1acgIHUfGVIhTupF09LChEIy0bmwWRSY9swIe/wccFl9bZRfNpC4eF1XxZ DOEOY9NhlJANvfh3PnZL7qXRa2PozfDYHgrHUYk6MI0KsXaN03CNGkPEUxc80g9ytkpsAd+TzTD1 WfcYV2qUCkST49D6Wd0mMJ42fAakqB4+drDuhXzR+bHvGrm9fw3/ZBI5HGZzc5PGCOSqUWCuvG7T fuYHUMdFwwEtFoy0ySgH9gTfsaLll1ZKSqD78tdEAVTBXGJj1n+diirnUgKAFH2ubr3QP03dK0IJ xZ4LBQ9PLah94xYFHVN43uypBCJOBxKbY3m6kLLCtyJOW2YCt/tbu0YOzKKHGIIR8WcfaVzP7c1P FezRlWgn4ntbt3F3GVWZYqN6FhQmd+ym6BUYR4BiGMs+/0Rn7YnqbkZVe8IwvYSRmaEO9z8E9I1Z 7bnpJpCUXucDF4p+Ohqw155sf2eUrevX4rxBj6WkV260495kjKZSKNlH0wdPt9mdTA5H5WeU6V4f NxcjdbqP7C6+KqnHa2dGePxZ8Lyhd09Hj9YzfCvyzCHRlGX8ROGRHpxaE9x6Jf/RNJz+zYlzhbzs /LlDnpN9JFFTsAQeMF6MfY3F7RGiBPqebWwFR8CsMQ4W8XPIOXuaKYyylOYb++Drw4CK3Vv9LFzl +A/fk4eZOHQ9IhX+EHwGNm4GWWESQNg9Fc9yUpyj+xXbQDqttvFID3u4MWNV31VQ2brn98h5F6NE 1QsfY6Dzcjey9H1CXFLdllcrBnF6mEar9xYpEyRNXLsOsNTrckLqzP2dnj4ACRICh4bDxoPZzcNW xXsvW0W8rOJId0P0vUoVruR0GUjpGX6rFxD1S3WssAnqpQecSJ4HE9ZbrntAw7zXL6aDxePQmjS7 +S5YTXFS4nKMOiGj3n0hx/yo6Lre2tDh0S8R+b5mb9Wa4NMTuAtGA9hAttlu++H1VYQf1Pj9TC0J 4/zNyetpYD2mAGW7UCWjwVesT2Yu8VIzLRmU4bttf2kf3/VE0YwCXDS5oAHkH85ufacusLmu17Kj vN9gqSnhIZxHH1nbUup2fz0rzfgpN9P1p4oAkjK0/NSDw8bZOSIqetArZSAVpg/SktGqowyg+O94 Xd70Q8ASaC+hTa+M055K6w/oAHSk/Pxu2nvrvmkqQ+bLKAMKp484IGjHSNVD///69jkgI+4IFnwA nu6lGc1Bd9ESQz02JDsXIwVvm3mTtwIgmlm+xgASGaEE36LNHKijHNNeZjPYGS4ruUE836OFzz4Z tTWapLGklaz34QdaIFpfombdnV1rQEvllFqeJ6DVo/qvaS7WzKqWXyKfPF62Y4oeXYXQPPe6sXtC xDwvU+s9nroMCX6VfJXipzRmNYPEycByt+Zc4VlwG3RUyGJRFSXpYwPHm7GTV5nRVvbFpFdtqOtU WlxA15+gcXG1fndKEZdwq6n0zzqpjP0mSSmB/QJIVeMpLmF7NJo+Oe/xOLdpZXf1jI7lccmihQVE 1tpqKZuPZm3DojCoSc5nbQ1ka7MXmUIwVE/Hoz6SlyjuNvkUZm8GufiIGf+Nzp6hSmY1+2IkgGWa l0DbdT3Cgh/JtPLA01D8dQoxkb9Ztx4NH3c4+3vBZ0Wu7N34W/E0GaRjgVbie2D/Tpz9yNjlGBpQ ZZBA8yrD3smw2NrGLmuG+ETQHQcoCKDO/sM4AAiYNvQXEXN+uuZuru3Ndh/ppWDwh/1qOP1kfvgf QI1QSY4EjEPwgV9EJ59Q0P+RpiiDzxa5D3/SF4vXfJ9iuY1Mt3V19DMchnLnQ592OqOEp3s6Exr7 th/cnhytGnZArdqtl/GU26TrvSuHH6QQ1gY1w3RQQRS2fuNZUtJPV+ImLzvTeYMtQoLUrBk8EU9f 20UDRCnAruVNLpM0YqoqxO0uUPCCCYM1RmAfbGf4+foDDRE143uk8lYfQVSSlfTy1SOZTY6AyByY udyCsxlRRG/2aAYbe0F1LMP8Bo7t+81Lyad4mgf27kxzDb6O4MMFm8rJRSYW4Z0x6WoAwK5HCuwB XSgRbXzA7R/tjyH1seP6xH5asIXCu3OklsXNmycZYjlRqoL5sABGu7HVm2OLpgEhaHLAEx6wbfcB bn0bzkudXZVhswT8f4/rX/wSGPLiGux9nGJGdl3g3HocR9IRmFqwwo7zwREhVaXtyVBfQqFYWKUA 8KXjyH0sTYlpr5/rg7OBSjjUAe4GwKPB5489Flm5YbnTqLnhnDJZf2psbRvaYcM7upugJbs4Fvcz RxGyzuOF1WoFQLSTm54TQiduwY6awsD197ugIKWQiYt3jkY+UWh2mUUpYlGNTN9aQobtH76RZdjj klFnCvKyzEjDPzkukgEunqFTXPM2zMxPvcZ1LSzKGwwcSkLppjjfVeAQKu4zX2m397JudSPLKH6j VZMuz4dqqTcZFB3krSCsQ3kos90MeESuIAjO7wAdXO2Gyp9Whfmar6v6JI4gvS8ON5RTa6Niegmv I8+RzgWl957LmvGefGfrj6p5B2hEZ7SUNW0O09Z11sdHa/sCsEBUyCiXgUDwmqZe6mM/PvDR9AS9 yfNP8OseoF3PEoH5 `protect end_protected
gpl-3.0
luebbers/reconos
core/pcores/plb_osif_v2_01_a/hdl/vhdl/mem_plb34.vhd
1
13813
--! --! \file mem_plb34.vhd --! --! Memory bus interface for the 64-bit PLB v34. --! --! \author Enno Luebbers <[email protected]> --! \date 08.12.2008 -- ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- -- This file is part of ReconOS (http://www.reconos.de). -- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS). -- All rights reserved. -- -- ReconOS is free software: you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ReconOS. If not, see <http://www.gnu.org/licenses/>. -- -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- -- Major Changes: -- -- 08.12.2008 Enno Luebbers File created. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; library plb_osif_v2_01_a; use plb_osif_v2_01_a.all; entity mem_plb34 is generic ( C_SLAVE_BASEADDR : std_logic_vector := X"FFFFFFFF"; -- Bus protocol parameters C_AWIDTH : integer := 32; C_DWIDTH : integer := 32; C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; C_NUM_CE : integer := 2; C_BURST_AWIDTH : integer := 13; -- 1024 x 64 Bit = 8192 Bytes = 2^13 Bytes C_BURST_BASEADDR : std_logic_vector := X"00004000"; -- system memory base address for burst ram access C_BURSTLEN_WIDTH : integer := 5 ); port ( clk : in std_logic; reset : in std_logic; -- data interface --------------------------- -- burst mem interface o_burstAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_burstData : out std_logic_vector(0 to C_PLB_DWIDTH-1); i_burstData : in std_logic_vector(0 to C_PLB_DWIDTH-1); o_burstWE : out std_logic; o_burstBE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); -- single word data input/output i_singleData : in std_logic_vector(0 to C_OSIF_DATA_WIDTH-1); -- osif2bus o_singleData : out std_logic_vector(0 to C_OSIF_DATA_WIDTH-1); -- bus2osif -- control interface ------------------------ -- addresses for master transfers i_localAddr : in std_logic_vector(0 to C_AWIDTH-1); i_targetAddr : in std_logic_vector(0 to C_AWIDTH-1); -- single word transfer requests i_singleRdReq : in std_logic; i_singleWrReq : in std_logic; -- burst transfer requests i_burstRdReq : in std_logic; i_burstWrReq : in std_logic; i_burstLen : in std_logic_vector(0 to C_BURSTLEN_WIDTH-1); -- number of burst beats (n x 64 bits) -- status outputs o_busy : out std_logic; o_rdDone : out std_logic; o_wrDone : out std_logic; -- PLBv34 bus interface ----------------------------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH - 1); Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1); Bus2IP_DataX : in std_logic_vector(C_DWIDTH to C_PLB_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_PLB_DWIDTH/8-1); Bus2IP_Burst : in std_logic; Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1); Bus2IP_RdReq : in std_logic; Bus2IP_WrReq : in std_logic; IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1); IP2Bus_DataX : out std_logic_vector(C_DWIDTH to C_PLB_DWIDTH-1); IP2Bus_Retry : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_ToutSup : out std_logic; IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; Bus2IP_MstError : in std_logic; Bus2IP_MstLastAck : in std_logic; Bus2IP_MstRdAck : in std_logic; Bus2IP_MstWrAck : in std_logic; Bus2IP_MstRetry : in std_logic; Bus2IP_MstTimeOut : in std_logic; IP2Bus_Addr : out std_logic_vector(0 to C_AWIDTH-1); IP2Bus_MstBE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); IP2Bus_MstBurst : out std_logic; IP2Bus_MstBusLock : out std_logic; IP2Bus_MstNum : out std_logic_vector(0 to 4); IP2Bus_MstRdReq : out std_logic; IP2Bus_MstWrReq : out std_logic; IP2IP_Addr : out std_logic_vector(0 to C_AWIDTH-1) ); end entity mem_plb34; architecture arch of mem_plb34 is --------- -- read/write acknowledge --------- signal ram_IP2Bus_RdAck : std_logic; signal ram_IP2Bus_WrAck : std_logic; signal slv_IP2Bus_RdAck : std_logic; signal slv_IP2Bus_WrAck : std_logic; signal slv_rddata : std_logic_vector(0 to C_DWIDTH-1); begin ----------------------------------------------------------------------- -- bus_master_inst: bus master instantiation -- -- The bus_master module is responsible for initiating a bus read or -- write transaction through the IPIF master services. The actual -- transaction will appear like a bus initiated slave request at the -- IPIF slave attachment and is therefore handled by bus_slave_regs -- or the bus2burst process. ----------------------------------------------------------------------- bus_master_inst : entity plb_osif_v2_01_a.bus_master generic map ( C_AWIDTH => C_AWIDTH, C_DWIDTH => C_DWIDTH, C_PLB_DWIDTH => C_PLB_DWIDTH, C_SLAVE_BASEADDR => C_SLAVE_BASEADDR, C_BURST_BASEADDR => C_BURST_BASEADDR, C_BURSTLEN_WIDTH => C_BURSTLEN_WIDTH ) port map ( clk => clk, reset => reset, -- PLB bus master signals Bus2IP_MstError => Bus2IP_MstError, Bus2IP_MstLastAck => Bus2IP_MstLastAck, Bus2IP_MstRdAck => Bus2IP_MstRdAck, Bus2IP_MstWrAck => Bus2IP_MstWrAck, Bus2IP_MstRetry => Bus2IP_MstRetry, Bus2IP_MstTimeOut => Bus2IP_MstTimeOut, IP2Bus_Addr => IP2Bus_Addr, IP2Bus_MstBE => IP2Bus_MstBE, IP2Bus_MstBurst => IP2Bus_MstBurst, IP2Bus_MstBusLock => IP2Bus_MstBusLock, IP2Bus_MstNum => IP2Bus_MstNum, IP2Bus_MstRdReq => IP2Bus_MstRdReq, IP2Bus_MstWrReq => IP2Bus_MstWrReq, IP2IP_Addr => IP2IP_Addr, -- user interface i_target_addr => i_targetAddr, i_my_addr => i_localAddr, i_read_req => i_singleRdReq, i_write_req => i_singleWrReq, i_burst_read_req => i_burstRdReq, i_burst_write_req => i_burstWrReq, i_burst_length => i_burstLen, o_busy => o_busy, o_read_done => o_rdDone, o_write_done => o_wrDone ); ----------------------------------------------------------------------- -- bus_slave_regs_inst: PLB bus slave instatiation -- -- Handles access to the shared memory register -- Used for single word memory accesses -- (e.g. reconos_read() and reconos_write()) ----------------------------------------------------------------------- bus_slave_regs_inst : entity plb_osif_v2_01_a.bus_slave_regs generic map ( C_DWIDTH => C_DWIDTH, C_NUM_REGS => C_NUM_CE-1 ) port map ( clk => Bus2IP_Clk, reset => Bus2IP_Reset, -- bus slave signals Bus2IP_Data => Bus2IP_Data, Bus2IP_BE => Bus2IP_BE(0 to (C_DWIDTH/8)-1), Bus2IP_RdCE => Bus2IP_RdCE(0 to C_NUM_CE-2), Bus2IP_WrCE => Bus2IP_WrCE(0 to C_NUM_CE-2), IP2Bus_Data => slv_RdData, IP2Bus_RdAck => slv_IP2Bus_RdAck, IP2Bus_WrAck => slv_IP2Bus_WrAck, -- user registers slv_osif2bus_shm => i_singleData, slv_bus2osif_shm => o_singleData ); -- read/write acknowledge IP2Bus_RdAck <= slv_IP2Bus_RdAck or ram_IP2Bus_RdAck; IP2Bus_WrAck <= slv_IP2Bus_WrAck or ram_IP2Bus_WrAck; -- no error handling / retry / timeout IP2Bus_Error <= '0'; IP2Bus_Retry <= '0'; IP2Bus_ToutSup <= '0'; -- multiplex data, if PLB connected IP2Bus_Data <= i_burstData(0 to C_DWIDTH-1) when ram_IP2Bus_RdAck = '1' else slv_RdData; IP2Bus_DataX <= i_burstData(C_DWIDTH to C_PLB_DWIDTH-1); o_burstData <= Bus2IP_Data & Bus2IP_DataX; -- burstWE <= ram_IP2Bus_WrAck and Bus2IP_WrReq; o_burstBE <= Bus2IP_BE; ------------------------------------------------------------------- -- bus2burst: handles bus accesses to burst memory -- -- supports both single and burst accesses ------------------------------------------------------------------- bus2burst : process(Bus2IP_Clk, Bus2IP_Reset) type ram_state_t is (IDLE, BURST_READ, BURST_WRITE, SINGLE_READ); variable ram_state : ram_state_t; variable start_addr : std_logic_vector(0 to C_BURST_AWIDTH-1); variable counter : natural := 0; begin if Bus2IP_Reset = '1' then ram_state := IDLE; start_addr := (others => '0'); counter := 0; ram_IP2Bus_RdAck <= '0'; ram_IP2Bus_WrAck <= '0'; o_burstAddr <= (others => '0'); o_burstWE <= '0'; elsif rising_edge(Bus2IP_Clk) then case ram_state is when IDLE => counter := 0; o_burstWE <= '0'; ram_IP2Bus_RdAck <= '0'; ram_IP2Bus_WrAck <= '0'; -- if Bus2IP_RdReq = '1' then if Bus2IP_RdCE(1) = '1' and Bus2IP_RdReq = '1' then if Bus2IP_Burst = '1' then start_addr := Bus2IP_Addr(C_PLB_AWIDTH-C_BURST_AWIDTH to C_PLB_AWIDTH-1); -- get burst start address o_burstAddr <= start_addr + counter*8; ram_state := BURST_READ; else o_burstAddr <= Bus2IP_Addr(C_PLB_AWIDTH-C_BURST_AWIDTH to C_PLB_AWIDTH-1); ram_state := SINGLE_READ; end if; -- elsif Bus2IP_WrReq = '1' then elsif Bus2IP_WrCE(1) = '1'and Bus2IP_WrReq = '1' then if Bus2IP_Burst = '1' then start_addr := Bus2IP_Addr(C_PLB_AWIDTH-C_BURST_AWIDTH to C_PLB_AWIDTH-1); -- get burst start address o_burstAddr <= start_addr + counter*8; ram_IP2Bus_WrAck <= '1'; o_burstWE <= '1'; ram_state := BURST_WRITE; else o_burstAddr <= Bus2IP_Addr(C_PLB_AWIDTH-C_BURST_AWIDTH to C_PLB_AWIDTH-1); ram_IP2Bus_WrAck <= '1'; o_burstWE <= '1'; ram_state := IDLE; end if; end if; when BURST_READ => ram_IP2Bus_RdAck <= '1'; counter := counter + 1; if Bus2IP_Burst = '0' then -- Bus2IP_Burst is deasserted at the second to last data beat ram_IP2Bus_RdAck <= '0'; ram_state := IDLE; end if; o_burstAddr <= start_addr + counter*8; when BURST_WRITE => counter := counter + 1; if Bus2IP_Burst = '0' then -- Bus2IP_Burst is deasserted at the second to last data beat ram_IP2Bus_WrAck <= '0'; o_burstWE <= '0'; ram_state := IDLE; end if; o_burstAddr <= start_addr + counter*8; when SINGLE_READ => ram_IP2Bus_RdAck <= '1'; ram_state := IDLE; end case; end if; end process; end arch;
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/c_sub/synth/c_sub.vhd
8
6227
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
gpl-3.0
luebbers/reconos
support/templates/coregen/burst_ram/burst_ram.vhd
1
5406
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2005 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file burst_ram.vhd when simulating -- the core, burst_ram. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synopsys directives "translate_off/translate_on" specified -- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synopsys translate_off Library XilinxCoreLib; -- synopsys translate_on ENTITY burst_ram IS port ( addra: IN std_logic_VECTOR(10 downto 0); addrb: IN std_logic_VECTOR(9 downto 0); clka: IN std_logic; clkb: IN std_logic; dina: IN std_logic_VECTOR(31 downto 0); dinb: IN std_logic_VECTOR(63 downto 0); douta: OUT std_logic_VECTOR(31 downto 0); doutb: OUT std_logic_VECTOR(63 downto 0); wea: IN std_logic; web: IN std_logic); END burst_ram; ARCHITECTURE burst_ram_a OF burst_ram IS -- synopsys translate_off component wrapped_burst_ram port ( addra: IN std_logic_VECTOR(10 downto 0); addrb: IN std_logic_VECTOR(9 downto 0); clka: IN std_logic; clkb: IN std_logic; dina: IN std_logic_VECTOR(31 downto 0); dinb: IN std_logic_VECTOR(63 downto 0); douta: OUT std_logic_VECTOR(31 downto 0); doutb: OUT std_logic_VECTOR(63 downto 0); wea: IN std_logic; web: IN std_logic); end component; -- Configuration specification for all : wrapped_burst_ram use entity XilinxCoreLib.blkmemdp_v6_2(behavioral) generic map( c_reg_inputsb => 0, c_reg_inputsa => 0, c_has_ndb => 0, c_has_nda => 0, c_ytop_addr => "1024", c_has_rfdb => 0, c_has_rfda => 0, c_ywea_is_high => 1, c_yena_is_high => 1, c_yclka_is_rising => 1, c_yhierarchy => "hierarchy1", c_ysinita_is_high => 1, c_ybottom_addr => "0", c_width_b => 64, c_width_a => 32, c_sinita_value => "0", c_sinitb_value => "0", c_limit_data_pitch => 18, c_write_modeb => 0, c_write_modea => 0, c_has_rdyb => 0, c_yuse_single_primitive => 0, c_has_rdya => 0, c_addra_width => 11, c_addrb_width => 10, c_has_limit_data_pitch => 0, c_default_data => "0", c_pipe_stages_b => 0, c_yweb_is_high => 1, c_yenb_is_high => 1, c_pipe_stages_a => 0, c_yclkb_is_rising => 1, c_yydisable_warnings => 1, c_enable_rlocs => 0, c_ysinitb_is_high => 1, c_has_default_data => 1, c_has_web => 1, c_has_sinitb => 0, c_has_wea => 1, c_has_sinita => 0, c_has_dinb => 1, c_has_dina => 1, c_ymake_bmm => 0, c_sim_collision_check => "NONE", c_has_enb => 0, c_has_ena => 0, c_depth_b => 1024, c_mem_init_file => "mif_file_16_1", c_depth_a => 2048, c_has_doutb => 1, c_has_douta => 1, c_yprimitive_type => "16kx1"); -- synopsys translate_on BEGIN -- synopsys translate_off U0 : wrapped_burst_ram port map ( addra => addra, addrb => addrb, clka => clka, clkb => clkb, dina => dina, dinb => dinb, douta => douta, doutb => doutb, wea => wea, web => web); -- synopsys translate_on END burst_ram_a;
gpl-3.0
luebbers/reconos
demos/mbox_demo/hw/src/threadB.vhd
1
7992
-- -- threadB.vhd -- demo thread -- Waiting on its local read FIFO, this thread will transfer 8 kB of data -- from the FIFO to its burst RAM, and then burst that to a main memory -- address determined by its init data. -- Both transactions are timed, and sent to C_MBOX_GETTIME, -- C_MBOX_WRITETIME respectively. -- -- NOTE: These measurements may not be entirely accurate due to the bus load -- incurred by the OS commands. -- -- Author: Enno Luebbers <[email protected]> -- Date: 15.10.2007 -- -- This file is part of the ReconOS project <http://www.reconos.de>. -- University of Paderborn, Computer Engineering Group. -- -- (C) Copyright University of Paderborn 2007. -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.NUMERIC_STD.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity threadB is generic ( C_BURST_AWIDTH : integer := 12; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic; i_timeBase : in std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) ); end threadB; architecture Behavioral of threadB is -- timer address (FIXME: hardcoded!) constant TIMER_ADDR : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"50004000"; -- ReconOS resources used by this thread constant C_MB_TRANSFER : std_logic_vector(0 to 31) := X"00000000"; constant C_MB_GETTIME : std_logic_vector(0 to 31) := X"00000001"; constant C_MB_WRITETIME : std_logic_vector(0 to 31) := X"00000002"; -- OS synchronization state machine states (TODO: measurements!) type t_state is ( STATE_INIT, STATE_GETTIME_START, STATE_TRANSFER, STATE_GETTIME_STOP, STATE_WRITE, STATE_WRITETIME_STOP, STATE_POST_GETTIME_1, STATE_POST_GETTIME_2, STATE_POST_WRITETIME_1, STATE_POST_WRITETIME_2, STATE_ERROR ); signal state : t_state := STATE_INIT; -- address of data to sort in main memory signal address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- timing values signal gettime : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"AFFE0001"; signal writetime : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"AFFE0002"; -- RAM address signal RAMAddr : std_logic_vector(0 to C_BURST_AWIDTH-1); signal RAMAddr_d1 : std_logic_vector(0 to C_BURST_AWIDTH-1); -- delay by one begin -- hook up RAM signals o_RAMClk <= clk; o_RAMAddr <= RAMAddr_d1(0 to C_BURST_AWIDTH-2) & not RAMAddr_d1(C_BURST_AWIDTH-1); -- invert LSB of address to get the word ordering right -- delay RAM address delay_proc : process(clk) begin if rising_edge(clk) then RAMAddr_d1 <= RAMAddr; end if; end process; -- OS synchronization state machine state_proc : process(clk, reset) variable done : boolean; variable success : boolean; variable burst_counter : natural range 0 to 8192/128 - 1; -- transfer 128 bytes at once variable trans_counter : natural range 0 to 8192/4 - 1; -- transfer 4 bytes at once -- timing values variable writetime_1 : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"AFFE0001"; variable writetime_2 : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"AFFE0001"; variable gettime_1 : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"AFFE0002"; variable gettime_2 : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"AFFE0002"; begin if reset = '1' then reconos_reset(o_osif, i_osif); address <= (others => '0'); state <= STATE_INIT; o_RAMWE <= '0'; o_RAMData <= (others => '0'); burst_counter := 0; trans_counter := 0; elsif rising_edge(clk) then reconos_begin(o_osif, i_osif); if reconos_ready(i_osif) then case state is -- read init data when STATE_INIT => reconos_get_init_data_s(done, o_osif, i_osif, address); if done then trans_counter := 0; RAMAddr <= (others => '0'); state <= STATE_GETTIME_START; end if; -- get start time of FIFO transfer when STATE_GETTIME_START => gettime_1 := i_timeBase; -- reconos_read(done, o_osif, i_osif, TIMER_ADDR, gettime_1); -- if done then state <= STATE_TRANSFER; -- end if; -- transfer data across mailbox -- this state also hides the RAM access timing, since this is a multi-cycle -- command, and the "data" parameter is only transferred in the second cycle. when STATE_TRANSFER => o_RAMWE <= '0'; if trans_counter = 0 then gettime_1 := i_timeBase; end if; reconos_mbox_get_s(done, success, o_osif, i_osif, C_MB_TRANSFER, o_RAMData); if done then if success then o_RAMWE <= '1'; if trans_counter = 8192/4 - 1 then burst_counter := 0; state <= STATE_GETTIME_STOP; else RAMAddr <= RAMAddr + 1; -- note that this is delayed by one clock cycle trans_counter := trans_counter + 1; end if; else -- no success state <= STATE_ERROR; end if; end if; -- get stop time of FIFO transfer when STATE_GETTIME_STOP => o_RAMWE <= '0'; gettime_2 := i_timeBase; -- reconos_read(done, o_osif, i_osif, TIMER_ADDR, gettime_2); -- if done then writetime_1 := gettime_2; state <= STATE_WRITE; -- end if; -- write data from local burst RAM into main memory when STATE_WRITE => reconos_write_burst (done, o_osif, i_osif, std_logic_vector(TO_UNSIGNED(burst_counter*128, C_OSIF_DATA_WIDTH)), address+(burst_counter*128)); if done then if burst_counter = 8192/128 - 1 then state <= STATE_WRITETIME_STOP; else burst_counter := burst_counter + 1; end if; end if; -- get stop time of burst transfer when STATE_WRITETIME_STOP => writetime_2 := i_timeBase; -- reconos_read(done, o_osif, i_osif, TIMER_ADDR, writetime_2); -- if done then state <= STATE_POST_GETTIME_1; -- end if; -- write transfer time to mailbox when STATE_POST_GETTIME_1 => reconos_mbox_put(done, success, o_osif, i_osif, C_MB_GETTIME, gettime_1); if done and success then state <= STATE_POST_GETTIME_2; end if; when STATE_POST_GETTIME_2 => reconos_mbox_put(done, success, o_osif, i_osif, C_MB_GETTIME, gettime_2); if done and success then state <= STATE_POST_WRITETIME_1; end if; -- write write time to mailbox when STATE_POST_WRITETIME_1 => reconos_mbox_put(done, success, o_osif, i_osif, C_MB_WRITETIME, writetime_1); if done and success then state <= STATE_POST_WRITETIME_2; end if; when STATE_POST_WRITETIME_2 => reconos_mbox_put(done, success, o_osif, i_osif, C_MB_WRITETIME, writetime_2); if done and success then trans_counter := 0; RAMAddr <= (others => '0'); state <= STATE_TRANSFER; end if; when STATE_ERROR => reconos_thread_exit(o_osif, i_osif, X"00000" & RAMAddr); when others => state <= STATE_INIT; end case; end if; end if; end process; end Behavioral;
gpl-3.0
luebbers/reconos
core/pcores/plb_osif_v2_01_a/hdl/vhdl/fifo_mgr.vhd
3
3729
--! --! \file fifo_mgr.vhd --! --! Protocol converter between FIFO channels, command decoder, and memory --! interface (TODO). --! --! \author Enno Luebbers <[email protected]> --! \date 04.10.2007 -- ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- -- This file is part of ReconOS (http://www.reconos.de). -- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS). -- All rights reserved. -- -- ReconOS is free software: you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ReconOS. If not, see <http://www.gnu.org/licenses/>. -- -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- -- Major changes -- 04.10.2007 Enno Luebbers File created library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity fifo_mgr is generic ( C_FIFO_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; -- local FIFO access signals i_local_read_remove : in std_logic; o_local_read_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1); o_local_read_wait : out std_logic; -- either empty or busy i_local_write_add : in std_logic; i_local_write_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1); o_local_write_wait : out std_logic; -- either full or busy -- "real" FIFO access signals -- left (read) FIFO o_fifo_read_en : out std_logic; i_fifo_read_data : in std_logic_vector(0 to C_FIFO_DWIDTH-1); i_fifo_read_ready : in std_logic; -- right (write) FIFO o_fifo_write_en : out std_logic; o_fifo_write_data : out std_logic_vector(0 to C_FIFO_DWIDTH-1); i_fifo_write_ready : in std_logic -- TODO: signal to communicate with the bus_slave_regs module ); end fifo_mgr; architecture behavioral of fifo_mgr is signal local_read_remove_d1 : std_logic := '0'; begin -- delay read_remove for 1 clock cycle process(clk, reset) begin if reset = '1' then local_read_remove_d1 <= '0'; elsif rising_edge(clk) then local_read_remove_d1 <= i_local_read_remove; end if; end process; -- for now, the FIFO manager only services local accesses. -- so we just need to pass the local access signals straight -- through to the "real" FIFOs o_fifo_read_en <= local_read_remove_d1; -- hack to fit slow OSIF request/busy handshake -- this will be obsoleted once we connect the HW -- FIFO to the burst RAM interface (mq) o_local_read_data <= i_fifo_read_data; o_local_read_wait <= not i_fifo_read_ready; o_fifo_write_en <= i_local_write_add; o_fifo_write_data <= i_local_write_data; o_local_write_wait <= not i_fifo_write_ready; end behavioral;
gpl-3.0
luebbers/reconos
support/refdesigns/12.1/ml605/ml605_light/pcores/plbv46_dcr_bridge_v9_00_a/hdl/vhdl/plbv46_dcr_bridge_core.vhd
7
14236
------------------------------------------------------------------------------- -- plbv46_dcr_bridge_core - entity / architecture pair ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2006, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- ***************************************************************************-- -- ------------------------------------------------------------------------------- -- Filename: plbv46_dcr_bridge_core.vhd -- Version: v1.01.a -- Description: plbv46_dcr_bridge core -- ------------------------------------------------------------------------------- -- Structure: -- plbv46_dcr_bridge.vhd -- -- plbv46_dcr_bridge_core.vhd -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Author : SK -- History: -- Initial version of plbv46_dcr_Bridge -- ~~~~~~ -- SK 2006/09/19 -- Initial version -- ^^^^^^ -- ~~~~~~ -- SK 2008/12/15 -- Updated version v1_01_a, based upon v1_00_a core. -- -- updated proc_common_v3_00_a and plbv46_slave_ -- -- single_v1_01_a core libraries. -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Definition of Ports: ------------------------------------------------------------------------------- -- Definition of Ports -- ------------------------------------------------------------------------------- ---------------------------------------- -- IPIC INTERFACE ---------------------------------------- -- Bus2IP_Clk - IPIC clock -- Bus2IP_Reset - IPIC reset -- Bus2IP_CS - IPIC chip select signals -- Bus2IP_RdCE - IPIC read transaction chip enables -- Bus2IP_WrCE - IPIC write transaction chip enables -- Bus2IP_Addr - IPIC address -- Bus2IP_RNW - IPIC read/write indication -- Bus2IP_BE - IPIC byte enables -- Bus2IP_Data - IPIC write data -- IP2Bus_Data - Read data from IP to IPIC interface -- IP2Bus_WrAck - Write Data acknowledgment from IP to IPIC interface -- IP2Bus_RdAck - Read Data acknowledgment from IP to IPIC interface -- IP2Bus_Error - Error indication from IP to IPIC interface ---------------------------------------- -- PERIPHERAL INTERFACE ---------------------------------------- -- DCR_plbDBusIn - DCR data bus input -- DCR_plbAck - DCR Ack signals -- PLB_dcrABus - PLB address bus to DCR -- PLB_dcrDBusOut - Data bus to the PLB -- PLB_dcrRead - PLB read signal to DCR -- PLB_dcrWrite - PLB write signal to DCR -- PLB_dcrClk - PLB to DCR clk -- PLB_dcrRst - PLB to DCR reset ----------------------------------------------------------------------------- -- Definition of Generics ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned."+"; entity plbv46_dcr_bridge_core is port ( -- PLBv46_IPIF Signals Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_Data : in std_logic_vector(0 to 31); Bus2IP_BE : in std_logic_vector(0 to 3); Bus2IP_CS : in std_logic; Bus2IP_RdCE : in std_logic; Bus2IP_WrCE : in std_logic; IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_Data : out std_logic_vector(0 to 31); -- DCR Master Signals -- core signals DCR_plbDBusIn : in std_logic_vector(0 to 31); DCR_plbAck : in std_logic; PLB_dcrABus : out std_logic_vector(0 to 9); PLB_dcrDBusOut : out std_logic_vector(0 to 31); PLB_dcrRead : out std_logic; PLB_dcrWrite : out std_logic; PLB_dcrClk : out std_logic; PLB_dcrRst : out std_logic ); end entity plbv46_dcr_bridge_core; ------------------------------------------------------------------------------- architecture implemented of plbv46_dcr_bridge_core is ------------------------------------------------------------------------------- -- local signal declaration section signal plb_dcrRead_i : std_logic; signal plb_dcrWrite_i : std_logic; signal ip2Bus_RdAck_i : std_logic; signal ip2Bus_WrAck_i : std_logic; signal dcr_ack_posedge : std_logic; signal dcr_plbAck_d1 : std_logic; signal timeout : std_logic; signal ip2Bus_Error_i : std_logic; signal timeout_cnt : std_logic_vector(0 to 3); ------------------------------------------------------------------------------- begin -- architecture implemented ------------------------------------------------------------------------------- --//////////////////////////////////////////////////////////////////////////// -- Main Body of Code --//////////////////////////////////////////////////////////////////////////// -- NOTE: This design is supporting DCR reads and writes bridged from -- PLBV46. Since DCR reads and writes are defined on words only, the -- incoming PLBV46 reads and writes that are to be retargetted to the DCR bus -- must also be for words only. If the PLBV46 byte enables do not correspond -- to a word transfer, an error will be returned. -- DCR signals just pass through from IPIF/PLBv46, but are renamed -- to DCR names to help make it clear how to hook things up. PLB_dcrClk <= Bus2IP_Clk; PLB_dcrRst <= Bus2IP_Reset; ------------------------------------------------------------------------------- -- PLB_DCRREAD_I_PROC -- latch and hold read request strobe -- synchronous reset (active high) PLB_DCRREAD_I_PROC: process (Bus2IP_Clk) is begin if Bus2IP_Clk'EVENT and Bus2IP_Clk = '1' then if (Bus2IP_CS = '0' or IP2Bus_RdAck_i = '1' or Bus2IP_Reset = '1' or timeout = '1') then plb_dcrRead_i <= '0'; elsif (Bus2IP_RdCE = '1' and DCR_plbAck = '0') then plb_dcrRead_i <= '1'; end if; end if; end process PLB_DCRREAD_I_PROC; PLB_dcrRead <= plb_dcrRead_i; ------------------------------------------------------------------------------- -- PLB_DCRWRITE_I_PROC -- latch and hold write request strobe -- synchronous reset (active high) PLB_DCRWRITE_I_PROC: process (Bus2IP_Clk) is begin if Bus2IP_Clk'EVENT and Bus2IP_Clk = '1' then if (Bus2IP_CS = '0' or IP2Bus_WrAck_i = '1' or Bus2IP_Reset = '1' or timeout = '1') then plb_dcrWrite_i <= '0'; elsif (Bus2IP_WrCE = '1' and DCR_plbAck = '0')then plb_dcrWrite_i <= '1'; end if; end if; end process PLB_DCRWRITE_I_PROC; PLB_dcrWrite <= plb_dcrWrite_i; ------------------------------------------------------------------------------- -- process REG_DCR_ABUS_PROC -- DCR address bus is 10 bits and points to 32 bit words, so pick up the -- corresponding address bits from PLBv46 REG_DCR_ABUS_PROC : process (Bus2IP_Clk) is begin if Bus2IP_Clk'EVENT and Bus2IP_Clk = '1' then PLB_dcrABus <= Bus2IP_Addr(20 to 29); end if; end process REG_DCR_ABUS_PROC; ------------------------------------------------------------------------------- -- process DCR_DBUS_OUT_PROC -- PLB_dcrDBusOut is set to 0xFFFF_FFFF during reads operations so it -- will return 0xFFFF_FFFF when read times out. DCR specifies that timeout -- errors are ignored back to the CPU so setting the default read to all -- 1's will help identify timeouts. Data bus out drives 00000000 during -- reset as required by DCR spec. DCR_DBUS_OUT_PROC: process (Bus2IP_Clk) is begin if Bus2IP_Clk'EVENT and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then PLB_dcrDBusOut <= (others => '0'); elsif (plb_dcrRead_i = '1' or Bus2IP_RdCE = '1') then PLB_dcrDBusOut <= (others => '1'); else PLB_dcrDBusOut <= Bus2IP_Data; end if; end if; end process DCR_DBUS_OUT_PROC; ------------------------------------------------------------------------------- -- connect input data lines to ip2bus_data, so that it will be returned to ipif IP2Bus_Data <= DCR_plbDBusIn; ------------------------------------------------------------------------------- -- process TIMOUT_CNT_PROC -- Generate timeouts after 16 cycles. The timeout counter is enabled during -- DCR operations and is reset during system reset or when an ack is sent -- back to the IPIF. Note that an ack is sent back to the IPIF after a timeout -- has been issued or if a DCR slave responds. TIMOUT_CNT_PROC : process (Bus2IP_Clk) is begin if Bus2IP_Clk'EVENT and Bus2IP_Clk = '1' then if (Bus2IP_CS = '0' or IP2Bus_RdAck_i = '1' or IP2Bus_WrAck_i = '1' or Bus2IP_Reset = '1') then timeout_cnt <= "0000"; elsif (plb_dcrRead_i = '1' or plb_dcrWrite_i = '1') then timeout_cnt <= timeout_cnt + 1; end if; end if; end process TIMOUT_CNT_PROC; ------------------------------------------------------------------------------- timeout <= '1' when timeout_cnt = "1111" else '0'; ------------------------------------------------------------------------------- -- process DCR_plbAck_PROC -- detect only the posedge of DCR slave acks since the DCR slave may run -- on a slower clock and thus its ack would be seen asserted for more than -- 1 cycle DCR_plbAck_PROC : process (Bus2IP_Clk) is begin if Bus2IP_Clk'EVENT and Bus2IP_Clk = '1' then dcr_plbAck_d1 <= DCR_plbAck; end if; end process DCR_plbAck_PROC; dcr_ack_posedge <= DCR_plbAck and (not dcr_plbAck_d1); ------------------------------------------------------------------------------- -- generate an ack back to the IPIF when a DCR slave responds or if a timeout -- occurs IP2Bus_RdAck_i <= plb_dcrRead_i and (dcr_ack_posedge or timeout); IP2Bus_RdAck <= IP2Bus_RdAck_i; IP2Bus_WrAck_i <= plb_dcrWrite_i and (dcr_ack_posedge or timeout); IP2Bus_WrAck <= IP2Bus_WrAck_i; ------------------------------------------------------------------------------- -- Generate a PLB error on DCR timeout or if less than a full -- word of data is transferred (BE not 1111) ip2Bus_Error_i <= '1' when ( (timeout = '1' and dcr_ack_posedge = '0') or ((Bus2IP_BE /= "1111") and Bus2IP_CS = '1') ) else '0'; ------------------------------------------------------------------------------- --DCR_Error_REG_PROC --this process is to register the error signal. ----------------------------------------------- DCR_Error_REG_PROC : process (Bus2IP_Clk) is begin if Bus2IP_Clk'EVENT and Bus2IP_Clk = '1' then IP2Bus_Error <= ip2Bus_Error_i; end if; end process DCR_Error_REG_PROC; ------------------------------------------------------------------------------- end architecture implemented;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/clock/vhdl_sim/real_time_clock_tb.vhd
5
735
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; entity real_time_clock_tb is end; architecture tb of real_time_clock_tb is signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal req : t_io_req := c_io_req_init; signal resp : t_io_resp := c_io_resp_init; begin clock <= not clock after 10 ns; reset <= '1', '0' after 100 ns; i_dut: entity work.real_time_clock generic map ( g_freq => 100 ) port map ( clock => clock, reset => reset, req => req, resp => resp ); end;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/video/vhdl_sim/pll_tb.vhd
5
3453
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity pll_tb is end pll_tb; architecture tb of pll_tb is signal clock : std_logic := '0'; signal sync_in : std_logic; signal h_sync : std_logic; signal v_sync : std_logic; signal pll_clock : std_logic := '0'; signal pll_period : time := 41 ns; signal n : unsigned(11 downto 0) := to_unsigned(1600-1, 12); signal up, down : std_logic; signal analog : std_logic := 'Z'; signal pixels_per_line : integer := 0; begin clock <= not clock after 10 ns; pll_clock <= not pll_clock after (pll_period/2); p_sync: process begin for i in 1 to 50 loop sync_in <= '0'; wait for 4.7 us; sync_in <= '1'; wait for 59.3 us; end loop; sync_in <= '0'; wait for 4.7 us; sync_in <= '1'; wait for 27.3 us; for i in 1 to 5 loop sync_in <= '0'; wait for 2.35 us; sync_in <= '1'; wait for 29.65 us; end loop; for i in 1 to 5 loop sync_in <= '0'; wait for 29.65 us; sync_in <= '1'; wait for 2.35 us; end loop; for i in 1 to 5 loop sync_in <= '0'; wait for 2.35 us; sync_in <= '1'; wait for 29.65 us; end loop; end process; i_sep: entity work.sync_separator port map ( clock => clock, sync_in => sync_in, h_sync => h_sync, v_sync => v_sync ); i_phase: entity work.phase_detector port map ( n => n, pll_clock => pll_clock, h_sync => h_sync, up => up, down => down, analog => analog ); process(pll_clock, h_sync) variable pixel_count : integer; begin if rising_edge(pll_clock) then pixel_count := pixel_count + 1; end if; if rising_edge(h_sync) then pixels_per_line <= pixel_count; pixel_count := 0; end if; end process; -- process(analog) -- variable last : std_logic := 'U'; -- variable duration : time; -- variable last_time : time; -- begin -- if analog'event then -- duration := now - last_time; -- case last is -- when '1' => -- report "Up for " & time'image(duration); -- pll_period <= pll_period - (duration / 5000000); -- when '0' => -- report "Down for " & time'image(duration); -- pll_period <= pll_period + (duration / 5000000); -- when others => -- null; -- end case; -- -- last := analog; -- last_time := now; -- end if; -- end process; process(clock) begin if rising_edge(clock) then case analog is when '1' => pll_period <= pll_period - 10 fs; when '0' => pll_period <= pll_period + 10 fs; when others => --pll_period <= pll_period + 1 fs; null; end case; end if; end process; end tb;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/async_fifo/vhdl_source/gray_code_pkg.vhd
4
3209
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2006, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : gray_code_pkg -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: gray code package, only the functions needed for the -- asynchronous fifo ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package gray_code_pkg is --------------------------------------------------------------------------- -- type --------------------------------------------------------------------------- type t_gray is array (natural range <>) of std_logic; --------------------------------------------------------------------------- -- conversion function --------------------------------------------------------------------------- function to_unsigned (arg : t_gray) return unsigned; --------------------------------------------------------------------------- -- arithmetic function --------------------------------------------------------------------------- function increment (arg : t_gray) return t_gray; end gray_code_pkg; ------------------------------------------------------------------------------- -- package body ------------------------------------------------------------------------------- package body gray_code_pkg is function to_unsigned (arg : t_gray) return unsigned is variable mybin : unsigned(arg'range); variable temp : std_logic; begin for i in arg'low to arg'high-1 loop temp := '0'; for j in i+1 to arg'high loop temp := temp xor arg(j); end loop; mybin(i) := arg(i) xor temp; end loop; mybin(mybin'high) := arg(arg'high); return mybin; end to_unsigned; function increment (arg : t_gray) return t_gray is alias xarg : t_gray((arg'length-1) downto 0) is arg; variable grayinc : t_gray(xarg'range); variable temp : std_logic; begin for i in xarg'range loop -- rule: high downto i: xnor -- i-1: and -- i-2 downto 0: and not temp := '0'; if i = xarg'high then temp := xarg(i) xor xarg(i-1); else for j in i to arg'high loop temp := temp xor xarg(j); end loop; temp := not(temp); if i >= 1 then temp := temp and xarg(i-1); end if; end if; if i >= 2 then for j in 0 to i-2 loop temp := temp and not(xarg(j)); end loop; end if; grayinc(i) := xarg(i) xor temp; end loop; return grayinc; end increment; end;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/video/vhdl_source/char_generator_timing.vhd
5
9039
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Character Generator ------------------------------------------------------------------------------- -- File : char_generator_timing.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: Character generator ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.char_generator_pkg.all; entity char_generator_timing is generic ( g_divider : integer := 5 ); port ( clock : in std_logic; reset : in std_logic; h_sync : in std_logic; v_sync : in std_logic; control : in t_chargen_control; screen_addr : out unsigned(10 downto 0); screen_data : in std_logic_vector(7 downto 0); char_addr : out unsigned(10 downto 0); char_data : in std_logic_vector(7 downto 0); sync_n : out std_logic; clock_en : out std_logic; pixel_active : out std_logic; pixel_data : out std_logic ); end entity; architecture gideon of char_generator_timing is signal clock_div : integer range 0 to g_divider-1; signal clock_en_i : std_logic; signal force_sync : std_logic; signal x_counter : unsigned(10 downto 0) := (others => '0'); signal y_counter : unsigned(8 downto 0) := (others => '0'); signal pointer : unsigned(10 downto 0) := (others => '0'); signal char_x : unsigned(6 downto 0) := (others => '0'); signal char_y : unsigned(3 downto 0) := (others => '0'); signal char_y_d : unsigned(3 downto 0) := (others => '0'); signal pixel_count : unsigned(2 downto 0) := (others => '0'); signal remaining_lines : unsigned(4 downto 0) := (others => '0'); signal h_sync_c : std_logic; signal h_sync_d : std_logic; signal v_sync_c : std_logic; type t_state is (idle, active_line, draw); signal state : t_state; type t_line_type is (normal, half, serration); signal line_type : t_line_type; -- pipeline signal active_d1 : std_logic; signal pixel_sel_d1 : unsigned(2 downto 0); signal active_d2 : std_logic; signal pixel_sel_d2 : unsigned(2 downto 0); begin clock_en <= clock_en_i; process(clock) begin if rising_edge(clock) then h_sync_c <= h_sync; h_sync_d <= h_sync_c; v_sync_c <= v_sync; -- force_sync <= '0'; -- if control.perform_sync='1' and v_sync_c='1' and h_sync_d='0' and h_sync_c='1' then -- y_counter <= (others => '0'); -- x_counter <= (others => '0'); -- end if; -- -- x/y counters, zonder gehinderd door enige sync-kennis -- if clock_en_i = '1' then -- if x_counter = control.clocks_per_line-1 then -- x_counter <= (others => '0'); -- if y_counter = 311 then -- y_counter <= (others => '0'); -- force_sync <= '1'; -- else -- y_counter <= y_counter + 1; -- end if; -- else -- x_counter <= x_counter + 1; -- end if; -- end if; if h_sync_c='1' and h_sync_d='0' then -- rising_edge x_counter <= (others => '0'); if v_sync_c='1' then y_counter <= (others => '0'); else y_counter <= y_counter + 1; end if; clock_en_i <= '0'; clock_div <= g_divider-1; elsif clock_div = 0 then x_counter <= x_counter + 1; clock_en_i <= '1'; clock_div <= g_divider-1; else clock_en_i <= '0'; clock_div <= clock_div - 1; end if; end if; end process; process(clock) begin if rising_edge(clock) then if clock_en_i='1' then line_type <= normal; if (y_counter < 3) or (y_counter > 305) then line_type <= half; end if; if (y_counter > 308) then line_type <= serration; end if; if x_counter=0 then sync_n <= '0'; else case line_type is when normal => if x_counter = 64 then sync_n <= '1'; end if; when half => if x_counter = 32 then sync_n <= '1'; elsif x_counter = 448 then sync_n <= '0'; elsif x_counter = 480 then sync_n <= '1'; end if; when serration => if x_counter = 416 then sync_n <= '1'; elsif x_counter = 448 then sync_n <= '0'; elsif x_counter = 864 then sync_n <= '1'; end if; when others => null; end case; end if; end if; end if; end process; process(clock) begin if rising_edge(clock) then if clock_en_i='1' then active_d1 <= '0'; char_y_d <= char_y; case state is when idle => pointer <= control.pointer; char_y <= (others => '0'); remaining_lines <= control.active_lines; if y_counter = control.y_on then state <= active_line; end if; when active_line => char_x <= (others => '0'); pixel_count <= control.char_width; if remaining_lines = 0 then state <= idle; elsif x_counter = control.x_on then state <= draw; end if; when draw => if pixel_count = 1 then pixel_count <= control.char_width; char_x <= char_x + 1; if char_x = control.chars_per_line-1 then state <= active_line; char_x <= (others => '0'); if char_y = control.char_height-1 then pointer <= pointer + control.chars_per_line; char_y <= (others => '0'); remaining_lines <= remaining_lines - 1; else char_y <= char_y + 1; end if; end if; else pixel_count <= pixel_count - 1; end if; active_d1 <= '1'; when others => null; end case; -- pipeline forwards pixel_sel_d1 <= pixel_count - 1; pixel_sel_d2 <= pixel_sel_d1; active_d2 <= active_d1; -- pixel output pixel_active <= active_d2; pixel_data <= active_d2 and char_data(to_integer(pixel_sel_d2)); if force_sync='1' then state <= idle; end if; end if; if reset='1' then state <= idle; end if; end if; end process; screen_addr <= pointer + char_x; char_addr <= unsigned(screen_data) & char_y_d(2 downto 0) when char_y_d(3)='0' else screen_data(7) & "0000000000"; end architecture;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/spi/vhdl_source/spi.vhd
5
4344
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity spi is generic ( g_crc : boolean := true ); port ( clock : in std_logic; reset : in std_logic; do_send : in std_logic; clear_crc : in std_logic; force_ss : in std_logic; level_ss : in std_logic; busy : out std_logic; rate : in std_logic_vector(8 downto 0); cpol : in std_logic; cpha : in std_logic; wdata : in std_logic_vector(7 downto 0); rdata : out std_logic_vector(7 downto 0); crc_out : out std_logic_vector(7 downto 0); SPI_SSn : out std_logic; SPI_CLK : out std_logic; SPI_MOSI : out std_logic; SPI_MISO : in std_logic ); end spi; architecture gideon of spi is signal bit_cnt : std_logic_vector(3 downto 0); signal delay : std_logic_vector(8 downto 0); type t_state is (idle, transceive, done, gap); signal state : t_state; signal shift : std_logic_vector(7 downto 0) := X"FF"; signal crc : std_logic_vector(6 downto 0) := (others => '0'); begin process(clock) procedure update_crc(din : std_logic) is begin crc(6 downto 1) <= crc(5 downto 0); crc(0) <= din xor crc(6); crc(3) <= crc(2) xor din xor crc(6); end procedure; variable s : std_logic; begin if rising_edge(clock) then case state is when idle => SPI_SSn <= '1'; SPI_CLK <= cpol; delay <= rate; bit_cnt <= "0000"; if do_send='1' then busy <= '1'; state <= transceive; SPI_SSn <= '0'; if cpha='0' then -- output first bit immediately update_crc(wdata(7)); SPI_MOSI <= wdata(7); shift <= wdata(6 downto 0) & '0'; else -- output first bit upon shift edge shift <= wdata; end if; end if; when transceive => if delay = 0 then delay <= rate; bit_cnt <= bit_cnt + 1; SPI_CLK <= not bit_cnt(0) xor cpol; s := cpha xor bit_cnt(0); if s = '0' then shift(0) <= SPI_MISO; end if; if bit_cnt = "1111" then state <= done; else if s = '1' then update_crc(shift(7)); SPI_MOSI <= shift(7); shift <= shift(6 downto 0) & '0'; end if; end if; else delay <= delay - 1; end if; when done => if delay = 0 then delay <= rate; rdata <= shift; SPI_SSn <= '1'; state <= gap; else delay <= delay - 1; end if; when gap => if delay = 0 then state <= idle; busy <= '0'; else delay <= delay - 1; end if; when others => null; end case; if clear_crc='1' then crc <= (others => '0'); end if; if reset='1' then state <= idle; rdata <= X"00"; busy <= '0'; SPI_MOSI <= '1'; crc <= (others => '0'); end if; if force_ss='1' then SPI_SSn <= level_ss; end if; end if; end process; crc_out <= crc & '1' when g_crc else X"00"; end gideon;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/sigma_delta_dac/vhdl_source/high_pass.vhd
5
1274
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.my_math_pkg.all; entity high_pass is generic ( g_width : natural := 12 ); port ( clock : in std_logic; enable : in std_logic := '1'; reset : in std_logic; x : in signed(g_width-1 downto 0); q : out signed(g_width downto 0) ); end high_pass; architecture gideon of high_pass is signal z : signed(g_width downto 0); signal count : integer range 0 to 3; begin process(clock) variable z_half : signed(g_width downto 0); begin if rising_edge(clock) then z_half := (z(z'high) & z(z'high downto 1)); if enable='1' then z <= sum_limit((x(x'high) & x), z_half); -- z=x+(z/2) q <= sub_limit((x(x'high) & x), z_half); -- q=x-(z/2) end if; -- z <= extend(x, g_width+1); -- q <= extend(x, g_width+1) - z; if reset='1' then z <= (others => '0'); q <= (others => '0'); count <= 0; end if; end if; end process; end gideon;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/sigma_delta_dac/vhdl_source/my_math_pkg.vhd
6
4097
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package my_math_pkg is function sum_limit(i1, i2 : signed) return signed; function sub_limit(i1, i2 : signed) return signed; function sum_limit(i1, i2 : unsigned) return unsigned; function extend(x : signed; len : natural) return signed; function extend(x : unsigned; len : natural) return unsigned; function left_align(x : signed; len : natural) return signed; function left_scale(x : signed; sh : natural) return signed; -- function shift_right(x : signed; positions: natural) return signed; end; package body my_math_pkg is function sum_limit(i1, i2 : signed) return signed is variable o : signed(i1'range); begin assert i1'length = i2'length report "i1 and i2 should have the same length!" severity failure; o := i1 + i2; if (i1(i1'left) = i2(i2'left)) and (o(o'left) /= i1(i1'left)) then if i1(i1'left)='1' then o := to_signed(-(2**(o'length-1)), o'length); else o := to_signed(2**(o'length-1) - 1, o'length); end if; end if; return o; end function; function sub_limit(i1, i2 : signed) return signed is variable o : signed(i1'range); begin assert i1'length = i2'length report "i1 and i2 should have the same length!" severity failure; o := i1 - i2; if (i1(i1'left) /= i2(i2'left)) and (o(o'left) /= i1(i1'left)) then if i1(i1'left)='1' then o := to_signed(-(2**(o'length-1)), o'length); else o := to_signed(2**(o'length-1) - 1, o'length); end if; end if; return o; end function; function sum_limit(i1, i2 : unsigned) return unsigned is variable o : unsigned(i1'length downto 0); begin o := ('0' & i1) + i2; if o(o'left)='1' then o := (others => '1'); end if; return o(i1'length-1 downto 0); end function; function extend(x : signed; len : natural) return signed is variable ret : signed(len-1 downto 0); alias a : signed(x'length-1 downto 0) is x; begin ret := (others => x(x'left)); ret(a'range) := a; return ret; end function extend; function extend(x : unsigned; len : natural) return unsigned is variable ret : unsigned(len-1 downto 0); alias a : unsigned(x'length-1 downto 0) is x; begin ret := (others => '0'); ret(a'range) := a; return ret; end function extend; function left_align(x : signed; len : natural) return signed is variable ret : signed(len-1 downto 0); begin ret := (others => '0'); ret(len-1 downto len-x'length) := x; return ret; end function left_align; function left_scale(x : signed; sh : natural) return signed is alias a : signed(x'length-1 downto 0) is x; variable ret : signed(x'length-(1+sh) downto 0); variable top : signed(sh downto 0); begin if sh=0 then return x; end if; top := a(a'high downto a'high-sh); if (top = -1) or (top = 0) then -- can shift without getting punished! ret := a(ret'range); elsif a(a'high)='1' then -- negative and can't shift, so max neg: ret := (others => '0'); ret(ret'high) := '1'; else -- positive and can't shift, so max pos ret := (others => '1'); ret(ret'high) := '0'; end if; return ret; end function left_scale; -- function shift_right(x : signed; positions: natural) return signed is -- alias a : signed(x'length-1 downto 0) is x; -- variable ret : signed(x'length-1 downto 0); -- begin -- ret := (others => x(x'left)); -- ret(a'left-positions downto 0) := a(a'left downto positions); -- return ret; -- end function shift_right; end;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/fall_through/vhdl_source/fall_through_add_on.vhd
5
2208
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2006, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : fall_through_add_on ------------------------------------------------------------------------------- -- Description: fall_through_add_on, one position deep fifo-add-on ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity fall_through_add_on is generic ( g_data_width : natural := 32); port ( clock : in std_logic; reset : in std_logic; -- fifo side rd_dout : in std_logic_vector(g_data_width - 1 downto 0); rd_empty : in std_logic; rd_en : out std_logic; -- consumer side data_out : out std_logic_vector(g_data_width - 1 downto 0); data_valid : out std_logic; data_next : in std_logic); end fall_through_add_on; architecture structural of fall_through_add_on is type t_state is (empty, full); signal state : t_state; begin -- structural fsm : process (clock) begin -- process fsm if clock'event and clock = '1' then -- rising clock edge case state is when empty => if rd_empty = '0' then state <= full; end if; when full => if data_next = '1' and rd_empty = '1' then state <= empty; end if; when others => null; end case; if reset = '1' then -- synchronous reset (active high) state <= empty; end if; end if; end process fsm; data_valid <= '1' when state = full else '0'; rd_en <= '1' when ((state = empty and rd_empty = '0') or (state = full and rd_empty = '0' and data_next = '1')) else '0'; data_out <= rd_dout; end structural;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/mem_ctrl/vhdl_source/ext_mem_test_v6.vhd
5
4298
------------------------------------------------------------------------------- -- Title : External Memory controller for SDRAM ------------------------------------------------------------------------------- -- Description: This module implements a simple memory tester that can be -- traced with chipscope. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; entity ext_mem_test_v6 is port ( clock : in std_logic := '0'; reset : in std_logic := '0'; inhibit : out std_logic := '0'; req : out t_mem_burst_16_req; resp : in t_mem_burst_16_resp; okay : out std_logic ); end entity; architecture gideon of ext_mem_test_v6 is type t_access is record address : unsigned(27 downto 0); read_writen : std_logic; end record; type t_accesses is array (natural range <>) of t_access; constant c_test_vector : t_accesses := ( ( X"0000000", '0' ), -- write to 0 ( X"0000100", '0' ), -- write to 100 ( X"0000000", '1' ), -- read from 0 ( X"0010000", '0' ), -- write to 64K ( X"0000100", '1' ), -- read from 100 ( X"0010000", '1' ) ); -- read from 64K subtype t_data is std_logic_vector(15 downto 0); type t_datas is array (natural range <>) of t_data; constant c_test_data : t_datas(0 to 15) := ( X"1234", X"5678", X"9ABC", X"DEF0", -- 0 X"DEAD", X"BEEF", X"C0ED", X"BABE", -- 100 X"00FF", X"00FF", X"00FF", X"00FF", -- 64K X"55AA", X"55AA", X"3366", X"CC99" ); -- 0, etc -- constant c_read_data : t_datas(0 to 15) := ( -- X"1234", X"5678", X"9ABC", X"DEF0", -- X"DEAD", X"BEEF", X"C0ED", X"BABE", -- X"00FF", X"00FF", X"00FF", X"00FF", -- X"55AA", X"55AA", X"3366", X"CC99" ); signal data_count : integer range 0 to c_test_data'high; signal check_count : integer range 0 to c_test_data'high; signal cmd_count : integer range 0 to c_test_vector'high; begin process(clock) begin if rising_edge(clock) then if reset='1' then req <= c_mem_burst_16_req_init; data_count <= 0; cmd_count <= 0; check_count <= 0; okay <= '1'; else -- push write data if resp.wdata_full='0' then req.data <= c_test_data(data_count); req.byte_en <= (others => '1'); req.data_push <= '1'; if data_count = c_test_data'high then data_count <= 0; else data_count <= data_count + 1; end if; else req.data_push <= '0'; end if; -- push commands req.request <= '1'; if resp.ready='1' then req.request_tag <= std_logic_vector(to_unsigned(cmd_count, 8)); req.address <= c_test_vector(cmd_count).address(25 downto 0); req.read_writen <= c_test_vector(cmd_count).read_writen; if cmd_count = c_test_vector'high then cmd_count <= 0; else cmd_count <= cmd_count + 1; end if; end if; -- check read data if resp.rdata_av='1' then if resp.data = c_test_data(check_count) then okay <= '1'; else okay <= '0'; end if; if check_count = c_test_data'high then check_count <= 0; else check_count <= check_count + 1; end if; end if; end if; end if; end process; end architecture;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/cpu_unit/vhdl_source/dm_cache_custom.vhd
5
19631
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity dm_cache_custom is generic ( -- g_allocate_on_write : boolean := true; -- g_write_through : boolean := false; g_write_support : boolean := true; g_early_resume : boolean := false; g_init_file : string := "none"; g_address_width : natural := 24; g_data_width : natural := 32; g_id_width : natural := 8; g_cache_size_bits : natural := 11; g_line_size_bits : natural := 1 ); -- 2K*32 BL=2 port ( clock : in std_logic; reset : in std_logic; client_request : in std_logic; client_req_id : in std_logic_vector(g_id_width-1 downto 0); client_rwn : in std_logic; client_address : in unsigned(g_address_width-1 downto 0); client_wdata : in std_logic_vector(g_data_width-1 downto 0); client_byte_en : in std_logic_vector((g_data_width/8)-1 downto 0); client_rdata : out std_logic_vector(g_data_width-1 downto 0); client_rack : out std_logic; client_rack_id : out std_logic_vector(g_id_width-1 downto 0); client_dack_id : out std_logic_vector(g_id_width-1 downto 0); mem_request : out std_logic; mem_read_writen : out std_logic; mem_address : out unsigned(g_address_width-1 downto 0); mem_wdata : out std_logic_vector(g_data_width-1 downto 0); mem_data_push : out std_logic; mem_data_pop : out std_logic; mem_rdata : in std_logic_vector(g_data_width-1 downto 0); mem_ready : in std_logic; -- can accept requests mem_rdata_av : in std_logic; -- indicates if there is data in read fifo hit_count : out unsigned(31 downto 0); miss_count : out unsigned(31 downto 0) ); end dm_cache_custom; architecture gideon of dm_cache_custom is constant c_tag_size_bits : integer := g_cache_size_bits - g_line_size_bits; constant c_tag_width : natural := 2 + g_address_width - g_cache_size_bits; function cache_index_of(a: unsigned(g_address_width-1 downto 0)) return unsigned is begin return a(g_cache_size_bits-1 downto 0); end function; function tag_index_of(a: unsigned(g_address_width-1 downto 0)) return unsigned is begin return a(g_cache_size_bits-1 downto g_line_size_bits); end function; function get_addr_high(a: unsigned(g_address_width-1 downto 0)) return unsigned is begin return a(g_address_width-1 downto g_cache_size_bits); end function; type t_tag is record address_high : unsigned(g_address_width-1 downto g_cache_size_bits); dirty : std_logic; valid : std_logic; end record; constant c_tag_pre_init : t_tag := ( address_high => to_unsigned(0, g_address_width - g_cache_size_bits), dirty => '1', valid => '1' ); function tag_pack(t : t_tag) return std_logic_vector is variable ret : std_logic_vector(c_tag_width-1 downto 0); begin ret := t.dirty & t.valid & std_logic_vector(t.address_high); return ret; end function; function tag_unpack(v : std_logic_vector(c_tag_width-1 downto 0)) return t_tag is variable ret : t_tag; begin ret.dirty := v(v'high); ret.valid := v(v'high-1); ret.address_high := unsigned(v(v'high-2 downto 0)); return ret; end function; signal any_request : std_logic := '0'; signal read_request : std_logic := '0'; signal read_request_d : std_logic := '0'; signal write_request : std_logic := '0'; signal write_request_d : std_logic := '0'; signal ready : std_logic := '0'; signal read_la : std_logic := '0'; signal write_la : std_logic := '0'; signal tag_la : std_logic_vector(g_id_width-1 downto 0); signal do_query_d : std_logic; signal rd_address : unsigned(g_address_width-1 downto 0); signal wr_address : unsigned(g_address_width-1 downto 0); signal cache_rd_index : unsigned(g_cache_size_bits-1 downto 0); signal cache_wr_index : unsigned(g_cache_size_bits-1 downto 0); signal cache_wdata : std_logic_vector(g_data_width-1 downto 0); signal cache_byte_en : std_logic_vector(client_byte_en'range); signal cache_data_out : std_logic_vector(g_data_width-1 downto 0) := (others => '0'); signal cache_rdata : std_logic_vector(g_data_width-1 downto 0); signal cache_we : std_logic; signal cache_b_en : std_logic; signal cache_rd_en : std_logic; signal tag_rd_index : unsigned(g_cache_size_bits-1 downto g_line_size_bits); signal tag_wr_index : unsigned(g_cache_size_bits-1 downto g_line_size_bits); signal tag_wdata : std_logic_vector(c_tag_width-1 downto 0); signal tag_rdata : std_logic_vector(c_tag_width-1 downto 0); signal rd_tag : t_tag; signal wr_tag : t_tag; signal fill_tag : t_tag; signal last_write_tag : t_tag; signal hit_i : std_logic := '0'; signal cache_miss : std_logic := '0'; signal cache_hit : std_logic := '0'; signal old_address : unsigned(g_address_width-1 downto 0); signal address_la : unsigned(g_address_width-1 downto 0); -- back office signal fill_high : unsigned(g_address_width-1 downto g_line_size_bits) := (others => '0'); signal fill_address : unsigned(g_address_width-1 downto 0) := (others => '0'); signal fill_valid : std_logic; signal fill_data : std_logic_vector(g_data_width-1 downto 0); signal burst_count : unsigned(g_line_size_bits-1 downto 0); signal burst_count_d : unsigned(g_line_size_bits-1 downto 0); type t_state is (idle, check_dirty, fill, deferred); signal state : t_state; signal dirty_d : std_logic; -- signals related to delayed write register signal last_write_address : unsigned(g_address_width-1 downto 0); signal last_write_data : std_logic_vector(g_data_width-1 downto 0); signal last_write_byte_en : std_logic_vector(client_byte_en'range); signal last_write_valid : std_logic; signal store_reg : std_logic := '0'; signal store_after_fill : std_logic := '0'; -- memory interface signal mem_busy : std_logic := '0'; signal need_mem_access : std_logic := '0'; signal mem_req_i : std_logic; signal mem_rwn : std_logic; signal mem_addr_i : unsigned(g_address_width-1 downto 0); signal mem_wrfifo_put : std_logic; signal mem_rdfifo_get : std_logic; signal helper_data_to_ram : std_logic_vector(g_data_width-1 downto 0); signal helper_data_from_ram : std_logic_vector(g_data_width-1 downto 0); -- statistics signal hit_count_i : unsigned(31 downto 0) := (others => '0'); signal miss_count_i : unsigned(31 downto 0) := (others => '0'); begin any_request <= client_request and ready; read_request <= client_request and client_rwn and ready; write_request <= client_request and not client_rwn and ready; ready <= '1' when mem_busy='0' and need_mem_access='0' else '0'; need_mem_access <= cache_miss; process(clock) begin if rising_edge(clock) then read_request_d <= read_request; write_request_d <= write_request; do_query_d <= '0'; if ready='1' then do_query_d <= client_request; tag_la <= client_req_id; address_la <= client_address; read_la <= client_request and client_rwn; write_la <= client_request and not client_rwn; end if; end if; end process; -- main address multiplexer rd_address <= client_address; wr_address <= fill_address when (mem_rdfifo_get='1' or dirty_d='1') else last_write_address; cache_rd_index <= cache_index_of(rd_address); cache_wr_index <= cache_index_of(wr_address); cache_wdata <= fill_data when mem_rdfifo_get='1' else last_write_data; cache_byte_en <= (others => '1') when mem_rdfifo_get='1' else last_write_byte_en; wr_tag <= fill_tag when mem_rdfifo_get='1' else last_write_tag; cache_we <= mem_rdfifo_get or store_reg; cache_b_en <= cache_we or dirty_d; -- dirty_d is set during fill operation and causes read enable here cache_rd_en <= client_request and ready; fill_tag.address_high <= get_addr_high(fill_address); fill_tag.dirty <= '0'; fill_tag.valid <= '1'; last_write_tag.address_high <= get_addr_high(last_write_address); last_write_tag.dirty <= '1'; last_write_tag.valid <= last_write_valid; r_write_byte_en: if g_write_support generate i_cache_ram: entity work.dpram_rdw_byte generic map ( g_rdw_check => g_early_resume, g_width_bits => g_data_width, g_depth_bits => g_cache_size_bits, g_init_file => g_init_file, g_storage => "auto" ) port map ( clock => clock, a_address => cache_rd_index, a_rdata => cache_rdata, a_en => cache_rd_en, b_address => cache_wr_index, b_rdata => cache_data_out, b_wdata => cache_wdata, b_byte_en => cache_byte_en, b_en => cache_b_en, b_we => cache_we ); end generate; r_write_fill_only: if not g_write_support generate i_cache_ram_nobe: entity work.dpram_rdw generic map ( g_rdw_check => g_early_resume, g_width_bits => g_data_width, g_depth_bits => g_cache_size_bits, g_init_value => X"00000000", g_init_file => g_init_file, g_init_width => g_data_width/8, g_init_offset => 0, g_storage => "auto" ) port map ( clock => clock, a_address => cache_rd_index, a_rdata => cache_rdata, a_en => cache_rd_en, b_address => cache_wr_index, b_rdata => cache_data_out, b_wdata => fill_data, b_en => cache_b_en, b_we => mem_rdfifo_get ); end generate; tag_rd_index <= tag_index_of(rd_address); tag_wr_index <= tag_index_of(wr_address); rd_tag <= tag_unpack(tag_rdata); tag_wdata <= tag_pack(wr_tag); i_tag_ram: entity work.dpram_rdw generic map ( g_rdw_check => g_early_resume, g_width_bits => c_tag_width, g_init_value => tag_pack(c_tag_pre_init), g_depth_bits => c_tag_size_bits ) port map ( clock => clock, a_address => tag_rd_index, a_rdata => tag_rdata, a_en => cache_rd_en, b_address => tag_wr_index, b_wdata => tag_wdata, b_en => cache_we, b_we => cache_we ); hit_i <= '1' when rd_tag.valid='1' and (rd_tag.address_high = get_addr_high(address_la)) else '0'; cache_hit <= hit_i and do_query_d; cache_miss <= not hit_i and do_query_d; old_address <= rd_tag.address_high & address_la(g_cache_size_bits-1 downto 0); -- recombine -- handle writes process(clock) begin if rising_edge(clock) then if client_request='1' and client_rwn='0' and ready='1' then last_write_data <= client_wdata; last_write_byte_en <= client_byte_en; last_write_address <= client_address; last_write_valid <= '1'; elsif store_reg='1' then last_write_valid <= '0'; end if; end if; end process; store_reg <= '1' when (last_write_valid='1' and (cache_hit='1' or store_after_fill='1')) else '0'; -- end handle writes -- read data multiplexer fill_valid <= mem_rdata_av; fill_data <= mem_rdata; client_rack <= client_request and ready; client_rack_id <= client_req_id when client_request='1' and ready='1' else (others => '0'); process(cache_hit, cache_rdata, read_request_d, tag_la, fill_data, fill_valid, burst_count, read_la, address_la) begin client_dack_id <= (others => '0'); if cache_hit='1' then client_rdata <= cache_rdata; if read_request_d='1' then client_dack_id <= tag_la; end if; else client_rdata <= fill_data; -- Generate dack when correct word passes by (not necessary, but will increase performance) -- (In this setup it is necessary, because there is no other cause to let the client continue, -- as 'hit' will not automatically become '1', as we already acknowledged the request itself.) if fill_valid='1' and burst_count = address_la(burst_count'range) and read_la='1' then client_dack_id <= tag_la; end if; end if; end process; -- end read data multiplexer p_cache_control: process(clock) begin if rising_edge(clock) then burst_count_d <= burst_count; store_after_fill <= '0'; mem_req_i <= '0'; if cache_miss='1' then miss_count_i <= miss_count_i + 1; end if; if cache_hit='1' then hit_count_i <= hit_count_i + 1; end if; case state is when idle => -- There are a few scenarios that could cause a miss: -- Read miss: last_write_register is not valid, because it should already have been written in the cache! -- Write miss: last_write_register is always valid, since it was just set. In this scenario the last write register -- holds data that still needs to be written to the cache, BUT couldn't do it because of the miss. The data in the cache -- that is flushed to DRAM is never the data in the register, otherwise it would have been a hit. The fill cycle that -- follows will check dirty, do a write out of the dirty data from cache, and then fills the cacheline with data from -- the DRAM, and then will issue the command to store the register. Obviously this immediately sets the line to dirty. if cache_miss='1' then if mem_ready='1' then -- issue read access (priority read over write) mem_req_i <= '1'; mem_rwn <= '1'; mem_addr_i <= address_la; state <= check_dirty; else state <= deferred; end if; end if; dirty_d <= rd_tag.dirty and cache_miss; -- dirty will be our read enable from cache :) --fill_high <= old_address(old_address'high downto g_line_size_bits); -- high bits don't matter here (this is correct!) --fill_high <= address_la(old_address'high downto g_line_size_bits); -- high bits don't matter here (optimization!!) when deferred => if mem_ready='1' then -- issue read access (priority read over write) mem_req_i <= '1'; mem_rwn <= '1'; mem_addr_i <= address_la; state <= check_dirty; end if; when check_dirty => -- sequences through 'line_size' words mem_addr_i <= old_address; mem_rwn <= '0'; -- write if dirty_d='0' then --fill_high <= address_la(address_la'high downto g_line_size_bits); -- high bits do matter here state <= fill; else -- dirty_d='1' burst_count <= burst_count + 1; if signed(burst_count) = -1 then -- last? mem_req_i <= '1'; -- issue the write request to memctrl dirty_d <= '0'; --fill_high <= address_la(address_la'high downto g_line_size_bits); -- high bits do matter here state <= fill; end if; end if; when fill => if mem_rdata_av='1' then burst_count <= burst_count + 1; if signed(burst_count) = -1 then -- last? state <= idle; store_after_fill <= last_write_valid; -- this will occur during idle end if; end if; -- asynchronously: mem_rdfifo_get <= '1' when state = fill and mem_rdata_av='1'. when others => null; end case; mem_wrfifo_put <= dirty_d; -- latency of blockram if reset='1' then burst_count <= (others => '0'); dirty_d <= '0'; state <= idle; mem_rwn <= '1'; mem_req_i <= '0'; end if; end if; end process; mem_rdfifo_get <= '1' when state = fill and mem_rdata_av='1' else '0'; -- index to the cache for back-office operations (line in, line out) fill_high <= address_la(old_address'high downto g_line_size_bits); fill_address <= fill_high & burst_count; mem_busy <= '1' when (state/= idle) else '0'; mem_request <= mem_req_i; mem_read_writen <= mem_rwn; mem_address <= mem_addr_i(mem_address'high downto g_line_size_bits) & to_unsigned(0, g_line_size_bits); mem_wdata <= cache_data_out; mem_data_pop <= mem_rdfifo_get; mem_data_push <= mem_wrfifo_put; helper_data_to_ram <= cache_data_out when mem_wrfifo_put='1' else (others => 'Z'); helper_data_from_ram <= mem_rdata when mem_rdfifo_get='1' else (others => 'Z'); hit_count <= hit_count_i; miss_count <= miss_count_i; end gideon;
gpl-3.0
davidhorrocks/1541UltimateII
target/simulation/packages/vhdl_source/tl_string_util_pkg.vhd
5
33938
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2006 TECHNOLUTION B.V., GOUDA NL -- | ======= I == I = -- | I I I I -- | I === === I === I === === I I I ==== I === I === -- | I / \ I I/ I I/ I I I I I I I I I I I/ I -- | I ===== I I I I I I I I I I I I I I I I -- | I \ I I I I I I I I I /I \ I I I I I -- | I === === I I I I === === === I == I === I I -- | +---------------------------------------------------+ -- +----+ | +++++++++++++++++++++++++++++++++++++++++++++++++| -- | | ++++++++++++++++++++++++++++++++++++++| -- +------------+ +++++++++++++++++++++++++| -- ++++++++++++++| -- A U T O M A T I O N T E C H N O L O G Y +++++| -- ------------------------------------------------------------------------------- -- Title : Style guide example package -- Author : Jonathan Hofman ([email protected]) (import only) ------------------------------------------------------------------------------- -- Description: This file contains type definitions ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; package tl_string_util_pkg is ------------------------------------------------------------------------------- -- functions origination from file_io_package (depricated) ------------------------------------------------------------------------------- function nibble_to_hex(nibble : std_logic_vector(3 downto 0)) return character; -- depricated function hex_to_nibble(c : character) return std_logic_vector; -- depricated function is_hex_char(c : character) return boolean; -- depricated function vec_to_hex(vec : std_logic_vector; len : integer) return string; -- depricated procedure write_string(variable my_line : inout line; s : string); -- depricated ------------------------------------------------------------------------------- -- functions to convert to string ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- converts std_logic into a character --------------------------------------------------------------------------- function chr(sl: std_logic) return character; --------------------------------------------------------------------------- -- converts a nible into a hex character --------------------------------------------------------------------------- function hchr(slv: std_logic_vector) return character; function hchr(slv: unsigned) return character; --------------------------------------------------------------------------- -- converts std_logic into a string (1 to 1) --------------------------------------------------------------------------- function str(sl: std_logic) return string; --------------------------------------------------------------------------- -- converts std_logic_vector into a string (binary base) --------------------------------------------------------------------------- function str(slv: std_logic_vector) return string; --------------------------------------------------------------------------- -- converts boolean into a string --------------------------------------------------------------------------- function str(b: boolean) return string; --------------------------------------------------------------------------- -- converts an integer into a single character -- (can also be used for hex conversion and other bases) --------------------------------------------------------------------------- function chr(int: integer) return character; --------------------------------------------------------------------------- -- converts integer into string using specified base --------------------------------------------------------------------------- function str(int: integer; base: integer) return string; --------------------------------------------------------------------------- -- converts to string, using base 10 --------------------------------------------------------------------------- function str(int: integer) return string; --------------------------------------------------------------------------- -- convert into a string in hex format --------------------------------------------------------------------------- function hstr(slv: std_logic_vector) return string; function hstr(uns: unsigned) return string; ------------------------------------------------------------------------------- -- function to convert from string ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- converts a character into std_logic --------------------------------------------------------------------------- function to_std_logic(c: character) return std_logic; --------------------------------------------------------------------------- -- converts a hex character into std_logic_vector --------------------------------------------------------------------------- function hchr_to_std_logic_vector(c: character) return std_logic_vector; --------------------------------------------------------------------------- -- converts a string into a specific type --------------------------------------------------------------------------- function to_std_logic_vector(s: string) return std_logic_vector; --------------------------------------------------------------------------- -- converts a hex string into a specific type --------------------------------------------------------------------------- function hstr_to_std_logic_vector( str : string; len: integer) return std_logic_vector; function hstr_to_integer( str : string ) return integer; ------------------------------------------------------------------------------- -- string manipulation routines ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- convert to upper case --------------------------------------------------------------------------- function to_upper(c: character) return character; function to_upper(s: string) return string; --------------------------------------------------------------------------- -- convert to lower case --------------------------------------------------------------------------- function to_lower(c: character) return character; function to_lower(s: string) return string; --------------------------------------------------------------------------- -- check if it is an hex character --------------------------------------------------------------------------- function is_hchr(c : character) return boolean; function resize(s: string; size: natural; default: character := ' ') return string; --------------------------------------------------------------------------- -- Compare function for strings that correctly handles terminators (NUL) --------------------------------------------------------------------------- function strcmp(a: string; b: string) return boolean; ------------------------------------------------------------------------------- -- file I/O ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- print --------------------------------------------------------------------------- -- print string to a file and start new line, if no file is specified the -- print function will print to stdout --------------------------------------------------------------------------- procedure print(text: string); procedure print(active: boolean; text: string); procedure print(file out_file: TEXT; new_string: in string); procedure print(file out_file: TEXT; char: in character); --------------------------------------------------------------------------- -- read variable length string from input file --------------------------------------------------------------------------- procedure str_read(file in_file: TEXT; res_string: out string); ------------------------------------------------------------------------------- -- character manipulation ------------------------------------------------------------------------------- function char_to_std_logic_vector ( constant my_char : character) return std_logic_vector; end tl_string_util_pkg; package body tl_string_util_pkg is function nibble_to_hex(nibble : std_logic_vector(3 downto 0)) return character is variable r : character := '?'; begin case nibble is when "0000" => r := '0'; when "0001" => r := '1'; when "0010" => r := '2'; when "0011" => r := '3'; when "0100" => r := '4'; when "0101" => r := '5'; when "0110" => r := '6'; when "0111" => r := '7'; when "1000" => r := '8'; when "1001" => r := '9'; when "1010" => r := 'A'; when "1011" => r := 'B'; when "1100" => r := 'C'; when "1101" => r := 'D'; when "1110" => r := 'E'; when "1111" => r := 'F'; when others => r := 'X'; end case; return r; end nibble_to_hex; function hex_to_nibble(c : character) return std_logic_vector is variable z : std_logic_vector(3 downto 0); begin case c is when '0' => z := "0000"; when '1' => z := "0001"; when '2' => z := "0010"; when '3' => z := "0011"; when '4' => z := "0100"; when '5' => z := "0101"; when '6' => z := "0110"; when '7' => z := "0111"; when '8' => z := "1000"; when '9' => z := "1001"; when 'A' => z := "1010"; when 'B' => z := "1011"; when 'C' => z := "1100"; when 'D' => z := "1101"; when 'E' => z := "1110"; when 'F' => z := "1111"; when 'a' => z := "1010"; when 'b' => z := "1011"; when 'c' => z := "1100"; when 'd' => z := "1101"; when 'e' => z := "1110"; when 'f' => z := "1111"; when others => z := "XXXX"; end case; return z; end hex_to_nibble; function is_hex_char(c : character) return boolean is begin case c is when '0'|'1'|'2'|'3'|'4'|'5'|'6'|'7' => return true; when '8'|'9'|'A'|'B'|'C'|'D'|'E'|'F' => return true; when 'a'|'b'|'c'|'d'|'e'|'f' => return true; when others => return false; end case; return false; end is_hex_char; procedure vec_to_hex(vec : std_logic_vector; str : out string) is variable temp_vec : std_logic_vector(str'length * 4 downto 1) := (others => '0'); variable j : integer; variable my_vec : std_logic_vector(vec'range); variable len, my_low, my_high : integer; begin my_vec := vec; len := str'length; my_low := vec'low; my_high := vec'high; if vec'length < temp_vec'length then temp_vec(vec'length downto 1) := vec; else temp_vec := vec(vec'low + temp_vec'length - 1 downto vec'low); end if; for i in str'range loop j := (str'right - i) * 4; str(i) := nibble_to_hex(temp_vec(j+4 downto j+1)); end loop; end vec_to_hex; function vec_to_hex(vec : std_logic_vector; len : integer) return string is variable str : string(1 to len); begin vec_to_hex(vec, str); return str; end vec_to_hex; procedure write_string(variable my_line : inout line; s : string) is begin write(my_line, s); end write_string; ------------------------------------------------------------------------------- -- functions to convert to string ------------------------------------------------------------------------------- function chr(sl : std_logic) return character is variable c : character; begin case sl is when 'U' => c := 'U'; when 'X' => c := 'X'; when '0' => c := '0'; when '1' => c := '1'; when 'Z' => c := 'Z'; when 'W' => c := 'W'; when 'L' => c := 'L'; when 'H' => c := 'H'; when '-' => c := '-'; end case; return c; end chr; function str(sl : std_logic) return string is variable s : string(1 to 1); begin s(1) := chr(sl); return s; end str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv : std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function str(b : boolean) return string is begin if b then return "true"; else return "false"; end if; end str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int : integer) return character is variable c : character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end chr; function hchr(slv: std_logic_vector) return character is begin return hchr(unsigned(slv)); end function; function hchr(slv: unsigned) return character is variable v_fourbit : unsigned(3 downto 0); variable v_result : character; begin v_fourbit := resize(slv, 4); case v_fourbit is when "0000" => v_result := '0'; when "0001" => v_result := '1'; when "0010" => v_result := '2'; when "0011" => v_result := '3'; when "0100" => v_result := '4'; when "0101" => v_result := '5'; when "0110" => v_result := '6'; when "0111" => v_result := '7'; when "1000" => v_result := '8'; when "1001" => v_result := '9'; when "1010" => v_result := 'A'; when "1011" => v_result := 'B'; when "1100" => v_result := 'C'; when "1101" => v_result := 'D'; when "1110" => v_result := 'E'; when "1111" => v_result := 'F'; when "ZZZZ" => v_result := 'z'; when "UUUU" => v_result := 'u'; when "XXXX" => v_result := 'x'; when others => v_result := '?'; end case; return v_result; end function; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int : integer; base : integer) return string is variable temp : string(1 to 10); variable num : integer; variable abs_int : integer; variable len : integer := 1; variable power : integer := 1; begin -- bug fix for negative numbers abs_int := abs(int); num := abs_int; while num >= base loop -- Determine how many len := len + 1; -- characters required num := num / base; -- to represent the end loop; -- number. for i in len downto 1 loop -- Convert the number to temp(i) := chr(abs_int/power mod base); -- a string starting power := power * base; -- with the right hand end loop; -- side. -- return result and add sign if required if int < 0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end str; -- convert integer to string, using base 10 function str(int : integer) return string is begin return str(int, 10); end str; -- converts a std_logic_vector into a hex string. function hstr(slv : std_logic_vector) return string is constant c_hexlen : integer := (slv'length + 3)/4; alias slv_norm : std_logic_vector(slv'length - 1 downto 0) is slv; variable v_longslv : std_logic_vector(c_hexlen * 4 - 1 downto 0) := (others => '0'); variable v_result : string(1 to c_hexlen); variable v_fourbit : std_logic_vector(3 downto 0); begin v_longslv(slv_norm'range) := slv_norm; for i in 0 to c_hexlen - 1 loop v_fourbit := v_longslv(((i * 4) + 3) downto (i * 4)); case v_fourbit is when "0000" => v_result(c_hexlen - i) := '0'; when "0001" => v_result(c_hexlen - i) := '1'; when "0010" => v_result(c_hexlen - i) := '2'; when "0011" => v_result(c_hexlen - i) := '3'; when "0100" => v_result(c_hexlen - i) := '4'; when "0101" => v_result(c_hexlen - i) := '5'; when "0110" => v_result(c_hexlen - i) := '6'; when "0111" => v_result(c_hexlen - i) := '7'; when "1000" => v_result(c_hexlen - i) := '8'; when "1001" => v_result(c_hexlen - i) := '9'; when "1010" => v_result(c_hexlen - i) := 'A'; when "1011" => v_result(c_hexlen - i) := 'B'; when "1100" => v_result(c_hexlen - i) := 'C'; when "1101" => v_result(c_hexlen - i) := 'D'; when "1110" => v_result(c_hexlen - i) := 'E'; when "1111" => v_result(c_hexlen - i) := 'F'; when "ZZZZ" => v_result(c_hexlen - i) := 'z'; when "UUUU" => v_result(c_hexlen - i) := 'u'; when "XXXX" => v_result(c_hexlen - i) := 'x'; when others => v_result(c_hexlen - i) := '?'; end case; end loop; return v_result; end hstr; -- converts an unsigned into a hex string. function hstr(uns : unsigned) return string is begin return hstr(std_logic_vector(uns)); end; ------------------------------------------------------------------------------- -- function to convert from string ------------------------------------------------------------------------------- function to_std_logic(c: character) return std_logic is variable sl: std_logic; begin case c is when 'U' => sl := 'U'; when 'X' => sl := 'X'; when '0' => sl := '0'; when '1' => sl := '1'; when 'Z' => sl := 'Z'; when 'W' => sl := 'W'; when 'L' => sl := 'L'; when 'H' => sl := 'H'; when '-' => sl := '-'; when others => sl := 'X'; end case; return sl; end to_std_logic; function hchr_to_std_logic_vector(c: character) return std_logic_vector is variable v_result : std_logic_vector(3 downto 0); begin case c is when '0' => v_result := "0000"; when '1' => v_result := "0001"; when '2' => v_result := "0010"; when '3' => v_result := "0011"; when '4' => v_result := "0100"; when '5' => v_result := "0101"; when '6' => v_result := "0110"; when '7' => v_result := "0111"; when '8' => v_result := "1000"; when '9' => v_result := "1001"; when 'a' => v_result := "1010"; when 'b' => v_result := "1011"; when 'c' => v_result := "1100"; when 'd' => v_result := "1101"; when 'e' => v_result := "1110"; when 'f' => v_result := "1111"; when 'A' => v_result := "1010"; when 'B' => v_result := "1011"; when 'C' => v_result := "1100"; when 'D' => v_result := "1101"; when 'E' => v_result := "1110"; when 'F' => v_result := "1111"; when others => v_result := "XXXX"; assert FALSE report "Illegal character "& c & "in hex string! " severity warning; end case; return v_result; end function; function to_std_logic_vector(s: string) return std_logic_vector is variable slv: std_logic_vector(s'high-s'low downto 0); variable k: integer; begin k := s'high-s'low; for i in s'range loop slv(k) := to_std_logic(s(i)); k := k - 1; end loop; return slv; end to_std_logic_vector; function hstr_to_integer(str: string) return integer is variable len : integer := str'length; variable ivalue : integer := 0; variable digit : integer; begin for i in 1 to len loop case to_lower(str(i)) is when '0' => digit := 0; when '1' => digit := 1; when '2' => digit := 2; when '3' => digit := 3; when '4' => digit := 4; when '5' => digit := 5; when '6' => digit := 6; when '7' => digit := 7; when '8' => digit := 8; when '9' => digit := 9; when 'a' => digit := 10; when 'b' => digit := 11; when 'c' => digit := 12; when 'd' => digit := 13; when 'e' => digit := 14; when 'f' => digit := 15; when others => assert FALSE report "Illegal character "& str(i) & "in hex string! " severity ERROR; end case; ivalue := ivalue * 16 + digit; end loop; return ivalue; end; function hstr_to_std_logic_vector(str: string; len: integer) return std_logic_vector is variable digit : std_logic_vector(3 downto 0); variable result : std_logic_vector((str'length * 4) - 1 downto 0); begin -- we can not use hstr_to_integer and then convert to hex, because the integer range is -- limited for i in str'range loop case to_lower(str(str'length - i + 1)) is when '0' => digit := "0000"; when '1' => digit := "0001"; when '2' => digit := "0010"; when '3' => digit := "0011"; when '4' => digit := "0100"; when '5' => digit := "0101"; when '6' => digit := "0110"; when '7' => digit := "0111"; when '8' => digit := "1000"; when '9' => digit := "1001"; when 'a' => digit := "1010"; when 'b' => digit := "1011"; when 'c' => digit := "1100"; when 'd' => digit := "1101"; when 'e' => digit := "1110"; when 'f' => digit := "1111"; when others => assert FALSE report "Illegal character "& str(i) & "in hex string! " severity error; end case; result((i * 4) - 1 downto (i - 1) * 4) := digit; end loop; return result(len - 1 downto 0); end; ------------------------------------------------------------------------------- -- string manipulation routines ------------------------------------------------------------------------------- function to_upper(c : character) return character is variable u : character; begin case c is when 'a' => u := 'A'; when 'b' => u := 'B'; when 'c' => u := 'C'; when 'd' => u := 'D'; when 'e' => u := 'E'; when 'f' => u := 'F'; when 'g' => u := 'G'; when 'h' => u := 'H'; when 'i' => u := 'I'; when 'j' => u := 'J'; when 'k' => u := 'K'; when 'l' => u := 'L'; when 'm' => u := 'M'; when 'n' => u := 'N'; when 'o' => u := 'O'; when 'p' => u := 'P'; when 'q' => u := 'Q'; when 'r' => u := 'R'; when 's' => u := 'S'; when 't' => u := 'T'; when 'u' => u := 'U'; when 'v' => u := 'V'; when 'w' => u := 'W'; when 'x' => u := 'X'; when 'y' => u := 'Y'; when 'z' => u := 'Z'; when others => u := c; end case; return u; end to_upper; function to_lower(c : character) return character is variable l : character; begin case c is when 'A' => l := 'a'; when 'B' => l := 'b'; when 'C' => l := 'c'; when 'D' => l := 'd'; when 'E' => l := 'e'; when 'F' => l := 'f'; when 'G' => l := 'g'; when 'H' => l := 'h'; when 'I' => l := 'i'; when 'J' => l := 'j'; when 'K' => l := 'k'; when 'L' => l := 'l'; when 'M' => l := 'm'; when 'N' => l := 'n'; when 'O' => l := 'o'; when 'P' => l := 'p'; when 'Q' => l := 'q'; when 'R' => l := 'r'; when 'S' => l := 's'; when 'T' => l := 't'; when 'U' => l := 'u'; when 'V' => l := 'v'; when 'W' => l := 'w'; when 'X' => l := 'x'; when 'Y' => l := 'y'; when 'Z' => l := 'z'; when others => l := c; end case; return l; end to_lower; function to_upper(s : string) return string is variable uppercase : string (s'range); begin for i in s'range loop uppercase(i) := to_upper(s(i)); end loop; return uppercase; end to_upper; function to_lower(s : string) return string is variable lowercase : string (s'range); begin for i in s'range loop lowercase(i) := to_lower(s(i)); end loop; return lowercase; end to_lower; function is_hchr(c : character) return boolean is variable v_result : boolean; begin case c is when '0'|'1'|'2'|'3'|'4'|'5'|'6'|'7'| '8'|'9'|'A'|'B'|'C'|'D'|'E'|'F'| 'a'|'b'|'c'|'d'|'e'|'f' => v_result := true; when others => v_result := false; end case; return v_result; end function; function strcmp(a: string; b: string) return boolean is variable r : boolean := true; begin for i in a'range loop if i > b'right then if a(i) /= NUL then -- b is shorter and a doesn't terminate here. return false; else return true; -- b is shorter, but a does terminate here end if; end if; if a(i) /= b(i) then -- characters are not the same return false; end if; if a(i) = NUL and b(i) = NUL then -- a and b have a string terminator at the same place -- and previous characters were all the same return true; end if; end loop; -- if b is longer, then check if b has a terminator if (b'right > a'right) then if b(a'right + 1) /= NUL then return false; end if; end if; return true; end strcmp; ------------------------------------------------------------------------------- -- file I/O ------------------------------------------------------------------------------- procedure print(text: string) is variable msg_line: line; begin write(msg_line, text); writeline(output, msg_line); end print; procedure print(active: boolean; text: string) is begin if active then print(text); end if; end print; procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l, new_string); writeline(out_file, l); end print; procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l, char); writeline(out_file, l); end print; procedure str_read(file in_file: TEXT; res_string: out string) is variable l: line; variable c: character; variable is_string: boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i) := ' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l, c, is_string); res_string(i) := c; if not is_string then -- found end of line exit; end if; end loop; end str_read; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file, new_string(i)); if new_string(i) = LF then -- end of string exit; end if; end loop; end str_write; function char_to_std_logic_vector ( constant my_char : character) return std_logic_vector is begin return std_logic_vector(to_unsigned(character'pos(my_char), 8)); end; function resize(s: string; size: natural; default: character := ' ') return string is variable result: string(1 to size) := (others => default); begin if s'length > size then result := s(result'range); else result(s'range) := s; end if; return result; end function; end tl_string_util_pkg;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/cart_slot/vhdl_sim/harness_reu.vhd
5
2141
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.dma_bus_pkg.all; use work.mem_bus_pkg.all; use work.slot_bus_pkg.all; --use work.slot_bus_master_bfm_pkg.all; entity harness_reu is end harness_reu; architecture harness of harness_reu is signal clock : std_logic := '0'; signal reset : std_logic; signal slot_req : t_slot_req; signal slot_resp : t_slot_resp; signal mem_req : t_mem_req; signal mem_resp : t_mem_resp; signal dma_req : t_dma_req; signal dma_resp : t_dma_resp; signal phi2_tick : std_logic := '0'; signal reu_dma_n : std_logic := '1'; begin clock <= not clock after 10 ns; reset <= '1', '0' after 100 ns; i_reu: entity work.reu generic map ( g_ram_tag => X"10", g_extended => false, g_ram_base => X"0000000" ) port map ( clock => clock, reset => reset, -- register interface slot_req => slot_req, slot_resp => slot_resp, -- system interface phi2_tick => phi2_tick, reu_dma_n => reu_dma_n, size_ctrl => "111", enable => '1', -- memory interface mem_req => mem_req, mem_resp => mem_resp, dma_req => dma_req, dma_resp => dma_resp ); i_slot_master: entity work.slot_bus_master_bfm generic map ( g_name => "slot master" ) port map ( clock => clock, req => slot_req, resp => slot_resp ); i_c64_memory: entity work.dma_bus_slave_bfm generic map ( g_name => "c64_memory", g_latency => 4 ) port map ( clock => clock, req => dma_req, resp => dma_resp ); i_reu_memory: entity work.mem_bus_slave_bfm generic map ( g_name => "reu_memory", g_latency => 2 ) port map ( clock => clock, req => mem_req, resp => mem_resp ); end harness;
gpl-3.0
sbourdeauducq/dspunit
top/dspunit.vhd
2
20739
-- ---------------------------------------------------------------------- -- DspUnit : Advanced So(P)C Sequential Signal Processor -- Copyright (C) 2007-2010 by Adrien LELONG (www.lelongdunet.com) -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the -- Free Software Foundation, Inc., -- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. -- ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.dspalu_pac.all; use work.dspunit_pac.all; ------------------------------------------------------------------------------- entity dspunit is port ( --@inputs clk : in std_logic; clk_cpu : in std_logic; reset : in std_logic; --@outputs; -- memory 0 data_in_m0 : in std_logic_vector((sig_width - 1) downto 0); data_out_m0 : out std_logic_vector((sig_width - 1) downto 0); addr_r_m0 : out std_logic_vector((cmdreg_width - 1) downto 0); addr_w_m0 : out std_logic_vector((cmdreg_width - 1) downto 0); wr_en_m0 : out std_logic; c_en_m0 : out std_logic; -- memory 1 data_in_m1 : in std_logic_vector((sig_width - 1) downto 0); data_out_m1 : out std_logic_vector((sig_width - 1) downto 0); addr_m1 : out std_logic_vector((cmdreg_width - 1) downto 0); wr_en_m1 : out std_logic; c_en_m1 : out std_logic; -- memory 2 data_in_m2 : in std_logic_vector((sig_width - 1) downto 0); data_out_m2 : out std_logic_vector((sig_width - 1) downto 0); addr_m2 : out std_logic_vector((cmdreg_width - 1) downto 0); wr_en_m2 : out std_logic; c_en_m2 : out std_logic; -- cmd registers addr_cmdreg : in std_logic_vector((cmdreg_addr_width - 1) downto 0); data_in_cmdreg : in std_logic_vector((cmdreg_data_width - 1) downto 0); wr_en_cmdreg : in std_logic; data_out_cmdreg : out std_logic_vector((cmdreg_data_width - 1) downto 0); debug : out std_logic_vector(15 downto 0); irq : out std_logic; op_done : out std_logic ); end dspunit; --=---------------------------------------------------------------------------- architecture archi_dspunit of dspunit is ----------------------------------------------------------------------------- -- @constants definition ----------------------------------------------------------------------------- constant c_refresh_cmdreg_length : integer := 10; --=-------------------------------------------------------------------------- -- -- @component declarations -- ----------------------------------------------------------------------------- component dspalu_acc generic ( sig_width : integer; acc_width : integer ); port ( a1 : in std_logic_vector((sig_width - 1) downto 0); b1 : in std_logic_vector((sig_width - 1) downto 0); a2 : in std_logic_vector((sig_width - 1) downto 0); b2 : in std_logic_vector((sig_width - 1) downto 0); clk : in std_logic; clr_acc : in std_logic; acc_mode1 : in std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode; acc_mode2 : in std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode; alu_select : in std_logic_vector((alu_select_width - 1) downto 0); -- t_alu_select; cmp_mode : in std_logic_vector((cmp_mode_width - 1) downto 0); -- t_cmp_mode; cmp_pol : in std_logic; cmp_store : in std_logic; chain_acc : in std_logic; result1 : out std_logic_vector((sig_width - 1) downto 0); result_acc1 : out std_logic_vector((acc_width - 1) downto 0); result2 : out std_logic_vector((sig_width - 1) downto 0); result_acc2 : out std_logic_vector((acc_width - 1) downto 0); cmp_reg : out std_logic_vector((acc_width - 1) downto 0); cmp_greater : out std_logic; cmp_out : out std_logic ); end component; component dsp_cmdregs port ( clk : in std_logic; clk_cpu : in std_logic; reset : in std_logic; op_done : in std_logic; addr_cmdreg : in std_logic_vector((cmdreg_addr_width - 1) downto 0); data_in_cmdreg : in std_logic_vector((cmdreg_data_width - 1) downto 0); wr_en_cmdreg : in std_logic; data_out_cmdreg : out std_logic_vector((cmdreg_data_width - 1) downto 0); offset_0 : out unsigned((cmdreg_width - 1) downto 0); offset_1 : out unsigned((cmdreg_width - 1) downto 0); offset_2 : out unsigned((cmdreg_width - 1) downto 0); length0 : out std_logic_vector((cmdreg_data_width - 1) downto 0); length1 : out std_logic_vector((cmdreg_data_width - 1) downto 0); length2 : out std_logic_vector((cmdreg_data_width - 1) downto 0); opflag_select : out std_logic_vector((opflag_width - 1) downto 0); opcode_select : out std_logic_vector((opcode_width - 1) downto 0); irq : out std_logic; debug : out std_logic_vector(15 downto 0) ); end component; component cpflip port ( clk : in std_logic; op_en : in std_logic; data_in_m2 : in std_logic_vector((sig_width - 1) downto 0); length_reg : in std_logic_vector((cmdreg_width -1) downto 0); dsp_bus : out t_dsp_bus ); end component; component cpmem port ( clk : in std_logic; op_en : in std_logic; data_in_m0 : in std_logic_vector((sig_width - 1) downto 0); data_in_m1 : in std_logic_vector((sig_width - 1) downto 0); data_in_m2 : in std_logic_vector((sig_width - 1) downto 0); length_reg : in std_logic_vector((cmdreg_data_width -1) downto 0); opflag_select : in std_logic_vector((opflag_width - 1) downto 0); dsp_bus : out t_dsp_bus ); end component; component fft port ( clk : in std_logic; op_en : in std_logic; data_in_m0 : in std_logic_vector((sig_width - 1) downto 0); data_in_m2 : in std_logic_vector((sig_width - 1) downto 0); length_reg : in std_logic_vector((cmdreg_width -1) downto 0); shift_flags_reg : in std_logic_vector((cmdreg_width - 1) downto 0); opflag_select : in std_logic_vector((opflag_width - 1) downto 0); result1 : in std_logic_vector(sig_width downto 0); result2 : in std_logic_vector(sig_width downto 0); lut_out : in std_logic_vector((lut_out_width - 1) downto 0); dsp_bus : out t_dsp_bus ); end component; component dotcmul port ( clk : in std_logic; op_en : in std_logic; data_in_m0 : in std_logic_vector((sig_width - 1) downto 0); data_in_m1 : in std_logic_vector((sig_width - 1) downto 0); length_reg : in std_logic_vector((cmdreg_width -1) downto 0); length_kern_reg : in std_logic_vector((cmdreg_width -1) downto 0); opflag_select : in std_logic_vector((opflag_width - 1) downto 0); result1 : in std_logic_vector((sig_width - 1) downto 0); result2 : in std_logic_vector((sig_width - 1) downto 0); dsp_bus : out t_dsp_bus ); end component; component dsplut port ( clk : in std_logic; lut_in : in std_logic_vector((lut_in_width - 1) downto 0); lut_select : in std_logic_vector((lut_sel_width - 1) downto 0); lut_out : out std_logic_vector((lut_out_width - 1) downto 0) ); end component; component dotopnorm port ( clk : in std_logic; op_en : in std_logic; data_in_m0 : in std_logic_vector((sig_width - 1) downto 0); data_in_m1 : in std_logic_vector((sig_width - 1) downto 0); data_in_m2 : in std_logic_vector((sig_width - 1) downto 0); length_reg : in std_logic_vector((cmdreg_data_width -1) downto 0); offset_params : in std_logic_vector((cmdreg_data_width -1) downto 0); offset_result : in std_logic_vector((cmdreg_data_width -1) downto 0); opflag_select : in std_logic_vector((opflag_width - 1) downto 0); result1 : in std_logic_vector((sig_width - 1) downto 0); result2 : in std_logic_vector((2*sig_width - 1) downto 0); cmp_greater : in std_logic; dsp_bus : out t_dsp_bus ); end component; component dspdiv generic ( sig_width : integer ); port ( num : in std_logic_vector((2*sig_width - 1) downto 0); den : in std_logic_vector((sig_width - 1) downto 0); clk : in std_logic; q : out std_logic_vector((sig_width - 1) downto 0); r : out std_logic_vector((2*sig_width - 3) downto 0) ); end component; component dotdiv port ( clk : in std_logic; op_en : in std_logic; data_in_m0 : in std_logic_vector((sig_width - 1) downto 0); data_in_m1 : in std_logic_vector((sig_width - 1) downto 0); data_in_m2 : in std_logic_vector((sig_width - 1) downto 0); length_reg : in std_logic_vector((cmdreg_data_width -1) downto 0); offset_result : in std_logic_vector((cmdreg_data_width -1) downto 0); num_shift : in std_logic_vector((cmdreg_data_width - 1) downto 0); opflag_select : in std_logic_vector((opflag_width - 1) downto 0); div_q : in std_logic_vector((sig_width - 1) downto 0); dsp_bus : out t_dsp_bus ); end component; --=-------------------------------------------------------------------------- -- @signals definition ----------------------------------------------------------------------------- signal s_clr_acc : std_logic; signal s_alu_result1 : std_logic_vector((sig_width - 1) downto 0); signal s_alu_result_acc1 : std_logic_vector((acc_width - 1) downto 0); signal s_alu_result2 : std_logic_vector((sig_width - 1) downto 0); signal s_alu_result_acc2 : std_logic_vector((acc_width - 1) downto 0); signal s_opflag_select : std_logic_vector((opflag_width - 1) downto 0); signal s_opcode_select : std_logic_vector((opcode_width - 1) downto 0); signal s_offset_0 : unsigned((cmdreg_width - 1) downto 0); signal s_offset_1 : unsigned((cmdreg_width - 1) downto 0); signal s_offset_2 : unsigned((cmdreg_width - 1) downto 0); signal s_length0 : std_logic_vector((cmdreg_data_width - 1) downto 0); signal s_length1 : std_logic_vector((cmdreg_data_width - 1) downto 0); signal s_length2 : std_logic_vector((cmdreg_data_width - 1) downto 0); signal s_gcount : unsigned(15 downto 0); signal s_dsp_bus : t_dsp_bus; signal s_op_cpflip_en : std_logic; signal s_dsp_bus_cpflip : t_dsp_bus; signal s_op_cpmem_en : std_logic; signal s_dsp_bus_cpmem : t_dsp_bus; signal s_op_fft_en : std_logic; signal s_op_dotcmul_en : std_logic; signal s_dsp_bus_fft : t_dsp_bus; signal s_dsp_bus_dotcmul : t_dsp_bus; signal s_lut_out : std_logic_vector((lut_out_width - 1) downto 0); signal s_alu_cmp_reg : std_logic_vector((acc_width - 1) downto 0); signal s_alu_cmp_out : std_logic; signal s_cmp_greater : std_logic; signal s_dsp_bus_dotopnorm : t_dsp_bus; signal s_op_dotopnorm_en : std_logic; signal s_dsp_bus_dotdiv : t_dsp_bus; signal s_op_dotdiv_en : std_logic; signal s_chain_acc : std_logic; signal s_div_q : std_logic_vector((sig_width - 1) downto 0); signal s_div_r : std_logic_vector((2*sig_width - 3) downto 0); begin -- archs_dspunit ----------------------------------------------------------------------------- -- -- @instantiations -- ----------------------------------------------------------------------------- dspalu_acc_1 : dspalu_acc generic map ( sig_width => sig_width, acc_width => acc_width) port map ( a1 => s_dsp_bus.mul_in_a1, b1 => s_dsp_bus.mul_in_b1, a2 => s_dsp_bus.mul_in_a2, b2 => s_dsp_bus.mul_in_b2, clk => clk, clr_acc => s_clr_acc, acc_mode1 => s_dsp_bus.acc_mode1, acc_mode2 => s_dsp_bus.acc_mode2, alu_select => s_dsp_bus.alu_select, cmp_mode => s_dsp_bus.cmp_mode, cmp_pol => s_dsp_bus.cmp_pol, cmp_store => s_dsp_bus.cmp_store, chain_acc => s_chain_acc, result1 => s_alu_result1, result_acc1 => s_alu_result_acc1, result2 => s_alu_result2, result_acc2 => s_alu_result_acc2, cmp_reg => s_alu_cmp_reg, cmp_greater => s_cmp_greater, cmp_out => s_alu_cmp_out); dsp_cmdregs_1 : dsp_cmdregs port map ( clk => clk, clk_cpu => clk_cpu, reset => reset, op_done => s_dsp_bus.op_done, addr_cmdreg => addr_cmdreg, data_in_cmdreg => data_in_cmdreg, wr_en_cmdreg => wr_en_cmdreg, data_out_cmdreg => data_out_cmdreg, offset_0 => s_offset_0, offset_1 => s_offset_1, offset_2 => s_offset_2, length0 => s_length0, length1 => s_length1, length2 => s_length2, opflag_select => s_opflag_select, opcode_select => s_opcode_select, irq => irq, debug => open); dsplut_1 : dsplut port map ( clk => clk, lut_in => s_dsp_bus.lut_in, lut_select => s_dsp_bus.lut_select, lut_out => s_lut_out); cpflip_1 : cpflip port map ( clk => clk, op_en => s_op_cpflip_en, data_in_m2 => data_in_m2, length_reg => s_length0, --s_dsp_cmdregs(DSPADDR_LENGTH0), dsp_bus => s_dsp_bus_cpflip); cpmem_1 : cpmem port map ( clk => clk, op_en => s_op_cpmem_en, data_in_m0 => data_in_m0, data_in_m1 => data_in_m1, data_in_m2 => data_in_m2, length_reg => s_length0, --s_dsp_cmdregs(DSPADDR_LENGTH0), opflag_select => s_opflag_select, dsp_bus => s_dsp_bus_cpmem); fft_1 : fft port map ( clk => clk, op_en => s_op_fft_en, data_in_m0 => data_in_m0, data_in_m2 => data_in_m2, length_reg => s_length0, --s_dsp_cmdregs(DSPADDR_LENGTH0), shift_flags_reg => s_length1, --s_dsp_cmdregs(DSPADDR_LENGTH1), opflag_select => s_opflag_select, result1 => s_alu_result_acc1((2*sig_width - 1) downto (sig_width - 1)), result2 => s_alu_result_acc2((2*sig_width - 1) downto (sig_width - 1)), lut_out => s_lut_out, dsp_bus => s_dsp_bus_fft); dotcmul_1 : dotcmul port map ( clk => clk, op_en => s_op_dotcmul_en, data_in_m0 => data_in_m0, data_in_m1 => data_in_m1, length_reg => s_length0, --s_dsp_cmdregs(DSPADDR_LENGTH0), length_kern_reg => s_length1, --s_dsp_cmdregs(DSPADDR_LENGTH1), opflag_select => s_opflag_select, result1 => s_alu_result_acc1((2*sig_width - 1) downto sig_width), result2 => s_alu_result_acc2((2*sig_width - 1) downto sig_width), dsp_bus => s_dsp_bus_dotcmul); dotopnorm_1 : dotopnorm port map ( clk => clk, op_en => s_op_dotopnorm_en, data_in_m0 => data_in_m0, data_in_m1 => data_in_m1, data_in_m2 => data_in_m2, length_reg => s_length0, offset_params => s_length1, offset_result => s_length2, opflag_select => s_opflag_select, result1 => s_alu_result_acc1((2*sig_width - 2) downto (sig_width - 1)), result2 => s_alu_result_acc2((acc_width - 1) downto (acc_width - 2*sig_width)), cmp_greater => s_cmp_greater, dsp_bus => s_dsp_bus_dotopnorm); dspdiv_1 : dspdiv generic map ( sig_width => sig_width) port map ( num => s_dsp_bus.div_num, den => s_dsp_bus.div_den, clk => clk, q => s_div_q, r => s_div_r); dotdiv_1 : dotdiv port map ( clk => clk, op_en => s_op_dotdiv_en, data_in_m0 => data_in_m0, data_in_m1 => data_in_m1, data_in_m2 => data_in_m2, length_reg => s_length0, offset_result => s_length1, num_shift => s_length2, opflag_select => s_opflag_select, div_q => s_div_q, dsp_bus => s_dsp_bus_dotdiv); --=--------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Global counter ------------------------------------------------------------------------------- p_count : process (clk) begin -- process p_count if rising_edge(clk) then -- rising clock edge if s_dsp_bus.gcounter_reset = '1' then s_gcount <= (others => '0'); else s_gcount <= s_gcount + 1; end if; end if; end process p_count; --=--------------------------------------------------------------------------- -- -- @concurrent signal assignments -- ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- reading of config registers ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- multiplexer of the dsp unit bus ------------------------------------------------------------------------------- s_op_cpflip_en <= '1' when s_opcode_select = opcode_cpflip else '0'; s_op_cpmem_en <= '1' when s_opcode_select = opcode_cpmem else '0'; s_op_fft_en <= '1' when s_opcode_select = opcode_fft else '0'; s_op_dotcmul_en <= '1' when s_opcode_select = opcode_dotcmul else '0'; s_op_dotopnorm_en <= '1' when s_opcode_select = opcode_dotopnorm else '0'; s_op_dotdiv_en <= '1' when s_opcode_select = opcode_dotdiv else '0'; s_dsp_bus <= s_dsp_bus_cpflip when s_opcode_select = opcode_cpflip else s_dsp_bus_cpmem when s_opcode_select = opcode_cpmem else s_dsp_bus_fft when s_opcode_select = opcode_fft else s_dsp_bus_dotcmul when s_opcode_select = opcode_dotcmul else s_dsp_bus_dotopnorm when s_opcode_select = opcode_dotopnorm else s_dsp_bus_dotdiv when s_opcode_select = opcode_dotdiv else c_dsp_bus_init; ------------------------------------------------------------------------------- -- bus to output ports ------------------------------------------------------------------------------- -- memory 0 data_out_m0 <= s_dsp_bus.data_out_m0; addr_r_m0 <= std_logic_vector(s_dsp_bus.addr_r_m0 + s_offset_0); addr_w_m0 <= std_logic_vector(s_dsp_bus.addr_w_m0 + s_offset_0); wr_en_m0 <= s_dsp_bus.wr_en_m0; c_en_m0 <= s_dsp_bus.c_en_m0; -- memory 1 data_out_m1 <= s_dsp_bus.data_out_m1; addr_m1 <= std_logic_vector(s_dsp_bus.addr_m1 + s_offset_1); wr_en_m1 <= s_dsp_bus.wr_en_m1; c_en_m1 <= s_dsp_bus.c_en_m1; -- memory 2 data_out_m2 <= s_dsp_bus.data_out_m2; addr_m2 <= std_logic_vector(s_dsp_bus.addr_m2 + s_offset_2); wr_en_m2 <= s_dsp_bus.wr_en_m2; c_en_m2 <= s_dsp_bus.c_en_m2; op_done <= s_dsp_bus.op_done; s_clr_acc <= not reset; end archi_dspunit; -------------------------------------------------------------------------------
gpl-3.0
sbourdeauducq/dspunit
sim/bench_div.vhd
2
4333
-- ---------------------------------------------------------------------- -- DspUnit : Advanced So(P)C Sequential Signal Processor -- Copyright (C) 2007-2009 by Adrien LELONG (www.lelongdunet.com) -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the -- Free Software Foundation, Inc., -- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. -- ---------------------------------------------------------------------- -- Simulation parameters -->SIMSTOPTIME=3000ns -->SIMSAVFILE=dspdiv.sav ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity bench_div is end bench_div; --=---------------------------------------------------------------------------- architecture archi_bench_div of bench_div is ----------------------------------------------------------------------------- -- @constants definition ----------------------------------------------------------------------------- constant c_sig_width : integer := 16; --=-------------------------------------------------------------------------- -- -- @component declarations -- ----------------------------------------------------------------------------- component clock_gen generic ( tpw : time; tps : time ); port ( clk : out std_logic; reset : out std_logic ); end component; component dspdiv generic ( sig_width : integer ); port ( num : in std_logic_vector((2*sig_width - 1) downto 0); den : in std_logic_vector((sig_width - 1) downto 0); clk : in std_logic; q : out std_logic_vector((sig_width - 1) downto 0); r : out std_logic_vector((2*sig_width - 3) downto 0) ); end component; --=-------------------------------------------------------------------------- -- @signals definition ----------------------------------------------------------------------------- signal s_clk : std_logic; signal s_reset : std_logic; signal s_num : std_logic_vector((2*c_sig_width - 1) downto 0); signal s_den : std_logic_vector((c_sig_width - 1) downto 0); signal s_q : std_logic_vector((c_sig_width - 1) downto 0); signal s_r : std_logic_vector((2*c_sig_width - 3) downto 0); begin -- archs_bench_div ----------------------------------------------------------------------------- -- -- @instantiations -- ----------------------------------------------------------------------------- clock_gen_1 : clock_gen generic map ( tpw => 5 ns, tps => 0 ns) port map ( clk => s_clk, reset => s_reset); dspdiv_1 : dspdiv generic map ( sig_width => c_sig_width) port map ( num => s_num, den => s_den, clk => s_clk, q => s_q, r => s_r); --=--------------------------------------------------------------------------- --=--------------------------------------------------------------------------- -- -- @concurrent signal assignments -- ----------------------------------------------------------------------------- s_num <= x"00050000", x"05000000" after 21 ns, x"00050000" after 31 ns; s_den <= x"0406", x"0400" after 11 ns, x"FBFA" after 41 ns, x"0400" after 51 ns; -- s_num <= x"00050000",x"00050000" after 11 ns, x"FFFB0000" after 21 ns; -- s_den <= x"0406",x"FC00" after 31 ns; end archi_bench_div; -------------------------------------------------------------------------------
gpl-3.0
JL-Grande/Ascensor_SED
ASCENSOR/dec_flechas.vhd
1
486
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity dec_flechas is Port ( action : in STD_LOGIC_VECTOR (1 DOWNTO 0); led_flechas : out STD_LOGIC_VECTOR (6 downto 0); flecha_ctrl : out STD_LOGIC); end dec_flechas; architecture dataflow of dec_flechas is begin flecha_ctrl <= '0'; WITH action SELECT led_flechas <= "0011101" WHEN "11", --Subiendo "1100011" WHEN "00", --Bajando "1111110" WHEN others; --Parado END ARCHITECTURE dataflow;
gpl-3.0
JL-Grande/Ascensor_SED
ASCENSOR/dec_piso_seleccion.vhd
1
665
library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; entity dec_piso_seleccion is Port ( piso_code : in STD_LOGIC_VECTOR (1 downto 0); piso0 : out STD_LOGIC; piso1 : out STD_LOGIC; piso2 : out STD_LOGIC); end entity dec_piso_seleccion; architecture dataflow of dec_piso_seleccion is begin WITH piso_code SELECT piso0 <= '1' WHEN "01", '0' WHEN others; WITH piso_code SELECT piso1 <= '1' WHEN "10", '0' WHEN others; WITH piso_code SELECT piso2 <= '1' WHEN "11", '0' WHEN others; end architecture dataflow;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/cart_slot/vhdl_source/reu_pkg.vhd
4
1402
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package reu_pkg is constant c_status : unsigned(4 downto 0) := '0' & X"0"; constant c_command : unsigned(4 downto 0) := '0' & X"1"; constant c_c64base_l : unsigned(4 downto 0) := '0' & X"2"; constant c_c64base_h : unsigned(4 downto 0) := '0' & X"3"; constant c_reubase_l : unsigned(4 downto 0) := '0' & X"4"; constant c_reubase_m : unsigned(4 downto 0) := '0' & X"5"; constant c_reubase_h : unsigned(4 downto 0) := '0' & X"6"; constant c_translen_l : unsigned(4 downto 0) := '0' & X"7"; constant c_translen_h : unsigned(4 downto 0) := '0' & X"8"; constant c_irqmask : unsigned(4 downto 0) := '0' & X"9"; constant c_control : unsigned(4 downto 0) := '0' & X"A"; -- extended registers constant c_size_read : unsigned(4 downto 0) := '0' & X"C"; constant c_start_delay: unsigned(4 downto 0) := '0' & X"D"; constant c_rate_div : unsigned(4 downto 0) := '0' & X"E"; constant c_translen_x : unsigned(4 downto 0) := '0' & X"F"; constant c_mode_toreu : std_logic_vector(1 downto 0) := "00"; constant c_mode_toc64 : std_logic_vector(1 downto 0) := "01"; constant c_mode_swap : std_logic_vector(1 downto 0) := "10"; constant c_mode_verify : std_logic_vector(1 downto 0) := "11"; end;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/zpu/vhdl_source/zpu.vhd
5
8493
------------------------------------------------------------------------------ ---- ---- ---- ZPU 8-bit version, wrapper wirh memory ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a modified version of ---- ---- the zpu_small implementation. This one has only one 8-bit external ---- ---- memory port, which is used for I/O, instruction fetch and data ---- ---- accesses. It is intended to interface with existing 8-bit systems, ---- ---- while maintaining the large addressing range and 32-bit programming ---- ---- model. The 32-bit stack remains "internal" in the ZPU. ---- ---- ---- ---- This version is about the same size as zpu_small from zealot, ---- ---- but performs 25% better at the same clock speed, given that the ---- ---- external memory bus can operate with 0 wait states. The performance ---- ---- increase is due to the fact that most instructions only require 3 ---- ---- clock cycles instead of 4. ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com [zpu concept] ---- ---- - Salvador E. Tropea, salvador inti.gob.ar [zealot] ---- ---- - Gideon Zweijtzer, gideon.zweijtzer technolution.eu [this] ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2009 Gideon N. Zweijtzer <Technolution.NL> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu (Behave) (Entity and architecture) ---- ---- File name: zpu.vhd ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: ieee.std_logic_1164 ---- ---- ieee.numeric_std ---- ---- work.zpupkg ---- ---- Target FPGA: Spartan 3E (XC3S500E-4-PQG208) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 10.1.03i - xst K.39 ---- ---- Simulation tools: Modelsim ---- ---- Text editor: UltraEdit 11.00a+ ---- ---- ---- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.zpupkg.all; entity zpu is generic( g_addr_size : integer := 16; g_stack_size : integer := 12; -- Memory (stack+data) width g_prog_size : integer := 14; -- Program size g_dont_care : std_logic := '-'); -- Value used to fill the unused bits, can be '-' or '0' port( clock : in std_logic; reset : in std_logic; interrupt_i : in std_logic; break_o : out std_logic; mem_address : out std_logic_vector(g_addr_size-1 downto 0); mem_size : out std_logic_vector(1 downto 0); mem_instr : out std_logic; mem_req : out std_logic; mem_write : out std_logic; mem_rack : in std_logic; mem_dack : in std_logic; mem_wdata : out std_logic_vector(7 downto 0); mem_rdata : in std_logic_vector(7 downto 0) ); end zpu; architecture gideon of zpu is signal a_we : std_logic; -- BRAM A port Write Enable signal a_en : std_logic; -- BRAM A port Enable signal a_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM A Address signal a_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata : unsigned(31 downto 0); -- Data from BRAM A port signal a_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM A port signal b_we : std_logic; -- BRAM B port Write Enable signal b_en : std_logic; -- BRAM B port Enable signal b_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM B Address signal b_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata : unsigned(31 downto 0); -- Data from BRAM B port signal b_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM B port signal c_addr : unsigned(g_addr_size-1 downto 0); begin cpu: entity work.zpu_8bit_loadb generic map ( g_addr_size => g_addr_size, g_stack_size => g_stack_size, g_prog_size => g_prog_size, g_dont_care => g_dont_care ) port map ( clk_i => clock, reset_i => reset, interrupt_i => interrupt_i, break_o => break_o, -- synthesis translate_off dbg_o => open, -- synthesis translate_on -- BRAM (stack ONLY) a_we_o => a_we, a_en_o => a_en, a_addr_o => a_addr, a_o => a_wdata, a_i => a_rdata, b_we_o => b_we, b_en_o => b_en, b_addr_o => b_addr, b_o => b_wdata, b_i => b_rdata, -- memory port for text, bss, data c_addr_o => c_addr, c_size_o => mem_size, c_inst_o => mem_instr, c_req_o => mem_req, c_rack_i => mem_rack, c_dack_i => mem_dack, c_we_o => mem_write, c_data_o => mem_wdata, c_data_i => mem_rdata ); mem_address <= std_logic_vector(c_addr); a_wdata_slv <= std_logic_vector(a_wdata); b_wdata_slv <= std_logic_vector(b_wdata); a_rdata <= unsigned(a_rdata_slv); b_rdata <= unsigned(b_rdata_slv); i_stack_ram: entity work.dpram generic map ( g_width_bits => 32, g_depth_bits => g_stack_size-2, g_read_first_a => false, g_read_first_b => false, g_storage => "block" ) port map ( a_clock => clock, a_address => a_addr, a_rdata => a_rdata_slv, a_wdata => a_wdata_slv, a_en => a_en, a_we => a_we, b_clock => clock, b_address => b_addr, b_rdata => b_rdata_slv, b_wdata => b_wdata_slv, b_en => b_en, b_we => b_we ); end gideon;
gpl-3.0
KB777/1541UltimateII
fpga/6502/vhdl_sim/tb_data_oper.vhd
5
4942
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library std; use std.textio.all; library work; use work.pkg_6502_opcodes.all; use work.pkg_6502_decode.all; use work.File_IO_pkg.all; entity tb_data_oper is end tb_data_oper; architecture tb of tb_data_oper is signal inst : std_logic_vector(7 downto 0); signal n_in : std_logic := 'Z'; signal v_in : std_logic; signal z_in : std_logic; signal c_in : std_logic := 'U'; signal d_in : std_logic := 'U'; signal i_in : std_logic; signal data_in : std_logic_vector(7 downto 0) := X"55"; signal a_reg : std_logic_vector(7 downto 0) := X"33"; signal x_reg : std_logic_vector(7 downto 0) := X"AB"; signal y_reg : std_logic_vector(7 downto 0) := X"CD"; signal s_reg : std_logic_vector(7 downto 0) := X"EF"; signal alu_out : std_logic_vector(7 downto 0); signal mem_out : std_logic_vector(7 downto 0); signal impl_out : std_logic_vector(7 downto 0); signal set_a : std_logic; signal set_x : std_logic; signal set_y : std_logic; signal set_s : std_logic; signal n_out : std_logic; signal v_out : std_logic; signal z_out : std_logic; signal c_out : std_logic; signal d_out : std_logic; signal i_out : std_logic; signal opcode : string(1 to 13); begin mut: entity work.data_oper generic map ( support_bcd => true ) port map ( inst => inst, n_in => n_in, v_in => v_in, z_in => z_in, c_in => c_in, d_in => d_in, i_in => i_in, data_in => data_in, a_reg => a_reg, x_reg => x_reg, y_reg => y_reg, s_reg => s_reg, alu_out => alu_out, mem_out => mem_out, impl_out => impl_out, set_a => set_a, set_x => set_x, set_y => set_y, set_s => set_s, n_out => n_out, v_out => v_out, z_out => z_out, c_out => c_out, d_out => d_out, i_out => i_out ); process procedure write_str(variable L : inout line; s : string) is begin write(L, s); end procedure; variable L : line; begin for i in 0 to 255 loop c_in <= 'U'; d_in <= 'U'; inst <= conv_std_logic_vector(i, 8); opcode <= opcode_array(i); wait for 1 us; write(L, VecToHex(inst, 2)); write(L, ' '); write(L, opcode_array(i)); write(L, ':'); if(n_out /= 'Z') then write(L, 'N'); else write(L, '-'); end if; if(v_out /= 'U') then write(L, 'V'); else write(L, '-'); end if; if(z_out /= 'U') then write(L, 'Z'); else write(L, '-'); end if; if(c_out /= 'U') then write(L, 'C'); else write(L, '-'); end if; if(d_out /= 'U') then write(L, 'D'); else write(L, '-'); end if; if(i_out /= 'U') then write(L, 'I'); else write(L, '-'); end if; c_in <= '0'; d_in <= '0'; wait for 1 us; write(L, ' '); if store_a_from_alu(inst) then write_str(L, "Store ALU in A "); end if; if load_x(inst) then write_str(L, "Store ALU in X "); end if; if load_y(inst) then write_str(L, "Store ALU in Y "); end if; if(set_a='1') then write_str(L, "A:="); write(L, VecToHex(impl_out, 2)); write_str(L, " "); end if; if(set_x='1') then write_str(L, "X:="); write(L, VecToHex(impl_out, 2)); write_str(L, " "); end if; if(set_y='1') then write_str(L, "Y:="); write(L, VecToHex(impl_out, 2)); write_str(L, " "); end if; if(set_s='1') then write_str(L, "SP:="); write(L, VecToHex(impl_out, 2)); write_str(L, " "); end if; write_str(L, " ALU: " & VecToHex(alu_out, 2)); write_str(L, "; MEM: " & VecToHex(alu_out, 2)); writeline(output, L); end loop; wait; end process; end tb;
gpl-3.0
emabello42/FREAK-on-FPGA
embeddedretina_ise/ipcore_dir/ROM_GAUSS_COE/example_design/ROM_GAUSS_COE_exdes.vhd
1
4502
-------------------------------------------------------------------------------- -- -- Distributed Memory Generator Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- -- Description: -- This is the actual DMG core wrapper. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity ROM_GAUSS_COE_exdes is PORT ( CLK : IN STD_LOGIC := '0'; WE : IN STD_LOGIC := '0'; SPO : OUT STD_LOGIC_VECTOR(135-1 downto 0); A : IN STD_LOGIC_VECTOR(4-1-(4*0*boolean'pos(4>4)) downto 0) := (OTHERS => '0'); D : IN STD_LOGIC_VECTOR(135-1 downto 0) := (OTHERS => '0') ); end ROM_GAUSS_COE_exdes; architecture xilinx of ROM_GAUSS_COE_exdes is SIGNAL CLK_i : std_logic; component ROM_GAUSS_COE is PORT ( CLK : IN STD_LOGIC; WE : IN STD_LOGIC; SPO : OUT STD_LOGIC_VECTOR(135-1 downto 0); A : IN STD_LOGIC_VECTOR(4-1-(4*0*boolean'pos(4>4)) downto 0) := (OTHERS => '0'); D : IN STD_LOGIC_VECTOR(135-1 downto 0) := (OTHERS => '0') ); end component; begin dmg0 : ROM_GAUSS_COE port map ( CLK => CLK_i, WE => WE, SPO => SPO, A => A, D => D ); clk_buf: bufg PORT MAP( i => CLK, o => CLK_i ); end xilinx;
gpl-3.0
KB777/1541UltimateII
fpga/io/usb2/vhdl_source/ulpi_bus.vhd
1
8362
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ulpi_bus is port ( clock : in std_logic; reset : in std_logic; ULPI_DATA : inout std_logic_vector(7 downto 0); ULPI_DIR : in std_logic; ULPI_NXT : in std_logic; ULPI_STP : out std_logic; -- status status : out std_logic_vector(7 downto 0); operational : in std_logic := '1'; -- chirp interface do_chirp : in std_logic := '0'; chirp_data : in std_logic := '0'; -- register interface reg_read : in std_logic; reg_write : in std_logic; reg_address : in std_logic_vector(5 downto 0); reg_wdata : in std_logic_vector(7 downto 0); reg_ack : out std_logic; -- stream interface tx_data : in std_logic_vector(7 downto 0); tx_last : in std_logic; tx_valid : in std_logic; tx_start : in std_logic; tx_next : out std_logic; rx_data : out std_logic_vector(7 downto 0); rx_register : out std_logic; rx_last : out std_logic; rx_valid : out std_logic; rx_store : out std_logic ); attribute keep_hierarchy : string; attribute keep_hierarchy of ulpi_bus : entity is "yes"; end ulpi_bus; architecture gideon of ulpi_bus is signal ulpi_data_out : std_logic_vector(7 downto 0); signal ulpi_data_in : std_logic_vector(7 downto 0); signal ulpi_dir_d1 : std_logic; signal ulpi_dir_d2 : std_logic; signal ulpi_dir_d3 : std_logic; signal ulpi_nxt_d1 : std_logic; signal ulpi_nxt_d2 : std_logic; signal ulpi_nxt_d3 : std_logic; signal reg_cmd_d2 : std_logic; signal reg_cmd_d3 : std_logic; signal rx_reg_i : std_logic; signal tx_reg_i : std_logic; signal rx_status_i : std_logic; signal ulpi_stop : std_logic := '1'; signal ulpi_last : std_logic; signal bus_has_our_data : std_logic; type t_state is ( idle, chirp, reading, writing, writing_data, transmit ); signal state : t_state; attribute iob : string; attribute iob of ulpi_data_in : signal is "true"; attribute iob of ulpi_dir_d1 : signal is "true"; attribute iob of ulpi_nxt_d1 : signal is "true"; attribute iob of ulpi_data_out : signal is "true"; attribute iob of ULPI_STP : signal is "true"; begin -- Marking incoming data based on next/dir pattern rx_data <= ulpi_data_in; rx_store <= ulpi_dir_d1 and ulpi_dir_d2 and ulpi_nxt_d1 and operational; rx_valid <= ulpi_dir_d1 and ulpi_dir_d2; rx_last <= not ulpi_dir_d1 and ulpi_dir_d2; rx_status_i <= ulpi_dir_d1 and ulpi_dir_d2 and not ulpi_nxt_d1 and not rx_reg_i; rx_reg_i <= (ulpi_dir_d1 and ulpi_dir_d2 and not ulpi_dir_d3) and (not ulpi_nxt_d1 and not ulpi_nxt_d2 and ulpi_nxt_d3) and reg_cmd_d3; rx_register <= rx_reg_i; reg_ack <= rx_reg_i or tx_reg_i; p_sample: process(clock, reset) begin if rising_edge(clock) then ulpi_data_in <= ULPI_DATA; reg_cmd_d2 <= ulpi_data_in(7) and ulpi_data_in(6); reg_cmd_d3 <= reg_cmd_d2; ulpi_dir_d1 <= ULPI_DIR; ulpi_dir_d2 <= ulpi_dir_d1; ulpi_dir_d3 <= ulpi_dir_d2; ulpi_nxt_d1 <= ULPI_NXT; ulpi_nxt_d2 <= ulpi_nxt_d1; ulpi_nxt_d3 <= ulpi_nxt_d2; if rx_status_i='1' then status <= ulpi_data_in; end if; if reset='1' then status <= (others => '0'); end if; end if; end process; p_tx_state: process(clock, reset) begin if rising_edge(clock) then ulpi_stop <= '0'; tx_reg_i <= '0'; case state is when idle => ulpi_data_out <= X"00"; if reg_read='1' and rx_reg_i='0' then ulpi_data_out <= "11" & reg_address; state <= reading; elsif reg_write='1' and tx_reg_i='0' then ulpi_data_out <= "10" & reg_address; state <= writing; elsif do_chirp='1' then if ULPI_DIR='0' then ulpi_last <= '0'; state <= chirp; end if; ulpi_data_out <= X"40"; -- PIDless packet elsif tx_valid = '1' and tx_start = '1' then if ULPI_DIR='0' then ulpi_last <= tx_last; state <= transmit; end if; ulpi_data_out <= tx_data; end if; when chirp => if ULPI_NXT = '1' then if do_chirp = '0' then ulpi_data_out <= X"00"; ulpi_stop <= '1'; state <= idle; else ulpi_data_out <= (others => chirp_data); end if; end if; when reading => if rx_reg_i='1' then ulpi_data_out <= X"00"; state <= idle; end if; if ulpi_dir_d1='1' then state <= idle; -- terminate current tx ulpi_data_out <= X"00"; end if; when writing => if ULPI_DIR='1' then state <= idle; -- terminate current tx ulpi_data_out <= X"00"; elsif ULPI_NXT='1' then ulpi_data_out <= reg_wdata; state <= writing_data; end if; when writing_data => if ULPI_DIR='1' then state <= idle; -- terminate current tx ulpi_data_out <= X"00"; elsif ULPI_NXT='1' then ulpi_data_out <= X"00"; tx_reg_i <= '1'; ulpi_stop <= '1'; state <= idle; end if; when transmit => if ULPI_NXT = '1' then if ulpi_last='1' or tx_valid = '0' then ulpi_data_out <= X"00"; ulpi_stop <= '1'; state <= idle; else ulpi_data_out <= tx_data; ulpi_last <= tx_last; end if; end if; when others => null; end case; if reset='1' then state <= idle; ulpi_stop <= '1'; ulpi_last <= '0'; end if; end if; end process; p_next: process(state, tx_valid, tx_start, rx_reg_i, tx_reg_i, ULPI_DIR, ULPI_NXT, ulpi_last, reg_read, reg_write, bus_has_our_data) begin case state is when idle => tx_next <= not ULPI_DIR and tx_valid and tx_start; -- first byte is transferred to register if reg_read='1' and rx_reg_i='0' then tx_next <= '0'; end if; if reg_write='1' and tx_reg_i='0' then tx_next <= '0'; end if; when transmit => tx_next <= ULPI_NXT and bus_has_our_data and tx_valid and not ulpi_last; -- phy accepted this data. when others => tx_next <= '0'; end case; end process; ULPI_STP <= ulpi_stop; ULPI_DATA <= ulpi_data_out when bus_has_our_data = '1' else (others => 'Z'); bus_has_our_data <= '1' when ULPI_DIR='0' and ulpi_dir_d1='0' else '0'; end gideon;
gpl-3.0
emabello42/FREAK-on-FPGA
embeddedretina_ise/ROM_PAIRS.vhd
1
10417
--Copyright 2014 by Emmanuel D. Bello <[email protected]> --Laboratorio de Computacion Reconfigurable (LCR) --Universidad Tecnologica Nacional --Facultad Regional Mendoza --Argentina --This file is part of FREAK-on-FPGA. --FREAK-on-FPGA is free software: you can redistribute it and/or modify --it under the terms of the GNU General Public License as published by --the Free Software Foundation, either version 3 of the License, or --(at your option) any later version. --FREAK-on-FPGA is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --GNU General Public License for more details. --You should have received a copy of the GNU General Public License --along with FREAK-on-FPGA. If not, see <http://www.gnu.org/licenses/>. ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:14:30 05/16/2014 -- Design Name: -- Module Name: ROM_PAIRS - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.RetinaParameters.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ROM_PAIRS is port( clk : in std_logic; addr : in std_logic_vector(4 downto 0); points1 : out T_POINT_INDEX; points2 : out T_POINT_INDEX ); end ROM_PAIRS; architecture Behavioral of ROM_PAIRS is constant sROM_PAIRS : T_ROM_PAIRS := ( 0 => (28,26), 1 => (29,25), 2 => (40,38), 3 => (32,15), 4 => (19,10), 5 => (10,7), 6 => (25,11), 7 => (42,13), 8 => (39,33), 9 => (33,15), 10 => (38,16), 11 => (21,20), 12 => (29,11), 13 => (20,15), 14 => (5,1), 15 => (33,32), 16 => (17,13), 17 => (23,12), 18 => (9,3), 19 => (25,6), 20 => (18,12), 21 => (41,37), 22 => (22,19), 23 => (4,2), 24 => (11,6), 25 => (6,0), 26 => (11,0), 27 => (38,14), 28 => (9,8), 29 => (29,6), 30 => (34,31), 31 => (16,14), 32 => (39,20), 33 => (37,29), 34 => (36,30), 35 => (40,2), 36 => (35,30), 37 => (31,22), 38 => (33,21), 39 => (32,20), 40 => (23,18), 41 => (36,35), 42 => (39,21), 43 => (28,14), 44 => (19,7), 45 => (40,16), 46 => (39,32), 47 => (8,3), 48 => (37,6), 49 => (41,25), 50 => (33,20), 51 => (40,14), 52 => (37,11), 53 => (36,24), 54 => (22,10), 55 => (41,11), 56 => (21,15), 57 => (22,7), 58 => (41,29), 59 => (13,5), 60 => (37,25), 61 => (31,19), 62 => (41,6), 63 => (38,4), 64 => (16,2), 65 => (32,21), 66 => (34,22), 67 => (38,28), 68 => (26,3), 69 => (26,14), 70 => (34,10), 71 => (31,10), 72 => (28,16), 73 => (31,7), 74 => (34,19), 75 => (28,3), 76 => (17,1), 77 => (14,2), 78 => (28,2), 79 => (26,2), 80 => (35,24), 81 => (38,26), 82 => (40,28), 83 => (21,8), 84 => (21,3), 85 => (30,24), 86 => (17,5), 87 => (40,26), 88 => (26,16), 89 => (14,4), 90 => (28,4), 91 => (34,7), 92 => (16,4), 93 => (39,9), 94 => (20,3), 95 => (39,8), 96 => (38,3), 97 => (41,23), 98 => (13,1), 99 => (20,9), 100 => (25,17), 101 => (26,4), 102 => (39,27), 103 => (20,8), 104 => (14,9), 105 => (31,1), 106 => (35,18), 107 => (13,0), 108 => (34,1), 109 => (40,3), 110 => (37,23), 111 => (17,0), 112 => (41,18), 113 => (14,3), 114 => (17,6), 115 => (18,11), 116 => (37,13), 117 => (21,9), 118 => (29,13), 119 => (27,15), 120 => (29,12), 121 => (29,17), 122 => (12,11), 123 => (13,11), 124 => (32,27), 125 => (23,6), 126 => (37,17), 127 => (25,12), 128 => (33,27), 129 => (6,5), 130 => (31,5), 131 => (37,18), 132 => (16,3), 133 => (30,23), 134 => (30,18), 135 => (41,13), 136 => (12,6), 137 => (15,8), 138 => (23,0), 139 => (15,3), 140 => (25,13), 141 => (7,4), 142 => (18,0), 143 => (36,18), 144 => (29,5), 145 => (35,12), 146 => (35,23), 147 => (16,8), 148 => (25,5), 149 => (22,1), 150 => (25,1), 151 => (13,6), 152 => (11,1), 153 => (23,11), 154 => (27,20), 155 => (10,1), 156 => (29,1), 157 => (27,9), 158 => (9,2), 159 => (14,8), 160 => (19,5), 161 => (38,7), 162 => (15,9), 163 => (34,17), 164 => (12,0), 165 => (27,21), 166 => (36,23), 167 => (16,9), 168 => (27,8), 169 => (29,18), 170 => (18,6), 171 => (41,1), 172 => (5,0), 173 => (25,23), 174 => (28,15), 175 => (3,2), 176 => (26,15), 177 => (42,30), 178 => (4,3), 179 => (40,10), 180 => (10,2), 181 => (1,0), 182 => (19,4), 183 => (26,21), 184 => (22,5), 185 => (7,5), 186 => (19,1), 187 => (17,11), 188 => (34,13), 189 => (34,0), 190 => (8,4), 191 => (24,18), 192 => (29,23), 193 => (38,21), 194 => (39,14), 195 => (28,20), 196 => (40,7), 197 => (24,12), 198 => (24,23), 199 => (39,28), 200 => (34,4), 201 => (39,26), 202 => (38,19), 203 => (39,16), 204 => (21,14), 205 => (31,0), 206 => (38,20), 207 => (32,2), 208 => (31,2), 209 => (22,4), 210 => (16,7), 211 => (40,22), 212 => (30,11), 213 => (22,2), 214 => (33,16), 215 => (31,17), 216 => (40,20), 217 => (25,18), 218 => (6,1), 219 => (33,4), 220 => (40,21), 221 => (30,6), 222 => (33,26), 223 => (19,2), 224 => (11,5), 225 => (33,2), 226 => (38,10), 227 => (31,4), 228 => (8,2), 229 => (21,2), 230 => (36,0), 231 => (42,38), 232 => (18,17), 233 => (23,13), 234 => (40,19), 235 => (13,10), 236 => (10,4), 237 => (32,16), 238 => (28,21), 239 => (7,2), 240 => (32,4), 241 => (15,2), 242 => (32,28), 243 => (13,12), 244 => (20,4), 245 => (17,7), 246 => (16,15), 247 => (20,2), 248 => (20,16), 249 => (26,20), 250 => (17,12), 251 => (12,5), 252 => (15,14), 253 => (14,10), 254 => (34,2), 255 => (42,9), 256 => (18,5), 257 => (23,1), 258 => (21,4), 259 => (24,0), 260 => (30,29), 261 => (26,7), 262 => (38,22), 263 => (19,17), 264 => (28,7), 265 => (7,3), 266 => (31,11), 267 => (9,4), 268 => (22,0), 269 => (35,25), 270 => (19,0), 271 => (23,5), 272 => (12,1), 273 => (15,4), 274 => (41,24), 275 => (22,13), 276 => (19,16), 277 => (28,10), 278 => (37,35), 279 => (37,24), 280 => (10,5), 281 => (4,1), 282 => (41,30), 283 => (31,14), 284 => (10,3), 285 => (32,26), 286 => (7,1), 287 => (18,1), 288 => (5,2), 289 => (36,29), 290 => (38,33), 291 => (36,25), 292 => (34,16), 293 => (38,34), 294 => (41,10), 295 => (40,31), 296 => (19,3), 297 => (7,0), 298 => (22,6), 299 => (26,10), 300 => (27,2), 301 => (22,3), 302 => (10,8), 303 => (23,17), 304 => (11,7), 305 => (19,11), 306 => (10,0), 307 => (19,6), 308 => (22,14), 309 => (40,32), 310 => (37,7), 311 => (27,4), 312 => (33,28), 313 => (35,17), 314 => (18,13), 315 => (20,14), 316 => (10,9), 317 => (22,17), 318 => (27,14), 319 => (21,16), 320 => (22,11), 321 => (30,17), 322 => (37,34), 323 => (37,19), 324 => (34,12), 325 => (5,4), 326 => (41,22), 327 => (31,16), 328 => (31,3), 329 => (40,1), 330 => (34,3), 331 => (29,10), 332 => (19,8), 333 => (28,27), 334 => (8,7), 335 => (40,39), 336 => (35,13), 337 => (35,29), 338 => (27,16), 339 => (14,7), 340 => (36,13), 341 => (30,13), 342 => (2,1), 343 => (30,25), 344 => (37,10), 345 => (30,5), 346 => (22,9), 347 => (16,10), 348 => (17,10), 349 => (19,13), 350 => (19,14), 351 => (29,24), 352 => (11,10), 353 => (40,27), 354 => (27,26), 355 => (13,4), 356 => (16,1), 357 => (38,5), 358 => (22,8), 359 => (25,10), 360 => (17,2), 361 => (35,1), 362 => (38,27), 363 => (34,14), 364 => (31,12), 365 => (41,31), 366 => (40,17), 367 => (22,16), 368 => (7,6), 369 => (13,7), 370 => (34,25), 371 => (25,7), 372 => (39,38), 373 => (26,1), 374 => (31,29), 375 => (41,36), 376 => (25,24), 377 => (41,7), 378 => (14,5), 379 => (39,7), 380 => (5,3), 381 => (28,19), 382 => (16,5), 383 => (37,22), 384 => (37,36), 385 => (14,1), 386 => (24,17), 387 => (38,13), 388 => (24,1), 389 => (17,4), 390 => (15,7), 391 => (3,1), 392 => (13,2), 393 => (41,35), 394 => (41,19), 395 => (2,0), 396 => (29,7), 397 => (26,22), 398 => (34,23), 399 => (31,28), 400 => (24,13), 401 => (37,30), 402 => (6,4), 403 => (39,10), 404 => (28,1), 405 => (12,10), 406 => (12,7), 407 => (15,10), 408 => (4,0), 409 => (34,29), 410 => (19,12), 411 => (38,31), 412 => (20,7), 413 => (31,18), 414 => (21,7), 415 => (26,19), 416 => (26,5), 417 => (28,22), 418 => (19,15), 419 => (22,12), 420 => (34,26), 421 => (21,10), 422 => (40,0), 423 => (20,10), 424 => (40,13), 425 => (22,15), 426 => (41,4), 427 => (9,5), 428 => (38,32), 429 => (34,18), 430 => (13,3), 431 => (38,0), 432 => (25,22), 433 => (39,19), 434 => (38,17), 435 => (17,3), 436 => (31,15), 437 => (31,25), 438 => (14,0), 439 => (40,34), 440 => (40,33), 441 => (18,10), 442 => (17,16), 443 => (31,23), 444 => (39,22), 445 => (23,10), 446 => (29,19), 447 => (29,4), 448 => (34,15), 449 => (16,0), 450 => (25,19), 451 => (37,2), 452 => (17,14), 453 => (18,7), 454 => (25,2), 455 => (31,26), 456 => (32,19), 457 => (23,7), 458 => (17,9), 459 => (29,22), 460 => (14,6), 461 => (22,20), 462 => (28,17), 463 => (23,19), 464 => (22,21), 465 => (6,3), 466 => (15,1), 467 => (27,7), 468 => (41,34), 469 => (31,20), 470 => (17,8), 471 => (33,22), 472 => (16,11), 473 => (16,13), 474 => (28,0), 475 => (12,2), 476 => (14,11), 477 => (14,13), 478 => (11,3), 479 => (27,10), 480 => (23,22), 481 => (20,19), 482 => (37,31), 483 => (34,21), 484 => (26,13), 485 => (39,1), 486 => (34,28), 487 => (26,0), 488 => (29,2), 489 => (21,19), 490 => (8,0), 491 => (25,4), 492 => (20,1), 493 => (19,18), 494 => (15,5), 495 => (16,6), 496 => (31,21), 497 => (21,1), 498 => (33,19), 499 => (33,5), 500 => (12,4), 501 => (21,5), 502 => (37,4), 503 => (22,18), 504 => (9,0), 505 => (34,20), 506 => (28,11), 507 => (35,10), 508 => (26,6), 509 => (32,22), 510 => (30,7), 511 => (41,2) ); begin rom: process(clk) variable pointIndex1: integer range 0 to 42 := 0; variable pointIndex2: integer range 0 to 42 := 0; begin if rising_edge(clk) then for i in 0 to 15 loop pointIndex1 := sROM_PAIRS(to_integer(resize(unsigned(addr)*16+i,9)))(0); pointIndex2 := sROM_PAIRS(to_integer(resize(unsigned(addr)*16+i,9)))(1); points1(i) <= std_logic_vector(to_unsigned(pointIndex1, points1(0)'length)) ; points2(i) <= std_logic_vector(to_unsigned(pointIndex2, points2(0)'length)) ; end loop; end if; --sROM_PAIRS(to_integer(resize(unsigned(addr)*16+i,9)))(0); end process rom; end Behavioral;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/1541/vhdl_source/gcr_encoder.vhd
5
1636
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; entity gcr_encoder is port ( clock : in std_logic; reset : in std_logic; req : in t_io_req; resp : out t_io_resp ); end gcr_encoder; architecture regmap of gcr_encoder is signal shift_reg : std_logic_vector(0 to 31); signal encoded : std_logic_vector(0 to 39); begin process(clock) begin if rising_edge(clock) then resp <= c_io_resp_init; if req.write='1' then resp.ack <= '1'; shift_reg <= shift_reg(8 to 31) & req.data; elsif req.read='1' then resp.ack <= '1'; case req.address(3 downto 0) is when X"0" => resp.data <= encoded(0 to 7); when X"1" => resp.data <= encoded(8 to 15); when X"2" => resp.data <= encoded(16 to 23); when X"3" => resp.data <= encoded(24 to 31); when others => resp.data <= encoded(32 to 39); end case; end if; if reset='1' then shift_reg <= X"00000000"; end if; end if; end process; r_encoders: for i in 0 to 7 generate i_bin2gcr: entity work.bin2gcr port map ( d_in => shift_reg(4*i to 3+4*i), d_out => encoded(5*i to 4+5*i) ); end generate; end;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/io/usb/vhdl_source/usb_host_io.vhd
3
15325
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.usb_pkg.all; use work.io_bus_pkg.all; library unisim; use unisim.vcomponents.all; entity usb_host_io is generic ( g_simulation : boolean := false ); port ( ulpi_clock : in std_logic; ulpi_reset : in std_logic; -- ULPI Interface ULPI_DATA : inout std_logic_vector(7 downto 0); ULPI_DIR : in std_logic; ULPI_NXT : in std_logic; ULPI_STP : out std_logic; -- LED interface usb_busy : out std_logic; -- register interface bus sys_clock : in std_logic; sys_reset : in std_logic; sys_io_req : in t_io_req; sys_io_resp : out t_io_resp ); end usb_host_io; architecture wrap of usb_host_io is signal descr_addr : std_logic_vector(8 downto 0); signal descr_rdata : std_logic_vector(31 downto 0); signal descr_wdata : std_logic_vector(31 downto 0); signal descr_en : std_logic; signal descr_we : std_logic; signal buf_addr : std_logic_vector(10 downto 0); signal buf_rdata : std_logic_vector(7 downto 0); signal buf_wdata : std_logic_vector(7 downto 0); signal buf_en : std_logic; signal buf_we : std_logic; signal tx_busy : std_logic; signal tx_ack : std_logic; signal send_token : std_logic; signal send_handsh : std_logic; signal tx_pid : std_logic_vector(3 downto 0); signal tx_token : std_logic_vector(10 downto 0); signal send_data : std_logic; signal no_data : std_logic; signal user_data : std_logic_vector(7 downto 0); signal user_last : std_logic; signal user_next : std_logic; signal rx_pid : std_logic_vector(3 downto 0) := X"0"; signal rx_token : std_logic_vector(10 downto 0) := (others => '0'); signal valid_token : std_logic := '0'; signal valid_handsh : std_logic := '0'; signal valid_packet : std_logic := '0'; signal data_valid : std_logic := '0'; signal data_start : std_logic := '0'; signal data_out : std_logic_vector(7 downto 0) := X"12"; signal rx_error : std_logic := '0'; signal tx_data : std_logic_vector(7 downto 0) := X"00"; signal tx_last : std_logic := '0'; signal tx_valid : std_logic := '0'; signal tx_start : std_logic := '0'; signal tx_next : std_logic := '0'; signal rx_data : std_logic_vector(7 downto 0); signal status : std_logic_vector(7 downto 0); signal rx_last : std_logic; signal rx_valid : std_logic; signal rx_store : std_logic; signal rx_register : std_logic; signal reg_read : std_logic := '0'; signal reg_write : std_logic; signal reg_ack : std_logic; signal reg_addr : std_logic_vector(5 downto 0); signal reg_wdata : std_logic_vector(7 downto 0); -- signal reset_pkt : std_logic; -- signal reset_valid : std_logic; -- signal reset_last : std_logic; -- signal reset_data : std_logic_vector(7 downto 0); signal send_reset_data : std_logic; signal reset_last : std_logic; signal reset_data : std_logic_vector(7 downto 0); signal reset_done : std_logic; signal sof_enable : std_logic; signal scan_enable : std_logic; signal speed : std_logic_vector(1 downto 0); signal abort : std_logic; signal sys_addr_i : std_logic_vector(sys_io_req.address'range); signal sys_buf_en : std_logic; signal sys_descr_en : std_logic; signal sys_sel_d : std_logic_vector(2 downto 0); signal sys_buf_rdata : std_logic_vector(7 downto 0); signal sys_descr_rdata : std_logic_vector(7 downto 0); signal sys_cmd_read : std_logic; signal sys_cmd_write : std_logic; signal sys_cmd_rdata : std_logic_vector(7 downto 0); signal sys_cmd_full : std_logic; signal sys_cmd_count : std_logic_vector(2 downto 0); signal sys_resp_get : std_logic; signal sys_resp_data : std_logic_vector(8 downto 0); signal sys_resp_empty : std_logic; signal cmd_get : std_logic; signal cmd_empty : std_logic; signal cmd_data : std_logic_vector(7 downto 0); signal resp_put : std_logic; signal resp_full : std_logic; signal resp_data : std_logic_vector(8 downto 0); begin i_host: entity work.ulpi_host port map ( clock => ulpi_clock, reset => ulpi_reset, -- Descriptor RAM interface descr_addr => descr_addr, descr_rdata => descr_rdata, descr_wdata => descr_wdata, descr_en => descr_en, descr_we => descr_we, -- Buffer RAM interface buf_addr => buf_addr, buf_rdata => buf_rdata, buf_wdata => buf_wdata, buf_en => buf_en, buf_we => buf_we, -- Transmit Path Interface tx_busy => tx_busy, tx_ack => tx_ack, -- Interface to send tokens and handshakes send_token => send_token, send_handsh => send_handsh, tx_pid => tx_pid, tx_token => tx_token, -- Interface to send data packets send_data => send_data, no_data => no_data, user_data => user_data, user_last => user_last, user_next => user_next, -- Interface to bus reset unit reset_done => reset_done, sof_enable => sof_enable, scan_enable => scan_enable, speed => speed, abort => abort, -- Receive Path Interface rx_pid => rx_pid, rx_token => rx_token, valid_token => valid_token, valid_handsh => valid_handsh, valid_packet => valid_packet, data_valid => data_valid, data_start => data_start, data_out => data_out, rx_error => rx_error ); i_descr_ram: RAMB16_S9_S36 port map ( CLKA => sys_clock, SSRA => sys_reset, ENA => sys_descr_en, WEA => sys_io_req.write, ADDRA => sys_addr_i(10 downto 0), DIA => sys_io_req.data, DIPA => "0", DOA => sys_descr_rdata, CLKB => ulpi_clock, SSRB => ulpi_reset, ENB => descr_en, WEB => descr_we, ADDRB => descr_addr, DIB => descr_wdata, DIPB => X"0", DOB => descr_rdata ); i_buf_ram: RAMB16_S9_S9 port map ( CLKA => sys_clock, SSRA => sys_reset, ENA => sys_buf_en, WEA => sys_io_req.write, ADDRA => sys_addr_i(10 downto 0), DIA => sys_io_req.data, DIPA => "0", DOA => sys_buf_rdata, CLKB => ulpi_clock, SSRB => ulpi_reset, ENB => buf_en, WEB => buf_we, ADDRB => buf_addr(10 downto 0), DIB => buf_wdata, DIPB => "0", DOB => buf_rdata ); i_tx: entity work.ulpi_tx port map ( clock => ulpi_clock, reset => ulpi_reset, -- Bus Interface tx_start => tx_start, tx_last => tx_last, tx_valid => tx_valid, tx_next => tx_next, tx_data => tx_data, -- Status speed => speed, status => status, busy => tx_busy, tx_ack => tx_ack, -- Interface to send tokens send_token => send_token, send_handsh => send_handsh, pid => tx_pid, token => tx_token, -- Interface to send data packets send_data => send_data, user_data => user_data, user_last => user_last, user_next => user_next, -- Interface to read/write registers and reset packets send_reset_data => send_reset_data, reset_data => reset_data(0), reset_last => reset_last ); i_rx: entity work.ulpi_rx generic map ( g_allow_token => false ) port map ( clock => ulpi_clock, reset => ulpi_reset, rx_data => rx_data, rx_last => rx_last, rx_valid => rx_valid, rx_store => rx_store, pid => rx_pid, token => rx_token, valid_token => valid_token, valid_handsh => valid_handsh, valid_packet => valid_packet, data_out => data_out, data_valid => data_valid, data_start => data_start, error => rx_error ); i_bus: entity work.ulpi_bus port map ( clock => ulpi_clock, reset => ulpi_reset, ULPI_DATA => ULPI_DATA, ULPI_DIR => ULPI_DIR, ULPI_NXT => ULPI_NXT, ULPI_STP => ULPI_STP, status => status, -- register interface reg_read => reg_read, reg_write => reg_write, reg_address => reg_addr, reg_wdata => reg_wdata, reg_ack => reg_ack, -- stream interface tx_data => tx_data, tx_last => tx_last, tx_valid => tx_valid, tx_start => tx_start, tx_next => tx_next, rx_data => rx_data, rx_last => rx_last, rx_register => rx_register, rx_store => rx_store, rx_valid => rx_valid ); i_reset: entity work.bus_reset generic map ( g_simulation => g_simulation ) port map ( clock => ulpi_clock, reset => ulpi_reset, reset_done => reset_done, sof_enable => sof_enable, scan_enable => scan_enable, speed => speed, abort => abort, -- Command / response interface cmd_get => cmd_get, cmd_empty => cmd_empty, cmd_data => cmd_data, resp_put => resp_put, resp_full => resp_full, resp_data => resp_data, -- status status => status, usb_busy => usb_busy, -- register interface reg_read => reg_read, reg_write => reg_write, reg_rdata => rx_data, reg_wdata => reg_wdata, reg_address => reg_addr, reg_ack => reg_ack, -- interface to packet transmitter send_packet => send_reset_data, user_data => reset_data, user_last => reset_last, user_valid => open ); i_cmd_fifo: entity work.async_fifo generic map ( g_data_width => 8, g_depth_bits => 3, g_count_bits => 3, g_threshold => 3, g_storage => "distributed" ) port map ( -- write port signals (synchronized to write clock) wr_clock => sys_clock, wr_reset => sys_reset, wr_en => sys_cmd_write, wr_din => sys_io_req.data, wr_flush => '0', wr_count => sys_cmd_count, wr_full => open, wr_almost_full => sys_cmd_full, wr_error => open, wr_inhibit => open, -- read port signals (synchronized to read clock) rd_clock => ulpi_clock, rd_reset => ulpi_reset, rd_en => cmd_get, rd_dout => cmd_data, rd_count => open, rd_empty => cmd_empty, rd_almost_empty => open, rd_error => open ); i_resp_fifo: entity work.async_fifo generic map ( g_data_width => 9, g_depth_bits => 3, g_count_bits => 3, g_threshold => 3, g_storage => "distributed" ) port map ( -- write port signals (synchronized to write clock) wr_clock => ulpi_clock, wr_reset => ulpi_reset, wr_en => resp_put, wr_din => resp_data, wr_flush => '0', wr_count => open, wr_full => resp_full, wr_almost_full => open, wr_error => open, wr_inhibit => open, -- read port signals (synchronized to read clock) rd_clock => sys_clock, rd_reset => sys_reset, rd_en => sys_resp_get, rd_dout => sys_resp_data, rd_count => open, rd_empty => sys_resp_empty, rd_almost_empty => open, rd_error => open ); -- BUS INTERFACE -- -- command / response output word generator process(sys_clock) begin if rising_edge(sys_clock) then sys_resp_get <= '0'; case sys_io_req.address(1 downto 0) is when "00" => sys_cmd_rdata <= sys_resp_data(7 downto 0); when "01" => sys_cmd_rdata <= not sys_resp_empty & "000000" & sys_resp_data(8); when "10" => sys_cmd_rdata <= sys_cmd_full & "0000" & sys_cmd_count; when "11" => sys_cmd_rdata <= X"00"; sys_resp_get <= sys_cmd_read; -- if reading, we'll pull one when others => null; end case; end if; end process; sys_addr_i(sys_addr_i'high downto 0) <= std_logic_vector(sys_io_req.address(sys_addr_i'range)); sys_buf_en <= (sys_io_req.read or sys_io_req.write) and sys_io_req.address(12); sys_descr_en <= (sys_io_req.read or sys_io_req.write) and not sys_io_req.address(12) and not sys_io_req.address(11); sys_cmd_read <= sys_io_req.read and not sys_io_req.address(12) and sys_io_req.address(11); sys_cmd_write <= sys_io_req.write and not sys_io_req.address(12) and sys_io_req.address(11); process(sys_clock) begin if rising_edge(sys_clock) then sys_io_resp.ack <= sys_io_req.read or sys_io_req.write; sys_sel_d <= sys_io_req.read & std_logic_vector(sys_io_req.address(12 downto 11)); end if; end process; with sys_sel_d select sys_io_resp.data <= sys_buf_rdata when "110" | "111", sys_descr_rdata when "100", sys_cmd_rdata when "101", X"00" when others; end wrap;
gpl-3.0
KB777/1541UltimateII
fpga/cpu_unit/mblite/hw/core/execute.vhd
1
11793
---------------------------------------------------------------------------------------------- -- -- Input file : execute.vhd -- Design name : execute -- Author : Tamar Kranenburg -- Company : Delft University of Technology -- : Faculty EEMCS, Department ME&CE -- : Systems and Circuits group -- -- Description : The Execution Unit performs all arithmetic operations and makes -- the branch decision. Furthermore the forwarding logic is located -- here. Everything is computed within a single clock-cycle -- -- ---------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library mblite; use mblite.config_Pkg.all; use mblite.core_Pkg.all; use mblite.std_Pkg.all; entity execute is generic ( G_USE_HW_MUL : boolean := CFG_USE_HW_MUL; G_USE_BARREL : boolean := CFG_USE_BARREL ); port ( exec_o : out execute_out_type; exec_i : in execute_in_type; ena_i : in std_logic; rst_i : in std_logic; clk_i : in std_logic ); end execute; architecture arch of execute is type execute_reg_type is record carry : std_logic; break_in_progress : std_logic; flush_ex : std_logic; end record; signal r, rin : execute_out_type; signal reg, regin : execute_reg_type; begin exec_o <= r; execute_comb: process(exec_i, r, reg) variable v : execute_out_type; variable v_reg : execute_reg_type; variable alu_src_a : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0); variable alu_src_b : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0); variable carry : std_logic; variable result : std_logic_vector(CFG_DMEM_WIDTH downto 0); variable result_add : std_logic_vector(CFG_DMEM_WIDTH downto 0); variable zero : std_logic; variable dat_a, dat_b : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0); variable sel_dat_a, sel_dat_b, sel_dat_d : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0); variable mem_result : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0); variable special_reg : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0); begin v := r; v_reg := reg; sel_dat_a := select_register_data(exec_i.dat_a, exec_i.fwd_dec_result, forward_condition(exec_i.fwd_dec.reg_write, exec_i.fwd_dec.reg_d, exec_i.reg_a)); sel_dat_b := select_register_data(exec_i.dat_b, exec_i.fwd_dec_result, forward_condition(exec_i.fwd_dec.reg_write, exec_i.fwd_dec.reg_d, exec_i.reg_b)); sel_dat_d := select_register_data(exec_i.dat_d, exec_i.fwd_dec_result, forward_condition(exec_i.fwd_dec.reg_write, exec_i.fwd_dec.reg_d, exec_i.ctrl_wrb.reg_d)); if reg.flush_ex = '1' then v.ctrl_mem.mem_write := '0'; v.ctrl_mem.mem_read := '0'; v.ctrl_wrb.reg_write := '0'; v.ctrl_wrb.reg_d := (others => '0'); else v.ctrl_mem := exec_i.ctrl_mem; v.ctrl_wrb := exec_i.ctrl_wrb; if exec_i.ctrl_wrb.reg_d = "00000" then v.ctrl_wrb.reg_write := '0'; end if; end if; if exec_i.ctrl_mem_wrb.mem_read = '1' then mem_result := align_mem_load(exec_i.mem_result, exec_i.ctrl_mem_wrb.transfer_size, exec_i.alu_result(1 downto 0)); else mem_result := exec_i.alu_result; end if; if forward_condition(r.ctrl_wrb.reg_write, r.ctrl_wrb.reg_d, exec_i.reg_a) = '1' then -- Forward Execution Result to REG a dat_a := r.alu_result; elsif forward_condition(exec_i.fwd_mem.reg_write, exec_i.fwd_mem.reg_d, exec_i.reg_a) = '1' then -- Forward Memory Result to REG a dat_a := mem_result; else -- DEFAULT: value of REG a dat_a := sel_dat_a; end if; if forward_condition(r.ctrl_wrb.reg_write, r.ctrl_wrb.reg_d, exec_i.reg_b) = '1' then -- Forward (latched) Execution Result to REG b dat_b := r.alu_result; elsif forward_condition(exec_i.fwd_mem.reg_write, exec_i.fwd_mem.reg_d, exec_i.reg_b) = '1' then -- Forward Memory Result to REG b dat_b := mem_result; else -- DEFAULT: value of REG b dat_b := sel_dat_b; end if; if forward_condition(r.ctrl_wrb.reg_write, r.ctrl_wrb.reg_d, exec_i.ctrl_wrb.reg_d) = '1' then -- Forward Execution Result to REG d v.dat_d := align_mem_store(r.alu_result, exec_i.ctrl_mem.transfer_size); elsif forward_condition(exec_i.fwd_mem.reg_write, exec_i.fwd_mem.reg_d, exec_i.ctrl_wrb.reg_d) = '1' then -- Forward Memory Result to REG d v.dat_d := align_mem_store(mem_result, exec_i.ctrl_mem.transfer_size); else -- DEFAULT: value of REG d v.dat_d := align_mem_store(sel_dat_d, exec_i.ctrl_mem.transfer_size); end if; -- In case more than just one special register needs to be supported, a multiplexer can be made here. For now, just MSR. special_reg := (31 => reg.carry, 3 => reg.break_in_progress, 2 => reg.carry, 1 => r.interrupt_enable, others => '0' ); -- Set the first operand of the ALU case exec_i.ctrl_ex.alu_src_a is when ALU_SRC_PC => alu_src_a := sign_extend(exec_i.program_counter, '0', 32); when ALU_SRC_NOT_REGA => alu_src_a := not dat_a; when ALU_SRC_SPR => alu_src_a := special_reg; when others => alu_src_a := dat_a; end case; -- Set the second operand of the ALU case exec_i.ctrl_ex.alu_src_b is when ALU_SRC_IMM => alu_src_b := exec_i.imm; when ALU_SRC_NOT_IMM => alu_src_b := not exec_i.imm; when ALU_SRC_NOT_REGB => alu_src_b := not dat_b; when others => alu_src_b := dat_b; end case; -- Determine value of carry in case exec_i.ctrl_ex.carry is when CARRY_ALU => carry := reg.carry; when CARRY_ONE => carry := '1'; when CARRY_ARITH => carry := alu_src_a(CFG_DMEM_WIDTH - 1); when others => carry := '0'; end case; result_add := add(alu_src_a, alu_src_b, carry); case exec_i.ctrl_ex.alu_op is when ALU_ADD => result := result_add; when ALU_OR => result := '0' & (alu_src_a or alu_src_b); when ALU_AND => result := '0' & (alu_src_a and alu_src_b); when ALU_XOR => result := '0' & (alu_src_a xor alu_src_b); when ALU_SHIFT => result := alu_src_a(0) & carry & alu_src_a(CFG_DMEM_WIDTH - 1 downto 1); when ALU_SEXT8 => result := '0' & sign_extend(alu_src_a(7 downto 0), alu_src_a(7), 32); when ALU_SEXT16 => result := '0' & sign_extend(alu_src_a(15 downto 0), alu_src_a(15), 32); when ALU_MUL => if G_USE_HW_MUL = true then result := '0' & multiply(alu_src_a, alu_src_b); else result := (others => '0'); end if; when ALU_BS => if G_USE_BARREL = true then result := '0' & shift(alu_src_a, alu_src_b(4 downto 0), exec_i.imm(10), exec_i.imm(9)); else result := (others => '0'); end if; when others => result := (others => '0'); report "Invalid ALU operation" severity FAILURE; end case; if reg.flush_ex = '0' then -- Set carry register if exec_i.ctrl_ex.carry_keep = CARRY_KEEP then v_reg.carry := reg.carry; else v_reg.carry := result(CFG_DMEM_WIDTH); end if; -- MSR operations case exec_i.ctrl_ex.msr_op is when MSR_SET_I => v.interrupt_enable := '1'; when MSR_CLR_I => v.interrupt_enable := '0'; when LOAD_MSR => v_reg.break_in_progress := dat_a(3); v_reg.carry := dat_a(2); v.interrupt_enable := dat_a(1); when MSR_SET => v_reg.break_in_progress := exec_i.imm(3) or reg.break_in_progress; v_reg.carry := exec_i.imm(2) or reg.carry; v.interrupt_enable := exec_i.imm(1) or r.interrupt_enable; when MSR_CLR => v_reg.break_in_progress := not exec_i.imm(3) and reg.break_in_progress; v_reg.carry := not exec_i.imm(2) and reg.carry; v.interrupt_enable := not exec_i.imm(1) and r.interrupt_enable; when others => null; end case; end if; zero := is_zero(dat_a); -- Overwrite branch condition if reg.flush_ex = '1' then v.branch := '0'; else -- Determine branch condition case exec_i.ctrl_ex.branch_cond is when BNC => v.branch := '1'; when BEQ => v.branch := zero; when BNE => v.branch := not zero; when BLT => v.branch := dat_a(CFG_DMEM_WIDTH - 1); when BLE => v.branch := dat_a(CFG_DMEM_WIDTH - 1) or zero; when BGT => v.branch := not (dat_a(CFG_DMEM_WIDTH - 1) or zero); when BGE => v.branch := not dat_a(CFG_DMEM_WIDTH - 1); when others => v.branch := '0'; end case; end if; v.alu_result := result(CFG_DMEM_WIDTH - 1 downto 0); -- Handle CMPU and CMP if ( exec_i.ctrl_ex.operation = "11" ) then v.alu_result(CFG_DMEM_WIDTH - 1) := not result_add(CFG_DMEM_WIDTH); -- unsigned = bit 32 of result elsif ( exec_i.ctrl_ex.operation = "01" ) then v.alu_result(CFG_DMEM_WIDTH - 1) := (result_add(CFG_DMEM_WIDTH) xor alu_src_a(CFG_DMEM_WIDTH-1) xor alu_src_b(CFG_DMEM_WIDTH-1)); -- signed end if; v.program_counter := exec_i.program_counter; -- Determine flush signals v.flush_id := v.branch; v_reg.flush_ex := v.branch and not exec_i.ctrl_ex.delay; rin <= v; regin <= v_reg; end process; execute_seq: process(clk_i) procedure proc_execute_reset is begin r.alu_result <= (others => '0'); r.dat_d <= (others => '0'); r.branch <= '0'; r.program_counter <= (others => '0'); r.flush_id <= '0'; r.interrupt_enable <= '0'; r.ctrl_mem.mem_write <= '0'; r.ctrl_mem.mem_read <= '0'; r.ctrl_mem.transfer_size <= WORD; r.ctrl_wrb.reg_d <= (others => '0'); r.ctrl_wrb.reg_write <= '0'; reg.carry <= '0'; reg.break_in_progress <= '0'; reg.flush_ex <= '0'; end procedure proc_execute_reset; begin if rising_edge(clk_i) then if rst_i = '1' then proc_execute_reset; elsif ena_i = '1' then r <= rin; reg <= regin; end if; end if; end process; end arch;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/sid6581/vhdl_source/sid_ctrl.vhd
6
1641
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity sid_ctrl is generic ( g_num_voices : natural := 8 ); port ( clock : in std_logic; reset : in std_logic; start_iter : in std_logic; voice_osc : out unsigned(3 downto 0); enable_osc : out std_logic ); end sid_ctrl; architecture gideon of sid_ctrl is signal voice_cnt : unsigned(3 downto 0); signal enable : std_logic; begin process(clock) begin if rising_edge(clock) then if reset='1' then voice_cnt <= X"0"; enable <= '0'; elsif start_iter='1' then voice_cnt <= X"0"; enable <= '1'; elsif voice_cnt = g_num_voices-1 then voice_cnt <= X"0"; enable <= '0'; elsif enable='1' then voice_cnt <= voice_cnt + 1; enable <= '1'; end if; end if; end process; voice_osc <= voice_cnt; enable_osc <= enable; end gideon;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/io/mem_ctrl/vhdl_source/ext_mem_ctrl_v7.vhd
5
21232
------------------------------------------------------------------------------- -- Title : External Memory controller for SDRAM ------------------------------------------------------------------------------- -- Description: This module implements a simple, single burst memory controller. -- User interface is 32 bit (burst of 2), externally 8x 8 bit. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; library work; use work.mem_bus_pkg.all; entity ext_mem_ctrl_v7 is generic ( g_simulation : boolean := false; g_read_fifo : boolean := false; q_tcko_data : time := 100 ps; A_Width : integer := 13; SDRAM_WakeupTime : integer := 40; -- refresh periods SDRAM_Refr_period : integer := 375 ); port ( clock : in std_logic := '0'; clk_2x : in std_logic := '0'; reset : in std_logic := '0'; inhibit : in std_logic := '0'; is_idle : out std_logic; req : in t_mem_burst_32_req; resp : out t_mem_burst_32_resp; SDRAM_CLK : out std_logic; SDRAM_CKE : out std_logic := '0'; SDRAM_CSn : out std_logic := '1'; SDRAM_RASn : out std_logic := '1'; SDRAM_CASn : out std_logic := '1'; SDRAM_WEn : out std_logic := '1'; SDRAM_DQM : out std_logic := '0'; SDRAM_BA : out std_logic_vector(1 downto 0); SDRAM_A : out std_logic_vector(A_Width-1 downto 0); SDRAM_DQ : inout std_logic_vector(7 downto 0) := (others => 'Z')); end ext_mem_ctrl_v7; architecture Gideon of ext_mem_ctrl_v7 is type t_init is record addr : std_logic_vector(15 downto 0); cmd : std_logic_vector(2 downto 0); -- we-cas-ras end record; type t_init_array is array(natural range <>) of t_init; constant c_init_array : t_init_array(0 to 7) := ( ( X"0400", "010" ), -- auto precharge ( X"002B", "000" ), -- mode register, burstlen=8, writelen=8, CAS lat = 2, interleaved ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ) ); type t_ints is array(natural range <>) of integer; constant c_delays : t_ints(0 to 15) := ( 2, 4, 2, 3, -- R2R (other row&other bank, other row, other bank, same row+bank) 4, 5, 4, 5, -- R2W 2, 5, 2, 3, -- W2R 2, 4, 2, 3 );-- W2W type t_state is (boot, init, idle, sd_cas ); signal state : t_state; signal sdram_d_o : std_logic_vector(SDRAM_DQ'range) := (others => '1'); signal sdram_d_t : std_logic_vector(SDRAM_DQ'range) := (others => '1'); signal wdata_tri : std_logic_vector(8 downto 0) := (others => '1'); signal delay : integer range 0 to 15; signal inhibit_d : std_logic; signal mem_a_i : std_logic_vector(SDRAM_A'range) := (others => '0'); signal mem_ba_i : std_logic_vector(SDRAM_BA'range) := (others => '0'); signal cs_n_i : std_logic := '1'; signal col_addr : std_logic_vector(9 downto 0) := (others => '0'); signal refresh_cnt : integer range 0 to SDRAM_Refr_period-1; signal do_refresh : std_logic := '0'; signal do_refresh_d : std_logic := '0'; signal trigger_refresh : std_logic := '0'; signal not_clock : std_logic; signal not_clock_2x : std_logic; signal rdata_lo : std_logic_vector(7 downto 0) := (others => '0'); signal rdata_hi : std_logic_vector(7 downto 0) := (others => '0'); signal rdata_out : std_logic_vector(15 downto 0) := (others => '0'); signal wdata : std_logic_vector(17 downto 0) := (others => '0'); signal wdata_i : std_logic_vector(35 downto 0) := (others => '0'); signal wdata_av : std_logic; signal fifo_wdata_in : std_logic_vector(35 downto 0); signal wdqm : std_logic_vector(1 downto 0); signal dqm_override : std_logic := '1'; -- signal refr_delay : integer range 0 to 7; signal next_delay : integer range 0 to 7; signal boot_cnt : integer range 0 to SDRAM_WakeupTime-1 := SDRAM_WakeupTime-1; signal init_cnt : integer range 0 to c_init_array'high; signal enable_sdram : std_logic := '1'; signal req_i : std_logic; signal rack : std_logic; signal dack : std_logic_vector(5 downto 0) := "000000"; signal burst_start : std_logic_vector(5 downto 0) := "000000"; signal dnext : std_logic_vector(3 downto 0) := "0000"; signal last_bank : std_logic_vector(1 downto 0) := "10"; signal addr_bank : std_logic_vector(1 downto 0); signal same_bank : std_logic; signal last_row : std_logic_vector(12 downto 0) := "0101011010101"; signal addr_row : std_logic_vector(12 downto 0); signal same_row : std_logic; signal addr_column : std_logic_vector(9 downto 0); signal next_activate : std_logic; -- attribute fsm_encoding : string; -- attribute fsm_encoding of state : signal is "sequential"; -- attribute register_duplication : string; -- attribute register_duplication of mem_a_i : signal is "no"; attribute iob : string; attribute iob of SDRAM_CKE : signal is "false"; attribute iob of SDRAM_A : signal is "true"; attribute iob of SDRAM_BA : signal is "true"; attribute iob of SDRAM_RASn : signal is "true"; attribute iob of SDRAM_CASn : signal is "true"; attribute iob of SDRAM_WEn : signal is "true"; constant c_address_width : integer := req.address'length; constant c_data_width : integer := req.data'length; signal cmd_fifo_data_in : std_logic_vector(c_address_width downto 0); signal cmd_fifo_data_out : std_logic_vector(c_address_width downto 0); signal rwn_fifo : std_logic; signal rwn_i : std_logic := '1'; signal tag_fifo : std_logic_vector(7 downto 0); signal rdata_tag : std_logic_vector(7 downto 0); signal address_fifo : std_logic_vector(c_address_width-1 downto 0); signal cmd_af : std_logic; signal cmd_av : std_logic; signal rdata_af : std_logic := '0'; -- forced low for when there is no fifo signal push_cmd : std_logic; signal push_read_cmd : std_logic; signal crazy_index_slv : std_logic_vector(3 downto 0); signal crazy_index : integer range 0 to 15; signal wtoggle : std_logic; signal wdata_get : std_logic; begin is_idle <= '1' when state = idle else '0'; req_i <= cmd_av and not do_refresh_d; push_cmd <= req.request and not cmd_af; push_read_cmd <= push_cmd and req.read_writen; resp.ready <= not cmd_af; cmd_fifo_data_in <= req.read_writen & std_logic_vector(req.address); address_fifo <= cmd_fifo_data_out(address_fifo'range); rwn_fifo <= cmd_fifo_data_out(address_fifo'length); addr_bank <= address_fifo(14 downto 13); addr_row <= address_fifo(24 downto 15) & address_fifo(12 downto 10); addr_column <= address_fifo( 9 downto 0); i_command_fifo: entity work.srl_fifo generic map ( Width => c_address_width + 1, Depth => 15, Threshold => 3) port map ( clock => clock, reset => reset, GetElement => rack, PutElement => push_cmd, FlushFifo => '0', DataIn => cmd_fifo_data_in, DataOut => cmd_fifo_data_out, SpaceInFifo => open, AlmostFull => cmd_af, DataInFifo => cmd_av ); i_tag_fifo: entity work.srl_fifo generic map ( Width => 8, Depth => 15, Threshold => 3) port map ( clock => clock, reset => reset, GetElement => burst_start(1), PutElement => push_read_cmd, FlushFifo => '0', DataIn => req.request_tag, DataOut => tag_fifo, SpaceInFifo => open, AlmostFull => open, DataInFifo => open ); rdata_out <= rdata_lo & rdata_hi; b_read: block signal rtoggle : std_logic; signal rsp_data : std_logic_vector(31 downto 0); signal rsp_data_tag : std_logic_vector(7 downto 0); signal rsp_rdata_av : std_logic; begin -- data compacter 16->32 process(clock) begin if rising_edge(clock) then -- handle reads rsp_rdata_av <= '0'; if dack(0)='1' then rtoggle <= not rtoggle; if rtoggle='1' then rsp_data(31 downto 16) <= rdata_out; rsp_data_tag <= rdata_tag; rsp_rdata_av <= '1'; else rsp_data(15 downto 0) <= rdata_out; end if; end if; -- reset if reset='1' then rtoggle <= '0'; rsp_data <= (others => '0'); rsp_data_tag <= (others => '0'); end if; end if; end process; r_no_read_fifo: if not g_read_fifo generate resp.rdata_av <= rsp_rdata_av; resp.data <= rsp_data; resp.data_tag <= rsp_data_tag; end generate; r_read_fifo: if g_read_fifo generate i_read_fifo: entity work.srl_fifo generic map ( Width => 40, Depth => 15, Threshold => 3) port map ( clock => clock, reset => reset, GetElement => req.data_pop, PutElement => rsp_rdata_av, FlushFifo => '0', DataIn(39 downto 32) => rsp_data_tag, DataIn(31 downto 0) => rsp_data, DataOut(39 downto 32) => resp.data_tag, DataOut(31 downto 0) => resp.data, SpaceInFifo => open, AlmostFull => open, DataInFifo => resp.rdata_av ); end generate; end block; fifo_wdata_in <= req.byte_en & req.data; i_write_fifo: entity work.SRL_fifo generic map ( Width => (c_data_width*9)/8, Depth => 15, Threshold => 6 ) port map ( clock => clock, reset => reset, GetElement => wdata_get, PutElement => req.data_push, FlushFifo => '0', DataIn => fifo_wdata_in, DataOut => wdata_i, SpaceInFifo => open, AlmostFull => resp.wdata_full, DataInFifo => wdata_av ); process(clock) begin if rising_edge(clock) then if dnext(0)='1' then wtoggle <= not wtoggle; end if; if reset='1' then wtoggle <= '0'; end if; end if; end process; wdata_get <= dnext(0) and wtoggle; wdata(15 downto 0) <= wdata_i(15 downto 0) after 1 ns when wtoggle='0' else wdata_i(31 downto 16) after 1 ns; wdata(17 downto 16) <= wdata_i(33 downto 32) after 1 ns when wtoggle='0' else wdata_i(35 downto 34) after 1 ns; wdqm <= (others => '1') when dqm_override='1' else (others => '0') when dnext(0)='0' else not wdata(17 downto 16); same_row <= '1' when addr_row = last_row else '0'; same_bank <= '1' when addr_bank = last_bank else '0'; crazy_index_slv <= not rwn_i & not rwn_fifo & same_row & same_bank; crazy_index <= to_integer(unsigned(crazy_index_slv)); trigger_refresh <= do_refresh_d and not (inhibit_d or inhibit); process(clock) procedure send_refresh_cmd is begin if next_delay = 0 then do_refresh <= '0'; do_refresh_d <= '0'; cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '0'; SDRAM_CASn <= '0'; SDRAM_WEn <= '1'; -- Auto Refresh next_delay <= 3; end if; end procedure; procedure accept_req is begin rwn_i <= rwn_fifo; col_addr <= addr_column; last_bank <= addr_bank; last_row <= addr_row; mem_a_i(addr_row'range) <= addr_row; mem_ba_i <= addr_bank; cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '0'; SDRAM_CASn <= '1'; SDRAM_WEn <= '1'; -- Command = ACTIVE delay <= 0; state <= sd_cas; end procedure; procedure issue_read_or_write is begin mem_a_i(9 downto 0) <= col_addr; do_refresh_d <= do_refresh; if req_i='0' or do_refresh='1' then if rwn_i='0' then next_delay <= 5; else next_delay <= 4; end if; mem_a_i(10) <= '1'; -- auto precharge next_activate <= '1'; else next_delay <= c_delays(crazy_index); mem_a_i(10) <= not (same_row and same_bank); -- do not AP when we'll continue in same row next_activate <= not (same_row and same_bank); -- only activate next time if we also AP. end if; if delay=0 then if rwn_i='0' then if wdata_av='1' then wdata_tri(7 downto 0) <= (others => '0') after 1 ns; cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '1'; SDRAM_CASn <= '0'; SDRAM_WEn <= '0'; dnext <= "1111" after 1 ns; state <= idle; end if; else if rdata_af='0' then cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '1'; SDRAM_CASn <= '0'; SDRAM_WEn <= '1'; dack(dack'high downto dack'high-3) <= (others => '1'); burst_start(2) <= '1'; state <= idle; end if; end if; end if; end procedure; begin if rising_edge(clock) then inhibit_d <= inhibit; cs_n_i <= '1' after 1 ns; SDRAM_CKE <= enable_sdram; SDRAM_RASn <= '1'; SDRAM_CASn <= '1'; SDRAM_WEn <= '1'; if burst_start(1)='1' then rdata_tag <= tag_fifo; end if; if next_delay /= 0 then next_delay <= next_delay - 1; end if; if delay /= 0 then delay <= delay - 1; end if; wdata_tri <= "11" & wdata_tri(wdata_tri'high downto 2) after 1 ns; dack <= '0' & dack(dack'high downto 1); burst_start <= '0' & burst_start(burst_start'high downto 1); dnext <= '0' & dnext(dnext'high downto 1) after 1 ns; case state is when boot => enable_sdram <= '1'; if g_simulation then state <= init; elsif refresh_cnt = 0 then boot_cnt <= boot_cnt - 1; if boot_cnt = 1 then state <= init; end if; end if; when init => mem_a_i <= c_init_array(init_cnt).addr(mem_a_i'range); mem_ba_i <= (others => '0'); -- for DDR and such, maybe the upper 2/3 bits SDRAM_RASn <= c_init_array(init_cnt).cmd(0); SDRAM_CASn <= c_init_array(init_cnt).cmd(1); SDRAM_WEn <= c_init_array(init_cnt).cmd(2); if next_delay = 0 then next_delay <= 7; cs_n_i <= '0' after 1 ns; if init_cnt = c_init_array'high then state <= idle; dqm_override <= '0'; else init_cnt <= init_cnt + 1; end if; end if; when idle => -- first cycle after inhibit goes 0, do not do refresh -- this enables putting cartridge images in sdram if trigger_refresh='1' then send_refresh_cmd; elsif inhibit='0' then if req_i='1' then if next_activate='1' and next_delay=0 then accept_req; elsif next_activate='0' and next_delay=1 then rwn_i <= rwn_fifo; col_addr <= addr_column; state <= sd_cas; end if; else do_refresh_d <= do_refresh; end if; end if; when sd_cas => issue_read_or_write; when others => null; end case; if refresh_cnt = SDRAM_Refr_period-1 then do_refresh <= '1'; refresh_cnt <= 0; else refresh_cnt <= refresh_cnt + 1; end if; if reset='1' then rdata_tag <= (others => '0'); dqm_override <= '1'; state <= boot; wdata_tri <= (others => '0'); delay <= 0; next_delay <= 0; do_refresh <= '0'; do_refresh_d <= '0'; boot_cnt <= SDRAM_WakeupTime-1; init_cnt <= 0; enable_sdram <= '1'; next_activate <= '1'; rwn_i <= '1'; end if; end if; end process; -- Generate rack; the signal that indicates that a request is going to be issued -- and thus taken from the command fifo. process(state, trigger_refresh, inhibit, req_i, next_delay, next_activate) begin rack <= '0'; case state is when idle => -- first cycle after inhibit goes 0, do not do refresh -- this enables putting cartridge images in sdram if trigger_refresh='1' then null; elsif inhibit='0' and req_i='1' then if next_activate='1' and next_delay = 0 then rack <= '1'; elsif next_activate='0' and next_delay = 1 then rack <= '1'; end if; end if; when others => null; end case; end process; SDRAM_A <= mem_a_i; SDRAM_BA <= mem_ba_i; not_clock_2x <= not clk_2x; not_clock <= not clock; clkout: FDDRRSE port map ( CE => '1', C0 => clk_2x, C1 => not_clock_2x, D0 => '0', D1 => enable_sdram, Q => SDRAM_CLK, R => '0', S => '0' ); r_data: for i in 0 to 7 generate i_dout: entity work.my_ioddr port map ( pin => SDRAM_DQ(i), clock => clock, D0 => wdata(8+i), D1 => wdata(i), T0 => wdata_tri(1), T1 => wdata_tri(0), Q0 => rdata_hi(i), Q1 => rdata_lo(i) ); end generate; select_out: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", SRTYPE => "SYNC" ) port map ( CE => '1', C0 => clock, C1 => not_clock, D0 => '1', D1 => cs_n_i, Q => SDRAM_CSn, R => '0', S => '0' ); i_dqm_out: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", SRTYPE => "SYNC" ) port map ( Q => SDRAM_DQM, C0 => clock, C1 => not_clock, CE => '1', D0 => wdqm(1), D1 => wdqm(0), R => '0', S => '0' ); end Gideon;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/io/itu/vhdl_source/itu.vhd
3
10344
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; use work.itu_pkg.all; entity itu is generic ( g_version : unsigned(7 downto 0) := X"FE"; g_uart : boolean := true; g_frequency : integer := 50_000_000; g_edge_init : std_logic_vector(7 downto 0) := "00000001"; g_capabilities : std_logic_vector(31 downto 0) := X"5555AAAA"; g_edge_write : boolean := true; g_baudrate : integer := 115_200; g_timer_rate : integer := 200_000 ); -- 5µs (should not result in more than 8 bits div) port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; irq_timer_tick : in std_logic := '0'; irq_in : in std_logic_vector(7 downto 2); uart_txd : out std_logic; uart_rxd : in std_logic := '1'; uart_rts : out std_logic; uart_cts : in std_logic := '1' ); end itu; architecture gideon of itu is constant c_timer_div : integer := g_frequency / g_timer_rate; constant c_baud_div : integer := g_frequency / g_baudrate; constant c_ms_div : integer := g_timer_rate / 1000; signal imask : std_logic_vector(7 downto 0); signal iedge : std_logic_vector(7 downto 0) := g_edge_init; signal timer : unsigned(7 downto 0); signal timer_tick : std_logic; signal timer_div : integer range 0 to c_timer_div - 1; signal irq_timer_val : unsigned(15 downto 0); signal irq_timer_cnt : unsigned(23 downto 0); signal irq_timer_en : std_logic; signal irq_timer_select : std_logic; signal irq_en : std_logic; signal irq_c : std_logic_vector(7 downto 0); signal irq_d : std_logic_vector(7 downto 0); signal irq_edge_flag : std_logic_vector(7 downto 0); signal irq_active : std_logic_vector(7 downto 0); signal uart_irq : std_logic := '0'; signal io_req_it : t_io_req; signal io_resp_it : t_io_resp; signal io_req_uart : t_io_req; signal io_resp_uart : t_io_resp; signal io_req_ms : t_io_req; signal io_resp_ms : t_io_resp; signal ms_timer_presc : integer range 0 to c_ms_div-1 := 0; signal ms_timer : unsigned(15 downto 0) := (others => '0'); begin process(clock) variable new_irq_edge_flag : std_logic_vector(irq_edge_flag'range); begin if rising_edge(clock) then if timer_div = 0 then timer_div <= c_timer_div - 1; timer_tick <= '1'; else timer_div <= timer_div - 1; timer_tick <= '0'; end if; if timer_tick='1' then if timer /= X"00" then timer <= timer - 1; end if; if ms_timer_presc = 0 then ms_timer <= ms_timer + 1; ms_timer_presc <= c_ms_div - 1; else ms_timer_presc <= ms_timer_presc - 1; end if; end if; irq_c(7 downto 2) <= irq_in(7 downto 2); irq_c(1) <= uart_irq; irq_c(0) <= '0'; if irq_timer_en='1' then if irq_timer_cnt = 0 then irq_c(0) <= '1'; if irq_timer_select='1' then irq_timer_cnt <= X"00" & irq_timer_val; else irq_timer_cnt <= irq_timer_val & X"FF"; end if; elsif irq_timer_select='0' or irq_timer_tick='1' then irq_timer_cnt <= irq_timer_cnt - 1; end if; end if; irq_d <= irq_c; io_resp_it <= c_io_resp_init; new_irq_edge_flag := irq_edge_flag; if io_req_it.write='1' then io_resp_it.ack <= '1'; case io_req_it.address(3 downto 0) is when c_itu_irq_global => irq_en <= io_req_it.data(0); when c_itu_irq_enable => imask <= imask or io_req_it.data; when c_itu_irq_disable => imask <= imask and not io_req_it.data; when c_itu_irq_edge => if g_edge_write then iedge <= io_req_it.data; end if; when c_itu_irq_clear => new_irq_edge_flag := new_irq_edge_flag and not io_req_it.data; when c_itu_timer => timer <= unsigned(io_req_it.data); when c_itu_irq_timer_en => irq_timer_en <= io_req_it.data(0); irq_timer_select <= io_req_it.data(1); if irq_timer_en='0' then irq_timer_cnt <= irq_timer_val & X"FF"; end if; when c_itu_irq_timer_lo => irq_timer_val(7 downto 0) <= unsigned(io_req_it.data); when c_itu_irq_timer_hi => irq_timer_val(15 downto 8) <= unsigned(io_req_it.data); when others => null; end case; elsif io_req_it.read='1' then io_resp_it.ack <= '1'; case io_req_it.address(3 downto 0) is when c_itu_irq_global => io_resp_it.data(0) <= irq_en; when c_itu_irq_enable => io_resp_it.data <= imask; when c_itu_irq_edge => io_resp_it.data <= iedge; when c_itu_irq_active => io_resp_it.data <= irq_active; when c_itu_timer => io_resp_it.data <= std_logic_vector(timer); when c_itu_irq_timer_en => io_resp_it.data(0) <= irq_timer_en; io_resp_it.data(1) <= irq_timer_select; when c_itu_irq_timer_lo => io_resp_it.data <= std_logic_vector(irq_timer_cnt(7 downto 0)); when c_itu_irq_timer_hi => io_resp_it.data <= std_logic_vector(irq_timer_cnt(15 downto 8)); when c_itu_fpga_version => io_resp_it.data <= std_logic_vector(g_version); when c_itu_capabilities0 => io_resp_it.data <= g_capabilities(31 downto 24); when c_itu_capabilities1 => io_resp_it.data <= g_capabilities(23 downto 16); when c_itu_capabilities2 => io_resp_it.data <= g_capabilities(15 downto 8); when c_itu_capabilities3 => io_resp_it.data <= g_capabilities( 7 downto 0); when others => null; end case; end if; io_resp_ms <= c_io_resp_init; if io_req_ms.write='1' then io_resp_ms.ack <= '1'; elsif io_req_ms.read='1' then io_resp_ms.ack <= '1'; case io_req_ms.address(3 downto 0) is when c_itu_ms_timer_lo => io_resp_ms.data <= std_logic_vector(ms_timer(7 downto 0)); when c_itu_ms_timer_hi => io_resp_ms.data <= std_logic_vector(ms_timer(15 downto 8)); when others => null; end case; end if; for i in 0 to 7 loop if iedge(i)='1' then if irq_c(i)='1' and irq_d(i)='0' then new_irq_edge_flag(i) := '1'; end if; end if; end loop; irq_edge_flag <= new_irq_edge_flag; io_resp_it.irq <= '0'; if irq_en = '1' then if (irq_active and imask) /= X"00" then io_resp_it.irq <= '1'; end if; end if; if reset='1' then irq_en <= '1'; imask <= (others => '0'); iedge <= g_edge_init; timer <= (others => '0'); irq_timer_en <= '0'; irq_timer_val <= X"8000"; irq_timer_cnt <= (others => '0'); ms_timer <= (others => '0'); end if; end if; end process; irq_active <= irq_edge_flag or (irq_c and not iedge); i_split: entity work.io_bus_splitter generic map ( g_range_lo => 4, g_range_hi => 5, g_ports => 3 ) port map ( clock => clock, req => io_req, resp => io_resp, reqs(0) => io_req_it, reqs(1) => io_req_uart, reqs(2) => io_req_ms, resps(0) => io_resp_it, resps(1) => io_resp_uart, resps(2) => io_resp_ms ); r_uart: if g_uart generate uart: entity work.uart_peripheral_io generic map ( g_divisor => c_baud_div ) port map ( clock => clock, reset => reset, io_req => io_req_uart, io_resp => io_resp_uart, rts => uart_rts, cts => uart_cts, txd => uart_txd, rxd => uart_rxd ); end generate; no_uart: if not g_uart generate process(clock) begin if rising_edge(clock) then io_resp_uart <= c_io_resp_init; io_resp_uart.ack <= io_req_uart.read or io_req_uart.write; end if; end process; end generate; end architecture;
gpl-3.0
KB777/1541UltimateII
fpga/io/sigma_delta_dac/vhdl_source/my_math_pkg.vhd
6
4097
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package my_math_pkg is function sum_limit(i1, i2 : signed) return signed; function sub_limit(i1, i2 : signed) return signed; function sum_limit(i1, i2 : unsigned) return unsigned; function extend(x : signed; len : natural) return signed; function extend(x : unsigned; len : natural) return unsigned; function left_align(x : signed; len : natural) return signed; function left_scale(x : signed; sh : natural) return signed; -- function shift_right(x : signed; positions: natural) return signed; end; package body my_math_pkg is function sum_limit(i1, i2 : signed) return signed is variable o : signed(i1'range); begin assert i1'length = i2'length report "i1 and i2 should have the same length!" severity failure; o := i1 + i2; if (i1(i1'left) = i2(i2'left)) and (o(o'left) /= i1(i1'left)) then if i1(i1'left)='1' then o := to_signed(-(2**(o'length-1)), o'length); else o := to_signed(2**(o'length-1) - 1, o'length); end if; end if; return o; end function; function sub_limit(i1, i2 : signed) return signed is variable o : signed(i1'range); begin assert i1'length = i2'length report "i1 and i2 should have the same length!" severity failure; o := i1 - i2; if (i1(i1'left) /= i2(i2'left)) and (o(o'left) /= i1(i1'left)) then if i1(i1'left)='1' then o := to_signed(-(2**(o'length-1)), o'length); else o := to_signed(2**(o'length-1) - 1, o'length); end if; end if; return o; end function; function sum_limit(i1, i2 : unsigned) return unsigned is variable o : unsigned(i1'length downto 0); begin o := ('0' & i1) + i2; if o(o'left)='1' then o := (others => '1'); end if; return o(i1'length-1 downto 0); end function; function extend(x : signed; len : natural) return signed is variable ret : signed(len-1 downto 0); alias a : signed(x'length-1 downto 0) is x; begin ret := (others => x(x'left)); ret(a'range) := a; return ret; end function extend; function extend(x : unsigned; len : natural) return unsigned is variable ret : unsigned(len-1 downto 0); alias a : unsigned(x'length-1 downto 0) is x; begin ret := (others => '0'); ret(a'range) := a; return ret; end function extend; function left_align(x : signed; len : natural) return signed is variable ret : signed(len-1 downto 0); begin ret := (others => '0'); ret(len-1 downto len-x'length) := x; return ret; end function left_align; function left_scale(x : signed; sh : natural) return signed is alias a : signed(x'length-1 downto 0) is x; variable ret : signed(x'length-(1+sh) downto 0); variable top : signed(sh downto 0); begin if sh=0 then return x; end if; top := a(a'high downto a'high-sh); if (top = -1) or (top = 0) then -- can shift without getting punished! ret := a(ret'range); elsif a(a'high)='1' then -- negative and can't shift, so max neg: ret := (others => '0'); ret(ret'high) := '1'; else -- positive and can't shift, so max pos ret := (others => '1'); ret(ret'high) := '0'; end if; return ret; end function left_scale; -- function shift_right(x : signed; positions: natural) return signed is -- alias a : signed(x'length-1 downto 0) is x; -- variable ret : signed(x'length-1 downto 0); -- begin -- ret := (others => x(x'left)); -- ret(a'left-positions downto 0) := a(a'left downto positions); -- return ret; -- end function shift_right; end;
gpl-3.0
KB777/1541UltimateII
fpga/sid6581/vhdl_source/sid_io_regs.vhd
4
4237
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; use work.sid_io_regs_pkg.all; entity sid_io_regs is generic ( g_filter_div : natural := 221; -- for 50 MHz g_num_voices : natural := 16 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; control : out t_sid_control ); end sid_io_regs; architecture registers of sid_io_regs is signal control_i : t_sid_control; begin control <= control_i; p_bus: process(clock) begin if rising_edge(clock) then io_resp <= c_io_resp_init; if io_req.write='1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when c_sid_base_left => control_i.base_left <= unsigned(io_req.data); when c_sid_base_right => control_i.base_right <= unsigned(io_req.data); when c_sid_snoop_left => control_i.snoop_left <= io_req.data(0); when c_sid_snoop_right => control_i.snoop_right <= io_req.data(0); when c_sid_enable_left => control_i.enable_left <= io_req.data(0); when c_sid_enable_right => control_i.enable_right <= io_req.data(0); when c_sid_extend_left => control_i.extend_left <= io_req.data(0); when c_sid_extend_right => control_i.extend_right <= io_req.data(0); when c_sid_wavesel_left => control_i.comb_wave_left <= io_req.data(0); when c_sid_wavesel_right => control_i.comb_wave_right <= io_req.data(0); when others => null; end case; elsif io_req.read='1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when c_sid_voices => io_resp.data <= std_logic_vector(to_unsigned(g_num_voices, 8)); when c_sid_filter_div => io_resp.data <= std_logic_vector(to_unsigned(g_filter_div, 8)); when c_sid_base_left => io_resp.data <= std_logic_vector(control_i.base_left); when c_sid_base_right => io_resp.data <= std_logic_vector(control_i.base_right); when c_sid_snoop_left => io_resp.data(0) <= control_i.snoop_left; when c_sid_snoop_right => io_resp.data(0) <= control_i.snoop_right; when c_sid_enable_left => io_resp.data(0) <= control_i.enable_left; when c_sid_enable_right => io_resp.data(0) <= control_i.enable_right; when c_sid_extend_left => io_resp.data(0) <= control_i.extend_left; when c_sid_extend_right => io_resp.data(0) <= control_i.extend_right; when c_sid_wavesel_left => io_resp.data(0) <= control_i.comb_wave_left; when c_sid_wavesel_right => io_resp.data(0) <= control_i.comb_wave_right; when others => null; end case; end if; if reset='1' then control_i <= c_sid_control_init; end if; end if; end process; end architecture;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/sid6581/vhdl_source/sid_io_regs.vhd
4
4237
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; use work.sid_io_regs_pkg.all; entity sid_io_regs is generic ( g_filter_div : natural := 221; -- for 50 MHz g_num_voices : natural := 16 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; control : out t_sid_control ); end sid_io_regs; architecture registers of sid_io_regs is signal control_i : t_sid_control; begin control <= control_i; p_bus: process(clock) begin if rising_edge(clock) then io_resp <= c_io_resp_init; if io_req.write='1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when c_sid_base_left => control_i.base_left <= unsigned(io_req.data); when c_sid_base_right => control_i.base_right <= unsigned(io_req.data); when c_sid_snoop_left => control_i.snoop_left <= io_req.data(0); when c_sid_snoop_right => control_i.snoop_right <= io_req.data(0); when c_sid_enable_left => control_i.enable_left <= io_req.data(0); when c_sid_enable_right => control_i.enable_right <= io_req.data(0); when c_sid_extend_left => control_i.extend_left <= io_req.data(0); when c_sid_extend_right => control_i.extend_right <= io_req.data(0); when c_sid_wavesel_left => control_i.comb_wave_left <= io_req.data(0); when c_sid_wavesel_right => control_i.comb_wave_right <= io_req.data(0); when others => null; end case; elsif io_req.read='1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when c_sid_voices => io_resp.data <= std_logic_vector(to_unsigned(g_num_voices, 8)); when c_sid_filter_div => io_resp.data <= std_logic_vector(to_unsigned(g_filter_div, 8)); when c_sid_base_left => io_resp.data <= std_logic_vector(control_i.base_left); when c_sid_base_right => io_resp.data <= std_logic_vector(control_i.base_right); when c_sid_snoop_left => io_resp.data(0) <= control_i.snoop_left; when c_sid_snoop_right => io_resp.data(0) <= control_i.snoop_right; when c_sid_enable_left => io_resp.data(0) <= control_i.enable_left; when c_sid_enable_right => io_resp.data(0) <= control_i.enable_right; when c_sid_extend_left => io_resp.data(0) <= control_i.extend_left; when c_sid_extend_right => io_resp.data(0) <= control_i.extend_right; when c_sid_wavesel_left => io_resp.data(0) <= control_i.comb_wave_left; when c_sid_wavesel_right => io_resp.data(0) <= control_i.comb_wave_right; when others => null; end case; end if; if reset='1' then control_i <= c_sid_control_init; end if; end if; end process; end architecture;
gpl-3.0
KB777/1541UltimateII
fpga/io/usb2/vhdl_source/usb_cmd_io.vhd
2
4001
-------------------------------------------------------------------------------- -- Gideon's Logic Architectures - Copyright 2014 -- Entity: usb_cmd_io -- Date:2015-01-18 -- Author: Gideon -- Description: I/O registers for controlling commands directly -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.io_bus_pkg.all; use work.usb_cmd_pkg.all; entity usb_cmd_io is port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; connected : in std_logic; operational : in std_logic; speed : in std_logic_vector(1 downto 0); cmd_req : out t_usb_cmd_req; cmd_resp : in t_usb_cmd_resp ); end entity; architecture arch of usb_cmd_io is signal done_latch : std_logic; begin process(clock) begin if rising_edge(clock) then if cmd_resp.done = '1' then cmd_req.request <= '0'; done_latch <= '1'; end if; io_resp <= c_io_resp_init; if io_req.write = '1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when X"1" => cmd_req.request <= '1'; done_latch <= '0'; cmd_req.do_split <= io_req.data(7); cmd_req.do_data <= io_req.data(6); cmd_req.command <= c_usb_commands_decoded(to_integer(unsigned(io_req.data(2 downto 0)))); when X"6" => -- high of data buffer control cmd_req.buffer_index <= unsigned(io_req.data(7 downto 6)); cmd_req.no_data <= io_req.data(5); cmd_req.togglebit <= io_req.data(4); cmd_req.data_length(9 downto 8) <= unsigned(io_req.data(1 downto 0)); when X"7" => cmd_req.data_length(7 downto 0) <= unsigned(io_req.data); when X"A" => cmd_req.device_addr <= unsigned(io_req.data(6 downto 0)); when X"B" => cmd_req.endp_addr <= unsigned(io_req.data(3 downto 0)); when X"E" => cmd_req.split_hub_addr <= unsigned(io_req.data(6 downto 0)); when X"F" => cmd_req.split_port_addr <= unsigned(io_req.data(3 downto 0)); cmd_req.split_sc <= io_req.data(7); cmd_req.split_sp <= io_req.data(6); cmd_req.split_et <= io_req.data(5 downto 4); when others => null; end case; elsif io_req.read = '1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when X"0" => io_resp.data(7) <= done_latch; io_resp.data(6 downto 4) <= std_logic_vector(to_unsigned(t_usb_result'pos(cmd_resp.result), 3)); io_resp.data(2) <= cmd_resp.no_data; io_resp.data(3) <= cmd_resp.togglebit; io_resp.data(1 downto 0) <= std_logic_vector(cmd_resp.data_length(9 downto 8)); when X"1" => io_resp.data <= std_logic_vector(cmd_resp.data_length(7 downto 0)); when X"2" => io_resp.data(0) <= connected; io_resp.data(1) <= operational; io_resp.data(5 downto 4) <= speed; when others => null; end case; end if; if reset='1' then done_latch <= '0'; cmd_req.request <= '0'; end if; end if; end process; end arch;
gpl-3.0
KB777/1541UltimateII
fpga/io/sigma_delta_dac/vhdl_source/noise_generator.vhd
5
2044
library ieee; use ieee.std_logic_1164.all; entity noise_generator is generic ( g_type : string := "Fibonacci"; -- can also be "Galois" g_polynom : std_logic_vector := X"E10000"; g_fixed_polynom : boolean := true; g_seed : std_logic_vector := X"000001" ); port ( clock : in std_logic; enable : in std_logic; reset : in std_logic; polynom : in std_logic_vector(g_polynom'length-1 downto 0) := (others => '0'); q : out std_logic_vector(g_polynom'length-1 downto 0) ); end noise_generator; architecture gideon of noise_generator is signal c_poly : std_logic_vector(g_polynom'length-1 downto 0); signal reg : std_logic_vector(g_polynom'length-1 downto 0); begin assert (g_type = "Fibonacci") or (g_type = "Galois") report "Type of LFSR should be Fibonacci or Galois.." severity failure; c_poly <= g_polynom when g_fixed_polynom else polynom; process(clock) variable new_bit : std_logic; begin if rising_edge(clock) then if enable='1' then if g_type = "Fibonacci" then new_bit := '0'; for i in c_poly'range loop if c_poly(i)='1' then new_bit := new_bit xor reg(i); end if; end loop; reg <= reg(reg'high-1 downto 0) & new_bit; else -- "Galois", enforced by assert if reg(reg'high)='1' then reg <= (reg(reg'high-1 downto 0) & '0') xor c_poly; else reg <= reg(reg'high-1 downto 0) & '1'; end if; end if; end if; if reset='1' then reg <= g_seed; end if; end if; end process; q <= reg; end gideon;
gpl-3.0
KB777/1541UltimateII
fpga/io/usb2/vhdl_source/usb_pkg.vhd
1
12129
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package usb_pkg is constant c_pid_out : std_logic_vector(3 downto 0) := X"1"; -- token constant c_pid_in : std_logic_vector(3 downto 0) := X"9"; -- token constant c_pid_sof : std_logic_vector(3 downto 0) := X"5"; -- token constant c_pid_setup : std_logic_vector(3 downto 0) := X"D"; -- token constant c_pid_data0 : std_logic_vector(3 downto 0) := X"3"; -- data constant c_pid_data1 : std_logic_vector(3 downto 0) := X"B"; -- data constant c_pid_data2 : std_logic_vector(3 downto 0) := X"7"; -- data constant c_pid_mdata : std_logic_vector(3 downto 0) := X"F"; -- data constant c_pid_ack : std_logic_vector(3 downto 0) := X"2"; -- handshake constant c_pid_nak : std_logic_vector(3 downto 0) := X"A"; -- handshake constant c_pid_stall : std_logic_vector(3 downto 0) := X"E"; -- handshake constant c_pid_nyet : std_logic_vector(3 downto 0) := X"6"; -- handshake constant c_pid_pre : std_logic_vector(3 downto 0) := X"C"; -- token -> constant c_pid_err : std_logic_vector(3 downto 0) := X"C"; -- handshake <- constant c_pid_split : std_logic_vector(3 downto 0) := X"8"; -- token -> constant c_pid_ping : std_logic_vector(3 downto 0) := X"4"; -- token constant c_pid_reserved : std_logic_vector(3 downto 0) := X"0"; function is_token(i : std_logic_vector(3 downto 0)) return boolean; function is_split(i : std_logic_vector(3 downto 0)) return boolean; function is_handshake(i : std_logic_vector(3 downto 0)) return boolean; function get_togglebit(i : std_logic_vector(3 downto 0)) return std_logic; type t_token is record device_addr : std_logic_vector(6 downto 0); endpoint_addr : std_logic_vector(3 downto 0); end record; constant c_token_init : t_token := ( "0000000", "0000" ); constant c_token_undefined : t_token := ( "XXXXXXX", "XXXX" ); type t_split_token is record hub_address : std_logic_vector(6 downto 0); sc : std_logic; port_address : std_logic_vector(6 downto 0); s : std_logic; -- start/speed (isochronous out start split), for interrupt/control transfers: Speed. 0=full, 1=low e : std_logic; -- end (isochronous out start split) 00=middle, 10=beginning, 01=end, 11=all et : std_logic_vector(1 downto 0); -- 00=control, 01=iso, 10=bulk, 11=interrupt end record; constant c_split_token_init : t_split_token := ( hub_address => "0000000", sc => '0', port_address => "0000000", s => '0', e => '0', et => "00" ); constant c_split_token_undefined : t_split_token := ( hub_address => "XXXXXXX", sc => 'X', port_address => "XXXXXXX", s => 'X', e => 'X', et => "XX" ); constant c_split_token_bogus : t_split_token := ( hub_address => "0000001", sc => '0', port_address => "0000010", s => '0', e => '0', et => "11" ); type t_usb_rx is record receiving : std_logic; valid_token : std_logic; valid_split : std_logic; valid_handsh : std_logic; valid_packet : std_logic; error : std_logic; pid : std_logic_vector(3 downto 0); token : t_token; split_token : t_split_token; data_valid : std_logic; data_start : std_logic; data : std_logic_vector(7 downto 0); end record; type t_usb_tx_req is record send_token : std_logic; send_split : std_logic; send_handsh : std_logic; send_packet : std_logic; pid : std_logic_vector(3 downto 0); token : t_token; split_token : t_split_token; no_data : std_logic; data : std_logic_vector(7 downto 0); data_valid : std_logic; data_last : std_logic; end record; type t_usb_tx_resp is record request_ack : std_logic; busy : std_logic; data_wait : std_logic; end record; type t_usb_tx_req_array is array(natural range <>) of t_usb_tx_req; -- function or_reduce(a : t_usb_tx_req_array) return t_usb_tx_req; constant c_usb_rx_init : t_usb_rx := ( receiving => '0', valid_token => '0', valid_split => '0', valid_handsh => '0', valid_packet => '0', error => '0', pid => X"0", token => c_token_init, split_token => c_split_token_init, data_valid => '0', data_start => '0', data => X"00" ); constant c_usb_tx_req_init : t_usb_tx_req := ( send_token => '0', send_split => '0', send_handsh => '0', send_packet => '0', pid => c_pid_reserved, token => c_token_init, split_token => c_split_token_init, no_data => '0', data => X"00", data_valid => '0', data_last => '0' ); constant c_usb_tx_ack : t_usb_tx_req := ( send_token => '0', send_split => '0', send_handsh => '1', send_packet => '0', pid => c_pid_ack, token => c_token_undefined, split_token => c_split_token_undefined, no_data => 'X', data => "XXXXXXXX", data_valid => '0', data_last => 'X' ); constant c_usb_tx_nack : t_usb_tx_req := ( send_token => '0', send_split => '0', send_handsh => '1', send_packet => '0', pid => c_pid_nak, token => c_token_undefined, split_token => c_split_token_undefined, no_data => 'X', data => "XXXXXXXX", data_valid => '0', data_last => 'X' ); constant c_usb_tx_nyet : t_usb_tx_req := ( send_token => '0', send_split => '0', send_handsh => '1', send_packet => '0', pid => c_pid_nyet, token => c_token_undefined, split_token => c_split_token_undefined, no_data => 'X', data => "XXXXXXXX", data_valid => '0', data_last => 'X' ); constant c_usb_tx_stall : t_usb_tx_req := ( send_token => '0', send_split => '0', send_handsh => '1', send_packet => '0', pid => c_pid_stall, token => c_token_undefined, split_token => c_split_token_undefined, no_data => 'X', data => "XXXXXXXX", data_valid => '0', data_last => 'X' ); constant c_usb_tx_data_out0 : t_usb_tx_req := ( send_token => '0', send_split => '0', send_handsh => '0', send_packet => '1', pid => c_pid_data0, token => c_token_undefined, split_token => c_split_token_undefined, no_data => '0', data => "XXXXXXXX", data_valid => '0', data_last => '0' ); constant c_usb_tx_data_out1 : t_usb_tx_req := ( send_token => '0', send_split => '0', send_handsh => '0', send_packet => '1', pid => c_pid_data1, token => c_token_undefined, split_token => c_split_token_undefined, no_data => '0', data => "XXXXXXXX", data_valid => '0', data_last => '0' ); function token_to_vector(t : t_token) return std_logic_vector; function vector_to_token(v : std_logic_vector) return t_token; function split_token_to_vector(t : t_split_token) return std_logic_vector; function vector_to_split_token(v : std_logic_vector) return t_split_token; end package; package body usb_pkg is function is_token(i : std_logic_vector(3 downto 0)) return boolean is begin case i is when c_pid_out => return true; when c_pid_in => return true; when c_pid_sof => return true; when c_pid_setup => return true; when c_pid_pre => return true; when c_pid_ping => return true; when others => return false; end case; return false; end function; function is_split(i : std_logic_vector(3 downto 0)) return boolean is begin return (i = c_pid_split); end function; function is_handshake(i : std_logic_vector(3 downto 0)) return boolean is begin case i is when c_pid_ack => return true; when c_pid_nak => return true; when c_pid_nyet => return true; when c_pid_stall => return true; when c_pid_err => return true; -- reused! HUB reply to CSPLIT when others => return false; end case; return false; end function; function get_togglebit(i : std_logic_vector(3 downto 0)) return std_logic is begin return i(3); end function; function split_token_to_vector(t : t_split_token) return std_logic_vector is variable ret : std_logic_vector(18 downto 0); begin ret(18 downto 17) := t.et; ret(16) := t.e; ret(15) := t.s; ret(14 downto 8) := t.port_address; ret(7) := t.sc; ret(6 downto 0) := t.hub_address; return ret; end function; function vector_to_split_token(v : std_logic_vector) return t_split_token is variable va : std_logic_vector(18 downto 0); variable ret : t_split_token; begin va := v; ret.et := va(18 downto 17); ret.e := va(16); ret.s := va(15); ret.port_address := va(14 downto 8); ret.sc := va(7); ret.hub_address := va(6 downto 0); return ret; end function; -- Token conversion function token_to_vector(t : t_token) return std_logic_vector is variable ret : std_logic_vector(10 downto 0); begin ret := t.endpoint_addr & t.device_addr; return ret; end function; function vector_to_token(v : std_logic_vector) return t_token is alias va : std_logic_vector(10 downto 0) is v; variable ret : t_token; begin ret.device_addr := va(6 downto 0); ret.endpoint_addr := va(10 downto 7); return ret; end function; end;
gpl-3.0
KB777/1541UltimateII
target/simulation/packages/vhdl_source/tl_string_util_pkg.vhd
5
33938
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2006 TECHNOLUTION B.V., GOUDA NL -- | ======= I == I = -- | I I I I -- | I === === I === I === === I I I ==== I === I === -- | I / \ I I/ I I/ I I I I I I I I I I I/ I -- | I ===== I I I I I I I I I I I I I I I I -- | I \ I I I I I I I I I /I \ I I I I I -- | I === === I I I I === === === I == I === I I -- | +---------------------------------------------------+ -- +----+ | +++++++++++++++++++++++++++++++++++++++++++++++++| -- | | ++++++++++++++++++++++++++++++++++++++| -- +------------+ +++++++++++++++++++++++++| -- ++++++++++++++| -- A U T O M A T I O N T E C H N O L O G Y +++++| -- ------------------------------------------------------------------------------- -- Title : Style guide example package -- Author : Jonathan Hofman ([email protected]) (import only) ------------------------------------------------------------------------------- -- Description: This file contains type definitions ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; package tl_string_util_pkg is ------------------------------------------------------------------------------- -- functions origination from file_io_package (depricated) ------------------------------------------------------------------------------- function nibble_to_hex(nibble : std_logic_vector(3 downto 0)) return character; -- depricated function hex_to_nibble(c : character) return std_logic_vector; -- depricated function is_hex_char(c : character) return boolean; -- depricated function vec_to_hex(vec : std_logic_vector; len : integer) return string; -- depricated procedure write_string(variable my_line : inout line; s : string); -- depricated ------------------------------------------------------------------------------- -- functions to convert to string ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- converts std_logic into a character --------------------------------------------------------------------------- function chr(sl: std_logic) return character; --------------------------------------------------------------------------- -- converts a nible into a hex character --------------------------------------------------------------------------- function hchr(slv: std_logic_vector) return character; function hchr(slv: unsigned) return character; --------------------------------------------------------------------------- -- converts std_logic into a string (1 to 1) --------------------------------------------------------------------------- function str(sl: std_logic) return string; --------------------------------------------------------------------------- -- converts std_logic_vector into a string (binary base) --------------------------------------------------------------------------- function str(slv: std_logic_vector) return string; --------------------------------------------------------------------------- -- converts boolean into a string --------------------------------------------------------------------------- function str(b: boolean) return string; --------------------------------------------------------------------------- -- converts an integer into a single character -- (can also be used for hex conversion and other bases) --------------------------------------------------------------------------- function chr(int: integer) return character; --------------------------------------------------------------------------- -- converts integer into string using specified base --------------------------------------------------------------------------- function str(int: integer; base: integer) return string; --------------------------------------------------------------------------- -- converts to string, using base 10 --------------------------------------------------------------------------- function str(int: integer) return string; --------------------------------------------------------------------------- -- convert into a string in hex format --------------------------------------------------------------------------- function hstr(slv: std_logic_vector) return string; function hstr(uns: unsigned) return string; ------------------------------------------------------------------------------- -- function to convert from string ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- converts a character into std_logic --------------------------------------------------------------------------- function to_std_logic(c: character) return std_logic; --------------------------------------------------------------------------- -- converts a hex character into std_logic_vector --------------------------------------------------------------------------- function hchr_to_std_logic_vector(c: character) return std_logic_vector; --------------------------------------------------------------------------- -- converts a string into a specific type --------------------------------------------------------------------------- function to_std_logic_vector(s: string) return std_logic_vector; --------------------------------------------------------------------------- -- converts a hex string into a specific type --------------------------------------------------------------------------- function hstr_to_std_logic_vector( str : string; len: integer) return std_logic_vector; function hstr_to_integer( str : string ) return integer; ------------------------------------------------------------------------------- -- string manipulation routines ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- convert to upper case --------------------------------------------------------------------------- function to_upper(c: character) return character; function to_upper(s: string) return string; --------------------------------------------------------------------------- -- convert to lower case --------------------------------------------------------------------------- function to_lower(c: character) return character; function to_lower(s: string) return string; --------------------------------------------------------------------------- -- check if it is an hex character --------------------------------------------------------------------------- function is_hchr(c : character) return boolean; function resize(s: string; size: natural; default: character := ' ') return string; --------------------------------------------------------------------------- -- Compare function for strings that correctly handles terminators (NUL) --------------------------------------------------------------------------- function strcmp(a: string; b: string) return boolean; ------------------------------------------------------------------------------- -- file I/O ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- print --------------------------------------------------------------------------- -- print string to a file and start new line, if no file is specified the -- print function will print to stdout --------------------------------------------------------------------------- procedure print(text: string); procedure print(active: boolean; text: string); procedure print(file out_file: TEXT; new_string: in string); procedure print(file out_file: TEXT; char: in character); --------------------------------------------------------------------------- -- read variable length string from input file --------------------------------------------------------------------------- procedure str_read(file in_file: TEXT; res_string: out string); ------------------------------------------------------------------------------- -- character manipulation ------------------------------------------------------------------------------- function char_to_std_logic_vector ( constant my_char : character) return std_logic_vector; end tl_string_util_pkg; package body tl_string_util_pkg is function nibble_to_hex(nibble : std_logic_vector(3 downto 0)) return character is variable r : character := '?'; begin case nibble is when "0000" => r := '0'; when "0001" => r := '1'; when "0010" => r := '2'; when "0011" => r := '3'; when "0100" => r := '4'; when "0101" => r := '5'; when "0110" => r := '6'; when "0111" => r := '7'; when "1000" => r := '8'; when "1001" => r := '9'; when "1010" => r := 'A'; when "1011" => r := 'B'; when "1100" => r := 'C'; when "1101" => r := 'D'; when "1110" => r := 'E'; when "1111" => r := 'F'; when others => r := 'X'; end case; return r; end nibble_to_hex; function hex_to_nibble(c : character) return std_logic_vector is variable z : std_logic_vector(3 downto 0); begin case c is when '0' => z := "0000"; when '1' => z := "0001"; when '2' => z := "0010"; when '3' => z := "0011"; when '4' => z := "0100"; when '5' => z := "0101"; when '6' => z := "0110"; when '7' => z := "0111"; when '8' => z := "1000"; when '9' => z := "1001"; when 'A' => z := "1010"; when 'B' => z := "1011"; when 'C' => z := "1100"; when 'D' => z := "1101"; when 'E' => z := "1110"; when 'F' => z := "1111"; when 'a' => z := "1010"; when 'b' => z := "1011"; when 'c' => z := "1100"; when 'd' => z := "1101"; when 'e' => z := "1110"; when 'f' => z := "1111"; when others => z := "XXXX"; end case; return z; end hex_to_nibble; function is_hex_char(c : character) return boolean is begin case c is when '0'|'1'|'2'|'3'|'4'|'5'|'6'|'7' => return true; when '8'|'9'|'A'|'B'|'C'|'D'|'E'|'F' => return true; when 'a'|'b'|'c'|'d'|'e'|'f' => return true; when others => return false; end case; return false; end is_hex_char; procedure vec_to_hex(vec : std_logic_vector; str : out string) is variable temp_vec : std_logic_vector(str'length * 4 downto 1) := (others => '0'); variable j : integer; variable my_vec : std_logic_vector(vec'range); variable len, my_low, my_high : integer; begin my_vec := vec; len := str'length; my_low := vec'low; my_high := vec'high; if vec'length < temp_vec'length then temp_vec(vec'length downto 1) := vec; else temp_vec := vec(vec'low + temp_vec'length - 1 downto vec'low); end if; for i in str'range loop j := (str'right - i) * 4; str(i) := nibble_to_hex(temp_vec(j+4 downto j+1)); end loop; end vec_to_hex; function vec_to_hex(vec : std_logic_vector; len : integer) return string is variable str : string(1 to len); begin vec_to_hex(vec, str); return str; end vec_to_hex; procedure write_string(variable my_line : inout line; s : string) is begin write(my_line, s); end write_string; ------------------------------------------------------------------------------- -- functions to convert to string ------------------------------------------------------------------------------- function chr(sl : std_logic) return character is variable c : character; begin case sl is when 'U' => c := 'U'; when 'X' => c := 'X'; when '0' => c := '0'; when '1' => c := '1'; when 'Z' => c := 'Z'; when 'W' => c := 'W'; when 'L' => c := 'L'; when 'H' => c := 'H'; when '-' => c := '-'; end case; return c; end chr; function str(sl : std_logic) return string is variable s : string(1 to 1); begin s(1) := chr(sl); return s; end str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv : std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function str(b : boolean) return string is begin if b then return "true"; else return "false"; end if; end str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int : integer) return character is variable c : character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end chr; function hchr(slv: std_logic_vector) return character is begin return hchr(unsigned(slv)); end function; function hchr(slv: unsigned) return character is variable v_fourbit : unsigned(3 downto 0); variable v_result : character; begin v_fourbit := resize(slv, 4); case v_fourbit is when "0000" => v_result := '0'; when "0001" => v_result := '1'; when "0010" => v_result := '2'; when "0011" => v_result := '3'; when "0100" => v_result := '4'; when "0101" => v_result := '5'; when "0110" => v_result := '6'; when "0111" => v_result := '7'; when "1000" => v_result := '8'; when "1001" => v_result := '9'; when "1010" => v_result := 'A'; when "1011" => v_result := 'B'; when "1100" => v_result := 'C'; when "1101" => v_result := 'D'; when "1110" => v_result := 'E'; when "1111" => v_result := 'F'; when "ZZZZ" => v_result := 'z'; when "UUUU" => v_result := 'u'; when "XXXX" => v_result := 'x'; when others => v_result := '?'; end case; return v_result; end function; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int : integer; base : integer) return string is variable temp : string(1 to 10); variable num : integer; variable abs_int : integer; variable len : integer := 1; variable power : integer := 1; begin -- bug fix for negative numbers abs_int := abs(int); num := abs_int; while num >= base loop -- Determine how many len := len + 1; -- characters required num := num / base; -- to represent the end loop; -- number. for i in len downto 1 loop -- Convert the number to temp(i) := chr(abs_int/power mod base); -- a string starting power := power * base; -- with the right hand end loop; -- side. -- return result and add sign if required if int < 0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end str; -- convert integer to string, using base 10 function str(int : integer) return string is begin return str(int, 10); end str; -- converts a std_logic_vector into a hex string. function hstr(slv : std_logic_vector) return string is constant c_hexlen : integer := (slv'length + 3)/4; alias slv_norm : std_logic_vector(slv'length - 1 downto 0) is slv; variable v_longslv : std_logic_vector(c_hexlen * 4 - 1 downto 0) := (others => '0'); variable v_result : string(1 to c_hexlen); variable v_fourbit : std_logic_vector(3 downto 0); begin v_longslv(slv_norm'range) := slv_norm; for i in 0 to c_hexlen - 1 loop v_fourbit := v_longslv(((i * 4) + 3) downto (i * 4)); case v_fourbit is when "0000" => v_result(c_hexlen - i) := '0'; when "0001" => v_result(c_hexlen - i) := '1'; when "0010" => v_result(c_hexlen - i) := '2'; when "0011" => v_result(c_hexlen - i) := '3'; when "0100" => v_result(c_hexlen - i) := '4'; when "0101" => v_result(c_hexlen - i) := '5'; when "0110" => v_result(c_hexlen - i) := '6'; when "0111" => v_result(c_hexlen - i) := '7'; when "1000" => v_result(c_hexlen - i) := '8'; when "1001" => v_result(c_hexlen - i) := '9'; when "1010" => v_result(c_hexlen - i) := 'A'; when "1011" => v_result(c_hexlen - i) := 'B'; when "1100" => v_result(c_hexlen - i) := 'C'; when "1101" => v_result(c_hexlen - i) := 'D'; when "1110" => v_result(c_hexlen - i) := 'E'; when "1111" => v_result(c_hexlen - i) := 'F'; when "ZZZZ" => v_result(c_hexlen - i) := 'z'; when "UUUU" => v_result(c_hexlen - i) := 'u'; when "XXXX" => v_result(c_hexlen - i) := 'x'; when others => v_result(c_hexlen - i) := '?'; end case; end loop; return v_result; end hstr; -- converts an unsigned into a hex string. function hstr(uns : unsigned) return string is begin return hstr(std_logic_vector(uns)); end; ------------------------------------------------------------------------------- -- function to convert from string ------------------------------------------------------------------------------- function to_std_logic(c: character) return std_logic is variable sl: std_logic; begin case c is when 'U' => sl := 'U'; when 'X' => sl := 'X'; when '0' => sl := '0'; when '1' => sl := '1'; when 'Z' => sl := 'Z'; when 'W' => sl := 'W'; when 'L' => sl := 'L'; when 'H' => sl := 'H'; when '-' => sl := '-'; when others => sl := 'X'; end case; return sl; end to_std_logic; function hchr_to_std_logic_vector(c: character) return std_logic_vector is variable v_result : std_logic_vector(3 downto 0); begin case c is when '0' => v_result := "0000"; when '1' => v_result := "0001"; when '2' => v_result := "0010"; when '3' => v_result := "0011"; when '4' => v_result := "0100"; when '5' => v_result := "0101"; when '6' => v_result := "0110"; when '7' => v_result := "0111"; when '8' => v_result := "1000"; when '9' => v_result := "1001"; when 'a' => v_result := "1010"; when 'b' => v_result := "1011"; when 'c' => v_result := "1100"; when 'd' => v_result := "1101"; when 'e' => v_result := "1110"; when 'f' => v_result := "1111"; when 'A' => v_result := "1010"; when 'B' => v_result := "1011"; when 'C' => v_result := "1100"; when 'D' => v_result := "1101"; when 'E' => v_result := "1110"; when 'F' => v_result := "1111"; when others => v_result := "XXXX"; assert FALSE report "Illegal character "& c & "in hex string! " severity warning; end case; return v_result; end function; function to_std_logic_vector(s: string) return std_logic_vector is variable slv: std_logic_vector(s'high-s'low downto 0); variable k: integer; begin k := s'high-s'low; for i in s'range loop slv(k) := to_std_logic(s(i)); k := k - 1; end loop; return slv; end to_std_logic_vector; function hstr_to_integer(str: string) return integer is variable len : integer := str'length; variable ivalue : integer := 0; variable digit : integer; begin for i in 1 to len loop case to_lower(str(i)) is when '0' => digit := 0; when '1' => digit := 1; when '2' => digit := 2; when '3' => digit := 3; when '4' => digit := 4; when '5' => digit := 5; when '6' => digit := 6; when '7' => digit := 7; when '8' => digit := 8; when '9' => digit := 9; when 'a' => digit := 10; when 'b' => digit := 11; when 'c' => digit := 12; when 'd' => digit := 13; when 'e' => digit := 14; when 'f' => digit := 15; when others => assert FALSE report "Illegal character "& str(i) & "in hex string! " severity ERROR; end case; ivalue := ivalue * 16 + digit; end loop; return ivalue; end; function hstr_to_std_logic_vector(str: string; len: integer) return std_logic_vector is variable digit : std_logic_vector(3 downto 0); variable result : std_logic_vector((str'length * 4) - 1 downto 0); begin -- we can not use hstr_to_integer and then convert to hex, because the integer range is -- limited for i in str'range loop case to_lower(str(str'length - i + 1)) is when '0' => digit := "0000"; when '1' => digit := "0001"; when '2' => digit := "0010"; when '3' => digit := "0011"; when '4' => digit := "0100"; when '5' => digit := "0101"; when '6' => digit := "0110"; when '7' => digit := "0111"; when '8' => digit := "1000"; when '9' => digit := "1001"; when 'a' => digit := "1010"; when 'b' => digit := "1011"; when 'c' => digit := "1100"; when 'd' => digit := "1101"; when 'e' => digit := "1110"; when 'f' => digit := "1111"; when others => assert FALSE report "Illegal character "& str(i) & "in hex string! " severity error; end case; result((i * 4) - 1 downto (i - 1) * 4) := digit; end loop; return result(len - 1 downto 0); end; ------------------------------------------------------------------------------- -- string manipulation routines ------------------------------------------------------------------------------- function to_upper(c : character) return character is variable u : character; begin case c is when 'a' => u := 'A'; when 'b' => u := 'B'; when 'c' => u := 'C'; when 'd' => u := 'D'; when 'e' => u := 'E'; when 'f' => u := 'F'; when 'g' => u := 'G'; when 'h' => u := 'H'; when 'i' => u := 'I'; when 'j' => u := 'J'; when 'k' => u := 'K'; when 'l' => u := 'L'; when 'm' => u := 'M'; when 'n' => u := 'N'; when 'o' => u := 'O'; when 'p' => u := 'P'; when 'q' => u := 'Q'; when 'r' => u := 'R'; when 's' => u := 'S'; when 't' => u := 'T'; when 'u' => u := 'U'; when 'v' => u := 'V'; when 'w' => u := 'W'; when 'x' => u := 'X'; when 'y' => u := 'Y'; when 'z' => u := 'Z'; when others => u := c; end case; return u; end to_upper; function to_lower(c : character) return character is variable l : character; begin case c is when 'A' => l := 'a'; when 'B' => l := 'b'; when 'C' => l := 'c'; when 'D' => l := 'd'; when 'E' => l := 'e'; when 'F' => l := 'f'; when 'G' => l := 'g'; when 'H' => l := 'h'; when 'I' => l := 'i'; when 'J' => l := 'j'; when 'K' => l := 'k'; when 'L' => l := 'l'; when 'M' => l := 'm'; when 'N' => l := 'n'; when 'O' => l := 'o'; when 'P' => l := 'p'; when 'Q' => l := 'q'; when 'R' => l := 'r'; when 'S' => l := 's'; when 'T' => l := 't'; when 'U' => l := 'u'; when 'V' => l := 'v'; when 'W' => l := 'w'; when 'X' => l := 'x'; when 'Y' => l := 'y'; when 'Z' => l := 'z'; when others => l := c; end case; return l; end to_lower; function to_upper(s : string) return string is variable uppercase : string (s'range); begin for i in s'range loop uppercase(i) := to_upper(s(i)); end loop; return uppercase; end to_upper; function to_lower(s : string) return string is variable lowercase : string (s'range); begin for i in s'range loop lowercase(i) := to_lower(s(i)); end loop; return lowercase; end to_lower; function is_hchr(c : character) return boolean is variable v_result : boolean; begin case c is when '0'|'1'|'2'|'3'|'4'|'5'|'6'|'7'| '8'|'9'|'A'|'B'|'C'|'D'|'E'|'F'| 'a'|'b'|'c'|'d'|'e'|'f' => v_result := true; when others => v_result := false; end case; return v_result; end function; function strcmp(a: string; b: string) return boolean is variable r : boolean := true; begin for i in a'range loop if i > b'right then if a(i) /= NUL then -- b is shorter and a doesn't terminate here. return false; else return true; -- b is shorter, but a does terminate here end if; end if; if a(i) /= b(i) then -- characters are not the same return false; end if; if a(i) = NUL and b(i) = NUL then -- a and b have a string terminator at the same place -- and previous characters were all the same return true; end if; end loop; -- if b is longer, then check if b has a terminator if (b'right > a'right) then if b(a'right + 1) /= NUL then return false; end if; end if; return true; end strcmp; ------------------------------------------------------------------------------- -- file I/O ------------------------------------------------------------------------------- procedure print(text: string) is variable msg_line: line; begin write(msg_line, text); writeline(output, msg_line); end print; procedure print(active: boolean; text: string) is begin if active then print(text); end if; end print; procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l, new_string); writeline(out_file, l); end print; procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l, char); writeline(out_file, l); end print; procedure str_read(file in_file: TEXT; res_string: out string) is variable l: line; variable c: character; variable is_string: boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i) := ' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l, c, is_string); res_string(i) := c; if not is_string then -- found end of line exit; end if; end loop; end str_read; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file, new_string(i)); if new_string(i) = LF then -- end of string exit; end if; end loop; end str_write; function char_to_std_logic_vector ( constant my_char : character) return std_logic_vector is begin return std_logic_vector(to_unsigned(character'pos(my_char), 8)); end; function resize(s: string; size: natural; default: character := ' ') return string is variable result: string(1 to size) := (others => default); begin if s'length > size then result := s(result'range); else result(s'range) := s; end if; return result; end function; end tl_string_util_pkg;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/io/mem_ctrl/vhdl_source/ext_mem_ctrl_v6.vhd
5
19323
------------------------------------------------------------------------------- -- Title : External Memory controller for SDRAM ------------------------------------------------------------------------------- -- Description: This module implements a simple, single burst memory controller. -- User interface is 16 bit (burst of 2), externally 4x 8 bit. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; library work; use work.mem_bus_pkg.all; entity ext_mem_ctrl_v6 is generic ( g_simulation : boolean := false; g_read_fifo : boolean := false; q_tcko_data : time := 100 ps; A_Width : integer := 13; SDRAM_WakeupTime : integer := 40; -- refresh periods SDRAM_Refr_period : integer := 375 ); port ( clock : in std_logic := '0'; clk_2x : in std_logic := '0'; reset : in std_logic := '0'; inhibit : in std_logic := '0'; is_idle : out std_logic; -- clk_4x : in std_logic := '0'; -- dummy : out std_logic; req : in t_mem_burst_16_req; resp : out t_mem_burst_16_resp; SDRAM_CLK : out std_logic; SDRAM_CKE : out std_logic := '0'; SDRAM_CSn : out std_logic := '1'; SDRAM_RASn : out std_logic := '1'; SDRAM_CASn : out std_logic := '1'; SDRAM_WEn : out std_logic := '1'; SDRAM_DQM : out std_logic := '0'; SDRAM_BA : out std_logic_vector(1 downto 0); SDRAM_A : out std_logic_vector(A_Width-1 downto 0); SDRAM_DQ : inout std_logic_vector(7 downto 0) := (others => 'Z')); end ext_mem_ctrl_v6; architecture Gideon of ext_mem_ctrl_v6 is type t_init is record addr : std_logic_vector(15 downto 0); cmd : std_logic_vector(2 downto 0); -- we-cas-ras end record; type t_init_array is array(natural range <>) of t_init; constant c_init_array : t_init_array(0 to 7) := ( ( X"0400", "010" ), -- auto precharge ( X"002B", "000" ), -- mode register, burstlen=8, writelen=8, CAS lat = 2, interleaved ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ), -- auto refresh ( X"0000", "100" ) ); type t_ints is array(natural range <>) of integer; constant c_delays : t_ints(0 to 15) := ( 2, 4, 2, 3, -- R2R (other row&other bank, other row, other bank, same row+bank) 4, 5, 4, 5, -- R2W 2, 5, 2, 3, -- W2R 2, 4, 2, 3 );-- W2W type t_state is (boot, init, idle, sd_cas ); signal state : t_state; signal sdram_d_o : std_logic_vector(SDRAM_DQ'range) := (others => '1'); signal sdram_d_t : std_logic_vector(SDRAM_DQ'range) := (others => '1'); signal wdata_tri : std_logic_vector(8 downto 0) := (others => '1'); signal delay : integer range 0 to 15; signal inhibit_d : std_logic; signal mem_a_i : std_logic_vector(SDRAM_A'range) := (others => '0'); signal mem_ba_i : std_logic_vector(SDRAM_BA'range) := (others => '0'); signal cs_n_i : std_logic := '1'; signal col_addr : std_logic_vector(9 downto 0) := (others => '0'); signal refresh_cnt : integer range 0 to SDRAM_Refr_period-1; signal do_refresh : std_logic := '0'; signal do_refresh_d : std_logic := '0'; signal trigger_refresh : std_logic := '0'; signal not_clock : std_logic; signal not_clock_2x : std_logic; signal rdata_lo : std_logic_vector(7 downto 0) := (others => '0'); signal rdata_hi : std_logic_vector(7 downto 0) := (others => '0'); signal rdata_hi_d : std_logic_vector(7 downto 0) := (others => '0'); signal wdata : std_logic_vector(17 downto 0) := (others => '0'); signal wdata_i : std_logic_vector(17 downto 0) := (others => '0'); signal wdata_av : std_logic; signal fifo_wdata_in : std_logic_vector(17 downto 0); signal wdqm : std_logic_vector(1 downto 0); signal dqm_override : std_logic := '1'; -- signal refr_delay : integer range 0 to 7; signal next_delay : integer range 0 to 7; signal boot_cnt : integer range 0 to SDRAM_WakeupTime-1 := SDRAM_WakeupTime-1; signal init_cnt : integer range 0 to c_init_array'high; signal enable_sdram : std_logic := '1'; signal req_i : std_logic; signal rack : std_logic; signal dack : std_logic_vector(5 downto 0) := "000000"; signal burst_start : std_logic_vector(5 downto 0) := "000000"; signal dnext : std_logic_vector(3 downto 0) := "0000"; signal last_bank : std_logic_vector(1 downto 0) := "10"; signal addr_bank : std_logic_vector(1 downto 0); signal same_bank : std_logic; signal last_row : std_logic_vector(12 downto 0) := "0101011010101"; signal addr_row : std_logic_vector(12 downto 0); signal same_row : std_logic; signal addr_column : std_logic_vector(9 downto 0); signal next_activate : std_logic; -- attribute fsm_encoding : string; -- attribute fsm_encoding of state : signal is "sequential"; -- attribute register_duplication : string; -- attribute register_duplication of mem_a_i : signal is "no"; attribute iob : string; attribute iob of SDRAM_CKE : signal is "false"; attribute iob of SDRAM_A : signal is "true"; attribute iob of SDRAM_BA : signal is "true"; attribute iob of SDRAM_RASn : signal is "true"; attribute iob of SDRAM_CASn : signal is "true"; attribute iob of SDRAM_WEn : signal is "true"; constant c_address_width : integer := req.address'length; constant c_data_width : integer := req.data'length; signal cmd_fifo_data_in : std_logic_vector(c_address_width downto 0); signal cmd_fifo_data_out : std_logic_vector(c_address_width downto 0); signal rwn_fifo : std_logic; signal rwn_i : std_logic := '1'; signal tag_fifo : std_logic_vector(7 downto 0); signal address_fifo : std_logic_vector(c_address_width-1 downto 0); signal cmd_af : std_logic; signal cmd_av : std_logic; signal rdata_af : std_logic := '0'; -- forced low for when there is no fifo signal push_cmd : std_logic; signal push_read_cmd : std_logic; signal crazy_index_slv : std_logic_vector(3 downto 0); signal crazy_index : integer range 0 to 15; signal sampled_dq : std_logic_vector(7 downto 0); begin is_idle <= '1' when state = idle else '0'; req_i <= cmd_av and not do_refresh_d; push_cmd <= req.request and not cmd_af; push_read_cmd <= push_cmd and req.read_writen; resp.ready <= not cmd_af; cmd_fifo_data_in <= req.read_writen & std_logic_vector(req.address); address_fifo <= cmd_fifo_data_out(address_fifo'range); rwn_fifo <= cmd_fifo_data_out(address_fifo'length); addr_bank <= address_fifo(14 downto 13); addr_row <= address_fifo(24 downto 15) & address_fifo(12 downto 10); addr_column <= address_fifo( 9 downto 0); i_command_fifo: entity work.srl_fifo generic map ( Width => c_address_width + 1, Depth => 15, Threshold => 3) port map ( clock => clock, reset => reset, GetElement => rack, PutElement => push_cmd, FlushFifo => '0', DataIn => cmd_fifo_data_in, DataOut => cmd_fifo_data_out, SpaceInFifo => open, AlmostFull => cmd_af, DataInFifo => cmd_av ); i_tag_fifo: entity work.srl_fifo generic map ( Width => 8, Depth => 15, Threshold => 3) port map ( clock => clock, reset => reset, GetElement => burst_start(1), PutElement => push_read_cmd, FlushFifo => '0', DataIn => req.request_tag, DataOut => tag_fifo, SpaceInFifo => open, AlmostFull => open, DataInFifo => open ); r_read_fifo: if g_read_fifo generate i_read_fifo: entity work.srl_fifo generic map ( Width => c_data_width, Depth => 15, Threshold => 6 ) port map ( clock => clock, reset => reset, GetElement => req.data_pop, PutElement => dack(0), FlushFifo => '0', DataIn(15 downto 8) => rdata_lo, DataIn(7 downto 0) => rdata_hi, DataOut => resp.data, SpaceInFifo => open, AlmostFull => rdata_af, DataInFifo => resp.rdata_av ); end generate; r_read_direct: if not g_read_fifo generate resp.data <= rdata_lo & rdata_hi; resp.rdata_av <= dack(0); end generate; fifo_wdata_in <= req.byte_en & req.data; wdqm <= (others => '1') when dqm_override='1' else (others => '0') when dnext(0)='0' else not wdata(17 downto 16); i_write_fifo: entity work.SRL_fifo generic map ( Width => (c_data_width*9)/8, Depth => 15, Threshold => 6 ) port map ( clock => clock, reset => reset, GetElement => dnext(0), PutElement => req.data_push, FlushFifo => '0', DataIn => fifo_wdata_in, DataOut => wdata_i, SpaceInFifo => open, AlmostFull => resp.wdata_full, DataInFifo => wdata_av ); wdata <= wdata_i after 1 ns; same_row <= '1' when addr_row = last_row else '0'; same_bank <= '1' when addr_bank = last_bank else '0'; crazy_index_slv <= not rwn_i & not rwn_fifo & same_row & same_bank; crazy_index <= to_integer(unsigned(crazy_index_slv)); trigger_refresh <= do_refresh_d and not (inhibit_d or inhibit); process(clock) procedure send_refresh_cmd is begin if next_delay = 0 then do_refresh <= '0'; do_refresh_d <= '0'; cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '0'; SDRAM_CASn <= '0'; SDRAM_WEn <= '1'; -- Auto Refresh next_delay <= 3; end if; end procedure; procedure accept_req is begin rwn_i <= rwn_fifo; col_addr <= addr_column; last_bank <= addr_bank; last_row <= addr_row; mem_a_i(addr_row'range) <= addr_row; mem_ba_i <= addr_bank; cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '0'; SDRAM_CASn <= '1'; SDRAM_WEn <= '1'; -- Command = ACTIVE delay <= 0; state <= sd_cas; end procedure; procedure issue_read_or_write is begin mem_a_i(9 downto 0) <= col_addr; do_refresh_d <= do_refresh; if req_i='0' or do_refresh='1' then if rwn_i='0' then next_delay <= 5; else next_delay <= 4; end if; mem_a_i(10) <= '1'; -- auto precharge next_activate <= '1'; else next_delay <= c_delays(crazy_index); mem_a_i(10) <= not (same_row and same_bank); -- do not AP when we'll continue in same row next_activate <= not (same_row and same_bank); -- only activate next time if we also AP. end if; if delay=0 then if rwn_i='0' then if wdata_av='1' then wdata_tri(7 downto 0) <= (others => '0') after 1 ns; cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '1'; SDRAM_CASn <= '0'; SDRAM_WEn <= '0'; dnext <= "1111" after 1 ns; state <= idle; end if; else if rdata_af='0' then cs_n_i <= '0' after 1 ns; SDRAM_RASn <= '1'; SDRAM_CASn <= '0'; SDRAM_WEn <= '1'; dack(dack'high downto dack'high-3) <= (others => '1'); burst_start(2) <= '1'; state <= idle; end if; end if; end if; end procedure; begin if rising_edge(clock) then inhibit_d <= inhibit; rdata_hi_d <= rdata_hi; cs_n_i <= '1' after 1 ns; SDRAM_CKE <= enable_sdram; SDRAM_RASn <= '1'; SDRAM_CASn <= '1'; SDRAM_WEn <= '1'; if burst_start(1)='1' then resp.data_tag <= tag_fifo; end if; if next_delay /= 0 then next_delay <= next_delay - 1; end if; if delay /= 0 then delay <= delay - 1; end if; wdata_tri <= "11" & wdata_tri(wdata_tri'high downto 2) after 1 ns; dack <= '0' & dack(dack'high downto 1); burst_start <= '0' & burst_start(burst_start'high downto 1); dnext <= '0' & dnext(dnext'high downto 1) after 1 ns; case state is when boot => enable_sdram <= '1'; if g_simulation then state <= init; elsif refresh_cnt = 0 then boot_cnt <= boot_cnt - 1; if boot_cnt = 1 then state <= init; end if; end if; when init => mem_a_i <= c_init_array(init_cnt).addr(mem_a_i'range); mem_ba_i <= (others => '0'); -- for DDR and such, maybe the upper 2/3 bits SDRAM_RASn <= c_init_array(init_cnt).cmd(0); SDRAM_CASn <= c_init_array(init_cnt).cmd(1); SDRAM_WEn <= c_init_array(init_cnt).cmd(2); if next_delay = 0 then next_delay <= 7; cs_n_i <= '0' after 1 ns; if init_cnt = c_init_array'high then state <= idle; dqm_override <= '0'; else init_cnt <= init_cnt + 1; end if; end if; when idle => -- first cycle after inhibit goes 0, do not do refresh -- this enables putting cartridge images in sdram if trigger_refresh='1' then send_refresh_cmd; elsif inhibit='0' then if req_i='1' then if next_activate='1' and next_delay=0 then accept_req; elsif next_activate='0' and next_delay=1 then rwn_i <= rwn_fifo; col_addr <= addr_column; state <= sd_cas; end if; else do_refresh_d <= do_refresh; end if; end if; when sd_cas => issue_read_or_write; when others => null; end case; if refresh_cnt = SDRAM_Refr_period-1 then do_refresh <= '1'; refresh_cnt <= 0; else refresh_cnt <= refresh_cnt + 1; end if; if reset='1' then resp.data_tag <= (others => '0'); dqm_override <= '1'; state <= boot; wdata_tri <= (others => '0'); delay <= 0; next_delay <= 0; do_refresh <= '0'; do_refresh_d <= '0'; boot_cnt <= SDRAM_WakeupTime-1; init_cnt <= 0; enable_sdram <= '1'; next_activate <= '1'; rwn_i <= '1'; end if; end if; end process; -- Generate rack; the signal that indicates that a request is going to be issued -- and thus taken from the command fifo. process(state, trigger_refresh, inhibit, req_i, next_delay, next_activate) begin rack <= '0'; case state is when idle => -- first cycle after inhibit goes 0, do not do refresh -- this enables putting cartridge images in sdram if trigger_refresh='1' then null; elsif inhibit='0' and req_i='1' then if next_activate='1' and next_delay = 0 then rack <= '1'; elsif next_activate='0' and next_delay = 1 then rack <= '1'; end if; end if; when others => null; end case; end process; SDRAM_A <= mem_a_i; SDRAM_BA <= mem_ba_i; not_clock_2x <= not clk_2x; not_clock <= not clock; clkout: FDDRRSE port map ( CE => '1', C0 => clk_2x, C1 => not_clock_2x, D0 => '0', D1 => enable_sdram, Q => SDRAM_CLK, R => '0', S => '0' ); r_data: for i in 0 to 7 generate i_dout: entity work.my_ioddr port map ( pin => SDRAM_DQ(i), clock => clock, D0 => wdata(8+i), D1 => wdata(i), T0 => wdata_tri(1), T1 => wdata_tri(0), Q0 => rdata_hi(i), Q1 => rdata_lo(i) ); end generate; select_out: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", SRTYPE => "SYNC" ) port map ( CE => '1', C0 => clock, C1 => not_clock, D0 => '1', D1 => cs_n_i, Q => SDRAM_CSn, R => '0', S => '0' ); i_dqm_out: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", SRTYPE => "SYNC" ) port map ( Q => SDRAM_DQM, C0 => clock, C1 => not_clock, CE => '1', D0 => wdqm(1), D1 => wdqm(0), R => '0', S => '0' ); end Gideon;
gpl-3.0
KB777/1541UltimateII
fpga/1541/vhdl_sim/harness_1541.vhd
5
5864
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library work; use work.flat_memory_model.all; use work.iec_bus_bfm_pkg.all; entity harness_1541 is end harness_1541; architecture structural of harness_1541 is signal sram_a : std_logic_vector(17 downto 0); signal sram_dq : std_logic_vector(31 downto 0); signal sram_csn : std_logic; signal sram_oen : std_logic; signal sram_wen : std_logic; signal sram_ben : std_logic_vector(3 downto 0); signal iec_atn : std_logic; signal iec_data : std_logic; signal iec_clock : std_logic; --- signal clock : std_logic := '0'; signal clock_en : std_logic; signal reset : std_logic; signal mem_req : std_logic; signal mem_readwriten : std_logic; signal mem_address : std_logic_vector(19 downto 0) := (others => '0'); signal mem_rack : std_logic; signal mem_dack : std_logic; signal mem_wdata : std_logic_vector(7 downto 0); signal mem_rdata : std_logic_vector(7 downto 0); signal act_led : std_logic; signal motor_on : std_logic; signal mode : std_logic; signal step : std_logic_vector(1 downto 0) := "00"; signal soe : std_logic; signal rate_ctrl : std_logic_vector(1 downto 0); signal track : std_logic_vector(6 downto 0); signal byte_ready : std_logic; signal sync : std_logic; signal disk_rdata : std_logic_vector(7 downto 0); signal disk_wdata : std_logic_vector(7 downto 0); signal atn_o, atn_i : std_logic; signal clk_o, clk_i : std_logic; signal data_o, data_i : std_logic; begin -- 4 MHz clock clock <= not clock after 125 ns; reset <= '1', '0' after 2 us; ce: process begin clock_en <= '0'; wait until clock='1'; wait until clock='1'; wait until clock='1'; clock_en <= '1'; wait until clock='1'; end process; cpu: entity work.cpu_part_1541 port map ( clock => clock, clock_en => clock_en, reset => reset, -- serial bus pins atn_o => atn_o, -- open drain atn_i => atn_i, clk_o => clk_o, -- open drain clk_i => clk_i, data_o => data_o, -- open drain data_i => data_i, -- drive pins drive_select(0) => '0', drive_select(1) => '0', motor_on => motor_on, mode => mode, write_prot_n => '1', step => step, soe => soe, rate_ctrl => rate_ctrl, byte_ready => byte_ready, sync => sync, drv_rdata => disk_rdata, drv_wdata => disk_wdata, -- other act_led => act_led ); iec_atn <= '0' when atn_o='0' else 'H'; -- open drain, with pull up iec_clock <= '0' when clk_o='0' else 'H'; -- open drain, with pull up iec_data <= '0' when data_o='0' else 'H'; -- open drain, with pull up atn_i <= iec_atn; clk_i <= iec_clock; data_i <= iec_data; flop: entity work.floppy port map ( drv_clock => clock, drv_clock_en => '1', -- combi clk/cke that yields 4 MHz; eg. 16/4 drv_reset => reset, -- signals from MOS 6522 VIA motor_on => motor_on, mode => mode, write_prot_n => '1', step => step, soe => soe, rate_ctrl => rate_ctrl, byte_ready => byte_ready, sync => sync, read_data => disk_rdata, write_data => disk_wdata, track => track, --- mem_clock => clock, mem_reset => reset, mem_req => mem_req, mem_rwn => mem_readwriten, mem_addr => mem_address, mem_rack => mem_rack, mem_dack => mem_dack, mem_wdata => mem_wdata, mem_rdata => mem_rdata ); ram_ctrl: entity work.sram_8bit32 generic map ( SRAM_WR_ASU => 0, SRAM_WR_Pulse => 1, SRAM_WR_Hold => 2, SRAM_RD_ASU => 0, SRAM_RD_Pulse => 1, SRAM_RD_Hold => 2 ) -- recovery time (bus turnaround) port map ( clock => clock, reset => reset, req => mem_req, readwriten => mem_readwriten, address => mem_address, rack => mem_rack, dack => mem_dack, wdata => mem_wdata, rdata => mem_rdata, SRAM_A => SRAM_A, SRAM_OEn => SRAM_OEn, SRAM_WEn => SRAM_WEn, SRAM_CSn => SRAM_CSn, SRAM_D => SRAM_DQ, SRAM_BEn => SRAM_BEn ); sram: entity work.sram_model_32 generic map(18, 50 ns) port map (SRAM_A, SRAM_DQ, SRAM_CSn, SRAM_BEn, SRAM_OEn, SRAM_WEn); iec_bfm: entity work.iec_bus_bfm port map ( iec_clock => iec_clock, iec_data => iec_data, iec_atn => iec_atn ); end structural;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/ip/nano_cpu/vhdl_sim/ulpi_phy_bfm.vhd
10
10740
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ulpi_phy_bfm is generic ( g_rx_interval : integer := 100 ); port ( clock : in std_logic; reset : in std_logic; ULPI_DATA : inout std_logic_vector(7 downto 0); ULPI_DIR : out std_logic; ULPI_NXT : out std_logic; ULPI_STP : in std_logic ); end ulpi_phy_bfm; architecture gideon of ulpi_phy_bfm is type t_state is (idle, sending, receiving, read_reg, read_reg2, read_reg3, write_reg, status_update); signal state : t_state; signal pattern : std_logic_vector(0 to 19); signal do_send : std_logic; signal counter : integer := 0; signal status_in : std_logic_vector(7 downto 0) := X"00"; signal status_d : std_logic_vector(7 downto 0) := X"00"; signal ulpi_nxt_i : std_logic; signal ulpi_nxt_d : std_logic; signal ulpi_dir_i : std_logic; alias ulpi_cmd : std_logic_vector(1 downto 0) is ULPI_DATA(7 downto 6); type t_data8 is array(natural range <>) of std_logic_vector(7 downto 0); signal crc_sync : std_logic; signal data_crc : std_logic_vector(15 downto 0); signal irq_status : std_logic_vector(7 downto 0) := X"00"; signal func_select : std_logic_vector(7 downto 0) := X"00"; signal intf_control : std_logic_vector(7 downto 0) := X"00"; signal otg_control : std_logic_vector(7 downto 0) := X"00"; signal scratch : std_logic_vector(7 downto 0) := X"00"; signal power : std_logic := '0'; signal power_level : std_logic_vector(1 downto 0) := "00"; signal pid : std_logic_vector(3 downto 0) := X"F"; constant c_transmit : std_logic_vector(1 downto 0) := "01"; constant c_write_reg : std_logic_vector(1 downto 0) := "10"; constant c_read_reg : std_logic_vector(1 downto 0) := "11"; function to_std(a : boolean) return std_logic is begin if (a) then return '1'; else return '0'; end if; end function; begin crc_sync <= '1' when (state = idle) else '0'; irq_status(3) <= to_std(status_in(3 downto 2) = "01"); irq_status(2) <= status_in(3); irq_status(1) <= to_std(status_in(3 downto 2) = "11"); status_in(3 downto 2) <= power_level; process(power) begin if power'event then if power = '1' then power_level <= transport "00", "01" after 10 us, "10" after 20 us, "11" after 30 us; elsif power = '0' then power_level <= transport "11", "10" after 3 us, "01" after 6 us, "00" after 10 us; else power_level <= "00"; end if; end if; end process; process(clock) variable byte_count : integer := 0; variable rx_interval : integer := g_rx_interval; variable address : std_logic_vector(5 downto 0); procedure set_reg(addr: std_logic_vector(5 downto 0); data: std_logic_vector(7 downto 0) ) is variable a : integer; begin a := to_integer(unsigned(addr)); case a is when 4 => func_select <= data; if data(4 downto 0) = "10000" then -- host chirp status_in(1 downto 0) <= transport "00", "10" after 10 us, "00" after 15 us; elsif data(4 downto 3) ="00" then -- normal operation status_in(1 downto 0) <= data(1 downto 0); -- for the time being end if; when 7 => intf_control <= data; when 8 => intf_control <= intf_control or data; when 9 => intf_control <= intf_control and not data; when 10 => otg_control <= data; if data(5)='1' or data(6)='1' then-- poweron report "Power On"; power <= '1'; else -- power off report "Power Off"; power <= '0'; end if; when 22 => scratch <= data; when 23 => scratch <= scratch or data; when 24 => scratch <= scratch and not data; when others => null; end case; end procedure; variable receive_buffer : t_data8(0 to 127); variable transmit_buffer : t_data8(0 to 127); variable receive_length : integer := 0; variable transmit_length : integer := 0; procedure determine_response is begin if receive_buffer(0) = X"43" then -- data0 packet transmit_buffer(0) := X"D2"; transmit_length := 1; do_send <= '1'; end if; end procedure; impure function read_ulpi_register(addr: std_logic_vector(5 downto 0)) return std_logic_vector is variable ret : std_logic_vector(7 downto 0); variable a : integer; begin a := to_integer(unsigned(addr)); ret := X"00"; case a is when 19 | 20 => ret := irq_status; when 0 => ret := X"24"; when 1|2 => ret := X"04"; when 4|5|6 => ret := func_select; when 7|8|9 => ret := intf_control; when 10|11|12 => ret := otg_control; when 22|23|24 => ret := scratch; when others => null; end case; return ret; end function; begin if rising_edge(clock) then if rx_interval = 0 then do_send <= '0'; -- autonomous send disabled rx_interval := g_rx_interval; else rx_interval := rx_interval - 1; end if; ulpi_nxt_i <= '0'; case state is when idle => status_d <= status_in; ulpi_dir_i <= '0'; ULPI_DATA <= (others => 'Z'); if do_send = '1' then do_send <= '0'; ulpi_dir_i <= '1'; ulpi_nxt_i <= '1'; pattern <= "01111101111011101101"; state <= sending; counter <= 0; byte_count := transmit_length; elsif ulpi_dir_i = '0' then pid <= ULPI_DATA(3 downto 0); if ulpi_cmd = c_transmit then pattern <= "11111111100111011010"; state <= receiving; receive_length := 0; elsif ulpi_cmd = c_write_reg then address := ULPI_DATA(5 downto 0); byte_count := 2; state <= write_reg; elsif ulpi_cmd = c_read_reg then address := ULPI_DATA(5 downto 0); state <= read_reg; elsif status_in /= status_d then ulpi_dir_i <= '1'; state <= status_update; end if; end if; when status_update => ULPI_DATA <= status_d; state <= idle; when sending => pattern <= pattern(1 to 19) & '0'; if pattern(0)='1' then ULPI_DATA <= transmit_buffer(counter); ulpi_nxt_i <= '1'; counter <= counter + 1; byte_count := byte_count - 1; if byte_count = 0 then state <= idle; end if; else ULPI_DATA <= status_in; ulpi_nxt_i <= '0'; end if; when receiving => if ULPI_STP = '1' then ulpi_nxt_i <= '0'; state <= idle; report "Received packet with length = " & integer'image(receive_length); determine_response; else if (ulpi_nxt_i = '1') and (pid /= X"0") then receive_buffer(receive_length) := ULPI_DATA; receive_length := receive_length + 1; end if; ulpi_nxt_i <= pattern(0); pattern <= pattern(1 to 19) & '1'; end if; when write_reg => if byte_count = 0 then ulpi_nxt_i <= '0'; set_reg(address, ULPI_DATA); else ulpi_nxt_i <= '1'; end if; byte_count := byte_count - 1; if ULPI_STP = '1' then state <= idle; end if; when read_reg => ulpi_nxt_i <= '1'; state <= read_reg2; when read_reg2 => ulpi_dir_i <= '1'; state <= read_reg3; when read_reg3 => ULPI_DATA <= read_ulpi_register(address); state <= idle; when others => state <= idle; end case; ulpi_nxt_d <= ulpi_nxt_i; if reset='1' then state <= idle; end if; end if; end process; ULPI_NXT <= ulpi_nxt_i; ULPI_DIR <= ulpi_dir_i; i_data_crc: entity work.data_crc port map ( clock => clock, sync => crc_sync, valid => ulpi_nxt_d, data_in => ULPI_DATA, crc => data_crc ); end gideon;
gpl-3.0
KB777/1541UltimateII
legacy/2.6k/fpga/io/sigma_delta_dac/vhdl_source/sigma_delta_dac.vhd
4
2810
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.my_math_pkg.all; entity sigma_delta_dac is generic ( g_width : positive := 12; g_invert : boolean := false; g_use_mid_only : boolean := true; g_left_shift : natural := 1 ); port ( clock : in std_logic; reset : in std_logic; dac_in : in signed(g_width-1 downto 0); dac_out : out std_logic ); end sigma_delta_dac; architecture gideon of sigma_delta_dac is -- signal converted : unsigned(g_width-1 downto 0); signal dac_in_scaled : signed(g_width-1 downto g_left_shift); signal converted : unsigned(g_width downto g_left_shift); signal out_i : std_logic; signal accu : unsigned(converted'range); signal divider : unsigned(2 downto 0) := "000"; signal sine : signed(15 downto 0); signal sine_enable : std_logic; begin dac_in_scaled <= left_scale(dac_in, g_left_shift); converted <= (not dac_in_scaled(dac_in_scaled'high) & unsigned(dac_in_scaled(dac_in_scaled'high downto g_left_shift))) when g_use_mid_only else (not dac_in_scaled(dac_in_scaled'high) & unsigned(dac_in_scaled(dac_in_scaled'high-1 downto g_left_shift))) & '0'; -- converted <= not sine(sine'high) & unsigned(sine(sine'high downto 0)); -- i_pilot: entity work.sine_osc -- port map ( -- clock => clock, -- enable => sine_enable, -- reset => reset, -- -- sine => sine, -- cosine => open ); -- -- sine_enable <= '1' when divider="001" else '0'; process(clock) procedure sum_with_carry(a, b : unsigned; y : out unsigned; c : out std_logic ) is variable a_ext : unsigned(a'length downto 0); variable b_ext : unsigned(a'length downto 0); variable summed : unsigned(a'length downto 0); begin a_ext := '0' & a; b_ext := '0' & b; summed := a_ext + b_ext; c := summed(summed'left); y := summed(a'length-1 downto 0); end procedure; variable a_new : unsigned(accu'range); variable carry : std_logic; begin if rising_edge(clock) then divider <= divider + 1; sum_with_carry(accu, converted, a_new, carry); accu <= a_new; if g_invert then out_i <= not carry; else out_i <= carry; end if; if reset='1' then out_i <= not out_i; accu <= (others => '0'); end if; end if; end process; dac_out <= out_i; end gideon;
gpl-3.0