repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/hdl/vhdl/ANN.vhd
6
164925
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ANN is generic ( C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of ANN is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "ANN,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.621000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=18,HLS_SYN_DSP=37,HLS_SYN_FF=8935,HLS_SYN_LUT=12780}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010"; constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100"; constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000"; constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000"; constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000"; constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000"; constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000"; constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000"; constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000"; constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000"; constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000"; constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000"; constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000"; constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000"; constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000"; constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000"; constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000"; constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000"; constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000"; constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000"; constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000"; constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000"; constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000"; constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000"; constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000"; constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000"; constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000"; constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000"; constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000"; constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000"; constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000"; constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000"; constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000"; constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000"; constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000"; constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000"; constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000"; constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000"; constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000"; constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000"; constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000"; constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000"; constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000"; constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000"; constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000"; constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000"; constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000"; constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000"; constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000"; constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000"; constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000"; constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000"; constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000"; constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000"; constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000"; constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000"; constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (149 downto 0) := "000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (149 downto 0) := "000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (149 downto 0) := "000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (149 downto 0) := "000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (149 downto 0) := "000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (149 downto 0) := "000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (149 downto 0) := "000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (149 downto 0) := "000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (149 downto 0) := "000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (149 downto 0) := "001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (149 downto 0) := "010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (149 downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000"; constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001"; constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111"; constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001"; constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001"; constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011"; constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110"; constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000"; constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100"; constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110"; constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101"; constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111"; constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111"; constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001"; constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100"; constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101"; constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100"; constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011"; constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110"; constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111"; constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111"; constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000"; constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001"; constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011"; constant ap_const_lv31_1 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000001"; constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101"; constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000"; constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010"; constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100"; constant ap_const_lv32_BF800000 : STD_LOGIC_VECTOR (31 downto 0) := "10111111100000000000000000000000"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011"; constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100"; constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010"; constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000"; constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110"; constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111"; constant ap_const_lv23_0 : STD_LOGIC_VECTOR (22 downto 0) := "00000000000000000000000"; constant ap_const_lv31_7FFFFFFF : STD_LOGIC_VECTOR (30 downto 0) := "1111111111111111111111111111111"; constant ap_const_lv32_FFFFFFFE : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111110"; constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000"; constant ap_const_lv14_29 : STD_LOGIC_VECTOR (13 downto 0) := "00000000101001"; constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010"; constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_168 : BOOLEAN; signal ap_ready : STD_LOGIC; signal P_mode : STD_LOGIC_VECTOR (31 downto 0); signal P_index1 : STD_LOGIC_VECTOR (31 downto 0); signal P_index2 : STD_LOGIC_VECTOR (31 downto 0); signal P_intIn_index3 : STD_LOGIC_VECTOR (31 downto 0); signal P_floatIn : STD_LOGIC_VECTOR (31 downto 0); signal ST_numLayer : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0); signal ST_WandB_ce0 : STD_LOGIC; signal ST_WandB_we0 : STD_LOGIC; signal ST_WandB_d0 : STD_LOGIC_VECTOR (31 downto 0); signal ST_WandB_q0 : STD_LOGIC_VECTOR (31 downto 0); signal ST_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0); signal ST_uOut_ce0 : STD_LOGIC; signal ST_uOut_we0 : STD_LOGIC; signal ST_uOut_d0 : STD_LOGIC_VECTOR (31 downto 0); signal ST_uOut_q0 : STD_LOGIC_VECTOR (31 downto 0); signal ST_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0); signal ST_uOut_ce1 : STD_LOGIC; signal ST_uOut_we1 : STD_LOGIC; signal ST_uOut_d1 : STD_LOGIC_VECTOR (31 downto 0); signal ST_uOut_q1 : STD_LOGIC_VECTOR (31 downto 0); signal ST_layerSize_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ST_layerSize_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ST_layerSize_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ST_layerSize_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ap_return : STD_LOGIC_VECTOR (31 downto 0); signal ANN_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC; signal reg_490 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC; signal ap_sig_bdd_253 : BOOLEAN; signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC; signal ap_sig_bdd_260 : BOOLEAN; signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC; signal ap_sig_bdd_268 : BOOLEAN; signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC; signal ap_sig_bdd_275 : BOOLEAN; signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC; signal ap_sig_bdd_283 : BOOLEAN; signal reg_499 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC; signal ap_sig_bdd_292 : BOOLEAN; signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC; signal ap_sig_bdd_301 : BOOLEAN; signal grp_fu_428_p2 : STD_LOGIC_VECTOR (31 downto 0); signal reg_505 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC; signal ap_sig_bdd_311 : BOOLEAN; signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC; signal ap_sig_bdd_318 : BOOLEAN; signal grp_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC; signal ap_sig_bdd_328 : BOOLEAN; signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC; signal ap_sig_bdd_335 : BOOLEAN; signal reg_516 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC; signal ap_sig_bdd_344 : BOOLEAN; signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC; signal ap_sig_bdd_351 : BOOLEAN; signal grp_fu_447_p1 : STD_LOGIC_VECTOR (63 downto 0); signal reg_521 : STD_LOGIC_VECTOR (63 downto 0); signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC; signal ap_sig_bdd_361 : BOOLEAN; signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC; signal ap_sig_bdd_368 : BOOLEAN; signal grp_fu_464_p2 : STD_LOGIC_VECTOR (63 downto 0); signal reg_526 : STD_LOGIC_VECTOR (63 downto 0); signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC; signal ap_sig_bdd_378 : BOOLEAN; signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC; signal ap_sig_bdd_385 : BOOLEAN; signal grp_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0); signal reg_532 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC; signal ap_sig_bdd_395 : BOOLEAN; signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC; signal ap_sig_bdd_402 : BOOLEAN; signal P_floatIn_read_reg_1345 : STD_LOGIC_VECTOR (31 downto 0); signal ST_numLayer_load_reg_1353 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_76_fu_609_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_76_reg_1378 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_1_fu_538_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_2_fu_549_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_4_fu_555_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_8_fu_561_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_s_fu_567_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_10_fu_573_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_14_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_31_fu_619_p6 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_31_reg_1384 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_fu_683_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_6_reg_1394 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_16_fu_721_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_16_reg_1399 : STD_LOGIC_VECTOR (13 downto 0); signal max_2_cast_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0); signal max_2_cast_reg_1407 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC; signal ap_sig_bdd_458 : BOOLEAN; signal tmp_24_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0); signal i_4_fu_798_p2 : STD_LOGIC_VECTOR (30 downto 0); signal i_4_reg_1425 : STD_LOGIC_VECTOR (30 downto 0); signal ST_uOut_load_2_reg_1430 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_63_fu_881_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_63_reg_1436 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC; signal ap_sig_bdd_478 : BOOLEAN; signal max_1_fu_887_p3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC; signal ap_sig_bdd_487 : BOOLEAN; signal grp_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC; signal ap_sig_bdd_496 : BOOLEAN; signal tmp_28_fu_926_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_28_reg_1454 : STD_LOGIC_VECTOR (13 downto 0); signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC; signal ap_sig_bdd_505 : BOOLEAN; signal tmp_3_fu_897_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_29_fu_932_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_29_reg_1459 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_38_fu_966_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_38_reg_1464 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_45_fu_972_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_45_reg_1469 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_56_fu_1000_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_56_reg_1474 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_58_fu_1006_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_58_reg_1479 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_64_fu_1010_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_64_reg_1484 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_69_fu_1043_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_69_reg_1489 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_70_fu_1049_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_70_reg_1494 : STD_LOGIC_VECTOR (1 downto 0); signal j_2_fu_1072_p2 : STD_LOGIC_VECTOR (31 downto 0); signal j_2_reg_1502 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC; signal ap_sig_bdd_535 : BOOLEAN; signal tmp_53_fu_1078_p6 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_53_reg_1507 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_20_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_80_fu_1333_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_80_reg_1513 : STD_LOGIC_VECTOR (13 downto 0); signal ST_uOut_addr_5_reg_1519 : STD_LOGIC_VECTOR (7 downto 0); signal i_3_fu_1105_p2 : STD_LOGIC_VECTOR (30 downto 0); signal k_1_fu_1120_p2 : STD_LOGIC_VECTOR (30 downto 0); signal k_1_reg_1532 : STD_LOGIC_VECTOR (30 downto 0); signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC; signal ap_sig_bdd_557 : BOOLEAN; signal tmp_33_fu_1115_p2 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_454_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_42_reg_1552 : STD_LOGIC_VECTOR (63 downto 0); signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC; signal ap_sig_bdd_577 : BOOLEAN; signal grp_fu_459_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_43_reg_1557 : STD_LOGIC_VECTOR (63 downto 0); signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC; signal ap_sig_bdd_586 : BOOLEAN; signal tmp_27_fu_1182_p6 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_27_reg_1562 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC; signal ap_sig_bdd_595 : BOOLEAN; signal i_5_fu_1201_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_5_reg_1570 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_54_fu_1207_p6 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_54_reg_1575 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_22_fu_1195_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_83_fu_1339_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_83_reg_1581 : STD_LOGIC_VECTOR (13 downto 0); signal ST_uOut_addr_7_reg_1587 : STD_LOGIC_VECTOR (7 downto 0); signal j_3_fu_1243_p2 : STD_LOGIC_VECTOR (30 downto 0); signal j_3_reg_1595 : STD_LOGIC_VECTOR (30 downto 0); signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC; signal ap_sig_bdd_616 : BOOLEAN; signal tmp_34_fu_1238_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC; signal ap_sig_bdd_635 : BOOLEAN; signal i_6_fu_1299_p2 : STD_LOGIC_VECTOR (30 downto 0); signal i_6_reg_1623 : STD_LOGIC_VECTOR (30 downto 0); signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC; signal ap_sig_bdd_644 : BOOLEAN; signal ST_uOut_addr_8_reg_1628 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_35_fu_1294_p2 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_435_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_52_reg_1634 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC; signal ap_sig_bdd_659 : BOOLEAN; signal tmp_21_fu_1324_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_21_reg_1639 : STD_LOGIC_VECTOR (13 downto 0); signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC; signal ap_sig_bdd_668 : BOOLEAN; signal max_2_reg_266 : STD_LOGIC_VECTOR (30 downto 0); signal max_reg_277 : STD_LOGIC_VECTOR (31 downto 0); signal i_reg_289 : STD_LOGIC_VECTOR (30 downto 0); signal j_reg_301 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC; signal ap_sig_bdd_690 : BOOLEAN; signal sum_reg_312 : STD_LOGIC_VECTOR (31 downto 0); signal k_reg_324 : STD_LOGIC_VECTOR (30 downto 0); signal sumsoft_reg_335 : STD_LOGIC_VECTOR (31 downto 0); signal i_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0); signal sum_1_reg_358 : STD_LOGIC_VECTOR (31 downto 0); signal j_1_reg_370 : STD_LOGIC_VECTOR (30 downto 0); signal i_2_reg_381 : STD_LOGIC_VECTOR (30 downto 0); signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC; signal ap_sig_bdd_712 : BOOLEAN; signal p_0_reg_392 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC; signal ap_sig_bdd_728 : BOOLEAN; signal tmp_66_cast_fu_673_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_9_fu_678_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_86_cast_fu_779_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_87_cast_fu_793_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_82_cast_fu_1100_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_88_cast_fu_1139_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_89_cast_fu_1149_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_90_cast_fu_1162_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_84_cast_fu_1229_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_91_cast_fu_1262_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_92_cast_fu_1272_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_93_cast_fu_1285_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_94_cast_fu_1314_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_21_cast_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_5_fu_727_p1 : STD_LOGIC_VECTOR (1 downto 0); signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC; signal ap_sig_bdd_818 : BOOLEAN; signal grp_fu_421_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_421_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC; signal ap_sig_bdd_837 : BOOLEAN; signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC; signal ap_sig_bdd_844 : BOOLEAN; signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC; signal ap_sig_bdd_852 : BOOLEAN; signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC; signal ap_sig_bdd_859 : BOOLEAN; signal grp_fu_428_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_444_p0 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_447_p0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_39_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_469_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_469_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_74_fu_585_p1 : STD_LOGIC_VECTOR (3 downto 0); signal tmp_75_fu_597_p1 : STD_LOGIC_VECTOR (5 downto 0); signal p_shl12_cast_fu_589_p3 : STD_LOGIC_VECTOR (8 downto 0); signal p_shl13_cast_fu_601_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_31_fu_619_p5 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_72_fu_637_p1 : STD_LOGIC_VECTOR (3 downto 0); signal tmp_73_fu_649_p1 : STD_LOGIC_VECTOR (5 downto 0); signal p_shl10_cast_fu_641_p3 : STD_LOGIC_VECTOR (8 downto 0); signal p_shl11_cast_fu_653_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_71_fu_633_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_65_fu_661_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_66_fu_667_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_11_fu_691_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_12_fu_703_p1 : STD_LOGIC_VECTOR (10 downto 0); signal p_shl_cast_fu_695_p3 : STD_LOGIC_VECTOR (13 downto 0); signal p_shl1_cast_fu_707_p3 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_7_fu_687_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_13_fu_715_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_94_fu_770_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_86_fu_774_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_95_fu_784_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_87_fu_788_p2 : STD_LOGIC_VECTOR (8 downto 0); signal ST_uOut_load_1_to_int_fu_804_p1 : STD_LOGIC_VECTOR (31 downto 0); signal ST_uOut_load_2_to_int_fu_822_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_55_fu_808_p4 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_96_fu_818_p1 : STD_LOGIC_VECTOR (22 downto 0); signal notrhs_fu_845_p2 : STD_LOGIC_VECTOR (0 downto 0); signal notlhs_fu_839_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_57_fu_825_p4 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_97_fu_835_p1 : STD_LOGIC_VECTOR (22 downto 0); signal notrhs2_fu_863_p2 : STD_LOGIC_VECTOR (0 downto 0); signal notlhs1_fu_857_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_59_fu_851_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_60_fu_869_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_61_fu_875_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_62_fu_450_p2 : STD_LOGIC_VECTOR (0 downto 0); signal i_cast_fu_893_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_25_fu_902_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_26_fu_914_p1 : STD_LOGIC_VECTOR (10 downto 0); signal p_shl8_cast_fu_906_p3 : STD_LOGIC_VECTOR (13 downto 0); signal p_shl9_cast_fu_918_p3 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_15_fu_936_p2 : STD_LOGIC_VECTOR (30 downto 0); signal tmp_30_fu_942_p1 : STD_LOGIC_VECTOR (3 downto 0); signal tmp_36_fu_954_p1 : STD_LOGIC_VECTOR (5 downto 0); signal p_shl6_cast_fu_946_p3 : STD_LOGIC_VECTOR (8 downto 0); signal p_shl7_cast_fu_958_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_47_fu_976_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_51_fu_988_p1 : STD_LOGIC_VECTOR (10 downto 0); signal p_shl4_cast_fu_980_p3 : STD_LOGIC_VECTOR (13 downto 0); signal p_shl5_cast_fu_992_p3 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_23_fu_1014_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_67_fu_1019_p1 : STD_LOGIC_VECTOR (3 downto 0); signal tmp_68_fu_1031_p1 : STD_LOGIC_VECTOR (5 downto 0); signal p_shl2_cast_fu_1023_p3 : STD_LOGIC_VECTOR (8 downto 0); signal p_shl3_cast_fu_1035_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_fu_1053_p6 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_78_fu_1091_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_79_fu_1095_p2 : STD_LOGIC_VECTOR (13 downto 0); attribute use_dsp48 : string; attribute use_dsp48 of tmp_79_fu_1095_p2 : signal is "no"; signal k_cast_fu_1111_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_99_fu_1130_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_88_fu_1134_p2 : STD_LOGIC_VECTOR (13 downto 0); attribute use_dsp48 of tmp_88_fu_1134_p2 : signal is "no"; signal tmp_98_fu_1126_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_89_fu_1144_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_100_fu_1154_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_90_fu_1157_p2 : STD_LOGIC_VECTOR (13 downto 0); attribute use_dsp48 of tmp_90_fu_1157_p2 : signal is "no"; signal tmp_39_to_int_fu_1167_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_39_neg_fu_1171_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_81_fu_1220_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_82_fu_1224_p2 : STD_LOGIC_VECTOR (13 downto 0); attribute use_dsp48 of tmp_82_fu_1224_p2 : signal is "no"; signal j_1_cast_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_102_fu_1253_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_91_fu_1257_p2 : STD_LOGIC_VECTOR (13 downto 0); attribute use_dsp48 of tmp_91_fu_1257_p2 : signal is "no"; signal tmp_101_fu_1249_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_92_fu_1267_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_103_fu_1277_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_93_fu_1280_p2 : STD_LOGIC_VECTOR (13 downto 0); attribute use_dsp48 of tmp_93_fu_1280_p2 : signal is "no"; signal i_2_cast_fu_1290_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_84_fu_1305_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_85_fu_1309_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_19_fu_1319_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_80_fu_1333_p0 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_83_fu_1339_p0 : STD_LOGIC_VECTOR (6 downto 0); signal grp_fu_421_ce : STD_LOGIC; signal grp_fu_428_ce : STD_LOGIC; signal grp_fu_435_ce : STD_LOGIC; signal grp_fu_440_ce : STD_LOGIC; signal tmp_62_fu_450_opcode : STD_LOGIC_VECTOR (4 downto 0); signal grp_fu_454_ce : STD_LOGIC; signal grp_fu_459_ce : STD_LOGIC; signal grp_fu_464_ce : STD_LOGIC; signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC; signal ap_sig_bdd_1429 : BOOLEAN; signal ap_NS_fsm : STD_LOGIC_VECTOR (149 downto 0); component ANN_fadd_32ns_32ns_32_5_full_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_fmul_32ns_32ns_32_4_max_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_fdiv_32ns_32ns_32_16 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_sitofp_32ns_32_6 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_fptrunc_64ns_32_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din0 : IN STD_LOGIC_VECTOR (63 downto 0); dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_fpext_32ns_64_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din0 : IN STD_LOGIC_VECTOR (31 downto 0); dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; component ANN_fcmp_32ns_32ns_1_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); opcode : IN STD_LOGIC_VECTOR (4 downto 0); dout : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; component ANN_dadd_64ns_64ns_64_5_full_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; component ANN_ddiv_64ns_64ns_64_31 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; component ANN_dexp_64ns_64ns_64_18_full_dsp IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; component ANN_mux_4to1_sel2_32_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din1_WIDTH : INTEGER; din2_WIDTH : INTEGER; din3_WIDTH : INTEGER; din4_WIDTH : INTEGER; din5_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din1 : IN STD_LOGIC_VECTOR (31 downto 0); din2 : IN STD_LOGIC_VECTOR (31 downto 0); din3 : IN STD_LOGIC_VECTOR (31 downto 0); din4 : IN STD_LOGIC_VECTOR (31 downto 0); din5 : IN STD_LOGIC_VECTOR (1 downto 0); dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_mul_mul_7ns_14s_14_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din0 : IN STD_LOGIC_VECTOR (6 downto 0); din1 : IN STD_LOGIC_VECTOR (13 downto 0); dout : OUT STD_LOGIC_VECTOR (13 downto 0) ); end component; component ANN_ST_WandB IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (12 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (31 downto 0); q0 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_ST_uOut IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (7 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (31 downto 0); q0 : OUT STD_LOGIC_VECTOR (31 downto 0); address1 : IN STD_LOGIC_VECTOR (7 downto 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR (31 downto 0); q1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ANN_AXILiteS_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC; ap_return : IN STD_LOGIC_VECTOR (31 downto 0); P_mode : OUT STD_LOGIC_VECTOR (31 downto 0); P_index1 : OUT STD_LOGIC_VECTOR (31 downto 0); P_index2 : OUT STD_LOGIC_VECTOR (31 downto 0); P_intIn_index3 : OUT STD_LOGIC_VECTOR (31 downto 0); P_floatIn : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin ST_WandB_U : component ANN_ST_WandB generic map ( DataWidth => 32, AddressRange => 6560, AddressWidth => 13) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => ST_WandB_address0, ce0 => ST_WandB_ce0, we0 => ST_WandB_we0, d0 => ST_WandB_d0, q0 => ST_WandB_q0); ST_uOut_U : component ANN_ST_uOut generic map ( DataWidth => 32, AddressRange => 160, AddressWidth => 8) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => ST_uOut_address0, ce0 => ST_uOut_ce0, we0 => ST_uOut_we0, d0 => ST_uOut_d0, q0 => ST_uOut_q0, address1 => ST_uOut_address1, ce1 => ST_uOut_ce1, we1 => ST_uOut_we1, d1 => ST_uOut_d1, q1 => ST_uOut_q1); ANN_AXILiteS_s_axi_U : component ANN_AXILiteS_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH) port map ( AWVALID => s_axi_AXILiteS_AWVALID, AWREADY => s_axi_AXILiteS_AWREADY, AWADDR => s_axi_AXILiteS_AWADDR, WVALID => s_axi_AXILiteS_WVALID, WREADY => s_axi_AXILiteS_WREADY, WDATA => s_axi_AXILiteS_WDATA, WSTRB => s_axi_AXILiteS_WSTRB, ARVALID => s_axi_AXILiteS_ARVALID, ARREADY => s_axi_AXILiteS_ARREADY, ARADDR => s_axi_AXILiteS_ARADDR, RVALID => s_axi_AXILiteS_RVALID, RREADY => s_axi_AXILiteS_RREADY, RDATA => s_axi_AXILiteS_RDATA, RRESP => s_axi_AXILiteS_RRESP, BVALID => s_axi_AXILiteS_BVALID, BREADY => s_axi_AXILiteS_BREADY, BRESP => s_axi_AXILiteS_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ANN_AXILiteS_s_axi_U_ap_dummy_ce, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle, ap_return => ap_return, P_mode => P_mode, P_index1 => P_index1, P_index2 => P_index2, P_intIn_index3 => P_intIn_index3, P_floatIn => P_floatIn); ANN_fadd_32ns_32ns_32_5_full_dsp_U0 : component ANN_fadd_32ns_32ns_32_5_full_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_421_p0, din1 => grp_fu_421_p1, ce => grp_fu_421_ce, dout => grp_fu_421_p2); ANN_fmul_32ns_32ns_32_4_max_dsp_U1 : component ANN_fmul_32ns_32ns_32_4_max_dsp generic map ( ID => 1, NUM_STAGE => 4, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => grp_fu_428_p0, din1 => ST_WandB_q0, ce => grp_fu_428_ce, dout => grp_fu_428_p2); ANN_fdiv_32ns_32ns_32_16_U2 : component ANN_fdiv_32ns_32ns_32_16 generic map ( ID => 1, NUM_STAGE => 16, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => reg_490, din1 => sumsoft_reg_335, ce => grp_fu_435_ce, dout => grp_fu_435_p2); ANN_sitofp_32ns_32_6_U3 : component ANN_sitofp_32ns_32_6 generic map ( ID => 1, NUM_STAGE => 6, din0_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => max_reg_277, ce => grp_fu_440_ce, dout => grp_fu_440_p1); ANN_fptrunc_64ns_32_1_U4 : component ANN_fptrunc_64ns_32_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 64, dout_WIDTH => 32) port map ( din0 => grp_fu_444_p0, dout => grp_fu_444_p1); ANN_fpext_32ns_64_1_U5 : component ANN_fpext_32ns_64_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 32, dout_WIDTH => 64) port map ( din0 => grp_fu_447_p0, dout => grp_fu_447_p1); ANN_fcmp_32ns_32ns_1_1_U6 : component ANN_fcmp_32ns_32ns_1_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 1) port map ( din0 => reg_490, din1 => ST_uOut_load_2_reg_1430, opcode => tmp_62_fu_450_opcode, dout => tmp_62_fu_450_p2); ANN_dadd_64ns_64ns_64_5_full_dsp_U7 : component ANN_dadd_64ns_64ns_64_5_full_dsp generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => reg_526, din1 => ap_const_lv64_3FF0000000000000, ce => grp_fu_454_ce, dout => grp_fu_454_p2); ANN_ddiv_64ns_64ns_64_31_U8 : component ANN_ddiv_64ns_64ns_64_31 generic map ( ID => 1, NUM_STAGE => 31, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => ap_const_lv64_3FF0000000000000, din1 => tmp_42_reg_1552, ce => grp_fu_459_ce, dout => grp_fu_459_p2); ANN_dexp_64ns_64ns_64_18_full_dsp_U9 : component ANN_dexp_64ns_64ns_64_18_full_dsp generic map ( ID => 1, NUM_STAGE => 18, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => ap_const_lv64_0, din1 => reg_521, ce => grp_fu_464_ce, dout => grp_fu_464_p2); ANN_mux_4to1_sel2_32_1_U10 : component ANN_mux_4to1_sel2_32_1 generic map ( ID => 1, NUM_STAGE => 1, din1_WIDTH => 32, din2_WIDTH => 32, din3_WIDTH => 32, din4_WIDTH => 32, din5_WIDTH => 2, dout_WIDTH => 32) port map ( din1 => ST_layerSize_0, din2 => ST_layerSize_1, din3 => ST_layerSize_2, din4 => ST_layerSize_3, din5 => tmp_31_fu_619_p5, dout => tmp_31_fu_619_p6); ANN_mux_4to1_sel2_32_1_U11 : component ANN_mux_4to1_sel2_32_1 generic map ( ID => 1, NUM_STAGE => 1, din1_WIDTH => 32, din2_WIDTH => 32, din3_WIDTH => 32, din4_WIDTH => 32, din5_WIDTH => 2, dout_WIDTH => 32) port map ( din1 => ST_layerSize_0, din2 => ST_layerSize_1, din3 => ST_layerSize_2, din4 => ST_layerSize_3, din5 => tmp_29_reg_1459, dout => tmp_fu_1053_p6); ANN_mux_4to1_sel2_32_1_U12 : component ANN_mux_4to1_sel2_32_1 generic map ( ID => 1, NUM_STAGE => 1, din1_WIDTH => 32, din2_WIDTH => 32, din3_WIDTH => 32, din4_WIDTH => 32, din5_WIDTH => 2, dout_WIDTH => 32) port map ( din1 => ST_layerSize_0, din2 => ST_layerSize_1, din3 => ST_layerSize_2, din4 => ST_layerSize_3, din5 => tmp_45_reg_1469, dout => tmp_53_fu_1078_p6); ANN_mux_4to1_sel2_32_1_U13 : component ANN_mux_4to1_sel2_32_1 generic map ( ID => 1, NUM_STAGE => 1, din1_WIDTH => 32, din2_WIDTH => 32, din3_WIDTH => 32, din4_WIDTH => 32, din5_WIDTH => 2, dout_WIDTH => 32) port map ( din1 => ST_layerSize_0, din2 => ST_layerSize_1, din3 => ST_layerSize_2, din4 => ST_layerSize_3, din5 => tmp_64_reg_1484, dout => tmp_27_fu_1182_p6); ANN_mux_4to1_sel2_32_1_U14 : component ANN_mux_4to1_sel2_32_1 generic map ( ID => 1, NUM_STAGE => 1, din1_WIDTH => 32, din2_WIDTH => 32, din3_WIDTH => 32, din4_WIDTH => 32, din5_WIDTH => 2, dout_WIDTH => 32) port map ( din1 => ST_layerSize_0, din2 => ST_layerSize_1, din3 => ST_layerSize_2, din4 => ST_layerSize_3, din5 => tmp_70_reg_1494, dout => tmp_54_fu_1207_p6); ANN_mul_mul_7ns_14s_14_1_U15 : component ANN_mul_mul_7ns_14s_14_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 7, din1_WIDTH => 14, dout_WIDTH => 14) port map ( din0 => tmp_80_fu_1333_p0, din1 => tmp_79_fu_1095_p2, dout => tmp_80_fu_1333_p2); ANN_mul_mul_7ns_14s_14_1_U16 : component ANN_mul_mul_7ns_14s_14_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 7, din1_WIDTH => 14, dout_WIDTH => 14) port map ( din0 => tmp_83_fu_1339_p0, din1 => tmp_82_fu_1224_p2, dout => tmp_83_fu_1339_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- i_1_reg_347 assign process. -- i_1_reg_347_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then i_1_reg_347 <= ap_const_lv32_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then i_1_reg_347 <= i_5_reg_1570; end if; end if; end process; -- i_2_reg_381 assign process. -- i_2_reg_381_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and (ap_const_lv1_0 = tmp_22_fu_1195_p2))) then i_2_reg_381 <= ap_const_lv31_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then i_2_reg_381 <= i_6_reg_1623; end if; end if; end process; -- i_reg_289 assign process. -- i_reg_289_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then i_reg_289 <= ap_const_lv31_1; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and (ap_const_lv1_0 = tmp_20_fu_1066_p2))) then i_reg_289 <= i_3_fu_1105_p2; end if; end if; end process; -- j_1_reg_370 assign process. -- j_1_reg_370_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then j_1_reg_370 <= ap_const_lv31_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then j_1_reg_370 <= j_3_reg_1595; end if; end if; end process; -- j_reg_301 assign process. -- j_reg_301_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then j_reg_301 <= ap_const_lv32_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then j_reg_301 <= j_2_reg_1502; end if; end if; end process; -- k_reg_324 assign process. -- k_reg_324_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then k_reg_324 <= ap_const_lv31_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then k_reg_324 <= k_1_reg_1532; end if; end if; end process; -- max_2_reg_266 assign process. -- max_2_reg_266_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then max_2_reg_266 <= ap_const_lv31_1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then max_2_reg_266 <= i_4_reg_1425; end if; end if; end process; -- max_reg_277 assign process. -- max_reg_277_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then max_reg_277 <= ap_const_lv32_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then max_reg_277 <= max_1_fu_887_p3; end if; end if; end process; -- p_0_reg_392 assign process. -- p_0_reg_392_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))) then p_0_reg_392 <= ap_const_lv32_BF800000; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) then p_0_reg_392 <= grp_fu_440_p1; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) then p_0_reg_392 <= ST_uOut_q0; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and (ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then p_0_reg_392 <= ap_const_lv32_0; end if; end if; end process; -- reg_490 assign process. -- reg_490_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then reg_490 <= ST_uOut_q1; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) or (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129))) then reg_490 <= ST_uOut_q0; end if; end if; end process; -- sum_1_reg_358 assign process. -- sum_1_reg_358_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then sum_1_reg_358 <= ap_const_lv32_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then sum_1_reg_358 <= grp_fu_421_p2; end if; end if; end process; -- sum_reg_312 assign process. -- sum_reg_312_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then sum_reg_312 <= ap_const_lv32_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then sum_reg_312 <= grp_fu_421_p2; end if; end if; end process; -- sumsoft_reg_335 assign process. -- sumsoft_reg_335_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then sumsoft_reg_335 <= ap_const_lv32_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then sumsoft_reg_335 <= grp_fu_421_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then P_floatIn_read_reg_1345 <= P_floatIn; ST_numLayer_load_reg_1353 <= ST_numLayer; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_0))) then ST_layerSize_0 <= P_intIn_index3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_1))) then ST_layerSize_1 <= P_intIn_index3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_2))) then ST_layerSize_2 <= P_intIn_index3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_1)) and not((tmp_5_fu_727_p1 = ap_const_lv2_0)))) then ST_layerSize_3 <= P_intIn_index3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0)))) then ST_numLayer <= P_intIn_index3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then ST_uOut_addr_5_reg_1519 <= tmp_82_cast_fu_1100_p1(8 - 1 downto 0); tmp_53_reg_1507 <= tmp_53_fu_1078_p6; tmp_80_reg_1513 <= tmp_80_fu_1333_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then ST_uOut_addr_7_reg_1587 <= tmp_84_cast_fu_1229_p1(8 - 1 downto 0); tmp_54_reg_1575 <= tmp_54_fu_1207_p6; tmp_83_reg_1581 <= tmp_83_fu_1339_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and not((ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then ST_uOut_addr_8_reg_1628 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then ST_uOut_load_2_reg_1430 <= ST_uOut_q1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_lv1_0 = tmp_24_fu_765_p2)))) then i_4_reg_1425 <= i_4_fu_798_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then i_5_reg_1570 <= i_5_fu_1201_p2; tmp_27_reg_1562 <= tmp_27_fu_1182_p6; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then i_6_reg_1623 <= i_6_fu_1299_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) then j_2_reg_1502 <= j_2_fu_1072_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then j_3_reg_1595 <= j_3_fu_1243_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then k_1_reg_1532 <= k_1_fu_1120_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then max_2_cast_reg_1407(30 downto 0) <= max_2_cast_fu_761_p1(30 downto 0); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then reg_499 <= ST_WandB_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91))) then reg_505 <= grp_fu_428_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28) or (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102))) then reg_516 <= grp_fu_421_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29) or (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103))) then reg_521 <= grp_fu_447_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121))) then reg_526 <= grp_fu_464_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122))) then reg_532 <= grp_fu_444_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then tmp_16_reg_1399 <= tmp_16_fu_721_p2; tmp_6_reg_1394 <= tmp_6_fu_683_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) then tmp_21_reg_1639 <= tmp_21_fu_1324_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then tmp_28_reg_1454(13 downto 3) <= tmp_28_fu_926_p2(13 downto 3); tmp_29_reg_1459 <= tmp_29_fu_932_p1; tmp_38_reg_1464(8 downto 3) <= tmp_38_fu_966_p2(8 downto 3); tmp_45_reg_1469 <= tmp_45_fu_972_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then tmp_31_reg_1384 <= tmp_31_fu_619_p6; tmp_76_reg_1378(8 downto 3) <= tmp_76_fu_609_p2(8 downto 3); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) then tmp_42_reg_1552 <= grp_fu_454_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) then tmp_43_reg_1557 <= grp_fu_459_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) then tmp_52_reg_1634 <= grp_fu_435_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then tmp_56_reg_1474(13 downto 3) <= tmp_56_fu_1000_p2(13 downto 3); tmp_58_reg_1479(8 downto 3) <= tmp_58_fu_1006_p1(8 downto 3); tmp_64_reg_1484 <= tmp_64_fu_1010_p1; tmp_69_reg_1489(8 downto 3) <= tmp_69_fu_1043_p2(8 downto 3); tmp_70_reg_1494 <= tmp_70_fu_1049_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then tmp_63_reg_1436 <= tmp_63_fu_881_p2; end if; end if; end process; tmp_76_reg_1378(2 downto 0) <= "000"; max_2_cast_reg_1407(31) <= '0'; tmp_28_reg_1454(2 downto 0) <= "000"; tmp_38_reg_1464(2 downto 0) <= "000"; tmp_56_reg_1474(2 downto 0) <= "000"; tmp_58_reg_1479(2 downto 0) <= "000"; tmp_69_reg_1489(2 downto 0) <= "000"; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, tmp_14_fu_579_p2, tmp_24_fu_765_p2, tmp_3_fu_897_p2, tmp_20_fu_1066_p2, tmp_33_fu_1115_p2, tmp_22_fu_1195_p2, tmp_34_fu_1238_p2, tmp_35_fu_1294_p2) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then ap_NS_fsm <= ap_ST_st2_fsm_1; elsif ((not((ap_start = ap_const_logic_0)) and (not((tmp_1_fu_538_p2 = ap_const_lv1_0)) or not((ap_const_lv1_0 = tmp_2_fu_549_p2)) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))))) then ap_NS_fsm <= ap_ST_st150_fsm_149; elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then ap_NS_fsm <= ap_ST_st11_fsm_10; elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then ap_NS_fsm <= ap_ST_st12_fsm_11; elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then ap_NS_fsm <= ap_ST_st148_fsm_147; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => if ((ap_const_lv1_0 = tmp_24_fu_765_p2)) then ap_NS_fsm <= ap_ST_st6_fsm_5; else ap_NS_fsm <= ap_ST_st3_fsm_2; end if; when ap_ST_st3_fsm_2 => ap_NS_fsm <= ap_ST_st4_fsm_3; when ap_ST_st4_fsm_3 => ap_NS_fsm <= ap_ST_st5_fsm_4; when ap_ST_st5_fsm_4 => ap_NS_fsm <= ap_ST_st2_fsm_1; when ap_ST_st6_fsm_5 => ap_NS_fsm <= ap_ST_st7_fsm_6; when ap_ST_st7_fsm_6 => ap_NS_fsm <= ap_ST_st8_fsm_7; when ap_ST_st8_fsm_7 => ap_NS_fsm <= ap_ST_st9_fsm_8; when ap_ST_st9_fsm_8 => ap_NS_fsm <= ap_ST_st10_fsm_9; when ap_ST_st10_fsm_9 => ap_NS_fsm <= ap_ST_st150_fsm_149; when ap_ST_st11_fsm_10 => ap_NS_fsm <= ap_ST_st150_fsm_149; when ap_ST_st12_fsm_11 => if ((ap_const_lv1_0 = tmp_3_fu_897_p2)) then ap_NS_fsm <= ap_ST_st87_fsm_86; else ap_NS_fsm <= ap_ST_st13_fsm_12; end if; when ap_ST_st13_fsm_12 => if ((ap_const_lv1_0 = tmp_20_fu_1066_p2)) then ap_NS_fsm <= ap_ST_st12_fsm_11; else ap_NS_fsm <= ap_ST_st14_fsm_13; end if; when ap_ST_st14_fsm_13 => if ((ap_const_lv1_0 = tmp_33_fu_1115_p2)) then ap_NS_fsm <= ap_ST_st24_fsm_23; else ap_NS_fsm <= ap_ST_st15_fsm_14; end if; when ap_ST_st15_fsm_14 => ap_NS_fsm <= ap_ST_st16_fsm_15; when ap_ST_st16_fsm_15 => ap_NS_fsm <= ap_ST_st17_fsm_16; when ap_ST_st17_fsm_16 => ap_NS_fsm <= ap_ST_st18_fsm_17; when ap_ST_st18_fsm_17 => ap_NS_fsm <= ap_ST_st19_fsm_18; when ap_ST_st19_fsm_18 => ap_NS_fsm <= ap_ST_st20_fsm_19; when ap_ST_st20_fsm_19 => ap_NS_fsm <= ap_ST_st21_fsm_20; when ap_ST_st21_fsm_20 => ap_NS_fsm <= ap_ST_st22_fsm_21; when ap_ST_st22_fsm_21 => ap_NS_fsm <= ap_ST_st23_fsm_22; when ap_ST_st23_fsm_22 => ap_NS_fsm <= ap_ST_st14_fsm_13; when ap_ST_st24_fsm_23 => ap_NS_fsm <= ap_ST_st25_fsm_24; when ap_ST_st25_fsm_24 => ap_NS_fsm <= ap_ST_st26_fsm_25; when ap_ST_st26_fsm_25 => ap_NS_fsm <= ap_ST_st27_fsm_26; when ap_ST_st27_fsm_26 => ap_NS_fsm <= ap_ST_st28_fsm_27; when ap_ST_st28_fsm_27 => ap_NS_fsm <= ap_ST_st29_fsm_28; when ap_ST_st29_fsm_28 => ap_NS_fsm <= ap_ST_st30_fsm_29; when ap_ST_st30_fsm_29 => ap_NS_fsm <= ap_ST_st31_fsm_30; when ap_ST_st31_fsm_30 => ap_NS_fsm <= ap_ST_st32_fsm_31; when ap_ST_st32_fsm_31 => ap_NS_fsm <= ap_ST_st33_fsm_32; when ap_ST_st33_fsm_32 => ap_NS_fsm <= ap_ST_st34_fsm_33; when ap_ST_st34_fsm_33 => ap_NS_fsm <= ap_ST_st35_fsm_34; when ap_ST_st35_fsm_34 => ap_NS_fsm <= ap_ST_st36_fsm_35; when ap_ST_st36_fsm_35 => ap_NS_fsm <= ap_ST_st37_fsm_36; when ap_ST_st37_fsm_36 => ap_NS_fsm <= ap_ST_st38_fsm_37; when ap_ST_st38_fsm_37 => ap_NS_fsm <= ap_ST_st39_fsm_38; when ap_ST_st39_fsm_38 => ap_NS_fsm <= ap_ST_st40_fsm_39; when ap_ST_st40_fsm_39 => ap_NS_fsm <= ap_ST_st41_fsm_40; when ap_ST_st41_fsm_40 => ap_NS_fsm <= ap_ST_st42_fsm_41; when ap_ST_st42_fsm_41 => ap_NS_fsm <= ap_ST_st43_fsm_42; when ap_ST_st43_fsm_42 => ap_NS_fsm <= ap_ST_st44_fsm_43; when ap_ST_st44_fsm_43 => ap_NS_fsm <= ap_ST_st45_fsm_44; when ap_ST_st45_fsm_44 => ap_NS_fsm <= ap_ST_st46_fsm_45; when ap_ST_st46_fsm_45 => ap_NS_fsm <= ap_ST_st47_fsm_46; when ap_ST_st47_fsm_46 => ap_NS_fsm <= ap_ST_st48_fsm_47; when ap_ST_st48_fsm_47 => ap_NS_fsm <= ap_ST_st49_fsm_48; when ap_ST_st49_fsm_48 => ap_NS_fsm <= ap_ST_st50_fsm_49; when ap_ST_st50_fsm_49 => ap_NS_fsm <= ap_ST_st51_fsm_50; when ap_ST_st51_fsm_50 => ap_NS_fsm <= ap_ST_st52_fsm_51; when ap_ST_st52_fsm_51 => ap_NS_fsm <= ap_ST_st53_fsm_52; when ap_ST_st53_fsm_52 => ap_NS_fsm <= ap_ST_st54_fsm_53; when ap_ST_st54_fsm_53 => ap_NS_fsm <= ap_ST_st55_fsm_54; when ap_ST_st55_fsm_54 => ap_NS_fsm <= ap_ST_st56_fsm_55; when ap_ST_st56_fsm_55 => ap_NS_fsm <= ap_ST_st57_fsm_56; when ap_ST_st57_fsm_56 => ap_NS_fsm <= ap_ST_st58_fsm_57; when ap_ST_st58_fsm_57 => ap_NS_fsm <= ap_ST_st59_fsm_58; when ap_ST_st59_fsm_58 => ap_NS_fsm <= ap_ST_st60_fsm_59; when ap_ST_st60_fsm_59 => ap_NS_fsm <= ap_ST_st61_fsm_60; when ap_ST_st61_fsm_60 => ap_NS_fsm <= ap_ST_st62_fsm_61; when ap_ST_st62_fsm_61 => ap_NS_fsm <= ap_ST_st63_fsm_62; when ap_ST_st63_fsm_62 => ap_NS_fsm <= ap_ST_st64_fsm_63; when ap_ST_st64_fsm_63 => ap_NS_fsm <= ap_ST_st65_fsm_64; when ap_ST_st65_fsm_64 => ap_NS_fsm <= ap_ST_st66_fsm_65; when ap_ST_st66_fsm_65 => ap_NS_fsm <= ap_ST_st67_fsm_66; when ap_ST_st67_fsm_66 => ap_NS_fsm <= ap_ST_st68_fsm_67; when ap_ST_st68_fsm_67 => ap_NS_fsm <= ap_ST_st69_fsm_68; when ap_ST_st69_fsm_68 => ap_NS_fsm <= ap_ST_st70_fsm_69; when ap_ST_st70_fsm_69 => ap_NS_fsm <= ap_ST_st71_fsm_70; when ap_ST_st71_fsm_70 => ap_NS_fsm <= ap_ST_st72_fsm_71; when ap_ST_st72_fsm_71 => ap_NS_fsm <= ap_ST_st73_fsm_72; when ap_ST_st73_fsm_72 => ap_NS_fsm <= ap_ST_st74_fsm_73; when ap_ST_st74_fsm_73 => ap_NS_fsm <= ap_ST_st75_fsm_74; when ap_ST_st75_fsm_74 => ap_NS_fsm <= ap_ST_st76_fsm_75; when ap_ST_st76_fsm_75 => ap_NS_fsm <= ap_ST_st77_fsm_76; when ap_ST_st77_fsm_76 => ap_NS_fsm <= ap_ST_st78_fsm_77; when ap_ST_st78_fsm_77 => ap_NS_fsm <= ap_ST_st79_fsm_78; when ap_ST_st79_fsm_78 => ap_NS_fsm <= ap_ST_st80_fsm_79; when ap_ST_st80_fsm_79 => ap_NS_fsm <= ap_ST_st81_fsm_80; when ap_ST_st81_fsm_80 => ap_NS_fsm <= ap_ST_st82_fsm_81; when ap_ST_st82_fsm_81 => ap_NS_fsm <= ap_ST_st83_fsm_82; when ap_ST_st83_fsm_82 => ap_NS_fsm <= ap_ST_st84_fsm_83; when ap_ST_st84_fsm_83 => ap_NS_fsm <= ap_ST_st85_fsm_84; when ap_ST_st85_fsm_84 => ap_NS_fsm <= ap_ST_st86_fsm_85; when ap_ST_st86_fsm_85 => ap_NS_fsm <= ap_ST_st13_fsm_12; when ap_ST_st87_fsm_86 => if (not((ap_const_lv1_0 = tmp_22_fu_1195_p2))) then ap_NS_fsm <= ap_ST_st88_fsm_87; else ap_NS_fsm <= ap_ST_st129_fsm_128; end if; when ap_ST_st88_fsm_87 => if ((ap_const_lv1_0 = tmp_34_fu_1238_p2)) then ap_NS_fsm <= ap_ST_st98_fsm_97; else ap_NS_fsm <= ap_ST_st89_fsm_88; end if; when ap_ST_st89_fsm_88 => ap_NS_fsm <= ap_ST_st90_fsm_89; when ap_ST_st90_fsm_89 => ap_NS_fsm <= ap_ST_st91_fsm_90; when ap_ST_st91_fsm_90 => ap_NS_fsm <= ap_ST_st92_fsm_91; when ap_ST_st92_fsm_91 => ap_NS_fsm <= ap_ST_st93_fsm_92; when ap_ST_st93_fsm_92 => ap_NS_fsm <= ap_ST_st94_fsm_93; when ap_ST_st94_fsm_93 => ap_NS_fsm <= ap_ST_st95_fsm_94; when ap_ST_st95_fsm_94 => ap_NS_fsm <= ap_ST_st96_fsm_95; when ap_ST_st96_fsm_95 => ap_NS_fsm <= ap_ST_st97_fsm_96; when ap_ST_st97_fsm_96 => ap_NS_fsm <= ap_ST_st88_fsm_87; when ap_ST_st98_fsm_97 => ap_NS_fsm <= ap_ST_st99_fsm_98; when ap_ST_st99_fsm_98 => ap_NS_fsm <= ap_ST_st100_fsm_99; when ap_ST_st100_fsm_99 => ap_NS_fsm <= ap_ST_st101_fsm_100; when ap_ST_st101_fsm_100 => ap_NS_fsm <= ap_ST_st102_fsm_101; when ap_ST_st102_fsm_101 => ap_NS_fsm <= ap_ST_st103_fsm_102; when ap_ST_st103_fsm_102 => ap_NS_fsm <= ap_ST_st104_fsm_103; when ap_ST_st104_fsm_103 => ap_NS_fsm <= ap_ST_st105_fsm_104; when ap_ST_st105_fsm_104 => ap_NS_fsm <= ap_ST_st106_fsm_105; when ap_ST_st106_fsm_105 => ap_NS_fsm <= ap_ST_st107_fsm_106; when ap_ST_st107_fsm_106 => ap_NS_fsm <= ap_ST_st108_fsm_107; when ap_ST_st108_fsm_107 => ap_NS_fsm <= ap_ST_st109_fsm_108; when ap_ST_st109_fsm_108 => ap_NS_fsm <= ap_ST_st110_fsm_109; when ap_ST_st110_fsm_109 => ap_NS_fsm <= ap_ST_st111_fsm_110; when ap_ST_st111_fsm_110 => ap_NS_fsm <= ap_ST_st112_fsm_111; when ap_ST_st112_fsm_111 => ap_NS_fsm <= ap_ST_st113_fsm_112; when ap_ST_st113_fsm_112 => ap_NS_fsm <= ap_ST_st114_fsm_113; when ap_ST_st114_fsm_113 => ap_NS_fsm <= ap_ST_st115_fsm_114; when ap_ST_st115_fsm_114 => ap_NS_fsm <= ap_ST_st116_fsm_115; when ap_ST_st116_fsm_115 => ap_NS_fsm <= ap_ST_st117_fsm_116; when ap_ST_st117_fsm_116 => ap_NS_fsm <= ap_ST_st118_fsm_117; when ap_ST_st118_fsm_117 => ap_NS_fsm <= ap_ST_st119_fsm_118; when ap_ST_st119_fsm_118 => ap_NS_fsm <= ap_ST_st120_fsm_119; when ap_ST_st120_fsm_119 => ap_NS_fsm <= ap_ST_st121_fsm_120; when ap_ST_st121_fsm_120 => ap_NS_fsm <= ap_ST_st122_fsm_121; when ap_ST_st122_fsm_121 => ap_NS_fsm <= ap_ST_st123_fsm_122; when ap_ST_st123_fsm_122 => ap_NS_fsm <= ap_ST_st124_fsm_123; when ap_ST_st124_fsm_123 => ap_NS_fsm <= ap_ST_st125_fsm_124; when ap_ST_st125_fsm_124 => ap_NS_fsm <= ap_ST_st126_fsm_125; when ap_ST_st126_fsm_125 => ap_NS_fsm <= ap_ST_st127_fsm_126; when ap_ST_st127_fsm_126 => ap_NS_fsm <= ap_ST_st128_fsm_127; when ap_ST_st128_fsm_127 => ap_NS_fsm <= ap_ST_st87_fsm_86; when ap_ST_st129_fsm_128 => if ((ap_const_lv1_0 = tmp_35_fu_1294_p2)) then ap_NS_fsm <= ap_ST_st150_fsm_149; else ap_NS_fsm <= ap_ST_st130_fsm_129; end if; when ap_ST_st130_fsm_129 => ap_NS_fsm <= ap_ST_st131_fsm_130; when ap_ST_st131_fsm_130 => ap_NS_fsm <= ap_ST_st132_fsm_131; when ap_ST_st132_fsm_131 => ap_NS_fsm <= ap_ST_st133_fsm_132; when ap_ST_st133_fsm_132 => ap_NS_fsm <= ap_ST_st134_fsm_133; when ap_ST_st134_fsm_133 => ap_NS_fsm <= ap_ST_st135_fsm_134; when ap_ST_st135_fsm_134 => ap_NS_fsm <= ap_ST_st136_fsm_135; when ap_ST_st136_fsm_135 => ap_NS_fsm <= ap_ST_st137_fsm_136; when ap_ST_st137_fsm_136 => ap_NS_fsm <= ap_ST_st138_fsm_137; when ap_ST_st138_fsm_137 => ap_NS_fsm <= ap_ST_st139_fsm_138; when ap_ST_st139_fsm_138 => ap_NS_fsm <= ap_ST_st140_fsm_139; when ap_ST_st140_fsm_139 => ap_NS_fsm <= ap_ST_st141_fsm_140; when ap_ST_st141_fsm_140 => ap_NS_fsm <= ap_ST_st142_fsm_141; when ap_ST_st142_fsm_141 => ap_NS_fsm <= ap_ST_st143_fsm_142; when ap_ST_st143_fsm_142 => ap_NS_fsm <= ap_ST_st144_fsm_143; when ap_ST_st144_fsm_143 => ap_NS_fsm <= ap_ST_st145_fsm_144; when ap_ST_st145_fsm_144 => ap_NS_fsm <= ap_ST_st146_fsm_145; when ap_ST_st146_fsm_145 => ap_NS_fsm <= ap_ST_st147_fsm_146; when ap_ST_st147_fsm_146 => ap_NS_fsm <= ap_ST_st129_fsm_128; when ap_ST_st148_fsm_147 => ap_NS_fsm <= ap_ST_st149_fsm_148; when ap_ST_st149_fsm_148 => ap_NS_fsm <= ap_ST_st150_fsm_149; when ap_ST_st150_fsm_149 => ap_NS_fsm <= ap_ST_st1_fsm_0; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end case; end process; ANN_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1; -- ST_WandB_address0 assign process. -- ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148, tmp_88_cast_fu_1139_p1, tmp_90_cast_fu_1162_p1, tmp_91_cast_fu_1262_p1, tmp_93_cast_fu_1285_p1, tmp_21_cast_fu_1329_p1) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) then ST_WandB_address0 <= tmp_21_cast_fu_1329_p1(13 - 1 downto 0); elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2))) then ST_WandB_address0 <= tmp_93_cast_fu_1285_p1(13 - 1 downto 0); elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2)))) then ST_WandB_address0 <= tmp_91_cast_fu_1262_p1(13 - 1 downto 0); elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2))) then ST_WandB_address0 <= tmp_90_cast_fu_1162_p1(13 - 1 downto 0); elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2)))) then ST_WandB_address0 <= tmp_88_cast_fu_1139_p1(13 - 1 downto 0); else ST_WandB_address0 <= "XXXXXXXXXXXXX"; end if; end process; -- ST_WandB_ce0 assign process. -- ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2)) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then ST_WandB_ce0 <= ap_const_logic_1; else ST_WandB_ce0 <= ap_const_logic_0; end if; end process; ST_WandB_d0 <= P_floatIn_read_reg_1345; -- ST_WandB_we0 assign process. -- ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st149_fsm_148) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then ST_WandB_we0 <= ap_const_logic_1; else ST_WandB_we0 <= ap_const_logic_0; end if; end process; -- ST_uOut_address0 assign process. -- ST_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128, tmp_66_cast_fu_673_p1, tmp_9_fu_678_p1, tmp_86_cast_fu_779_p1, tmp_92_cast_fu_1272_p1, tmp_94_cast_fu_1314_p1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2)))) then ST_uOut_address0 <= tmp_9_fu_678_p1(8 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then ST_uOut_address0 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then ST_uOut_address0 <= tmp_92_cast_fu_1272_p1(8 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then ST_uOut_address0 <= tmp_86_cast_fu_779_p1(8 - 1 downto 0); elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then ST_uOut_address0 <= tmp_66_cast_fu_673_p1(8 - 1 downto 0); else ST_uOut_address0 <= "XXXXXXXX"; end if; end process; -- ST_uOut_address1 assign process. -- ST_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ST_uOut_addr_5_reg_1519, ap_sig_cseq_ST_st14_fsm_13, ST_uOut_addr_7_reg_1587, ST_uOut_addr_8_reg_1628, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, tmp_87_cast_fu_793_p1, tmp_89_cast_fu_1149_p1, ap_sig_cseq_ST_st124_fsm_123) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then ST_uOut_address1 <= ST_uOut_addr_8_reg_1628; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then ST_uOut_address1 <= ST_uOut_addr_7_reg_1587; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then ST_uOut_address1 <= ST_uOut_addr_5_reg_1519; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then ST_uOut_address1 <= tmp_89_cast_fu_1149_p1(8 - 1 downto 0); elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then ST_uOut_address1 <= tmp_87_cast_fu_793_p1(8 - 1 downto 0); else ST_uOut_address1 <= "XXXXXXXX"; end if; end process; -- ST_uOut_ce0 assign process. -- ST_uOut_ce0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then ST_uOut_ce0 <= ap_const_logic_1; else ST_uOut_ce0 <= ap_const_logic_0; end if; end process; -- ST_uOut_ce1 assign process. -- ST_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) or (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then ST_uOut_ce1 <= ap_const_logic_1; else ST_uOut_ce1 <= ap_const_logic_0; end if; end process; ST_uOut_d0 <= P_floatIn; -- ST_uOut_d1 assign process. -- ST_uOut_d1_assign_proc : process(reg_532, tmp_52_reg_1634, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then ST_uOut_d1 <= tmp_52_reg_1634; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then ST_uOut_d1 <= reg_532; else ST_uOut_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; ST_uOut_load_1_to_int_fu_804_p1 <= reg_490; ST_uOut_load_2_to_int_fu_822_p1 <= ST_uOut_load_2_reg_1430; -- ST_uOut_we0 assign process. -- ST_uOut_we0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then ST_uOut_we0 <= ap_const_logic_1; else ST_uOut_we0 <= ap_const_logic_0; end if; end process; -- ST_uOut_we1 assign process. -- ST_uOut_we1_assign_proc : process(ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then ST_uOut_we1 <= ap_const_logic_1; else ST_uOut_we1 <= ap_const_logic_0; end if; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return <= p_0_reg_392; -- ap_rst_n_inv assign process. -- ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; -- ap_sig_bdd_1429 assign process. -- ap_sig_bdd_1429_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_1429 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149)); end process; -- ap_sig_bdd_168 assign process. -- ap_sig_bdd_168_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_168 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_253 assign process. -- ap_sig_bdd_253_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_253 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_bdd_260 assign process. -- ap_sig_bdd_260_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_260 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10)); end process; -- ap_sig_bdd_268 assign process. -- ap_sig_bdd_268_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14)); end process; -- ap_sig_bdd_275 assign process. -- ap_sig_bdd_275_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88)); end process; -- ap_sig_bdd_283 assign process. -- ap_sig_bdd_283_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129)); end process; -- ap_sig_bdd_292 assign process. -- ap_sig_bdd_292_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_292 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23)); end process; -- ap_sig_bdd_301 assign process. -- ap_sig_bdd_301_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_301 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97)); end process; -- ap_sig_bdd_311 assign process. -- ap_sig_bdd_311_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_311 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17)); end process; -- ap_sig_bdd_318 assign process. -- ap_sig_bdd_318_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_318 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91)); end process; -- ap_sig_bdd_328 assign process. -- ap_sig_bdd_328_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_328 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22)); end process; -- ap_sig_bdd_335 assign process. -- ap_sig_bdd_335_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_335 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96)); end process; -- ap_sig_bdd_344 assign process. -- ap_sig_bdd_344_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_344 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28)); end process; -- ap_sig_bdd_351 assign process. -- ap_sig_bdd_351_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_351 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102)); end process; -- ap_sig_bdd_361 assign process. -- ap_sig_bdd_361_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_361 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29)); end process; -- ap_sig_bdd_368 assign process. -- ap_sig_bdd_368_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_368 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103)); end process; -- ap_sig_bdd_378 assign process. -- ap_sig_bdd_378_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_378 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47)); end process; -- ap_sig_bdd_385 assign process. -- ap_sig_bdd_385_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_385 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121)); end process; -- ap_sig_bdd_395 assign process. -- ap_sig_bdd_395_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_395 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84)); end process; -- ap_sig_bdd_402 assign process. -- ap_sig_bdd_402_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122)); end process; -- ap_sig_bdd_458 assign process. -- ap_sig_bdd_458_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_458 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_bdd_478 assign process. -- ap_sig_bdd_478_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_478 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3)); end process; -- ap_sig_bdd_487 assign process. -- ap_sig_bdd_487_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_487 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4)); end process; -- ap_sig_bdd_496 assign process. -- ap_sig_bdd_496_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_496 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9)); end process; -- ap_sig_bdd_505 assign process. -- ap_sig_bdd_505_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_505 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11)); end process; -- ap_sig_bdd_535 assign process. -- ap_sig_bdd_535_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_535 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12)); end process; -- ap_sig_bdd_557 assign process. -- ap_sig_bdd_557_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_557 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13)); end process; -- ap_sig_bdd_577 assign process. -- ap_sig_bdd_577_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_577 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52)); end process; -- ap_sig_bdd_586 assign process. -- ap_sig_bdd_586_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_586 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83)); end process; -- ap_sig_bdd_595 assign process. -- ap_sig_bdd_595_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86)); end process; -- ap_sig_bdd_616 assign process. -- ap_sig_bdd_616_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_616 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87)); end process; -- ap_sig_bdd_635 assign process. -- ap_sig_bdd_635_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127)); end process; -- ap_sig_bdd_644 assign process. -- ap_sig_bdd_644_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128)); end process; -- ap_sig_bdd_659 assign process. -- ap_sig_bdd_659_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_659 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145)); end process; -- ap_sig_bdd_668 assign process. -- ap_sig_bdd_668_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_668 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147)); end process; -- ap_sig_bdd_690 assign process. -- ap_sig_bdd_690_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_690 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85)); end process; -- ap_sig_bdd_712 assign process. -- ap_sig_bdd_712_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_712 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146)); end process; -- ap_sig_bdd_728 assign process. -- ap_sig_bdd_728_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_728 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148)); end process; -- ap_sig_bdd_818 assign process. -- ap_sig_bdd_818_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_818 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123)); end process; -- ap_sig_bdd_837 assign process. -- ap_sig_bdd_837_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_837 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18)); end process; -- ap_sig_bdd_844 assign process. -- ap_sig_bdd_844_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_844 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24)); end process; -- ap_sig_bdd_852 assign process. -- ap_sig_bdd_852_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92)); end process; -- ap_sig_bdd_859 assign process. -- ap_sig_bdd_859_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_859 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98)); end process; -- ap_sig_cseq_ST_st103_fsm_102 assign process. -- ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_351) begin if (ap_sig_bdd_351) then ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1; else ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st104_fsm_103 assign process. -- ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_368) begin if (ap_sig_bdd_368) then ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1; else ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st10_fsm_9 assign process. -- ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_496) begin if (ap_sig_bdd_496) then ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1; else ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st11_fsm_10 assign process. -- ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_260) begin if (ap_sig_bdd_260) then ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1; else ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st122_fsm_121 assign process. -- ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_385) begin if (ap_sig_bdd_385) then ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1; else ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st123_fsm_122 assign process. -- ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_402) begin if (ap_sig_bdd_402) then ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1; else ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st124_fsm_123 assign process. -- ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_818) begin if (ap_sig_bdd_818) then ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1; else ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st128_fsm_127 assign process. -- ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_635) begin if (ap_sig_bdd_635) then ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1; else ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st129_fsm_128 assign process. -- ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_644) begin if (ap_sig_bdd_644) then ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1; else ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st12_fsm_11 assign process. -- ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_505) begin if (ap_sig_bdd_505) then ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1; else ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st130_fsm_129 assign process. -- ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_283) begin if (ap_sig_bdd_283) then ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1; else ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st13_fsm_12 assign process. -- ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_535) begin if (ap_sig_bdd_535) then ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1; else ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st146_fsm_145 assign process. -- ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_659) begin if (ap_sig_bdd_659) then ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1; else ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st147_fsm_146 assign process. -- ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_712) begin if (ap_sig_bdd_712) then ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1; else ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st148_fsm_147 assign process. -- ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_668) begin if (ap_sig_bdd_668) then ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1; else ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st149_fsm_148 assign process. -- ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_728) begin if (ap_sig_bdd_728) then ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1; else ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st14_fsm_13 assign process. -- ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_557) begin if (ap_sig_bdd_557) then ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1; else ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st150_fsm_149 assign process. -- ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_1429) begin if (ap_sig_bdd_1429) then ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1; else ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st15_fsm_14 assign process. -- ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_268) begin if (ap_sig_bdd_268) then ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1; else ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st18_fsm_17 assign process. -- ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_311) begin if (ap_sig_bdd_311) then ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1; else ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st19_fsm_18 assign process. -- ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_837) begin if (ap_sig_bdd_837) then ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1; else ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_168) begin if (ap_sig_bdd_168) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st23_fsm_22 assign process. -- ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_328) begin if (ap_sig_bdd_328) then ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1; else ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st24_fsm_23 assign process. -- ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_292) begin if (ap_sig_bdd_292) then ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1; else ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st25_fsm_24 assign process. -- ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_844) begin if (ap_sig_bdd_844) then ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1; else ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st29_fsm_28 assign process. -- ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_344) begin if (ap_sig_bdd_344) then ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1; else ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st2_fsm_1 assign process. -- ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_458) begin if (ap_sig_bdd_458) then ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st30_fsm_29 assign process. -- ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_361) begin if (ap_sig_bdd_361) then ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1; else ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st3_fsm_2 assign process. -- ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_253) begin if (ap_sig_bdd_253) then ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st48_fsm_47 assign process. -- ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_378) begin if (ap_sig_bdd_378) then ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1; else ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st4_fsm_3 assign process. -- ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_478) begin if (ap_sig_bdd_478) then ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1; else ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st53_fsm_52 assign process. -- ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_577) begin if (ap_sig_bdd_577) then ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1; else ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st5_fsm_4 assign process. -- ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_487) begin if (ap_sig_bdd_487) then ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1; else ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st84_fsm_83 assign process. -- ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_586) begin if (ap_sig_bdd_586) then ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1; else ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st85_fsm_84 assign process. -- ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_395) begin if (ap_sig_bdd_395) then ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1; else ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st86_fsm_85 assign process. -- ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_690) begin if (ap_sig_bdd_690) then ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1; else ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st87_fsm_86 assign process. -- ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_595) begin if (ap_sig_bdd_595) then ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1; else ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st88_fsm_87 assign process. -- ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_616) begin if (ap_sig_bdd_616) then ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1; else ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st89_fsm_88 assign process. -- ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_275) begin if (ap_sig_bdd_275) then ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1; else ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st92_fsm_91 assign process. -- ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_318) begin if (ap_sig_bdd_318) then ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1; else ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st93_fsm_92 assign process. -- ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_852) begin if (ap_sig_bdd_852) then ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1; else ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st97_fsm_96 assign process. -- ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_335) begin if (ap_sig_bdd_335) then ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1; else ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st98_fsm_97 assign process. -- ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_301) begin if (ap_sig_bdd_301) then ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1; else ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st99_fsm_98 assign process. -- ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_859) begin if (ap_sig_bdd_859) then ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1; else ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0; end if; end process; grp_fu_421_ce <= ap_const_logic_1; -- grp_fu_421_p0 assign process. -- grp_fu_421_p0_assign_proc : process(sum_reg_312, sumsoft_reg_335, sum_1_reg_358, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then grp_fu_421_p0 <= sumsoft_reg_335; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then grp_fu_421_p0 <= sum_1_reg_358; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then grp_fu_421_p0 <= sum_reg_312; else grp_fu_421_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- grp_fu_421_p1 assign process. -- grp_fu_421_p1_assign_proc : process(reg_499, reg_505, reg_532, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then grp_fu_421_p1 <= reg_532; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then grp_fu_421_p1 <= reg_499; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then grp_fu_421_p1 <= reg_505; else grp_fu_421_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_fu_428_ce <= ap_const_logic_1; -- grp_fu_428_p0 assign process. -- grp_fu_428_p0_assign_proc : process(ST_uOut_q0, ST_uOut_q1, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st89_fsm_88) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then grp_fu_428_p0 <= ST_uOut_q0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then grp_fu_428_p0 <= ST_uOut_q1; else grp_fu_428_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_fu_435_ce <= ap_const_logic_1; grp_fu_440_ce <= ap_const_logic_1; -- grp_fu_444_p0 assign process. -- grp_fu_444_p0_assign_proc : process(reg_526, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st123_fsm_122, tmp_43_reg_1557) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) then grp_fu_444_p0 <= reg_526; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) then grp_fu_444_p0 <= tmp_43_reg_1557; else grp_fu_444_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- grp_fu_447_p0 assign process. -- grp_fu_447_p0_assign_proc : process(reg_516, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st104_fsm_103, tmp_39_fu_1177_p1) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) then grp_fu_447_p0 <= reg_516; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then grp_fu_447_p0 <= tmp_39_fu_1177_p1; else grp_fu_447_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_fu_454_ce <= ap_const_logic_1; grp_fu_459_ce <= ap_const_logic_1; grp_fu_464_ce <= ap_const_logic_1; -- grp_fu_469_p1 assign process. -- grp_fu_469_p1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ST_numLayer, ST_numLayer_load_reg_1353, ap_sig_cseq_ST_st12_fsm_11) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) then grp_fu_469_p1 <= ST_numLayer_load_reg_1353; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) then grp_fu_469_p1 <= ST_numLayer; else grp_fu_469_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_fu_469_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFF) + signed(grp_fu_469_p1)); i_2_cast_fu_1290_p1 <= std_logic_vector(resize(unsigned(i_2_reg_381),32)); i_3_fu_1105_p2 <= std_logic_vector(unsigned(i_reg_289) + unsigned(ap_const_lv31_1)); i_4_fu_798_p2 <= std_logic_vector(unsigned(ap_const_lv31_1) + unsigned(max_2_reg_266)); i_5_fu_1201_p2 <= std_logic_vector(unsigned(i_1_reg_347) + unsigned(ap_const_lv32_1)); i_6_fu_1299_p2 <= std_logic_vector(unsigned(i_2_reg_381) + unsigned(ap_const_lv31_1)); i_cast_fu_893_p1 <= std_logic_vector(resize(unsigned(i_reg_289),32)); j_1_cast_fu_1234_p1 <= std_logic_vector(resize(unsigned(j_1_reg_370),32)); j_2_fu_1072_p2 <= std_logic_vector(unsigned(j_reg_301) + unsigned(ap_const_lv32_1)); j_3_fu_1243_p2 <= std_logic_vector(unsigned(j_1_reg_370) + unsigned(ap_const_lv31_1)); k_1_fu_1120_p2 <= std_logic_vector(unsigned(k_reg_324) + unsigned(ap_const_lv31_1)); k_cast_fu_1111_p1 <= std_logic_vector(resize(unsigned(k_reg_324),32)); max_1_fu_887_p3 <= max_2_cast_reg_1407 when (tmp_63_reg_1436(0) = '1') else max_reg_277; max_2_cast_fu_761_p1 <= std_logic_vector(resize(unsigned(max_2_reg_266),32)); notlhs1_fu_857_p2 <= "0" when (tmp_57_fu_825_p4 = ap_const_lv8_FF) else "1"; notlhs_fu_839_p2 <= "0" when (tmp_55_fu_808_p4 = ap_const_lv8_FF) else "1"; notrhs2_fu_863_p2 <= "1" when (tmp_97_fu_835_p1 = ap_const_lv23_0) else "0"; notrhs_fu_845_p2 <= "1" when (tmp_96_fu_818_p1 = ap_const_lv23_0) else "0"; p_shl10_cast_fu_641_p3 <= (tmp_72_fu_637_p1 & ap_const_lv5_0); p_shl11_cast_fu_653_p3 <= (tmp_73_fu_649_p1 & ap_const_lv3_0); p_shl12_cast_fu_589_p3 <= (tmp_74_fu_585_p1 & ap_const_lv5_0); p_shl13_cast_fu_601_p3 <= (tmp_75_fu_597_p1 & ap_const_lv3_0); p_shl1_cast_fu_707_p3 <= (tmp_12_fu_703_p1 & ap_const_lv3_0); p_shl2_cast_fu_1023_p3 <= (tmp_67_fu_1019_p1 & ap_const_lv5_0); p_shl3_cast_fu_1035_p3 <= (tmp_68_fu_1031_p1 & ap_const_lv3_0); p_shl4_cast_fu_980_p3 <= (tmp_47_fu_976_p1 & ap_const_lv5_0); p_shl5_cast_fu_992_p3 <= (tmp_51_fu_988_p1 & ap_const_lv3_0); p_shl6_cast_fu_946_p3 <= (tmp_30_fu_942_p1 & ap_const_lv5_0); p_shl7_cast_fu_958_p3 <= (tmp_36_fu_954_p1 & ap_const_lv3_0); p_shl8_cast_fu_906_p3 <= (tmp_25_fu_902_p1 & ap_const_lv5_0); p_shl9_cast_fu_918_p3 <= (tmp_26_fu_914_p1 & ap_const_lv3_0); p_shl_cast_fu_695_p3 <= (tmp_11_fu_691_p1 & ap_const_lv5_0); tmp_100_fu_1154_p1 <= tmp_53_reg_1507(14 - 1 downto 0); tmp_101_fu_1249_p1 <= j_1_reg_370(9 - 1 downto 0); tmp_102_fu_1253_p1 <= j_1_reg_370(14 - 1 downto 0); tmp_103_fu_1277_p1 <= tmp_54_reg_1575(14 - 1 downto 0); tmp_10_fu_573_p2 <= "1" when (P_mode = ap_const_lv32_6) else "0"; tmp_11_fu_691_p1 <= P_index1(9 - 1 downto 0); tmp_12_fu_703_p1 <= P_index1(11 - 1 downto 0); tmp_13_fu_715_p2 <= std_logic_vector(unsigned(p_shl_cast_fu_695_p3) + unsigned(p_shl1_cast_fu_707_p3)); tmp_14_fu_579_p2 <= "1" when (P_mode = ap_const_lv32_7) else "0"; tmp_15_fu_936_p2 <= std_logic_vector(signed(ap_const_lv31_7FFFFFFF) + signed(i_reg_289)); tmp_16_fu_721_p2 <= std_logic_vector(unsigned(tmp_7_fu_687_p1) + unsigned(tmp_13_fu_715_p2)); tmp_19_fu_1319_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv14_29) * signed(tmp_16_reg_1399))), 14)); tmp_1_fu_538_p2 <= "1" when (P_mode = ap_const_lv32_1) else "0"; tmp_20_fu_1066_p2 <= "1" when (signed(j_reg_301) < signed(tmp_fu_1053_p6)) else "0"; tmp_21_cast_fu_1329_p1 <= std_logic_vector(resize(signed(tmp_21_reg_1639),64)); tmp_21_fu_1324_p2 <= std_logic_vector(unsigned(tmp_6_reg_1394) + unsigned(tmp_19_fu_1319_p2)); tmp_22_fu_1195_p2 <= "1" when (signed(i_1_reg_347) < signed(tmp_27_fu_1182_p6)) else "0"; tmp_23_fu_1014_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFE) + signed(ST_numLayer_load_reg_1353)); tmp_24_fu_765_p2 <= "1" when (signed(max_2_cast_fu_761_p1) < signed(tmp_31_reg_1384)) else "0"; tmp_25_fu_902_p1 <= i_reg_289(9 - 1 downto 0); tmp_26_fu_914_p1 <= i_reg_289(11 - 1 downto 0); tmp_28_fu_926_p2 <= std_logic_vector(unsigned(p_shl8_cast_fu_906_p3) + unsigned(p_shl9_cast_fu_918_p3)); tmp_29_fu_932_p1 <= i_reg_289(2 - 1 downto 0); tmp_2_fu_549_p2 <= "1" when (P_mode = ap_const_lv32_2) else "0"; tmp_30_fu_942_p1 <= tmp_15_fu_936_p2(4 - 1 downto 0); tmp_31_fu_619_p5 <= grp_fu_469_p2(2 - 1 downto 0); tmp_33_fu_1115_p2 <= "1" when (signed(k_cast_fu_1111_p1) < signed(tmp_53_reg_1507)) else "0"; tmp_34_fu_1238_p2 <= "1" when (signed(j_1_cast_fu_1234_p1) < signed(tmp_54_reg_1575)) else "0"; tmp_35_fu_1294_p2 <= "1" when (signed(i_2_cast_fu_1290_p1) < signed(tmp_27_reg_1562)) else "0"; tmp_36_fu_954_p1 <= tmp_15_fu_936_p2(6 - 1 downto 0); tmp_38_fu_966_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_946_p3) + unsigned(p_shl7_cast_fu_958_p3)); tmp_39_fu_1177_p1 <= tmp_39_neg_fu_1171_p2; tmp_39_neg_fu_1171_p2 <= (tmp_39_to_int_fu_1167_p1 xor ap_const_lv32_80000000); tmp_39_to_int_fu_1167_p1 <= reg_516; tmp_3_fu_897_p2 <= "1" when (signed(i_cast_fu_893_p1) < signed(ST_numLayer_load_reg_1353)) else "0"; tmp_45_fu_972_p1 <= tmp_15_fu_936_p2(2 - 1 downto 0); tmp_47_fu_976_p1 <= grp_fu_469_p2(9 - 1 downto 0); tmp_4_fu_555_p2 <= "1" when (P_mode = ap_const_lv32_3) else "0"; tmp_51_fu_988_p1 <= grp_fu_469_p2(11 - 1 downto 0); tmp_55_fu_808_p4 <= ST_uOut_load_1_to_int_fu_804_p1(30 downto 23); tmp_56_fu_1000_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_980_p3) + unsigned(p_shl5_cast_fu_992_p3)); tmp_57_fu_825_p4 <= ST_uOut_load_2_to_int_fu_822_p1(30 downto 23); tmp_58_fu_1006_p1 <= tmp_56_fu_1000_p2(9 - 1 downto 0); tmp_59_fu_851_p2 <= (notrhs_fu_845_p2 or notlhs_fu_839_p2); tmp_5_fu_727_p1 <= P_index1(2 - 1 downto 0); tmp_60_fu_869_p2 <= (notrhs2_fu_863_p2 or notlhs1_fu_857_p2); tmp_61_fu_875_p2 <= (tmp_59_fu_851_p2 and tmp_60_fu_869_p2); tmp_62_fu_450_opcode <= ap_const_lv5_2; tmp_63_fu_881_p2 <= (tmp_61_fu_875_p2 and tmp_62_fu_450_p2); tmp_64_fu_1010_p1 <= grp_fu_469_p2(2 - 1 downto 0); tmp_65_fu_661_p2 <= std_logic_vector(unsigned(p_shl10_cast_fu_641_p3) + unsigned(p_shl11_cast_fu_653_p3)); tmp_66_cast_fu_673_p1 <= std_logic_vector(resize(signed(tmp_66_fu_667_p2),64)); tmp_66_fu_667_p2 <= std_logic_vector(unsigned(tmp_71_fu_633_p1) + unsigned(tmp_65_fu_661_p2)); tmp_67_fu_1019_p1 <= tmp_23_fu_1014_p2(4 - 1 downto 0); tmp_68_fu_1031_p1 <= tmp_23_fu_1014_p2(6 - 1 downto 0); tmp_69_fu_1043_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_1023_p3) + unsigned(p_shl3_cast_fu_1035_p3)); tmp_6_fu_683_p1 <= P_intIn_index3(14 - 1 downto 0); tmp_70_fu_1049_p1 <= tmp_23_fu_1014_p2(2 - 1 downto 0); tmp_71_fu_633_p1 <= P_index2(9 - 1 downto 0); tmp_72_fu_637_p1 <= P_index1(4 - 1 downto 0); tmp_73_fu_649_p1 <= P_index1(6 - 1 downto 0); tmp_74_fu_585_p1 <= grp_fu_469_p2(4 - 1 downto 0); tmp_75_fu_597_p1 <= grp_fu_469_p2(6 - 1 downto 0); tmp_76_fu_609_p2 <= std_logic_vector(unsigned(p_shl12_cast_fu_589_p3) + unsigned(p_shl13_cast_fu_601_p3)); tmp_78_fu_1091_p1 <= j_reg_301(14 - 1 downto 0); tmp_79_fu_1095_p2 <= std_logic_vector(unsigned(tmp_28_reg_1454) + unsigned(tmp_78_fu_1091_p1)); tmp_7_fu_687_p1 <= P_index2(14 - 1 downto 0); tmp_80_fu_1333_p0 <= ap_const_lv14_29(7 - 1 downto 0); tmp_81_fu_1220_p1 <= i_1_reg_347(14 - 1 downto 0); tmp_82_cast_fu_1100_p1 <= std_logic_vector(resize(signed(tmp_79_fu_1095_p2),64)); tmp_82_fu_1224_p2 <= std_logic_vector(unsigned(tmp_56_reg_1474) + unsigned(tmp_81_fu_1220_p1)); tmp_83_fu_1339_p0 <= ap_const_lv14_29(7 - 1 downto 0); tmp_84_cast_fu_1229_p1 <= std_logic_vector(resize(signed(tmp_82_fu_1224_p2),64)); tmp_84_fu_1305_p1 <= i_2_reg_381(9 - 1 downto 0); tmp_85_fu_1309_p2 <= std_logic_vector(unsigned(tmp_58_reg_1479) + unsigned(tmp_84_fu_1305_p1)); tmp_86_cast_fu_779_p1 <= std_logic_vector(resize(signed(tmp_86_fu_774_p2),64)); tmp_86_fu_774_p2 <= std_logic_vector(unsigned(tmp_94_fu_770_p1) + unsigned(tmp_76_reg_1378)); tmp_87_cast_fu_793_p1 <= std_logic_vector(resize(signed(tmp_87_fu_788_p2),64)); tmp_87_fu_788_p2 <= std_logic_vector(unsigned(tmp_95_fu_784_p1) + unsigned(tmp_76_reg_1378)); tmp_88_cast_fu_1139_p1 <= std_logic_vector(resize(signed(tmp_88_fu_1134_p2),64)); tmp_88_fu_1134_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_99_fu_1130_p1)); tmp_89_cast_fu_1149_p1 <= std_logic_vector(resize(unsigned(tmp_89_fu_1144_p2),64)); tmp_89_fu_1144_p2 <= std_logic_vector(unsigned(tmp_38_reg_1464) + unsigned(tmp_98_fu_1126_p1)); tmp_8_fu_561_p2 <= "1" when (P_mode = ap_const_lv32_4) else "0"; tmp_90_cast_fu_1162_p1 <= std_logic_vector(resize(signed(tmp_90_fu_1157_p2),64)); tmp_90_fu_1157_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_100_fu_1154_p1)); tmp_91_cast_fu_1262_p1 <= std_logic_vector(resize(signed(tmp_91_fu_1257_p2),64)); tmp_91_fu_1257_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_102_fu_1253_p1)); tmp_92_cast_fu_1272_p1 <= std_logic_vector(resize(signed(tmp_92_fu_1267_p2),64)); tmp_92_fu_1267_p2 <= std_logic_vector(unsigned(tmp_69_reg_1489) + unsigned(tmp_101_fu_1249_p1)); tmp_93_cast_fu_1285_p1 <= std_logic_vector(resize(signed(tmp_93_fu_1280_p2),64)); tmp_93_fu_1280_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_103_fu_1277_p1)); tmp_94_cast_fu_1314_p1 <= std_logic_vector(resize(signed(tmp_85_fu_1309_p2),64)); tmp_94_fu_770_p1 <= max_2_reg_266(9 - 1 downto 0); tmp_95_fu_784_p1 <= max_reg_277(9 - 1 downto 0); tmp_96_fu_818_p1 <= ST_uOut_load_1_to_int_fu_804_p1(23 - 1 downto 0); tmp_97_fu_835_p1 <= ST_uOut_load_2_to_int_fu_822_p1(23 - 1 downto 0); tmp_98_fu_1126_p1 <= k_reg_324(9 - 1 downto 0); tmp_99_fu_1130_p1 <= k_reg_324(14 - 1 downto 0); tmp_9_fu_678_p1 <= std_logic_vector(resize(signed(P_index1),64)); tmp_s_fu_567_p2 <= "1" when (P_mode = ap_const_lv32_5) else "0"; end behav;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SW_standalone/ip/design_SW_standalone_axi_gpio_0_0/synth/design_SW_standalone_axi_gpio_0_0.vhd
1
10147
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_9; USE axi_gpio_v2_0_9.axi_gpio; ENTITY design_SW_standalone_axi_gpio_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END design_SW_standalone_axi_gpio_0_0; ARCHITECTURE design_SW_standalone_axi_gpio_0_0_arch OF design_SW_standalone_axi_gpio_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_SW_standalone_axi_gpio_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_SW_standalone_axi_gpio_0_0_arch: ARCHITECTURE IS "axi_gpio,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_SW_standalone_axi_gpio_0_0_arch : ARCHITECTURE IS "design_SW_standalone_axi_gpio_0_0,axi_gpio,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_SW_standalone_axi_gpio_0_0_arch: ARCHITECTURE IS "design_SW_standalone_axi_gpio_0_0,axi_gpio,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_gpio,x_ipVersion=2.0,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_GPIO_WIDTH=4,C_GPIO2_WIDTH=32,C_ALL_INPUTS=0,C_ALL_INPUTS_2=0,C_ALL_OUTPUTS=0,C_ALL_OUTPUTS_2=0,C_INTERRUPT_PRESENT=0,C_DOUT_DEFAULT=0x00000000,C_TRI_DEFAULT=0xFFFFFFFF,C_IS_DUAL=0,C_DOUT_DEFAULT_2=0x00000000,C_TRI_DEFAULT_2=0xFFFFFFFF}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_t: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_T"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 4, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 0, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 0, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, gpio_io_i => gpio_io_i, gpio_io_o => gpio_io_o, gpio_io_t => gpio_io_t, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END design_SW_standalone_axi_gpio_0_0_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/lib_srl_fifo_v1_0/hdl/src/vhdl/cntr_incr_decr_addn_f.vhd
15
10256
-- cntr_incr_decr_addn_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005 - 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: cntr_incr_decr_addn_f.vhd -- -- Description: This counter can increment, decrement or skip ahead -- by an arbitrary amount. -- -- If Reset is active, the value Cnt synchronously resets -- to all ones. (This reset value, different than the -- customary reset value of zero, caters to the original -- application of cntr_incr_decr_addn_f as the address -- counter for srl_fifo_rbu_f.) -- -- Otherwise, on each Clk, one is added to Cnt if Incr is -- asserted and one is subtracted if Decr is asserted. (If -- both are asserted, then there is no change to Cnt.) -- -- If Decr is not asserted, then the input value, -- Nm_to_add, is added. (Simultaneous assertion of Incr -- would add one more.) If Decr is asserted, then -- N_to_add, is ignored, i.e., it is possible to decrement -- by one or add N, but not both, and Decr overrides. -- -- The value that Cnt will take on at the next clock -- is available as Cnt_p1. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- cntr_incr_decr_addn_f.vhd -- ------------------------------------------------------------------------------- -- -- History: -- FLO 12/30/05 First Version. -- -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- predecessor value by # clks: "*_p#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- entity cntr_incr_decr_addn_f is generic ( C_SIZE : natural; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Reset : in std_logic; -- Note: the counter resets to all ones! Incr : in std_logic; Decr : in std_logic; N_to_add : in std_logic_vector(C_SIZE-1 downto 0); Cnt : out std_logic_vector(C_SIZE-1 downto 0); Cnt_p1 : out std_logic_vector(C_SIZE-1 downto 0) ); end entity cntr_incr_decr_addn_f; ---( library lib_srl_fifo_v1_0_2; library ieee; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std."+"; library unisim; use unisim.all; -- Make unisim entities available for default binding. -- architecture imp of cntr_incr_decr_addn_f is -- constant COUNTER_PRIMS_AVAIL : boolean := -- supported(C_FAMILY, (u_MUXCY_L, u_XORCY, u_FDS)); constant COUNTER_PRIMS_AVAIL : boolean := false; signal cnt_i : std_logic_vector(Cnt'range); signal cnt_i_p1 : std_logic_vector(Cnt'range); ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component MUXCY_L port ( LO : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; component XORCY port ( O : out std_ulogic; CI : in std_ulogic; LI : in std_ulogic ); end component; component FDS generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; S : in std_ulogic ); end component; begin -- architecture imp ---( INFERRED_GEN : if COUNTER_PRIMS_AVAIL = false generate -- CNT_I_P1_PROC : process( cnt_i, N_to_add, Decr, Incr ) is -- function qual_n_to_add(N_to_add : std_logic_vector; Decr : std_logic ) return UNSIGNED is variable r: UNSIGNED(N_to_add'range); begin for i in r'range loop r(i) := N_to_add(i) or Decr; end loop; return r; end; -- function to_singleton_unsigned(s : std_logic) return unsigned is variable r : unsigned(0 to 0) := (others => s); begin return r; end; -- begin cnt_i_p1 <= std_logic_vector( UNSIGNED(cnt_i) + qual_n_to_add(N_to_add, Decr) + to_singleton_unsigned(Incr) ); end process; -- CNT_I_PROC : process(Clk) is begin if Clk'event and Clk = '1' then if Reset = '1' then cnt_i <= (others => '1'); else cnt_i <= cnt_i_p1; end if; end if; end process; -- end generate INFERRED_GEN; ---) Cnt <= cnt_i; Cnt_p1 <= cnt_i_p1; end architecture imp; ---)
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/lib_pkg_v1_0/hdl/src/vhdl/lib_pkg.vhd
28
16351
-- Processor Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: lib_pkg.vhd -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lib_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; -- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63); ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer; function min2 (num1, num2 : integer) return integer; function Addr_Bits(x,y : std_logic_vector) return integer; function clog2(x : positive) return natural; function pad_power2 ( in_num : integer ) return integer; function pad_4 ( in_num : integer ) return integer; function log2(x : natural) return integer; function pwr(x: integer; y: integer) return integer; function String_To_Int(S : string) return integer; function itoa (int : integer) return string; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- the RESET_ACTIVE constant should denote the logic level of an active reset constant RESET_ACTIVE : std_logic := '1'; -- table containing strings representing hex characters for conversion to -- integers constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); end lib_pkg; package body lib_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function min2 -- -- This function returns the lesser of two numbers. ------------------------------------------------------------------------------- function min2 (num1, num2 : integer) return integer is begin if num1 <= num2 then return num1; else return num2; end if; end function min2; ------------------------------------------------------------------------------- -- Function Addr_bits -- -- function to convert an address range (base address and an upper address) -- into the number of upper address bits needed for decoding a device -- select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits(x,y : std_logic_vector) return integer is variable addr_xor : std_logic_vector(x'range); variable count : integer := 0; begin assert x'length = y'length and (x'ascending xnor y'ascending) report "Addr_Bits: arguments are not the same type" severity ERROR; addr_xor := x xor y; for i in x'range loop if addr_xor(i) = '1' then return count; end if; count := count + 1; end loop; return x'length; end Addr_Bits; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- Function pad_power2 -- -- This function returns the next power of 2 from the input number. If the -- input number is a power of 2, this function returns the input number. -- -- This function is used to round up the number of masters to the next power -- of 2 if the number of masters is not already a power of 2. -- -- Input argument 0, which is not a power of two, is accepted and returns 0. -- Input arguments less than 0 are not allowed. ------------------------------------------------------------------------------- -- function pad_power2 (in_num : integer ) return integer is begin if in_num = 0 then return 0; else return 2**(clog2(in_num)); end if; end pad_power2; ------------------------------------------------------------------------------- -- Function pad_4 -- -- This function returns the next multiple of 4 from the input number. If the -- input number is a multiple of 4, this function returns the input number. -- ------------------------------------------------------------------------------- -- function pad_4 (in_num : integer ) return integer is variable out_num : integer; begin out_num := (((in_num-1)/4) + 1)*4; return out_num; end pad_4; ------------------------------------------------------------------------------- -- Function log2 -- returns number of bits needed to encode x choices -- x = 0 returns 0 -- x = 1 returns 0 -- x = 2 returns 1 -- x = 4 returns 2, etc. ------------------------------------------------------------------------------- -- function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------------------- -- Function pwr -- x**y -- negative numbers not allowed for y ------------------------------------------------------------------------------- function pwr(x: integer; y: integer) return integer is variable z : integer := 1; begin if y = 0 then return 1; else for i in 1 to y loop z := z * x; end loop; return z; end if; end function pwr; ------------------------------------------------------------------------------- -- Function itoa -- -- The itoa function converts an integer to a text string. -- This function is required since `image doesn't work in Synplicity -- Valid input range is -9999 to 9999 ------------------------------------------------------------------------------- -- function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end itoa; ----------------------------------------------------------------------------- -- Function String_To_Int -- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end String_To_Int; end package body lib_pkg;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_0/hdl/vhdl/ANN_fcmp_32ns_32ns_1_1.vhd
1
4446
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_fcmp_32ns_32ns_1_1 is generic ( ID : integer := 5; NUM_STAGE : integer := 1; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 1 ); port ( din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); opcode : in std_logic_vector(4 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_fcmp_32ns_32ns_1_1 is --------------------- Component --------------------- component ANN_ap_fcmp_0_no_dsp_32 is port ( s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); s_axis_operation_tvalid : in std_logic; s_axis_operation_tdata : in std_logic_vector(7 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(7 downto 0) ); end component; --------------------- Constant ---------------------- -- AutoESL opcode constant AP_OEQ : std_logic_vector(4 downto 0) := "00001"; constant AP_OGT : std_logic_vector(4 downto 0) := "00010"; constant AP_OGE : std_logic_vector(4 downto 0) := "00011"; constant AP_OLT : std_logic_vector(4 downto 0) := "00100"; constant AP_OLE : std_logic_vector(4 downto 0) := "00101"; constant AP_ONE : std_logic_vector(4 downto 0) := "00110"; constant AP_UNO : std_logic_vector(4 downto 0) := "01000"; -- FPV6 opcode constant OP_EQ : std_logic_vector(7 downto 0) := "00010100"; constant OP_GT : std_logic_vector(7 downto 0) := "00100100"; constant OP_GE : std_logic_vector(7 downto 0) := "00110100"; constant OP_LT : std_logic_vector(7 downto 0) := "00001100"; constant OP_LE : std_logic_vector(7 downto 0) := "00011100"; constant OP_NE : std_logic_vector(7 downto 0) := "00101100"; constant OP_UO : std_logic_vector(7 downto 0) := "00000100"; --------------------- Local signal ------------------ signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal op_tvalid : std_logic; signal op_tdata : std_logic_vector(7 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(7 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_fcmp_0_no_dsp_32_u : component ANN_ap_fcmp_0_no_dsp_32 port map ( s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, s_axis_operation_tvalid => op_tvalid, s_axis_operation_tdata => op_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1; op_tvalid <= '1'; dout <= r_tdata(0 downto 0); --------------------- Opcode ------------------------ process (opcode) begin case (opcode) is when AP_OEQ => op_tdata <= OP_EQ; when AP_OGT => op_tdata <= OP_GT; when AP_OGE => op_tdata <= OP_GE; when AP_OLT => op_tdata <= OP_LT; when AP_OLE => op_tdata <= OP_LE; when AP_ONE => op_tdata <= OP_NE; when AP_UNO => op_tdata <= OP_UO; when others => op_tdata <= OP_EQ; end case; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/sim_tbs/ANN.autotb.vhd
1
43549
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use IEEE.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; entity apatb_ANN_top is generic ( AUTOTB_CLOCK_PERIOD_DIV2 : TIME := 5.00 ns; AUTOTB_TVIN_P_mode : STRING := "./c.ANN.autotvin_P_mode.dat"; AUTOTB_TVIN_P_index1 : STRING := "./c.ANN.autotvin_P_index1.dat"; AUTOTB_TVIN_P_index2 : STRING := "./c.ANN.autotvin_P_index2.dat"; AUTOTB_TVIN_P_intIn_index3 : STRING := "./c.ANN.autotvin_P_intIn_index3.dat"; AUTOTB_TVIN_P_floatIn : STRING := "./c.ANN.autotvin_P_floatIn.dat"; AUTOTB_TVIN_P_mode_out_wrapc : STRING := "./rtl.ANN.autotvin_P_mode.dat"; AUTOTB_TVIN_P_index1_out_wrapc : STRING := "./rtl.ANN.autotvin_P_index1.dat"; AUTOTB_TVIN_P_index2_out_wrapc : STRING := "./rtl.ANN.autotvin_P_index2.dat"; AUTOTB_TVIN_P_intIn_index3_out_wrapc : STRING := "./rtl.ANN.autotvin_P_intIn_index3.dat"; AUTOTB_TVIN_P_floatIn_out_wrapc : STRING := "./rtl.ANN.autotvin_P_floatIn.dat"; AUTOTB_TVOUT_ap_return : STRING := "./c.ANN.autotvout_ap_return.dat"; AUTOTB_TVOUT_ap_return_out_wrapc : STRING := "./impl_rtl.ANN.autotvout_ap_return.dat"; AUTOTB_LAT_RESULT_FILE : STRING := "ANN.result.lat.rb"; AUTOTB_PER_RESULT_TRANS_FILE : STRING := "ANN.performance.result.transaction.xml"; LENGTH_P_mode : INTEGER := 1; LENGTH_P_index1 : INTEGER := 1; LENGTH_P_index2 : INTEGER := 1; LENGTH_P_intIn_index3 : INTEGER := 1; LENGTH_P_floatIn : INTEGER := 1; LENGTH_ap_return : INTEGER := 1; AUTOTB_TRANSACTION_NUM : INTEGER := 265 ); end apatb_ANN_top; architecture behav of apatb_ANN_top is signal AESL_clock : STD_LOGIC := '0'; signal rst : STD_LOGIC; signal start : STD_LOGIC := '0'; signal ce : STD_LOGIC; signal continue : STD_LOGIC := '0'; signal AESL_reset : STD_LOGIC := '0'; signal AESL_start : STD_LOGIC := '0'; signal AESL_ce : STD_LOGIC := '0'; signal AESL_continue : STD_LOGIC := '0'; signal AESL_ready : STD_LOGIC := '0'; signal AESL_idle : STD_LOGIC := '0'; signal AESL_done : STD_LOGIC := '0'; signal AESL_done_delay : STD_LOGIC := '0'; signal AESL_done_delay2 : STD_LOGIC := '0'; signal AESL_ready_delay : STD_LOGIC := '0'; signal ready : STD_LOGIC := '0'; signal ready_wire : STD_LOGIC := '0'; signal AXILiteS_AWADDR: STD_LOGIC_VECTOR (6 DOWNTO 0); signal AXILiteS_AWVALID: STD_LOGIC; signal AXILiteS_AWREADY: STD_LOGIC; signal AXILiteS_WVALID: STD_LOGIC; signal AXILiteS_WREADY: STD_LOGIC; signal AXILiteS_WDATA: STD_LOGIC_VECTOR (31 DOWNTO 0); signal AXILiteS_WSTRB: STD_LOGIC_VECTOR (3 DOWNTO 0); signal AXILiteS_ARADDR: STD_LOGIC_VECTOR (6 DOWNTO 0); signal AXILiteS_ARVALID: STD_LOGIC; signal AXILiteS_ARREADY: STD_LOGIC; signal AXILiteS_RVALID: STD_LOGIC; signal AXILiteS_RREADY: STD_LOGIC; signal AXILiteS_RDATA: STD_LOGIC_VECTOR (31 DOWNTO 0); signal AXILiteS_RRESP: STD_LOGIC_VECTOR (1 DOWNTO 0); signal AXILiteS_BVALID: STD_LOGIC; signal AXILiteS_BREADY: STD_LOGIC; signal AXILiteS_BRESP: STD_LOGIC_VECTOR (1 DOWNTO 0); signal ap_clk : STD_LOGIC; signal ap_rst_n : STD_LOGIC; signal interrupt : STD_LOGIC; signal ready_cnt : STD_LOGIC_VECTOR(31 DOWNTO 0); signal done_cnt : STD_LOGIC_VECTOR(31 DOWNTO 0); signal ready_initial : STD_LOGIC; signal ready_initial_n : STD_LOGIC; signal ready_last_n : STD_LOGIC; signal ready_delay_last_n : STD_LOGIC; signal done_delay_last_n : STD_LOGIC; signal interface_done : STD_LOGIC := '0'; -- Subtype for random state number, to prevent confusing it with true integers -- Top of range should be (2**31)-1 but this literal calculation causes overflow on 32-bit machines subtype T_RANDINT is integer range 1 to integer'high; type latency_record is array(0 to AUTOTB_TRANSACTION_NUM + 1) of INTEGER; shared variable AESL_mLatCnterIn : latency_record; shared variable AESL_mLatCnterOut : latency_record; shared variable AESL_mLatCnterIn_addr : INTEGER; shared variable AESL_mLatCnterOut_addr : INTEGER; shared variable AESL_clk_counter : INTEGER; signal reported_stuck : STD_LOGIC := '0'; shared variable reported_stuck_cnt : INTEGER := 0; component ANN is port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (6 DOWNTO 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (31 DOWNTO 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (3 DOWNTO 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (6 DOWNTO 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); interrupt : OUT STD_LOGIC); end component; -- The signal of port P_mode shared variable AESL_REG_P_mode : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); -- The signal of port P_index1 shared variable AESL_REG_P_index1 : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); -- The signal of port P_index2 shared variable AESL_REG_P_index2 : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); -- The signal of port P_intIn_index3 shared variable AESL_REG_P_intIn_index3 : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); -- The signal of port P_floatIn shared variable AESL_REG_P_floatIn : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); signal AESL_slave_output_done : STD_LOGIC; signal AESL_slave_start : STD_LOGIC; signal AESL_slave_write_start_in : STD_LOGIC; signal AESL_slave_write_start_finish : STD_LOGIC; signal AESL_slave_ready : STD_LOGIC; signal slave_start_status : STD_LOGIC := '0'; signal start_rise : STD_LOGIC := '0'; signal ready_rise : STD_LOGIC := '0'; signal slave_done_status : STD_LOGIC := '0'; signal AXILiteS_read_data_finish : STD_LOGIC; signal AXILiteS_write_data_finish : STD_LOGIC; component AESL_AXI_SLAVE_AXILiteS is port( clk : IN STD_LOGIC; reset : IN STD_LOGIC; TRAN_s_axi_AXILiteS_AWADDR : OUT STD_LOGIC_VECTOR; TRAN_s_axi_AXILiteS_AWVALID : OUT STD_LOGIC; TRAN_s_axi_AXILiteS_AWREADY : IN STD_LOGIC; TRAN_s_axi_AXILiteS_WVALID : OUT STD_LOGIC; TRAN_s_axi_AXILiteS_WREADY : IN STD_LOGIC; TRAN_s_axi_AXILiteS_WDATA : OUT STD_LOGIC_VECTOR; TRAN_s_axi_AXILiteS_WSTRB : OUT STD_LOGIC_VECTOR; TRAN_s_axi_AXILiteS_ARADDR : OUT STD_LOGIC_VECTOR; TRAN_s_axi_AXILiteS_ARVALID : OUT STD_LOGIC; TRAN_s_axi_AXILiteS_ARREADY : IN STD_LOGIC; TRAN_s_axi_AXILiteS_RVALID : IN STD_LOGIC; TRAN_s_axi_AXILiteS_RREADY : OUT STD_LOGIC; TRAN_s_axi_AXILiteS_RDATA : IN STD_LOGIC_VECTOR; TRAN_s_axi_AXILiteS_RRESP : IN STD_LOGIC_VECTOR; TRAN_s_axi_AXILiteS_BVALID : IN STD_LOGIC; TRAN_s_axi_AXILiteS_BREADY : OUT STD_LOGIC; TRAN_s_axi_AXILiteS_BRESP : IN STD_LOGIC_VECTOR; TRAN_AXILiteS_read_data_finish : OUT STD_LOGIC; TRAN_AXILiteS_write_data_finish : OUT STD_LOGIC; TRAN_AXILiteS_ready_out : OUT STD_LOGIC; TRAN_AXILiteS_ready_in : IN STD_LOGIC; TRAN_AXILiteS_done_out : OUT STD_LOGIC; TRAN_AXILiteS_idle_out : OUT STD_LOGIC; TRAN_AXILiteS_write_start_in : IN STD_LOGIC; TRAN_AXILiteS_write_start_finish : OUT STD_LOGIC; TRAN_AXILiteS_transaction_done_in : IN STD_LOGIC; TRAN_AXILiteS_interrupt : IN STD_LOGIC; TRAN_AXILiteS_start_in : IN STD_LOGIC ); end component; procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING; token_len: out INTEGER) is variable whitespace : CHARACTER; variable i : INTEGER; variable ok: BOOLEAN; variable buff: STRING(1 to token'length); begin ok := false; i := 1; loop_main: while not endfile(textfile) loop if textline = null or textline'length = 0 then readline(textfile, textline); end if; loop_remove_whitespace: while textline'length > 0 loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then read(textline, whitespace); else exit loop_remove_whitespace; end if; end loop; loop_aesl_read_token: while textline'length > 0 and i <= buff'length loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then exit loop_aesl_read_token; else read(textline, buff(i)); i := i + 1; end if; ok := true; end loop; if ok = true then exit loop_main; end if; end loop; buff(i) := ' '; token := buff; token_len:= i-1; end procedure esl_read_token; procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING) is variable i : INTEGER; begin esl_read_token (textfile, textline, token, i); end procedure esl_read_token; function esl_str2lv_hex (RHS : STRING; data_width : INTEGER) return STD_LOGIC_VECTOR is variable ret : STD_LOGIC_VECTOR(data_width - 1 downto 0); variable idx : integer := 3; begin ret := (others => '0'); if(RHS(1) /= '0' and (RHS(2) /= 'x' or RHS(2) /= 'X')) then report "Error! The format of hex number is not initialed by 0x"; end if; while true loop if (data_width > 4) then case RHS(idx) is when '0' => ret := ret(data_width - 5 downto 0) & "0000"; when '1' => ret := ret(data_width - 5 downto 0) & "0001"; when '2' => ret := ret(data_width - 5 downto 0) & "0010"; when '3' => ret := ret(data_width - 5 downto 0) & "0011"; when '4' => ret := ret(data_width - 5 downto 0) & "0100"; when '5' => ret := ret(data_width - 5 downto 0) & "0101"; when '6' => ret := ret(data_width - 5 downto 0) & "0110"; when '7' => ret := ret(data_width - 5 downto 0) & "0111"; when '8' => ret := ret(data_width - 5 downto 0) & "1000"; when '9' => ret := ret(data_width - 5 downto 0) & "1001"; when 'a' | 'A' => ret := ret(data_width - 5 downto 0) & "1010"; when 'b' | 'B' => ret := ret(data_width - 5 downto 0) & "1011"; when 'c' | 'C' => ret := ret(data_width - 5 downto 0) & "1100"; when 'd' | 'D' => ret := ret(data_width - 5 downto 0) & "1101"; when 'e' | 'E' => ret := ret(data_width - 5 downto 0) & "1110"; when 'f' | 'F' => ret := ret(data_width - 5 downto 0) & "1111"; when 'x' | 'X' => ret := ret(data_width - 5 downto 0) & "XXXX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 4) then case RHS(idx) is when '0' => ret := "0000"; when '1' => ret := "0001"; when '2' => ret := "0010"; when '3' => ret := "0011"; when '4' => ret := "0100"; when '5' => ret := "0101"; when '6' => ret := "0110"; when '7' => ret := "0111"; when '8' => ret := "1000"; when '9' => ret := "1001"; when 'a' | 'A' => ret := "1010"; when 'b' | 'B' => ret := "1011"; when 'c' | 'C' => ret := "1100"; when 'd' | 'D' => ret := "1101"; when 'e' | 'E' => ret := "1110"; when 'f' | 'F' => ret := "1111"; when 'x' | 'X' => ret := "XXXX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 3) then case RHS(idx) is when '0' => ret := "000"; when '1' => ret := "001"; when '2' => ret := "010"; when '3' => ret := "011"; when '4' => ret := "100"; when '5' => ret := "101"; when '6' => ret := "110"; when '7' => ret := "111"; when 'x' | 'X' => ret := "XXX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 2) then case RHS(idx) is when '0' => ret := "00"; when '1' => ret := "01"; when '2' => ret := "10"; when '3' => ret := "11"; when 'x' | 'X' => ret := "XX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 1) then case RHS(idx) is when '0' => ret := "0"; when '1' => ret := "1"; when 'x' | 'X' => ret := "X"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; else report string'("Wrong data_width."); return ret; end if; idx := idx + 1; end loop; return ret; end function; function esl_str_dec2int (RHS : STRING) return INTEGER is variable ret : integer; variable idx : integer := 1; begin ret := 0; while true loop case RHS(idx) is when '0' => ret := ret * 10 + 0; when '1' => ret := ret * 10 + 1; when '2' => ret := ret * 10 + 2; when '3' => ret := ret * 10 + 3; when '4' => ret := ret * 10 + 4; when '5' => ret := ret * 10 + 5; when '6' => ret := ret * 10 + 6; when '7' => ret := ret * 10 + 7; when '8' => ret := ret * 10 + 8; when '9' => ret := ret * 10 + 9; when ' ' => return ret; when others => report "Wrong dec char " & RHS(idx); return ret; end case; idx := idx + 1; end loop; return ret; end esl_str_dec2int; function esl_conv_string_hex (lv : STD_LOGIC_VECTOR) return STRING is constant str_len : integer := (lv'length + 3)/4; variable ret : STRING (1 to str_len); variable i, tmp: INTEGER; variable normal_lv : STD_LOGIC_VECTOR(lv'length - 1 downto 0); variable tmp_lv : STD_LOGIC_VECTOR(3 downto 0); begin normal_lv := lv; for i in 1 to str_len loop if(i = 1) then if((lv'length mod 4) = 3) then tmp_lv(2 downto 0) := normal_lv(lv'length - 1 downto lv'length - 3); case tmp_lv(2 downto 0) is when "000" => ret(i) := '0'; when "001" => ret(i) := '1'; when "010" => ret(i) := '2'; when "011" => ret(i) := '3'; when "100" => ret(i) := '4'; when "101" => ret(i) := '5'; when "110" => ret(i) := '6'; when "111" => ret(i) := '7'; when others => ret(i) := 'X'; end case; elsif((lv'length mod 4) = 2) then tmp_lv(1 downto 0) := normal_lv(lv'length - 1 downto lv'length - 2); case tmp_lv(1 downto 0) is when "00" => ret(i) := '0'; when "01" => ret(i) := '1'; when "10" => ret(i) := '2'; when "11" => ret(i) := '3'; when others => ret(i) := 'X'; end case; elsif((lv'length mod 4) = 1) then tmp_lv(0 downto 0) := normal_lv(lv'length - 1 downto lv'length - 1); case tmp_lv(0 downto 0) is when "0" => ret(i) := '0'; when "1" => ret(i) := '1'; when others=> ret(i) := 'X'; end case; elsif((lv'length mod 4) = 0) then tmp_lv(3 downto 0) := normal_lv(lv'length - 1 downto lv'length - 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := 'X'; end case; end if; else tmp_lv(3 downto 0) := normal_lv((str_len - i) * 4 + 3 downto (str_len - i) * 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := 'X'; end case; end if; end loop; return ret; end function; -- purpose: initialise the random state variable based on an integer seed function init_rand(seed : integer) return T_RANDINT is variable result : T_RANDINT; begin -- If the seed is smaller than the minimum value of the random state variable, use the minimum value if seed < T_RANDINT'low then result := T_RANDINT'low; -- If the seed is larger than the maximum value of the random state variable, use the maximum value elsif seed > T_RANDINT'high then result := T_RANDINT'high; -- If the seed is within the range of the random state variable, just use the seed else result := seed; end if; -- Return the result return result; end init_rand; -- purpose: generate a random integer between min and max limits procedure rand_int(variable rand : inout T_RANDINT; constant minval : in integer; constant maxval : in integer; variable result : out integer ) is variable k, q : integer; variable real_rand : real; variable res : integer; begin -- Create a new random integer in the range 1 to 2**31-1 and put it back into rand VARIABLE -- Based on an example from Numerical Recipes in C, 2nd Edition, page 279 k := rand/127773; q := 16807*(rand-k*127773)-2836*k; if q < 0 then q := q + 2147483647; end if; rand := init_rand(q); -- Convert this integer to a real number in the range 0 to 1 real_rand := (real(rand - T_RANDINT'low)) / real(T_RANDINT'high - T_RANDINT'low); -- Convert this real number to an integer in the range minval to maxval -- The +1 and -0.5 are to get equal probability of minval and maxval as other values res := integer((real_rand * real(maxval+1-minval)) - 0.5) + minval; -- VHDL real to integer conversion doesn't define what happens for x.5 so deal with this if res < minval then res := minval; elsif res > maxval then res := maxval; end if; -- assign output result := res; end rand_int; function esl_equal_std_lv (lv1 : STD_LOGIC_VECTOR; lv2 : STD_LOGIC_VECTOR) return BOOLEAN is variable len : INTEGER; variable i : INTEGER; begin if (lv1'length > lv2'length) then len := lv2'length; for i in lv1'length - 1 downto lv2'length loop if(lv1(i) = '1') then return false; end if; end loop; else len := lv1'length; for i in lv2'length - 1 downto lv1'length loop if(lv2(i) = '1') then return false; end if; end loop; end if; for i in len - 1 downto 0 loop if (lv1(i) = '1' and lv2(i) /= '1') or (lv1(i) = '0' and lv2(i) /= '0') then return false; end if; end loop; return true; end function; procedure post_check (file fp1 : TEXT; file fp2 : TEXT) is variable token_line1 : LINE; variable token_line2 : LINE; variable token1 : STRING(1 to 200); variable token2 : STRING(1 to 200); variable golden : STD_LOGIC_VECTOR(199 downto 0); variable result : STD_LOGIC_VECTOR(199 downto 0); variable l1 : INTEGER; variable l2 : INTEGER; begin esl_read_token(fp1, token_line1, token1); esl_read_token(fp2, token_line2, token2); if(token1(1 to 13) /= "[[[runtime]]]" or token2(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp1, token_line1, token1); esl_read_token(fp2, token_line2, token2); while(token1(1 to 14) /= "[[[/runtime]]]" and token2(1 to 14) /= "[[[/runtime]]]") loop if(token1(1 to 15) /= "[[transaction]]" and token2(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp1, token_line1, token1); -- Skip transaction number esl_read_token(fp2, token_line2, token2); -- Skip transaction number esl_read_token(fp1, token_line1, token1, l1); esl_read_token(fp2, token_line2, token2, l2); while(token1(1 to 16) /= "[[/transaction]]" and token2(1 to 16) /= "[[/transaction]]") loop golden := esl_str2lv_hex(token1, 200 ); result := esl_str2lv_hex(token2, 200 ); if(esl_equal_std_lv(golden, result) = false) then report token1(1 to l1) & " (expected) vs. " & token2(1 to l2) & " (actual) - mismatch"; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp1, token_line1, token1); esl_read_token(fp2, token_line2, token2); end loop; esl_read_token(fp1, token_line1, token1); esl_read_token(fp2, token_line2, token2); end loop; end procedure post_check; begin AESL_inst_ANN : ANN port map ( s_axi_AXILiteS_AWADDR => AXILiteS_AWADDR, s_axi_AXILiteS_AWVALID => AXILiteS_AWVALID, s_axi_AXILiteS_AWREADY => AXILiteS_AWREADY, s_axi_AXILiteS_WVALID => AXILiteS_WVALID, s_axi_AXILiteS_WREADY => AXILiteS_WREADY, s_axi_AXILiteS_WDATA => AXILiteS_WDATA, s_axi_AXILiteS_WSTRB => AXILiteS_WSTRB, s_axi_AXILiteS_ARADDR => AXILiteS_ARADDR, s_axi_AXILiteS_ARVALID => AXILiteS_ARVALID, s_axi_AXILiteS_ARREADY => AXILiteS_ARREADY, s_axi_AXILiteS_RVALID => AXILiteS_RVALID, s_axi_AXILiteS_RREADY => AXILiteS_RREADY, s_axi_AXILiteS_RDATA => AXILiteS_RDATA, s_axi_AXILiteS_RRESP => AXILiteS_RRESP, s_axi_AXILiteS_BVALID => AXILiteS_BVALID, s_axi_AXILiteS_BREADY => AXILiteS_BREADY, s_axi_AXILiteS_BRESP => AXILiteS_BRESP, ap_clk => ap_clk, ap_rst_n => ap_rst_n, interrupt => interrupt ); -- Assignment for control signal ap_clk <= AESL_clock; ap_rst_n <= AESL_reset; AESL_reset <= rst; AESL_start <= start; AESL_ce <= ce; AESL_continue <= continue; AESL_slave_write_start_in <= slave_start_status and AXILiteS_write_data_finish; AESL_slave_start <= AESL_slave_write_start_finish; AESL_done <= slave_done_status and AXILiteS_read_data_finish; slave_start_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '0') then slave_start_status <= '1'; else if (AESL_start = '1' ) then start_rise <= '1'; end if; if (start_rise = '1' and AESL_done = '1' ) then slave_start_status <= '1'; end if; if (AESL_slave_write_start_in = '1') then slave_start_status <= '0'; start_rise <= '0'; end if; end if; end if; end process; slave_ready_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '0') then AESL_slave_ready <= '0'; ready_rise <= '0'; else if (AESL_ready = '1' ) then ready_rise <= '1'; end if; if (ready_rise = '1' and AESL_done_delay = '1' ) then AESL_slave_ready <= '1'; end if; if (AESL_slave_ready = '1') then AESL_slave_ready <= '0'; ready_rise <= '0'; end if; end if; end if; end process; slave_done_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if (AESL_done = '1') then slave_done_status <= '0'; elsif (AESL_slave_output_done = '1' ) then slave_done_status <= '1'; end if; end if; end process; AESL_axi_slave_inst_AXILiteS : AESL_AXI_SLAVE_AXILiteS port map ( clk => AESL_clock, reset => AESL_reset, TRAN_s_axi_AXILiteS_AWADDR => AXILiteS_AWADDR, TRAN_s_axi_AXILiteS_AWVALID => AXILiteS_AWVALID, TRAN_s_axi_AXILiteS_AWREADY => AXILiteS_AWREADY, TRAN_s_axi_AXILiteS_WVALID => AXILiteS_WVALID, TRAN_s_axi_AXILiteS_WREADY => AXILiteS_WREADY, TRAN_s_axi_AXILiteS_WDATA => AXILiteS_WDATA, TRAN_s_axi_AXILiteS_WSTRB => AXILiteS_WSTRB, TRAN_s_axi_AXILiteS_ARADDR => AXILiteS_ARADDR, TRAN_s_axi_AXILiteS_ARVALID => AXILiteS_ARVALID, TRAN_s_axi_AXILiteS_ARREADY => AXILiteS_ARREADY, TRAN_s_axi_AXILiteS_RVALID => AXILiteS_RVALID, TRAN_s_axi_AXILiteS_RREADY => AXILiteS_RREADY, TRAN_s_axi_AXILiteS_RDATA => AXILiteS_RDATA, TRAN_s_axi_AXILiteS_RRESP => AXILiteS_RRESP, TRAN_s_axi_AXILiteS_BVALID => AXILiteS_BVALID, TRAN_s_axi_AXILiteS_BREADY => AXILiteS_BREADY, TRAN_s_axi_AXILiteS_BRESP => AXILiteS_BRESP, TRAN_AXILiteS_read_data_finish => AXILiteS_read_data_finish, TRAN_AXILiteS_write_data_finish => AXILiteS_write_data_finish, TRAN_AXILiteS_ready_out => AESL_ready, TRAN_AXILiteS_ready_in => AESL_slave_ready, TRAN_AXILiteS_done_out => AESL_slave_output_done, TRAN_AXILiteS_idle_out => AESL_idle, TRAN_AXILiteS_write_start_in => AESL_slave_write_start_in, TRAN_AXILiteS_write_start_finish => AESL_slave_write_start_finish, TRAN_AXILiteS_transaction_done_in => AESL_done_delay, TRAN_AXILiteS_interrupt => interrupt, TRAN_AXILiteS_start_in => AESL_slave_start ); -- Write "[[[runtime]]]" and "[[[/runtime]]]" for output transactor write_output_transactor_ap_return_runtime_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 1024); begin file_open(fstatus, fp, AUTOTB_TVOUT_ap_return_out_wrapc, WRITE_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVOUT_ap_return_out_wrapc & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; write(token_line, string'("[[[runtime]]]")); writeline(fp, token_line); file_close(fp); while done_cnt /= AUTOTB_TRANSACTION_NUM loop wait until AESL_clock'event and AESL_clock = '1'; end loop; wait until AESL_clock'event and AESL_clock = '1'; wait until AESL_clock'event and AESL_clock = '1'; file_open(fstatus, fp, AUTOTB_TVOUT_ap_return_out_wrapc, APPEND_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVOUT_ap_return_out_wrapc & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; write(token_line, string'("[[[/runtime]]]")); writeline(fp, token_line); file_close(fp); wait; end process; generate_ready_cnt_proc : process(ready_initial, AESL_clock) begin if(AESL_clock'event and AESL_clock = '0') then if(ready_initial = '1') then ready_cnt <= conv_std_logic_vector(1, 32); end if; elsif(AESL_clock'event and AESL_clock = '1') then if(ready_cnt /= AUTOTB_TRANSACTION_NUM) then if(AESL_ready = '1') then ready_cnt <= ready_cnt + 1; end if; end if; end if; end process; generate_done_cnt_proc : process(AESL_reset, AESL_clock) begin if(AESL_reset = '0') then done_cnt <= (others => '0'); elsif(AESL_clock'event and AESL_clock = '1') then if(done_cnt /= AUTOTB_TRANSACTION_NUM) then if(AESL_done = '1') then done_cnt <= done_cnt + 1; end if; end if; end if; end process; generate_sim_done_proc : process file fp1 : TEXT; file fp2 : TEXT; variable fstatus1 : FILE_OPEN_STATUS; variable fstatus2 : FILE_OPEN_STATUS; begin while(done_cnt /= AUTOTB_TRANSACTION_NUM) loop wait until AESL_clock'event and AESL_clock = '1'; end loop; wait until AESL_clock'event and AESL_clock = '1'; wait until AESL_clock'event and AESL_clock = '1'; wait until AESL_clock'event and AESL_clock = '1'; file_open(fstatus1, fp1, "./rtl.ANN.autotvout_ap_return.dat", READ_MODE); file_open(fstatus2, fp2, "./impl_rtl.ANN.autotvout_ap_return.dat", READ_MODE); if(fstatus1 /= OPEN_OK) then assert false report string'("Open file rtl.ANN.autotvout_ap_return.dat failed!!!") severity note; elsif(fstatus2 /= OPEN_OK) then assert false report string'("Open file impl_rtl.ANN.autotvout_ap_return.dat failed!!!") severity note; else report string'("Comparing rtl.ANN.autotvout_ap_return.dat with impl_rtl.ANN.autotvout_ap_return.dat"); post_check(fp1, fp2); end if; file_close(fp1); file_close(fp2); report "Simulation Passed."; assert false report "simulation done!" severity note; assert false report "NORMAL EXIT (note: failure is to force the simulator to stop)" severity failure; wait; end process; gen_clock_proc : process begin AESL_clock <= '0'; while(true) loop wait for AUTOTB_CLOCK_PERIOD_DIV2; AESL_clock <= not AESL_clock; end loop; wait; end process; gen_reset_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin rst <= '0'; wait for 100 ns; for i in 1 to 3 loop wait until AESL_clock'event and AESL_clock = '1'; end loop; rst <= '1'; wait; end process; gen_start_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin start <= '0'; ce <= '1'; wait until AESL_reset = '1'; wait until (AESL_clock'event and AESL_clock = '1'); start <= '1'; while(ready_cnt /= AUTOTB_TRANSACTION_NUM + 1) loop wait until (AESL_clock'event and AESL_clock = '1'); if(AESL_ready = '1') then start <= '0'; start <= '1'; end if; end loop; start <= '0'; wait; end process; gen_continue_proc : process(AESL_done) begin continue <= AESL_done; end process; gen_AESL_ready_delay_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '0') then AESL_ready_delay <= '0'; else AESL_ready_delay <= AESL_ready; end if; end if; end process; gen_ready_initial_proc : process begin ready_initial <= '0'; wait until AESL_start = '1'; ready_initial <= '1'; wait until AESL_clock'event and AESL_clock = '1'; ready_initial <= '0'; wait; end process; ready_last_n_proc : process begin ready_last_n <= '1'; while(ready_cnt /= AUTOTB_TRANSACTION_NUM) loop wait until AESL_clock'event and AESL_clock = '1'; end loop; ready_last_n <= '0'; wait; end process; gen_ready_delay_n_last_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '0') then ready_delay_last_n <= '0'; else ready_delay_last_n <= ready_last_n; end if; end if; end process; ready <= (ready_initial or AESL_ready_delay); ready_wire <= ready_initial or AESL_ready_delay; done_delay_last_n <= '0' when done_cnt = AUTOTB_TRANSACTION_NUM else '1'; gen_done_delay_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '0') then AESL_done_delay <= '0'; AESL_done_delay2 <= '0'; else AESL_done_delay <= AESL_done and done_delay_last_n; AESL_done_delay2 <= AESL_done_delay; end if; end if; end process; gen_interface_done : process(ready, AESL_ready_delay, AESL_done_delay) begin if(ready_cnt > 0 and ready_cnt < AUTOTB_TRANSACTION_NUM) then interface_done <= AESL_ready_delay; elsif(ready_cnt = AUTOTB_TRANSACTION_NUM) then interface_done <= AESL_done_delay; else interface_done <= '0'; end if; end process; gen_clock_counter_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '0') then if(AESL_reset = '0') then AESL_clk_counter := 0; else AESL_clk_counter := AESL_clk_counter + 1; end if; end if; end process; gen_mLatcnterout_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '0') then AESL_mLatCnterOut_addr := 0; AESL_mLatCnterOut(AESL_mLatCnterOut_addr) := AESL_clk_counter + 1 ; reported_stuck_cnt := 0; else if (AESL_done = '1' and AESL_mLatCnterOut_addr < AUTOTB_TRANSACTION_NUM + 1) then AESL_mLatCnterOut(AESL_mLatCnterOut_addr) := AESL_clk_counter; AESL_mLatCnterOut_addr := AESL_mLatCnterOut_addr + 1; reported_stuck <= '0'; end if; end if; end if; end process; gen_mLatcnterin_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '0') then AESL_mLatCnterIn_addr := 0; else if (AESL_slave_write_start_finish = '1' and AESL_mLatCnterIn_addr < AUTOTB_TRANSACTION_NUM + 1) then AESL_mLatCnterIn(AESL_mLatCnterIn_addr) := AESL_clk_counter; AESL_mLatCnterIn_addr := AESL_mLatCnterIn_addr + 1; end if; end if; end if; end process; gen_performance_check_proc : process variable transaction_counter : INTEGER; variable i : INTEGER; file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 1024); variable latthistime : INTEGER; variable lattotal : INTEGER; variable latmax : INTEGER; variable latmin : INTEGER; variable thrthistime : INTEGER; variable thrtotal : INTEGER; variable thrmax : INTEGER; variable thrmin : INTEGER; variable lataver : INTEGER; variable thraver : INTEGER; type latency_record is array(0 to AUTOTB_TRANSACTION_NUM + 1) of INTEGER; variable lat_array : latency_record; variable thr_array : latency_record; begin i := 0; lattotal := 0; latmax := 0; latmin := 16#7fffffff#; lataver := 0; thrtotal := 0; thrmax := 0; thrmin := 16#7fffffff#; thraver := 0; wait until (AESL_clock'event and AESL_clock = '1'); wait until (AESL_reset = '1'); while (done_cnt /= AUTOTB_TRANSACTION_NUM) loop wait until (AESL_clock'event and AESL_clock = '1'); end loop; wait for 0.001 ns; for i in 0 to AUTOTB_TRANSACTION_NUM - 1 loop latthistime := AESL_mLatCnterOut(i) - AESL_mLatCnterIn(i); lat_array(i) := latthistime; if (latthistime > latmax) then latmax := latthistime; end if; if (latthistime < latmin) then latmin := latthistime; end if; lattotal := lattotal + latthistime; if (AUTOTB_TRANSACTION_NUM = 1) then thrthistime := latthistime; else thrthistime := AESL_mLatCnterIn(i + 1) - AESL_mLatCnterIn(i); end if; thr_array(i) := thrthistime; if (thrthistime > thrmax) then thrmax := thrthistime; end if; if (thrthistime < thrmin) then thrmin := thrthistime; end if; thrtotal := thrtotal + thrthistime; end loop; lataver := lattotal / AUTOTB_TRANSACTION_NUM; thraver := thrtotal / AUTOTB_TRANSACTION_NUM; file_open(fstatus, fp, AUTOTB_LAT_RESULT_FILE, WRITE_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_LAT_RESULT_FILE & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; if (AUTOTB_TRANSACTION_NUM = 1) then thrmax := 0; thrmin := 0; thraver := 0; write(token_line, "$MAX_LATENCY = " & '"' & integer'image(latmax) & '"'); writeline(fp, token_line); write(token_line, "$MIN_LATENCY = " & '"' & integer'image(latmin) & '"'); writeline(fp, token_line); write(token_line, "$AVER_LATENCY = " & '"' & integer'image(lataver) & '"'); writeline(fp, token_line); write(token_line, "$MAX_THROUGHPUT = " & '"' & integer'image(thrmax) & '"'); writeline(fp, token_line); write(token_line, "$MIN_THROUGHPUT = " & '"' & integer'image(thrmin) & '"'); writeline(fp, token_line); write(token_line, "$AVER_THROUGHPUT = " & '"' & integer'image(thraver) & '"'); writeline(fp, token_line); else write(token_line, "$MAX_LATENCY = " & '"' & integer'image(latmax) & '"'); writeline(fp, token_line); write(token_line, "$MIN_LATENCY = " & '"' & integer'image(latmin) & '"'); writeline(fp, token_line); write(token_line, "$AVER_LATENCY = " & '"' & integer'image(lataver) & '"'); writeline(fp, token_line); write(token_line, "$MAX_THROUGHPUT = " & '"' & integer'image(latmax) & '"'); writeline(fp, token_line); write(token_line, "$MIN_THROUGHPUT = " & '"' & integer'image(latmin) & '"'); writeline(fp, token_line); write(token_line, "$AVER_THROUGHPUT = " & '"' & integer'image(lataver) & '"'); writeline(fp, token_line); end if; file_close(fp); file_open(fstatus, fp, AUTOTB_PER_RESULT_TRANS_FILE, WRITE_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_PER_RESULT_TRANS_FILE & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; write(token_line,string'(" latency interval")); writeline(fp, token_line); if (AUTOTB_TRANSACTION_NUM = 1) then i := 0; thr_array(i) := 0; write(token_line,"transaction " & integer'image(i) & " " & integer'image(lat_array(i) ) & " " & integer'image(thr_array(i) ) ); writeline(fp, token_line); else for i in 0 to AESL_mLatCnterOut_addr - 1 loop write(token_line,"transaction " & integer'image(i) & " " & integer'image(lat_array(i) ) & " " & integer'image(thr_array(i) ) ); writeline(fp, token_line); end loop; end if; file_close(fp); wait; end process; end behav;
gpl-3.0
hoglet67/AtomVGAWing
src/DCM_B.vhd
1
2523
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version : 14.4 -- \ \ Application : xaw2vhdl -- / / Filename : DCM_B.vhd -- /___/ /\ Timestamp : 03/01/2013 20:52:36 -- \ \ / \ -- \___\/\___\ -- --Command: xaw2vhdl-intstyle /home/dmb/papilio/projects/VGATest/ipcore_dir/DCM_B.xaw -st DCM_B.vhd --Design Name: DCM_B --Device: xc3s500e-5vq100 -- -- Module DCM_B -- Generated by Xilinx Architecture Wizard -- Written for synthesis tool: XST -- Period Jitter (unit interval) for block DCM_SP_INST = 0.05 UI -- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 3.61 ns library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.ALL; library UNISIM; use UNISIM.Vcomponents.ALL; entity DCM_B is port ( CLKIN_IN : in std_logic; CLKFX_OUT : out std_logic; LOCKED_OUT : out std_logic); end DCM_B; architecture BEHAVIORAL of DCM_B is signal CLKFX_BUF : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I=>CLKFX_BUF, O=>CLKFX_OUT); DCM_SP_INST : DCM_SP generic map( CLK_FEEDBACK => "NONE", CLKDV_DIVIDE => 2.0, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 15, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.250, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map (CLKFB=>GND_BIT, CLKIN=>CLKIN_IN, DSSEN=>GND_BIT, PSCLK=>GND_BIT, PSEN=>GND_BIT, PSINCDEC=>GND_BIT, RST=>GND_BIT, CLKDV=>open, CLKFX=>CLKFX_BUF, CLKFX180=>open, CLK0=>open, CLK2X=>open, CLK2X180=>open, CLK90=>open, CLK180=>open, CLK270=>open, LOCKED=>LOCKED_OUT, PSDONE=>open, STATUS=>open); end BEHAVIORAL;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ip/design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0/synth/design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0.vhd
1
7056
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_8; USE proc_sys_reset_v5_0_8.proc_sys_reset; ENTITY design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0; ARCHITECTURE design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0_arch OF design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0_arch : ARCHITECTURE IS "design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0,proc_sys_reset,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=8,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END design_SWandHW_standalone_v2_rst_processing_system7_0_100M_0_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_0/hdl/ip/ANN_ap_ddiv_29_no_dsp_64.vhd
6
12691
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_ddiv_29_no_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END ANN_ap_ddiv_29_no_dsp_64; ARCHITECTURE ANN_ap_ddiv_29_no_dsp_64_arch OF ANN_ap_ddiv_29_no_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_ddiv_29_no_dsp_64_arch : ARCHITECTURE IS "ANN_ap_ddiv_29_no_dsp_64,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "ANN_ap_ddiv_29_no_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=1,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=29,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 29, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_ddiv_29_no_dsp_64_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_sitofp_4_no_dsp_32/xbip_dsp48_multadd_v3_0_1/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
24
73491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA zkhz98SR0w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1 xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2 Vf/+czWAwGAF78M7eU0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB 4rCaDADltHHwoyn39vQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3 RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf 50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo 3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5 avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR 6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block lHJV8fpNPaAZNP6iIPw0aooXy7VRQ2GLxTpNXR94nT4ghoEdejBJNhfc/Fwc80b61mJwVFxchIdb GWC+ItoNeNoQyj44L4mQHHbqXr11K9g1ah6JdpEBvpbq5r7jAZ96wTtDFnmp2jSnAvlN7D/ZiY0h LGxedS1cvxUNYPKiThD2nGHzCC6Zt/lZul0nauBQBSGS4/+KRCDJUNt2ye73F3xL2EbHXQhpdgJg 27df8BZNsq2V5zRneU3NStGgH/uk3X3hdQUe6vMoRZ0W0G7YMti3H1zH3Hgpi4xXOg27VrODjHlL dB0Q6VieODZVtqwUttrsbF/B22HC/Yn1PwcS0/BygvG+3vvOOyfzUdQQ6UGtKBiwTrX2AgWE06Ll HFicd6drMRluA8EMhKdbEoIPBzEyY9wSHO8HH/jWZnK1Aq52SDISlJi0pbjM4VGD/fc2zeD0eVCs 5JSxpFx4ohZZYKip9eak4gYa9vRS6P1WlewHmIAdrpRw9rWfWjVW1OyqHrm9wtu4TrgY/ZjPCPS3 L9t4IGuBdseVBQcz4cpPKRUI3+zaNA+bT5jyO4D/nQPACA4RnBBL5YFCC79oFIR+R0KTflVM8RyC u70OozzyUV9lP8zQfSunz6zCNP8MqFkUu/7EU8GW+4dxcXoSgLuSqIXnb1gWpiuB67Xm0R5LkcUz 4/LJE8DwhOqX9G+5jg1naCAGJtYjSZ30rBvrDbCSbUeLZNXW0Wzil/heTItm4mGssyhCAklkVh3g s1oIC/oEo0zv6Ff/ShmUgHpfA9tsEjGHKYbz639bm8WH7MJwrj8O4yUmE3cIMll0eI2IFtJ5tlxo LqfnXag5cUzf2U54NcMjHs6lHKI18xnOSB0K8NKex1Ye1Ckq7M2JMVVanS52SpsdXsUc0aK98A6R gZypEAjOxwIjihyoGfs5hIebqkmeqBKG8nd9ZUqYV4luO9tdSHRvI7pfaf41RYSmphJQPxapR8Cm 2P/8D2EnLKAIe8CzBmF18m3WJcnfplRzeqNStxyKggvIUzq40fe9NKhCYfuKoGsNR88HhEt2gp2D kOUt2MviofJK7HZiJfEm53OXDTM96Rz9fbq40lj6AimZVXBtUy6U3BzZfn1i8Xb0DMS0MPje7Ivz PSs1QuFBJI4vtm22OhxyebrCziBvLFgPUbzbNWEwfDY/YVtDIVIH9goDgK8BYQ0vjTsYsFfvJPK1 PLOs0cg/sC8497LnDtQbvyqcQJcbsfbrgljqnEAadBHhVyEeHIha4HlxcgSL0STB8RI2qpCY+CtT hAcJYrnNv6IWHtWHPnmsz9tc6zSFUPphNQ6Jq0qWOA49opP++OB1IK0wXff6koCNHWY+ZN9Bi6jE fBZzmPn9QT5AB9IePJbxZz9IjAhEDVKxRYAoO1zmvY0o6eycX7q2y2xRn6+fL/cuovURdT4cRoi2 /ltueXECIHJPmxOgRCG/b2mbt+MtVnS4EkSI7DVwsS6Y2j6Epc+wpDlpzcu+CjqGE/igCA9jdTil X4N9/IyQB5pJ/B0+Vd7d1VwC2tYn/FxPkTaFClxvL9Qxmh3CQo16tXfti3M3MheQbbagzYKmXdAJ KbL9pX8VeyS5jP5EHBHirCLW3n4d44O3Evi/K9asBNH2wWbx5ByAx7vuX+IiYALaeUey+27YmF0g pO0iiywyR8z3TzMbt/GU7cgOwcF8/Ye/mxavaMHsZmqBbGeKeU8SU4LKGPRRv3ZoUYSm4TXpiyOa BvW7lY8cBtDefvzS7m4/1CPEwXOnv8pWHJTtoIU1tKYzXGBh7nQb/flhd2vOZ7bHwvNhLG3+8bIJ 2MPGHSs167GZRywQWyUDlXxk1OJj8deu4gLE47pQop06E5ZI0R5J/xmtt7OAtgip2lojJIhhDDEH Q/qnGvsWLp5XxrA3G13mpZf3aZEVbMHmiWmXiRQ9Ucc7tze4huWmSAugWR/pGtODa6ArinjVMlYN DXSz66a6n91gv3WSDbdNFyZOau2PI/shZZkQBfFYnqq9CeLuSzgU9ZaReu9vWb9XxebNkmiRAr8R sXs+ctMgK9tjSybqkdTtyJVkSqwQDE9J6UTtdYQnsfO2LwGDjJbTsjlHAKsoDEHdn74CARwIxy3j 7exz0v3S2GNvMaRJ6hh6Nrl0g6yopGnEItQIosjag6QmAwCcBchsKmf7I98HTkTf5tlVZImUcZo5 KfSiifLAqLtop1TezKTwhJtOQPeo99s9BMa6NOG+rzJLxPYuEaxzrEAtLONwrC/cqad7eEZLA6iz bPc4afW5BOXM3q9iYOaglpePfam+GxRv84x4VBtVtmO3pEKtABFvXpCSpxXjHyzQLMBXlCjsqWhJ ICBOecKedmkKca7qDZf8Gyh3I5EO27KZIUZv5CnJ2VQVhv5/D+K4FtPuMZW/i1rXG6fWC8nn976V SqZN7DbjO6ErWWseFLLw6R46LQhLb5UdAqP5wx55NmokExV1fBdFAzahaW78uZIcra475oFZ6eZB /j2z1aQC1FUgaTG+KZVwWIRoxi9lwEqEKbCpdR7F5uqP2EsD1d3p5bi91Gi3kJgXeM0J49Ky7U9I 1v3q8AjPqPFp9QN3eftvsQ2+u8KxkOiq1YNyHGnJVFCisBnECQ1P/t5kwBl64n47kNUxomeqNoj8 5slBpbipoYnzMETKDKgSlofq8ndrdRvysw4juVYDDZxo8iIt7W1a9mgUisS8W7IIHYfbUYo4qczD 42q9a/AqWsfAjnrHNX3mYsu29+aiyyOgJcRfSr7aCJGCZo/diOArrlY6ry4Qw63z5zUDDlyfJfYX 1r5SDoIDXKmR7QXQSdvlXq1TfLiLIwT+W6ko9EZ5zWmBvU1hW4Fmd4RPyaMQVAWoWE5ZoKjUfzRl H7d9OEixt8eUeA9VESIBvASinDRPpUvl4CCtkxVnAM317XWy7f2Bo4zL+smW4LJBlIKVJTkKWw8O LqexlHYh37GOYxtVR3tQpNR1Tt+b68O7RskHirAn5tuoH/px1nHirUyqAwfzj1OvwZ7Zjhj6o8N4 WBNinCbeJ8t+avQXXQlqSOBJ3IbGnrfNzC6xo4zbJzyPtUc0ERTIDFuiTTTI/IAUj6Hdh5V8+k13 wvZeFyTZBMDQyD6hUX8d1LgfKmj5IapG7zQPDxDIFsBRf6wkQO0EG2DazKLbVpccCx/bzD+JzQR8 OT1+yGHPv0DgjoAQYD8xIayspanoAafvCxJPhgqEnxfnZedF89cX/QqFseR/2tlh64dEMI3d4uMj eBzpNkcNrP8aOCkVyx+JxQMo4aDSt1RgA89JKOocPqO+YvXE0o3WGVkoDpo1Gz4M2X55tVYwUAF6 PrgdOhdV2k7nMHAXW6Gqu4TJwHlU4OuFWIZsNhmNNemC6ohz4n2oz6GCxDz4+sewYPulRmTiR9oV ii8WcPIpKvzJHIzPMANy5tJERbXWCsfgYiwSUuDUE5bFkh14pidzL2kGe3FSk7FqSp1B6tUp1Q1k /GHgodnYw5/qXagjac3BQbbasPfK178EZW4ELAhzIW4yBBGj+93mz/8D7b0c4Upo7VJmgXaex28+ Xo/iLa7Xl6uRkwhy16diMu4ShjzFpbS5qDEC66NsMnVfH7v7bS2ezB9UfpQ1bxi65h2NYLl35V2O pVYYodILLRLbgnAZzQISS8pP32De2LsfmR1MAKMnF+1KzJxj0aL/YWF8rDuAwOyswTjQQ9PJPBqo 4y4eZcPc5mBa/VdEncbAOpIb/PRFAuisPa+pZm3gT/l3ZH2TjWdXrV8YIXbBtTJjCE+sxk3XUAY9 5w/VGmKeaeOY4/5rR2zx3WODiTiBucHfQzNQCziKYrjjouNwfifH9X71Qda8asnw3B+NMOdc6Tjm KNiz034y8pLgM0qb/9iaeQ+OOSzQQCBOcy9vlQ+pcm/+DRhdc5J41k60cr63RVnDSN+U7JPhMfL4 CE3h9pNGluctJWn+72yxv+u9Df1UE305wZyWc781/YLI8OwLdCVpebbMIpTJztugl6l0jaW9MJsE /cJbOHthlB0ehOhfkrGYe8aDvk5ilg1YDITAky4gKhfE5orReC0obgTsteE1uN5v/tsNXX42V+om RhwTdGOdgfda24HfB9wNU150/3Ek5vLczU2D2Bn+6hB6jRYMEdx6rDeD9rVQwzXEpvpK1C5vts0B Hhhuj4Xn6jppTeY9KHWP5bqILrnp+kneuSHPziU+9aoamOHe04lXni35BMk8+Bvqr8ssD4JkU8aG WM8AZS4SEZKm0ZKaoFPw19s3VX3dDJZuYx+AuC71oZ48hfhS74RonbUhpNyxhmg2M8bH0QaveSbz hcgA8eflgljoVN+69DRnyPV3mmbIXF+lmFSe5+XOIw+VoOC7wQ3qEgQHleoF0rS0MDl8Khy3BG9d WvclgQycTiQkki1giQ2n9hKTbUlBh0eW9HXXG5dqn6axBb2vEr7mV3O4pxi8nm99raBJI1RIzrmw k9ASYEr9Oh8k8FW7L29vHuj5/Gsp0oybukjh1bLluVYdaWKnD/eOHQyMcFEEAzUJEfevL34RiR6Z t+h1udDO1pbHaD0jtfjnTUMw/H/IqCHXwv4IXyGECvJ5vyr/wjH9qPMHCrIg+MR85GFCyVplXG7K B1mAoOBhjWrorBnVXK12xWjzHABWtsATQem4vnGSyqEYf3l56p53/QnM0k06OHu89Pr8jlx/W+Gp ZGe+/OeY58X5nEX3jk5onAVdI68TBmhYELuYDBcWs8aaSXltp0sD8VyLQ9LRhdRqQLkm/5fBMfFA u8DLFSufFZTh+SigStcXXglittX15hz00rmTREwov6VItTYeVHEuLIyJNPK1d8OVs7c+hHQAoZda /zcG4tPq2hnnWvLY0iszuPE4YA4lryR0PWgYGQxEmRsmkoh/CsOSznQ09lziPVnw77ZpiYAD6fVH 7gbPIAAwLDIUuMOHlIr4WQSgMqQnojpc7b08aOXUSTOhcB173qhpbFXWawo4sEFuKDIxhs+RGe+J Tim0unQ8TPlMFamjdXCbVtPk5glB8zaYHYSoJPrXUEghRh7BFerBO6b5ap9UPVQiDxt+6zCanrnR ww69nmXLbhakNmU0sHzknZIaS0AXSLBqNofLURtBqu92sLRDgczxXmIhTLAJYVrbXdIN3wSJSvwm RDS/6j7lg3BLEWHBkbbBp9u/itMfPUA54Iik/D8rSCOu7baobeb6JK1sK+sHdA5Iscz2ebWfSlzG K5nZIa1aToBS8eE+5sgKKxOnjFgkwNTq7GvBYir+aLMo/a98QI1J3HNw7kjJk2J0DXmCfMTVglZ4 GL0APmFv1sQOfZgP2zCKHyWDS4+Bw+Zeyy/X5R3zxHS9tL1tmhUfQfgr0lPNbHouz8ALAsZOx9Lc RNll64dJQSXQteoJr8aNqYdP2qPeUSVB/0L+WsUvQ05X4jsrWxqO6OcTUTBiWFMthrHeSeg+mOQJ rhP0n6vHO6IxNvFM+pkw+LeSUCruPKzHeiGlDMUZDgMTVm9g8YRhe87CJJCbHRnujVIXQl8FkhMs 3fDCUSYpsQRY9buQIKPKUKlLaEnxD4igWQRfWZRJxwWlrKjpUfReXv0Ia6G8/QJ4LKnYoJfhoHWG n0i+xNmpFZGj55AjI/Rhqgap7ecuR8+lAOZY+vrI3lFXNFsBGmVXgyg8GpCIO7LavvPndD/WoWoA MzKDoq27cST0TeobfWTBsprNvl+gUF8A67ThFnGSqltPj4AUU4Lo/oKY5sGw7HCuHnx+ZrVpk0q4 p2tqSZPtZYdXmdov21m+mGxrZjGPf1HR6iesJ4Bo3+0rGZ7zTZQpYWN8OudB2Nf+LBaPtv4AZDzw JpmIbVvhu0MuoMEugN/BBxEzIi3MSCuv3bFtNqTEZ/9iM5BdyxdQ/ZBL/ZHzY2G3N1lmRZXP9TBa FYrNq5E+5p15wuL0EaGAUILn87wBBy7Kn/BG/n2Syi20H/WjDCJnwQie6OEbR7JtJXbzXPtVVOYg LLO91V4g+OlbazZalqSFQC2I2kCMVr00tBm8KJYx3JtgVkoANOqfZzQpK53a49bb4BisCaqNrVi4 hh9oWMIGlJKfOKA9d2D+k1g5N+poISGOCk3Zqw0YXz+xHNa7+BTux/NMwWFznzVNqLr7FDzKoVvU kJB5EyF97AyPZq5VHSZJZrTtyhbKGyDVxkGAlpIfFW6QuDoM1oka1ETnLSpQUNy1ERmGgGJ9ofow ndU1VUhNNFFW8OmmTJDaCQSrwRaTnUsVAALucv8Cjg0zLecxebFzf9A/VYw3wKz13XPJBgSag66q YA6dBsJA6qeEPsSo+SSWm1ttiMVPDf4ysEgEwkGUg8ou9xZixC2KTWhuA5rhz31LBuenzc77TI4U YbTduqfeDh/t8ILeDjg4GBmTIiLsxH5RzNH5YBtPq8jdez8+vwKrQ+jsx3wQIb9U9558a/3d4Ggk FW4quLm6ic23NvzcK/oxcDv3GmZgHRN3fjLpY13MVfMOgLUvzKSGLg+Sda+1yRAnX268C10waGFO Vdak7HZ6s3BEdXO+cMu8zKQbPHGJsPKDDnU4oebdfcwzYwBzqNNmnXy11heRiTU7uCZExsp0APRq vNdsCKcEAe8KfG3oV/d68UDWR1erm0zQqbESwIxGWKPiGR3Nn6fGbFXQKRanl/+sEgrZiT9cCnVl hNFrM6gv+AZ1tDwk9X6dJtt9yD91SOdsk4XjXeWoteA7eWoHViwcOj0oor6I6xmhQhj1oKCW/Jt4 n48ZK4vPfndwa8r1iiA296nahZ8RPqKB7sS8YZAif6IcZZgRSKPfQCE/j/BqwSddXgWm/txSUAfn yN9rN8kOoKUfwt87cGk2lj8Clt8b5yUw+weVTJUsCuX1gaWVZMFE7XtWWFCUg4S9dereutR8nK9q YCUeN5bPqm5KVD4ERMf1lHmKXqa7iwcpDG6wbQ9AOpqY0Mf5hiBZWXkxIS8MUaYqnXy7YhHVpR4h 5lA1VjL39LYsByu1YyQJgXGXHzlEbcfm/Ezx98gOKCUUClhvp3iUuFkq/WmVsltrwN2z9RZrAKLi S1cYAAZyiVTBB8VxlwNIvhrL7deJZpU/zDeyEtCW8MEEIAD9urHHyprwPA/bb4if8iMOyiThDeY6 to+7ME53J2DmadGGjQgHtTT9EapO3DkSVZWODOlxa4xL9C5Yh1jUgORtK9VMnx1BtcqrH+urDMCT mCzwU/279JPXoN5BqzkCkE6BANr1SuXGtX/ee8UBg2TiPMYbaJ0xGe8n0cZG14Y/7ZPl5oUoMeJK yNzGXtvg6n4+dv1nsmfwvjkXWRsGVEpx/Wujy0do3u1ymKyiHyVzSKuARw692p4zUCjn3w17vmrt jSDW74euYyCzFzIL80Z+Is+GugrNaEX18o/xUA3zf2JSOPREYixSDcy9gE7QpsNkIKeuBPKBlVK0 fYuv/oxLXfwby0FceKYsDptawCAA5xIdOqK+qHs6FNe8XypLowBnOaJMELRvmKTjma62cF1KpKWb XyYgrDbRZd76ITC5SlnFkSvDjFnQuReRChvSwd5nQ8e5Uj+4AaCZytqtyU4NVNVcjDsJsRjruNVj clAcX7Nzs702aUQM64flcgf0S6nhxk4IFq47BMh3rBJCLBpzf8MbO98fruPrUbSCMV477MiQW4G0 RbY4P26/DX48nYwgm00VwOiLSVR0zNrwEfVnf8VzHI9XuCW5AhyYaFXsAhYGxFEVYQRAqeG8aMBk /XSWZZ1TO8N1mqypKlkmNR5sFIzu4PIxwWvEL1qJ3bYSULNWCGH0xu1pik324fWJ+dv14PEi79W5 MFYmEDnTP+EM9SKUjVftvZg3LSOdqEQXjdSCl0Z+G2PegTvaWiCbkKkmax76KFyOXn5paUobvxl2 cnkm4bQkfUlw7KbBeoWvLFjUfUZamspzRSm1XQpeScmbXCVvnA36pAvvV4tij7syucP7Ha9jjMuV IE4KOudvM9U4weoNyZWI3CgpNviFSiHOeSot4+cfY1v21mQn631d9QnMhTclAvAdWQwERqg0la7i g4l/ekgVXtnjxhXKzVRIUjPKSMS8FkCfA3zJR3fL7EgM2BrVhYvUAs5zRzp+H1eXMuz7igqJKh9C 5EVM9Uiaazz8gRcd3o9tRqmSS/7CoRS5qvFzyOdWgsBw/kEC8KZrw5N22doKl19ZBYx+rL/Zks8Z hkChG85fNiviujjU88+EOqbJkQacrwM69BU4dsnLn6f+j951OqOy80+DR9AT0MZZmHZSy3uPhXqx qAZZ1eJbJke4sTy+9+tJS1B3XjQCR4Nv3C6jSust8U7v2hkyRsuVWL3DIVRhdVWDbh5Tf9NpQhpv 94yQ3hq6CO5fnfRvzzFnkn/57UTnLaL2bxer5KopQcsfnq5yn9KQrsb5ExnTz2etS2wejYVLXNR3 DuYDYiVNTSDKj1faTGnbXEK1ONwHq7OfB6pnnZbdafq16cfSlP7KT/vIw1W9UJgo7cCxpO53p6hd 9D3tuWg16tuaPao7H524CNsnEPYcENNbxi2K4QwW6nNA7nm4aR+UuvBVEmq4TudEl1yR29h6tcBd AtbPKD+VJmgUIKgl0duN29T9v2b/LRhOtYUjZEA7J1YFPeg/02NrMbglc1Jlw1DC5SnUvUaU7Y3C ZEnQX98dSUuxNf5QYd+WGopMpIsxboD8/i9o8mABJjWn0MF/V1qTpCDQfzSZxJfDIaPTJdGKkqwS iSsuWLN9KmIV/AsVxLlVVv5pDsgFFjhhuHgcmO1Xvx7THlufhb5P82KkmesH1l2b/Wt3+LEQ515E CL2G0oexeQ6JfsKcA8s4A+PDu4fhsDFS4zPJE5ag0/66rX5Tupu6kY9ikopeDgzqg/UotLDKMNV/ WPmfWtxCjswLG04ud5/RzgHvo0/3dBnwrmLAAwqhvzbrCLPIWhumNFBxhbj7g6RiT05eypuf1fwQ 44OQCHPg5AR4K9dcbuwEnkV1G4/M1p2tifUVJPeroExXjuRUg5hYx1IUQdG01LcvSvX9i7PQgBN6 dRGSv5hrWIEzlsz+Q3BvbijI1BROPsOIX9uj5zvfaiVDDQVYoOQ2RRnqCbfanDBer2xi4lRMM10F lU3okoymNXx1b6Flj6ntnyiZEq7A+2g+0hubtmq3rL0FzthtfHiaW5jHPncm5PTFxD+p0Ck/l8kz xWJS1eQnrgYB9Pjt0OvyFia0c27u8z1O7x6dep+Q2fPoWtht+wGgyPFZe4ofZpcaL3/9nyQmz2SP 1LXo62V+kynGSE4i2r0SbtgN/afoucOn7VC9y+qp4yXX+PGtnEeKTJnPVuWzmcTQWUHIiE7vBRer 6j9lqetfpdGIZf4ZdjlpVj/++NNz4NHT2lKmw1ckUhaa9KCrij8IpgC7JV368hd8l6iERyZ2svxZ Lcy/DylD2z8uPEZZ5wqXJxdywK3i6E/TxKD7oA1uMhFeZcTWi/o4PPGAe4S5UGbEy9E/+tefcWWi afzxKTdpMKP0ziYjs5Nu6cRREyGjFl6bxAy1fU/7JZo6UGklii8pWCLSPc61mN6kciEN1ZlcTOec Pc90kSkV7lKMQP3sSw8Yf7e4deDaEbM4vvQFxS2ylew3RRkntplytNxvMEDort6ciNWLa6x9HTbW RtnPlT8Ch0cqdwx0RPCIstQyCiAO/hI610VoNKlE8/XsOwBHcke3ISYElVeCzPJ1w5HRkLV5AHys iMsS1r4YV9mZJuG+Y5FDe+92CZwDfTmC6QKvKlI82lnhYfUlJPRe7o4qiwO3t6/lt35GTdxJAJoR rLxW08OwXhU8TlqW0p8mKdkPGfjftM+OsmHGqIzuy2G+W0WQvAE9y9JXtfqy77xM4KouCrwop7zt ybYYN0ttPOqJQ7W51O3GOPhAThEkdXVbnk5vZxokT3jIXm1vA/75ZYmf+o6hKZSxlMRUExLuuB4N 1tPs9M4W0PA38/fPKtk+4KQ/R/q9mf+C12ucE9HH9UTtCUtCytxnai5kauJvJwDpJQBvrnQJmlvO 74eJQjO9XKSqGnoTXWLqAPzFI9pZ7XE9cL2F3P5y8Znlh5+AlL27a/qPj5TrMF14XnH/lRpEbUNL 9bMjWrCEnDQd32DbT5GLgX9scITJhSSIV5u702GFRDcIzy7CIh3il3Q2T0ln9ex3REHhyOvMDEQv j1MisnhVLxxv6Joqm07mlind8BQr0GC3Q63NX9k8eJligIf2qMcl2HkMqt0qdUVoIY/3SQ2Q8daa igFjS2VKKKoBxKs8wsJws0/BSVCC7180+DHnV7/0lFL21c68HtbOJClCOdk8btZ5vOIFM9cooVP9 ET+GDlkXFOXV/L4MLZYrWcVTtUpntmY1LmlcDTRO9xXtzYGPssHusJSzopK6L2Zk4BBAz6AcQwtA kd7jEfTK4bhfZiQvR5dhPLqBkdQtObyAUlWYQV1697OO8EDhb443h/JuGb4fbV2QlWz+BKo/Saah 51n4JLIqu6JIJvKOYHbbEm/cf1gGmpjD/VMxQOr4qT+SuHlsMEvV7fxSVUDGqnlqWsdLFyi7pXEc y/83hJjY0AK+PQarr/in35XJA7S6F7BjJ3iBruvbA4tNgwPwbWXuxjRZlcXklXO2eoIWU3ZVpfQA bq6dhAnEshB1dDjCifqxoXoUFGqU/4Vd2qQIMYErwdGPLHUgXLckS4Bg40U9exQQBDtcegF/Tzwr Ocphd564ymGuKBLC639a6tr33C3ZN8wBsydySW+86W3iPoMx9rA7G5DtnnQgGFzIMgoEli1/SvU0 +IjKB7zUsDqEXN3jo1C8nq1JvQ2EuxzFMSdjKQP+lcFoqxIvYc6571ae75ziSwR8JlAoGoTZm0/7 a/rYzxGiDU/JBDqTJ4eZDl/vBlTKlMltoJOkXkARGquFEzNrTcPEew6XSNBpKaDL81WgFyASSUzk 13rtBwCifJcUs3yB+QKjZUB8SXTYcR89pm30R6bgFAmyXA5LUaJwPdLBNgCI33iPbVKosg9Xqbe+ Pk0IW1ke//0Bz7lQvtEd4iHVAyubvV2sthdXrJNiJnkRoZAUGGxDwqiZ2LBqZpA4HZ/lshd4gYQd OJFncfzCG/ultyvGDqJXUZuZ4gHqkJjPqVhnzq9joGU0EE7qBSB9brRTDM9rM4oYWB12GM1tXbas T/jkgZMmm7WnHUbb2/DwsyTJm/ng8rSfOoPp9O/EFM6Xv14ZyeyXDBf9dg+AKWlGvMkCeLSkWH+Y +Ni/svPfg0uVxhE68ubWXGJ+Lgvtx8MUJCasJAlNm8UFXD9Da47ZQTkXOqoNGS7zZx+RhvwzHUkk WlZj8EjNkT+fG86w26qJAUEPOXE0ZAzTWBIUf7FAfvVPgPOcdxwwGKfs4UodkLwLJndlZ/CuMNSu IAmiJ6r6CZpi9YRA80aXzPszSZevSkoyC9sFqJ0bMWqoh+rzea4Qdy0rGS+uQRjvIpA57uIMqSRL T//BzKwnsKn7BrR8ZCS/Oqp6A36XWXJaApOiPeRU20WpaSxJAQ1wRDQuB1sT3Nfk3XxXdKGkYbB1 TCkKZPLRmMh2fp+ERN91uD9kQQM3x2mJE2VSJXef+/VPCR/mphnwZdZCS9Y/5452uNPjBnJv8RBL vFkaxC6CFiHjl+c2nlUOXe3zXwaSWE56rXJ16z7jg/JlCHjrh2Nf/zLR8cv8l//rRbQIiuDefM2l njmCSTdmLvZaMjl4hDAYHkWWYNflV4ylX2bjJsQB4cvFcs8ko9gEXVCBdNF4xvkeABCeQBB2Q0/w cjspsNCBKtgCAiEF1ppPL8xrDoKUrgdSG3/oLl2Slr3yGo51y6KCfhLMEs+DNjRInnKznPh6upwK ldOjnCgfmmMfiYJgqnoQsQZua6Tq3Ngcso77JnMucvgik9d6zUshF9MnkRK0fqQgLb5AHi3bmvEF KDhGY3FdQUyWAkU5dgUyiM587j7/DTI1FOaydyP3+y6pyGyN90m2Gl36qpe1tG49Hyit+tFTLsem f3yHyO5CdCY7uExBJHX3sWdw9Imt7p86De/F0IYzklhOWUg9Im8GhdVWz4JvEY1czuKYR162kylz ZamclvSivafq57pucTgMuWuGULV8mPuNuNK/gc8aO2eUufh20syURlVYm3F73MMQavw1CQFd/E3f hPlMl/gdk9B+bNQz/YGwdxk3cTibcbmbhVNHGZPOTV5nIe9GU4RaY8fxuJTTgnLxoFdp+DqMYFhN MnkMIptxI5YQ6qHxqyrNXVGJXPeF00GOOkHSV3nohQsXSaxpPbjSidJOBeDXfMHc/t8/xxL3nd36 r+C2ihP9E23b5MoGKXKUYSyJyFE9pTs7i/pAGHNNdtcw09y9h20VjE1DGY7sqqYvFNysWk6/OWMh sHstRQGNN0wFwjOfqqmM5vJKgHfMVctfX9IFmdHXQNeNR+OZyNL6KFuMx2j9nDjI3Jn25TYGLg20 MJZWiuzpQer1tzruZfsdNeum+DG+SqKkKC6qAAPCZ1qjnTyafVM8V8MkE9YfDo70gxthsJ2zXxoN TMRYfFoSl95m71fRqPjiD4ikstRVXgSRXT64sdayp2af5oe1mKXXy4VCctkJ8V2jIjCVJTOdJgMn qNiTBL5ZuiGsPtR6HFkchSPbNC/iJT/xSRXOzbgqC6cxAKPCHMQ10ziePuYlw9B6i8e6mM2wG4co x5P6F5rHekI70O0RLwNqfBciRXWek2RgF338W/kcdgArbGMI7q6wtOue73n/ZFAWto5gK+89BPUZ +Ry0vRfJcIXmbd5R9BQrrZXnuY/JDZbFAjL1clVt+0/+u/AN/kruC7L8APi8VWtpiNeqIh35E3jm X9yWli2An7b5+WgJw46nVMqOP7NnCRu4ntVpg6RwfJ+PZGZzNUcDwXfyP8M/mM8j1ZWYK/fSYAmj 7AB0CgUnAjgSXaZnrvbLDEkQ2aOJBsqWwtdEb/+FUYM0OuHbGGfxvLrhsbjZmnN/c6Td0WVy5V7/ aKqknBEqFuSr4aXLBga/fiz+cg7+RQDSyKeMHfVeJpPN8Gcoovp46gqwAx3LPytANY7ZlobfEhGR RKTsk01u+Z+vjsSzUO8JLSVhFktJPf8ptopraLOPTOnm8sEuKYIZOX5tQAgZy5jo/xy0vp6HWcyU W7xip4PfhXLLws367w60pRrjJbuGx40jRIwHEKaNiXAeKhPjEsxq/6iua+n8YyQ+pOowlfZAZqBe PQgXqbVieDgVUPoOWowoqpQre0uyXzi7V/51A1cjyYIqRBM2Yijnknc38uZa4C08Y7LKYqlXJU/Y lS51n2qaGC/mKr2K1kHeNtckMD6MJMFR4kNzxm3cyxU8FX5Ylk1hQcw1HAFEUX9KPuDiJHFLkqPI 8R40bJewdRysHREBtMpvnG+HBZh1PHefaB64k/6PJBweugcRxpODZpxZWPC4mXBTEqfimas7BSLl GvdRAxLTeGh4lp8dG4RmXKbnbYEJsIyAHJN8AKt6f9PJGidzAKdEkiwI41ZoKXp5TS4lxBE3cCTO P8/HunDRMhPcffPAtZNJHbOP1xHfdwvCtJy57BOIEZKOAJdUYiipkpbgu0f59PJv//ViIaq/AXsL Pv2yUk7BUIwtssC3Ib916YKSCqCn2rlsSOOhju+Eewk+3RTSFlNFSPky01ElqqL9riHtlgNxS4E5 TYLoDn0QPT7Gf5Y/7erkg4/IiCIECzWxo+vqLbQ9l+RFAyfSFLmMdt+ppyEmDHZPHIC+e9btAw4u HOdWD58bwyVmD/g6EEaSm24msdPg68QoCjPXEu6xfHtw5vxbfHUdJ/GN3+i5dOsElO1gvY2QATmX QiiMO2m+6seqiD+k4FEkr46Q4sVU4pitXeOpPMEC22QRC+5wSSzsW0lt+JDn+SDvXL3NbGAPxuKi t9X22p+zT5GCFtvWm7OcXbArQeVqVfR53jaBxXb3XdE0vzKNzh504erCZJpt7v00aaoPIYyqoZBI eNWeOxvBlDvnRXLzEADQlUg2SMI04v+lfBlalHRtuavyy6qJH9DKa1/fDsFbXV+45urYlqLlnI4b FV8oiF9mILBcUH0NzInv2z7diPFWP1GhLXpmj1LvTPnY6VbKX7wRzxrgLPIVOAxBIwcK5s6w0rIA cW5iNbxur2KMSbM/r2abctFOAxJdj14pv8ibQujTq99V015hZ+5E32IKlpVeroMEdg0GCvwHFW/n c17MSn8Vw1/hPWAz2lu4F1tTxZxHcPt/ny3rev2MC1TBMYl8bt1MTBaU7jQIQB25R46q2VgSzsIZ 6Ggwq5ViJ7nvydtcVsqdhs1I3Xai6fxMpo1UckiVJ0SoEGe9sIZLBkuxgdXp50RuTjPdrazIbDvq gPX3mHu3eLvr5J5EYGLXeCspuPZPbP/TaoNJccHn6D6LgJYzWRaY+bdat+nWSck2VbyqGQO1VABT UIgVuSARsCOMDUpr6I4zoSuwaxOUkbn44nEtCoiMqW5bMSXzBeIinla2urAu0N+XiH65cr2QOdt6 FgvGVazAMpxBSCh3ohQyh41GLgskfkHPKlZvT6o+wg3wtdT01yn98ru0AwJatfiUjP1FczZq8TEI Bj7jiXOeqs+a5NfPabBWbp0eCZfKuagkW8obCkt4HfqA9sHBfkSeMWpj7z1VsLIO+DP4SmwgM00p ZearmTPBGXReBBnd4Om5uYNqgUqlrW0pGGc7ZAjDYioXu5eU0r/gbSqVD5M5FY8V6OpwA4Cq1Kay l91hRso7nSlZ47UzHf2W9HpEvfy0RhrUCxEJop1OXB2G39zWDxCHOCR3ap5QYe2dLr0J8+6wk7TF IkJuFoIPameJ1CY5j3m2NBcwoeWnh+vbeKW7laqkjxWht8UXK7amJThOvXGfd9L0Vq6oU3KMqplY n9dv1Y0vE2mde+rEoa/UYuRX1ajGojLqnwgPGF7oWSelZv9HBjLobNt2OOqpQFyV3wsTuhXbCyQ+ +vheqG4qE25bOKbHqE3adw56l1bpbwCMRlqsSZMY/DE+oHqPBxYnoFcwdJUvGPeUSWgq5CGFCs1R HlncyrttGZoJR2+zxjhm4xyf0TCpPBOVMbcRSw4Is6OBb9LIC4bpJU+l0RKduguPSQdUYESE8Khr nHF3zGOFKeBsvc+88Pq+sBCh+i4s6MkxgwwgciyC3CbUmgDtMKtfeaMv73YXWnHxqtzALSzNHeQi OJUswWK18hQuBfb7kjoTCLShbgC3ddjA/78Im9XcQ6eFs/g5V3kfsV3G7byktGiIe/UbPSUols7G MJajiljMBPYAbaXgAA3XzHtYtUbGJgYTq4bocdAR1KmYNLnGhkQUUzu5JofvBlABTWx/MADKgHV2 yUJfCWnSCJ65l3ULNyVmDlAbADH8sTbunkejug+Oj8G3att7cQYW1gWsd8/jzLt9JmT5f8bt6/9U U39Kg8/0233mk8mh+bHnj4jk9xVDKivRHIqnn58rcW8spQChezVOKOEpcZrjtF57PTsee24/08if KE8QflkbwbSleY24wJSW4FMkAfOKuoqySI3EVRCKg+9Lkx2/jKyUhprHqsX9TZqBYjCu1f/hege6 4ie3IscmnLGyGGznpYeo6Vc6XsTOauLsN3q6JNC1pPxvr1wVczqghmJtXihBbYNGszbHsD8BWIP0 qZs+/SVNsPoR2gdXm+ouwHr6XHN4v2ra2gHMZvtRisUt/9RYUzjTZYtp2C17RlNefHnwj3gFHmN2 KHPgZuxsiV1Jktt8fT6RUi7QF5MqcDKDy0TS/DAipe5AX4KnThTnuy7UnMdTi+stzQre77vlVjyr OYviqVumaomxQfda2BAKGIg3AkGorMm0vxUFLQhOZ/xxnLFFGEHlwQU0uF2ij6dZH6d1OusFYu++ Eha0HALP7zbcYjV6mLX4zpyedhqcQDikeer5lgKxCEuN1K+WbOtzjRMj8JGLgno33g/3OBYrHVOA rr+hwTaRT7i7j4Ff7PreUULawMJ4NPsi1bGGHnnLmopKh0l9sQIzbo/GStQaX6WQi+GSW8WVUeu2 ZcyrT+GIvhbNw8cXm4D71WW+LloS6124j8X6ErxrcUZu60atqXn8KROLLxF1kc6iUPQhvcsAWxHI 9ZuadFhYYgxZP8m1qe+iDOJzPnS15ceRpk8V5oEGaNckD90PtkiAv8Vk5u0bGhBPZBURMcdABCHl FqZ/Zg2BHetSZRKIjF+S8P3ClbLMs4TaEc7ixFIefv0IIDGL74GlECmbiW2daHXD/MCKadw0nBxl lSoFrs3h03NcTKe2jWpwETfw67ZF7RnY8i53rmGOqJmAN1a3V1kzoo4HrEpn6tVfb+mrf2BJSRgf oa5g/N4ck6pvzigzJpervH82rPasOEOTZGClPlRdylJ2ipSzTnlBzWoaAAicEWBDvKUQQDdck7XX OPSV9iZZDHI0G2WKRG+98hcIqZ+owke148d27rhUW5Y6QineGaeyuTRFrJDmPT9X7auSHfQy1scs fSB7w7bzg54ZwWVgZuTtYXfkgp7l5A9FNtOlneDyddf0myu3mng+O2Su2u0D0H2FW3vAqdFqgkGL f+ezhU0Pi2KDSjbyVnELRjeNp6BeCU/eOhmuO83Jg5f4F9Y1ei23YHOHq9/o+M9JR6PlIX313hIb swAgixgnkKDtxa+LmsGoFvK7Bq9cdVBMqxxWmLG3a1vogfQEvbxM+iNsNWc8RLKKXhstrb+uynlf NgSDvF9S3A8VpX0nq60JiOaiBZSpjJK37ZnKYCXz0/AaOy8Vz3UIMAUia3B3Fksk2jkovlPdFrnT sv4ajXLVgfJQSo8zkrVWZ2q/Ugp2lY5NzJMjFrHcZbW47Vj+PJAB7R6MTp9CG8oGxz/iDw/SXAr5 vtG7dgBb5zg9JWWxnlhMIfca2FCmoQimYeNTmlSdD6D57MXMVqeH9cAgZphp0frbC1ZrraRRScb4 88NJbOsEMMWyd0gJyrQPyPgoqFDCpqLTGxgo5z4RvsUjwRwBEN2G8yXgU6MOh03TXq8gKMI57Fj4 sxIx5qeWmPhEW5830fRQq85PGX33zS/yjvGJgHDZxzJzZroWfYsO3GbRm5FYFgyfwNQ1I7ylMBSO fzNQoNRPaaYGj+49/qFywyBlulZaxpkf78LgleN8PKXZgLVY4nOC+n5TVklUvQcm1rU8dAhICVnQ ugFLlQcug8slgurC4Fe/BV084YF0K8YbZGEHibHsZi0T4rko0O/Lmk7bvSPY+GJcoWYPYARMmjDb 9gZElFyNYpNNra2I81CNqtwgejJOon5Jeh9vWVjOsS+LVt2BVg6dDKYpwxUPI2DFQM4RWYYPZxMT bSnU+tUvJ6DX24120XIn36+t1+GiAQkLrQV99nALP3BIxrb8EZsvvUOKwSVEkekOudJsIJenfBr+ qLgtLnF7aNe5/9kL1BZsSxbr4Sy9+FoK2L8gUlk4oAcUEffteDCx5f6a2A8Pq4DTpq0wwoEA7QI6 +U+OeExFNt7I2q1MQ3f3OqdQ75bJfuPtemg2QXhQahvHappl0DoAerOmv6DJ9MnI/D6ObukYKTkJ IcK/h7hUkI3i/dJaRE5fYpvJXKSyiqzMgDJzqWBXMa67gMNlJIWeNCQ9Iqux11aagq0BO5qU8cXW Rp+x44IChKDQf6i/CnsnC1+mCoaOQkUgWAlVfj2f7Jdeh2dicLXXTOGeK4FHsyeniMimGpBcices 4aKdEDt4WZpiKHBzihdfUhwNFkQNK/fN28UiSfl7a4DqRmx4/DPPrQnvtgkUQqRYW4FGsSX1aGv7 VlZ5y1kOTwWuU76FyNjzRzerT0fZi0Odj8sIGmYP2+JeB4ZErc2fq6tNXdsBkgzIzmb5BO/c3v8r C60Ld8D30/z+e27SiI62CGIUnXPXnZE//Z45lhMIQoBcEFIPyCFG2UvVtbnInoUwcI1Av6edSjss Sl2tgjU5L9z4VSqzhUySFywS8XqrqJRda7J4yGxayV3ME+D/Anxc/HcSfqgmnjQj3dHqZderTo8x /X+tIBpr/BjC8Qk5fOQriE8cdBLIHquD+Dw2Vmxr83g19WiaYi/yL8aznx7etdQ8Ye/uRHRsCqfU QNkb04I2dRQBVRDCcxIlfnD9rY4MC1j78bK+tGfdCaFHuBCBDNYaUGBbWgdgyFZp9z6CuWD1LR4y L/zdiWYVv5tzD7R3go9hTbieuyvkb6YEYrF7hQETqQENvePntrhVAXlTnt/yANbK4JLQinXcUhS/ oKlHi2l2MDUAjta4v9ygxiAjWgRh+FoGpeSoWQDGBF6zA3mYLUBjnYWbiu6ZYZzXT8gbShi4PGVT 3HXTFZUp9jW5peiBCOZhSlnZtG8Xztc5Qs3YItaXHpdYPcAhJZQlmofOV1KQFpay+XOMSivJhuLj usws8avalVtUGaEG+f76Ky4vpxrTU10/CLyOjo1DGB4ezuOcRRdJr1NlNNwP6DezpsitzvOmIZSZ V79x9HK8W3Bp17bXjiluLNbEul4RbNI1zG20+HZxKzm0cPXrmsQNVkPTV9zK9kkiMfZVoXvgtDQb 27zO3tgmFxZvkOYLBsEU+/Cb/A/TgXdk/0N3Mqk3SX5olGOjrZXKLbNMDgrutVQl1oUG/61Y8x86 XwOci7kJee5PMYFLxJqfNBOov3cNvAXNuWCRoQ49O/+nhDu9GHKZ+qKA5y70A8cygybzm6bdGixf xcyVMu8AYHsL2XaY5iRFh/Lfv7ojQIMwOAezexuZKDABXDaOPhmrzQGIR16IOXdxAnMzf0BoYFuJ 70YAy29hfXvEaw/E3dXSmX6hLfX5M9XWbH7KvujhMdjhxclRtNL31Ygbnuz2VfEjvx2XwQgrgwaR QgdYwCQXCoiHxfOGsEfhNs4OlFEiOVKF9uptJ9QSVHeGgfNP2RWceVTs+hen9cKaHptHF3nRPECl J/o9z3mV29QUIVdlxXq5pBjgNdLo0BN/ogd+iGLCS8t3jRIcPLQ67WSF67+GWjbNKLEgmlbECD0r +HGecJo2hWpg3CZFBnC0GCtSw3H1XRByKNcZbQ1A9zS2tQLGt/P0FcXHAIDLMuh1o9Wn9LeF8kwv SnEMS1l+v1OEcTGd4uw7rfxPh5XBINrx8HnecA364ilt7qXmWigGZmaC2o4IUOnevpS8g/GKjUv8 fZrleMPOldMCvPb3cZe3TawYiURXKUyiUgzoefde120oGwfujZb/Wmz10o+Sf1MHvun9ejvOFsiz +mnFMSm7lQTU/te9cf+ita+wN2Oguk0yOS4/iFgKrl6bpfU7w3bB93BLwIXOthz7aqRH/5xg/RrM auCcR9uYD0Ybpd675b9g9VuIIN/P89v7DKpCcZUtD+64K7xZnkF1BOsvyOnLBniivVq1fItTryAa oTSdGKH5hCBL8+PWE5FBGZEcT9kQQRMjdlNRPXI2EDSQpmadzS9OCgXFrnrhPHcjNrdSKD0kZmmH 0NmHRlosZxFBhhndH1G9Jt0mCxGqdT+VgkkfQjyB+bxzsSLcVbn+LsJa+7Mzvn8lhurMaoKP8NKJ bLC7H2nup8Ig1hfP76XlbvlQeOEFbqkx9tIyB5U6cAfdS3Ss+UEsVjp2WTLaks1+gaRLnrIaOtDD hOE05QBHPqWwUQsMyf6FMFsapvdRgQppVAbuCA1gLMSsgZtAtjW3/2QulffGpu8TS3gHbsb7yk10 eZRzF3jE9TVot9QfW7HQyrA+JdnG1kiYE5VrdTrFZxgT6MthDz1qDt/JqUk7OJuj00SSpL02ivzJ eCKWEBXfvozujmwHsh1Yok7I05aEYxWN/gTN8YyyNb2qtARU+rnR2mnWJBMyUBIdsnGaNxzK3D9B HT5Wop6B1Yymc070kAa8n82TwA9jIbC7pcjCu6Sme3YGXzjzNGj8QmYG1gasOZNFOcE7d4G8amQ1 E3k7g4aVPru1SlS3Hf+t6+dc/JWLPlP9cPLQBf+IjNXIT4u0qgpNyVD6S017vG62Ihz4P9x/c71W cFm43W8+78bN7DcX+jBYt9dfLpCHxAn8H3SfWSiiGnp500zfvHKk/hRGatn/S0Jj0Yf9AnBarH8Z phCA1crq4tLSiB8t6TI5+zCrfF3hyr7DIo/KGdtcZeXQJgU6vLeC6gXUsNsuiR8mpKBjfnwNzt7C FaZVr4NDEb9j5mgSeNkbVtui3yOMbb/Qlf0CnOTHPZpLALB6TK3sb0mj1rdYnhwn9YBMRcNcfJD8 62LBRIMPmYakMaqdLJmGk3lH4UEH2MDjkgkcQvlhwa/oXx5IhLfPZiyd8eI3UzZCbytUCPj3m5GS cDdieGaIAA5GiVw31a0NzGAOOgHbHSb6ynWbnTja0YUFSsxUsywFmFdbj09CTwgI5Lg9GYJ6NuD+ jYXpUmNEoHUKZ5TAf6vRv1SnA+Hh3gNiFqdQNm0VhzeDfroXTnBxnHZZ7hMI5SOoI4L6BQxpgm2s NH/CBBpW8f3iRaFcS52+spiHr/U48JrE0wSqFqAqTTxDmQBSczMB9/GQVV0WWSZ6e2oszDJBq17G vfXkxtiPdHpgDsSv/EC590m0A/DiL+3AMJOTH3VFgiVi9NQO8qQo3lD5xhJwujP6/An6IVaPoSOn fa3j0Y77Iou75uMt+GYBf/5kQ8XOJDkWnLgFy2CJBX8rqAdvjk5w2GunA207KX37fosotGhojjMf +ifS6iUwvRVY6GkQD8ne6gw7GaCNB6he7jsViIxhN6S3eZgzk6rKvi3xKCNaPD6zLAHWmujAoeUT zXq3sEDz2WgIxfeT47nlxuKGdTALuvLnB674wYRxmZbVI0jY8CrUvCkrhKPyFeuoBejDjB+dVr8q wYZLLrHicZMijaHCrYc6qgPV6ezNy/Cw9lEgIhs0Ua78vnujVIl5uH7aKTcS4NY9zMYH9xANmL6K aLcD8hDHACNmQMbf2s5cTvt/dOEFDFjNXQEkfkbVyzrR/7fVvlRliV7B/LKnMF4x1nime2tuZebS a+jjuWBHPLbgX1Zdgrc4VA6mv/ajwgOL7RbKdqmzTgrhDqyLuvaaZ4gb7+1kh9IZxeogtKy7HxuE jRXZRnY5Jf7AkNHkikuofH6Kah7un7CENTeNVrQDrTN4lhYcaQOnxhHiYLmcZZyxfm0tV3w/H0Hl +XtR/lzIjfiUiF9VunAODVtc6BkVVQewQ9EZFX26ZWOnwWO9cKeS3D8IDW5CB7ILSujQWGw/01SY newDEYFNUOe3jgoUKpkeaCZOqnujgwj39QL3fvnb5WqAJvbh2HG5LRjuZNyix7hPgzh1E8nMw+Od swddwbZzai/bmiUAC5SAyxpv0IA5tGjD0ROGHeJQuXG5hxLyWRHxaa//xRGv2lkB7n7jp2OvBd40 OyTcypsqmIGE7k24zxprJVzoTrCDiWSYNjwP0J9aKi3ryRy7CqldWKb+GSB8i1Kc9WmNMFcQhJJf 3iLaHVus7W+i/fuOkUR38WsNPa8AOpc7FmJX7x0n14uCAJS9lXgpOSwEVn0zPN8v/S8KR9nsyYJJ imwrE8IuZk14HF29RjOTQyMkZIzGsVGgnZNf6bzze02Lk9xDfnCweaNeI3foXbUId7UEWRNAhkar CecmA9WWMDC+Q5B5waQPHN3OIV7gNu535HJ6HVe9fLicn1FDFy9ALpRGJ1Jq3JaTJ71UbXVY/xDD kVzWtsxGr9IMh64BK58Jy6X9sy/ccX0YCzSQpi/XAwJd+GZ1nKPX/vN7pWa8iRegT4EisPl77YZF Nx/pax+HE/pzCuqi7rpOxLchv+4MzcGOCCUdOmIX/JAE3mdLUxj2liY4Jc6eGZqWPjVY5jhdke79 o4zt+5dhHR27tBKGnA7TNdJcVvUi5mS+26dyr4VzKTsI9r/cZC7mdfgLv5+evwpzbSHY9rF1zBnc 3GaP+Dr6Rxrh31xntpYqbX6u7MohChlFH6YOTfQ3ycWEcxU3X7+91eqEHm8fWG8aH3WCa1wZxig1 sjKMq5p2chQOI++v7lR0YTMELpITREI+mLr9AuMNz5kGf0fre6kYY4LrIn9lyDpPAp93lyJQ2FuI Wy2QlhGcYUD1jogZCrjDpCBhcuWYdY8T9HPaeyhX3cGvDlD4lddWxjQ4V1YREDf8mk3Sdh7aqg0a x/B/RQfY+JFlZII+SU5iWuoYIa3sFX8S7bu8btQC5keSvSHqeVUEv1SYLi4RAFPPVMG2dW6v079l fPM+9EH4IvkH2D1E33xuH1Un4O4zUUt10RBw5enwzUKH3QF0GwmXk3TP20Z0y7xwEWuv+3KpRN+p 6oalPMEdN+trf3XDQkn6lfHqpUlCBvxQlbBdq0/yE14ck8X3Ye4oNfC8csfapL423oPWY5E9jVzY mK7gCn0xQuL511zU2z3fgD3boctClGQCpuWBenK1PdM3H3xkxMwwmre7A1dyutbJLi6zqKkhAJ34 W/GG/ERuAMktcopj3bk6sAyqzphQ/HHFe3WxbWLUtFNMxR6Xo7Hebd0LCTyhjbYbMmv5P1N8S2fL X1Du+jaCB3ThAbo8+nx2qwL+lsZLzr77TSQsYyzoKijFAF+b4+vf+mFUMFsOZgxCfDScfDt2VSnA tHynhG1rhmpp6Be21oYd59hYGXmJ1Ft1INHfdWe63R+4jb19CCojGUNH7PB6FvFIMQjlFDz+Q2wm TncRvJIIPmbGbUieM+DCuFJP6+zok+xZW+M+CaL3fzWhz6QFwbLbzWxWdbH5klrdtumuhG8U9GEm J4THZNwd8/YH7h+g9vw708DXCaPPNr38WHuGNxg9OgUyCE651EhYCOlSLJtJQAyg6l0FTNdcRtaI eNdS2jJI1fkfbOJNKCO0VWwuWFpH+zQUyC3kBhDLXUIj6/am45HU/awDol0e6TtXoZNA8qsyjVMX zKTv6/VnklKoNvopQ4U/lkcyXZxJvzAh0PI6BlxANAruoDQIPDglTIgBg+HSQkr1mJtpipi0d0Hu vbU4BVft7ZjbBQiNpHCuYvC4ocQ+P5LIKefEXC+XFjACViK1wSp9wPYshFtdB8K6bxwgD22X9fwg mLFpzsOgqu6c9EYD2Scymo5AxyWYbdARhrpRyWUDxwiOWPCawpAsrWU5icpDfdBPo+oWuxTbEPge ZNjpK0H8xTTkB9H1Wz0jiEQxWkCv6KJA7ZbeeWheCOLeVR5JRswImMbLsFXnqjZ36lXvxLWz3nwH 1kpfGHcy/ItDrlNvdxxKzXFSQOr0GDhSvx4DOP9jvRHa6q1IouomR99dGFrWYxrq2HGR4oTfvZYa CUfziyYuxBnLA0NC/j5t8g/W+z9IRvd1lmimgzkxkDZagGupcbFFqiTbpiXK4lZti8hpHc2Q8VCz /32u+yVjZTpl/2fN6e/PGy1/mrgJxV8MVN41mA4wGsPKhPQyGvSM39IYlJIYbV2nboZeTSwn3Ak2 MNpw9XoKSWgVJjXp7ln8uu2y1EpClDskO+IEhJpyN7jhIIc9ep2NPCCnMet7cQREsydB6oIZtUv5 vqg65bcySrSnYskKTARn3p8sgaXmH288RDfhicrFZEfD9EGb6AvIB4Z3k9DDIfVVTlFa0LMSRibX sEea08ChXXMypo08LzKJJcnSzUhaxgmrduKkDQ02Gukb5/JBVhzx8r4Zl4BrARxzWKWudkF6iB6Y EFHovl6hRvz/orIAlzr85GY3P0srpafNgN2u5waz5K+E6/bAHPLOFNv+cr1bnLnjAb+56gZy3NkX Iuc6nxnvN+3AOc8kdWUY4zGThfFVkXBCU5+EayCQKxOwpEnlXwQZBktRWuxwi/p8reYZplwNoYJ2 D837iUBhovpP4lOq5hDbf6eBI/MzRErSjKVK3n8oaOVq8Qgh2KZ9H5dfwqw4xT439/d8HUe4D6PB yrBuOnYtIu0VAjfrmSFHwAb0g0RSBsGUxmR/kfAf5jx66xAEVDgD+hlf+sJ0Ra7eV/qbQzgW3GPY gACK8aNaUfNMU4h9hCxuamkoweeCpmOF9yeQuVWDY5XDsu/w6y/dN1IZoUZDPE+oGzJB3eBygRXh umMf3bQMS1sM6/PHN97qQVxhmTGNq5Hpm/t4mfziEBJgi6jn8VM1AA7N9ttVwhXy+5gpD7+JGnJX 9rGKHwaR4frHtNu7D44+FFjxKQLoPwR58GZHO4Rcb/f76gNg6j84xF087pr+3QTOiemLF3lNKjSJ ibV8h1pK6iHRBwMOXzrRWnxEbVIRcb/BIvyEsYJI1Kfrddi5Q5GkUTxGeMQcbNq+NUuo7pMO+uh2 QIEGJ+YR3dFcDxpeGMMyuvcuPwfTDlurhQjFp+yRG7pUvTOAGoBdETwk9cHG5zEI1xA14PDQKrjl qQeXYseBVsqZmyFo07f8473AVMCGhEdam5j1vien2sfuOUH+Lts5ynWMyLl0OA7uT5fGMXW408pi wE+drdgr6eU+66eXTpp9E3j+S7u6ZC9Q/KYHTlURo+IOCCPVnRgJFO+GUYJ6QXhwfupLHqTWaAR1 yIhA8wBT65V1wqo4jnh65cD4HgEwP1Hf88u7A6UBBizLRV+xJhphGU8bVsmWl8M3gRQV3OTLPqe+ TOxPzixl158Ehsq+Kl00CqUzXIVeypghq+4D3/PAdsIK+MuF2lktS7q5rWfkruwjtLV5ITCy2CHJ wl6antY/9Vd5MiPSagU3iOwt8eTFtd+kXDC51zRByAXmFP8su8wyUQYnBj+2vBo+DQSpvXZjISNY lapQ6+79mbx3REszEKtmaSba9Zx6K/OLjLRtfTcOXG8Vz8VPRC/+HIwvOer4M7KIxqHoRJ8J7uVW ZU2aXDLJvf5h6oEq30MNsuWW2PSNfO0CENv8VFWHA4P081wV72kQ60Y+am8AkthqICILs5G1eIee I9GosoHOjJuFznTAUKUHd3HfPfFgTPes7NZ8KyDTwQ3rWjR7K8NeQc2eaA9/wRJtVIYMa6aGcL71 tlMLEuo88dkHDima1iMcAaIRov1/DxPZ5BpieVilqnGl8x1wb1+TCMaKWyIsuTADuq1nTbZqCucV IIe5fonbyStrxo185cTZhN0K6vgwNOGvjoytJR/Dl1Lm6G3OIjEqalGxysaJ45PvZQyLkWSjdHWj oxZ0QPytUy/CKSO/rhxOaF+P4O+yWXIml9VrOHpWo5TjNfcIUisgzdzlk+cpEdpRHS1Qn9V4xfYR pKmNgOCVUy2VAgieoIEVJD3Bgak2+fUJiRfd1Przo8aTUE5X8OARXlLI7yxZCSyq/WonaoEdFpAq SvKQj+pAisrkSCGXO/Mh3BCAy3IZBsLxJKplZkyrzpFG6UIt6qNYvsV/L43l81Jf1zHQKxFrfVts kqMxiUwANO1ubtUqY3UNHEcvRcbpHXsy3y7ZynMeMB+J+vIrDRH3PiKc13XZlRB6b5EDIRxSd99N sTMzRPOiLVfd/wUK288yNX3Nh4Lvf+guwA9IITzxvW8iWswIb2CsJEbyAEKp0uyFW7nhMbswk3BC 5YVGV7RRpifvZA90JKZTkQRzDPCKJkf4WQ91R02fwenQ/8gyrSiLEMsCXvlIlX7UJaXM1WZptqsj 20LN/rLZrgp7XfFlk/RzmtmSVnwIbS16TXurcZ+XRUFDNn8W7UYNWZckb8Hbn20aUtU+LM0Ln/R8 lhiQOK0KusdXk1Wn5YvDug/SGrPKMGsE6zB1E3oRWTFkNGoqw5Fjur98Zm3++3rq0ApCzF7Xps6i FahY3Wu6jVsP0CYcy3OSAG8hd/P2OEoy/n5cmW/Xx+JxK/QbqSdtnatnH76UGQDIZdmmoeYJ2Vc6 4I8FT5oFWQTZgZxg4dJp6X6c2IbnU3qFKaGbumxINmglrkY9nuEGs3VbuHM/jcgniJTfM+FV9v2p Enb483iVOC+OsYVjRnCUxqspV64WHx7V59ikWj61sxap9s0XbwpAvryX3fxUkgqacAMq5ZMsy0qt TLi26PT0nEcv9l1eurA8OKoHl0sjAgKLEn6KlntFjPZRU/0XRROKC98NzTlggC/tDB9pi8Gb5m8V uNAxKOpwqjja+BaQJnj21oIeLikzuOu9wyaFEPFzRJKnHvFVmnniKy5qg6o00xtS4FxXJPNOY2jq YwapcvpwaRHDRdnMyN0640zg54sxX/9LOuAv/T9biJoZ7Eg2zqLGDJGCCCikolh50alAaKHFC7Bs 834ySx47aE3ZZMhRIAk/yQGvOe+Znh6Fz74tpFwVVn5wJCA7yT7zLrFZAKM7C09vk8/7gxlNL03Y BjxgUXK53nxvAvgmRzIdbULcvhnz2ybAiwMZadC9RifF2Z8oW0Twt8Bkol4B3SkFCN7CUROYJgCQ v1mm6p+g5RbTCYpu13mvpD6jcuxN2CVfaXoX8CZzVanfpL5glLQ1vKy75AC2mrJcZGvOCpUqEleh OD9jQOagoELQ8ZWgoPF1t3g/EAzDpnv5SAjd8NoeVOPQGmiQTP4rrMsZXA3yYn4ufzGcUL1uyas9 NziLFyzKuWrx96jSQKA0sPbfEA07zJoSIyCQ4x/uP0Dk9sGDwyGc6c2q9E5EbTYyDcnUV2zYzw2x MejhLzJoKERYcrXFOj+/kSTgZVkiPoEfn9Z+nE3QuM99nlJZ7gERF1fpyBSJJCatK/zxJWdROYhj /nyISm3ETCdEam9jWKV1okB2JucJjqqmaJkUSev637TTRVbxcSZw3SbC/TePjIb26Mvc7E2hJg8G b0HmpZz46HBE1E5id9QeF62CebjFutmeqWIi5UUPcRB+tqtR7UwO36GbxmWWDz8K8JtVQuOXxVdV VasnLEVwqxrM+iBUZLKsONWCeA54hccUyv2hCYO5UKC21l9FUe5alJnysKUjCKZZ7nO4pOGFjR+v dqSKzRxEsnzChMAWu/5a8dTG8qadxZ+z+QqJEF6rysBs4x1IKKe4lk1jD19jYde7+oewUpfIqRo/ TWxupARkY/RcYzJ9mSc0FtSs9n9e7+Oy/cHZWy8cy/Nm0OK2MuUB21JzJ4ngVkrTp2Q/K4NmFFvE qfoCc/8VEea+m+tApQ/ch1RqRRnV7ohKwS8VyEEiE4yz8DyRF9CnQa7ANVJDR83tzU77KnAHxfGq 4i5t2v9kj+3BpZXCt71yVJqEGk5cVkHT4GXeCr02XG5tdVhbGU1c+5jHI4PBS+BNyapOp8vSAF/8 QKpPv+shbZlcrC3T9l2S475IVGrzKvlgM61GJnWT2b86Cu9TBO9E540KL7ntYx/iIwqB02WXLb2U Ci5RQ67mUdHtgkgOdOo3TlUYR91SI26VILmvNsaKmJeaaf4pHZhgfCq6RMWSFF7pibK8tgGJswx3 KuiBdSLQY4Mt0lwwojvabiojcpFZtsBaaiqfIkKr48RBbXfV7eFKQo3gl5YEQiECpCbdbshK68ol eaOP4kE3T80xtaZT2DlYfYdA65Z6zHh1nutjUy/6d2jJ5IU7rRfcOtmPPoWlyqer+oq3xcmTl9mI T2QxiIxGF1LqNipEgM0F0JhizmwNHIwVDOrChPXsjKPcQofIwCBTVweavDsrkq5aIPHd3cxPlBH8 nB2glbomSLfFyqgoSLYP/tyZZaGWgdWEZobGK+7dDxCsH723oaKhDZXwKm9R2jzdCr5GViSCIUoP DbFY6wDzzCOlJZkx1ga4vf8MGjDkZIJobWkrnirodcjU7eB0EHHO5p8KG+2hxKFN/5ZFbr5sBJGY QsJHlx3mDVY+4yq6IXl3xuPafQetUjbJZrMeRp7kksVWONE61+oYgxkm4vr0oWKgCdW4ty8oQF6v JCWJ45eRo51tgM7nWoqboiIwxHCpvtlmCK5/+/En1qMktuXSg80tE6hse1ywBdjB92BT3JUPP3KC 6Dj5QIjWslzOqqxdvvtFHZS6KcqxhrpJn1vMd2pLS9IGMbSdxmFqj+Ne2Cj+m1rTfoLceaScXhfA YFdlSblfJ2kj1au+lkzQzwIlpd3hsLCU+tP2eyS48MnO48VprZH8R3dkda7jdBlN3a0EsYlB8bQY 8ug9Xi7HS4cCleEAkHkxffmMqHIjqg0jOhgylVuzf7m8BI5VaLTwcaBzYp+F5FmDG1t/qZfpuxbT HHz4/9dJy4QqYI4Su5919oPyWU5xkW7XVgAj9tl38lWd0DJMID2tMrYAJhJmBqIp/+s2iUARm8H2 uZhwTXYv1+Nb7F80/7ns4/nM7owFrX4nE4ZoP3t+0jC3wGkw0hj0DHjWu331jz3a9JxlKRx4w2Zg qqcCTGz/uHYHwIIiOLLB24hG20hIqQD+yDmrkuoOIwc4GNcBDhFMosRxsAIcAin7gOPeE+F3XkHD dzq7avtRjZUc7L5SuorfwupVvNcRNGZfkPw5lP3xtu42anAaufAN2/OAGKttqVD/J7fytEcllR9j qg2uHrferdXl4xspj2EkyEh7//gUUWVGkJEHn33vC7jyrRae/AwnIIJ0XJpUIXFtxjNNp7nWeyCv F4+rM0amLMzTCHbpiLXSNujQkW+80xr0PNixUZ5kZk4cJBYN8pm0853kx9XYi0A86bGDUqqIt0Xn vKzdQaHbl8RcjsLOevT2vy7C7jsiINCP8RfNQGAlCJw5bAL8ekno01trF3MLtjsig1XI8v4XZ/kl levvCkMg4M6KmEKpLtuJRkXQ31l7VHg/lsM+NCPSi/F9B2FsSOjo+DxPsratY5sBZKmuEYXMy6pR 2leevHUfV0ge8UCyJ0sbvqYec+7MVpR/j+UQjEBMeXbfwYEqt0CP524vysKoNALJWMAYKuAJuFat mOm1bEyQl7Rnozy4JZ/83aN2CSZpHp1pLlvBKbWTX3dZeq0Qmjyfl65Kg2ecjQMP1pXnStJaMujt +iI2R/wLSF6IuCTXA3i9WPPNjx1NhTf1XIabK8LtKSaB2n1CzEY44bfjshVQROIEXi3Z7KFtMvuL 7/26rohtu8PaWxBwaF2Ys157ESq+pzBwqh/NXbwKaYtpyi9KbLjlSAIddowLkciQOo+FyZY9iIbT RycSQRli26TVlor73pHVKCU+d9ReIjFL5AT12CG/93lzTWEDDOSHGgxz3Adlg48ayQeWkbdGjhJl fL66F/gxuSaFdwQRyJ8ySYFa8EGk21BL58lw1MoVJwspcs1mN0WrW1gAfiKzr5TQwg7eccdLzDGk TpOPQF+9OMM/RK2FbyoWgUhhqzAVFFLRIY9QeynmDZIY3YDIvm3Hw9ePWZ2av8yJgo1qUHnb5ZmI 0X8wTcPog9Y1hOqzemkktv5VYJ9c3P44uVURVMftHYDAYYo4d0BmDgKiMEYoNblrTKV72zd6bAsQ GXKwmAznwczoCmqB6/qCc6ZGvl1HDTYd3zeGuWFHUjLKV/yMmKulXmEwrUCdKH7288nkz6tVgYAs b3+1JN36N5tc57sxtemXoCX24ZpDR8VA2TW6o9B6pxa6Wa3i5ntbzhgJ3PEP5Y4Xdcdi5LyTDGMT 4tH/i/vd0bIIurR5iVcV8cIoWr/AX1qwmTJ+Bczd57zXKI8/dIzxuDz106k01Laq5eWM6lL23OWh idIlOt6N+HuXGSlEaAWZJw51AAKDrRtOnkobi0UCoro6lSnSrBGj5e145c+6av503PrulhCkrjU7 ZtAy2v9xN8RW+6Vjtlt/9TUOKCVMkUHBy1PWl8hr0FeG+HKPo8hyEwsXNB0p/NNXspWOM3oELTAG rsLssDeOTsqDB+AZN8deTFzGkipXLcTYhVNunjiLTqyNhVrwdYbSpr2e/ZmZKErjrEuesPdXYqXX 80AWbbwAFN+j0zqNsNjV/ycQdgFELa8HZmsfuKCUhSBCkdjW24O1VmBH01z+nkIRlMlp8rnGoBH0 cb4bKJiYDN5jk+aWXCZM+k3R1M6DiGpMDOcHxQWVqHG4WWqFy/7SEe3xiVW3xJk2G4duBFSrRTG7 bGtGoYCFalNI8tLOzMbz5tn/me0a4SSiVBd1sLu5PPFWMfl8yLReejh1x8DoluPk1sBq3+uZ2cFb vK+5+1yZMwWFsHq2DIrs9wJ/u8Lsfn6cTT5hCdvmucD8GcB/pM8jr2kKCYkxpZRqSVo5WFw5dqB7 4o2lP4ccs8Yo9cjV7ZP14+HthIrCZXqeJncRujkEHdQgG3nTjUYnck9BlRQldvGNNnSXrLXD1OQJ ueThlGJpk3W0APrb19aYCPhaUd6uVQYuUx9yhp9yFLIPxgasq9UG/3okSIh/46Apns9sS84FS8cG 9q6pIBa7SqwVJr13sEW2XGi6yjrec8lXM+9z0syB5qrfX1igfaeV76b+TKAit1VBtDdhQdFtwLGf eQWCdPIZvk/liLboVLiD/U0yTdY5tnci+yFyAuh+uPQZLIoFYKoNrTOXZrdVAebrRdTpdsc5OIhp x9YKs0m4+31LzNkh/ZnYUSdsJgIXz5ZnwbxgWP4SI+s48206AWqHARxqAdzMefCgDqxfSej4Kqep eJ4ov6jaTAwO8gBSijZiDB4lOgvhzydKANimk3pJhvmo9oxewWK6M9Hh1gjPbBK7GVR4HrOYnWU6 s8u7zBsXKDKAQPWPwE3e5FVdXhj90bTduxy9AaYjLAbZ644N+s/rXNpxKCuQlrYzLVLkltKpYYrq cdGTxCY06SnloNzHTS/uzpKRjV+FCSWA72uBa+1NijBk8sbKU3EH1h+cF7uEI6bANab05kRPMiiw SnZeMeWtg6FS679rBc0KwbY6Qoh6nfUo0oZGwwRUIAa9+j1zr4f/vQkhFuIFNBIFbtxk5lcn2QNv +VBFWEbBlwJNsl39LbMw6kvq7TtwyEkieUemM6rfVrtlrSVIPEBufP0KGexF50DzushdrW52GFBb /k3hP/f8RwhJX75XK7JfWBrQSJrBiPAhjdPvtp1fD7yjGUWXgQgUuUVfMODMgbXyC3Z5fukidcRF Wa/2juayqcUU4htu0XczOir5ahbk1s5ceM3PENnvErlaMj5pqWdoYbBzmjOy5+esYHTMQUQeEMeS 53nnMBKuHQU3d1aVMFVCtkxNIqFyCan9Z6+isSvBQxFlLvtlAtnohhwVxcZvji4fevyRqA/bBpOu ciIXSyf6S6brgd4xv/CI0Mf6taDyhQ74LSo2kwz782zjmzWPdtSZCSEcHTABaBxjH7iWWHh8HzYK eysPMhANFY/v7e1FdJq6bETVBAlESulVaUOIs1HovUKz8VBGWCZ6uLqEts542Lrouor9xDYFyJyt J/RJtfgSLsZGNjaz5tzS9w7YE0THffOMBXXxzXXifhAyzXrQpkvLOon+a0Ovw8fpjQFcwrUmKgbM RsXREgvQQwKiGA4v77QSuFs2rikqYTIBcBk+/4/O01ueLNV+kB102GSrEg7JaHfEacA7KKC1vnFa KNmvLZCFFvj6ZeX7BQ8lBVHG+TUK/VYRXg62tyfoQn3U9kJnMElejbnZYPyw0dKSaSC7w660QwT3 6nYUcjhtnp2vlHpQ3qkm+7OyV1E/GhEo6LzI4MS7Tdq4tcnwRc+zLFRj5izrx6h+JiL/WWcBkwDM Pvak3Y9q69FTuVoFzMXK3Lk3WZwbP+oFj7fyTbAFp42s19Qnc60SixJ3WkFv/0cFZa3RS0Uhasgh 4Y/AoOe+XO4t7TSYI5R4s3deRqLGCRXy56Oo++blYesr/wBe2MJKF3V+zhYtUbhVk5iBr18cqFGn a2DppTY0VIO9SBOcLBFwMbiMopcmF9cURzrlaGU/Nv0+chHgUAQPJcJsF9auDKDvdTB06kD24G/D tQaVoxF2Qwgq5J9V/X67XqGG5KxavWL+qkzqlu9GLzGWjindXkA//tqPnxMsWfUsN6qMvXrrZ8o9 6GQVA0fjLc01+03ZNQ8d3zcBtqqsXAHwZLdVe+I6/3Cd2DD9zXJKW3ySg+Walrs/m+5TYrmcO5NE pTd9IJkI65grDPkJM0vWkYIYeWdQlyysJmTOiY8XICSwuOlLpBMQNeLRxxjn/h5Y4872cXyXBMDb O8azyH+UTsbVfd/YvqOZp/1jpPxoXXDA6H4k1x2aopE7QT2XSRJnQHzM/R4MVn0+CdNs+7JYB8g0 piL8LUbOL4NjljIXR3+QpCeMeNtEuZP2JBmxfgHdtFh8w3+Wt8jff/mXO0Rk/Qw8hvnr/Uw/Bm51 xSzCyfRoETW1aPYLU57ipofTiQ8tn0OPl428QuCWKLc6wGpRssfwmFVjM9/mWkcGV06QGUjb4ZB1 uYkgYT5XL86DG1R3X/FzxV2Xt2EEetGqKvEUPkq8nyEF3YdEjbjy7ERLuO0UkJDL1pvxRH2MtPib wr8z/lAjOx0ymZw5Fyh+PX4uH6wngoPTuEhx7eL/R0+ySQnV+yIz9c+zCxne48h50iKVnwBPgvpo HmIvslTVKdnTwxkJrHO/wYcGzwNYGRk9ygsbZfkx2RAu+P7JXX/BiaLAkYX4WHOvbeFNuofVcI6D eSNy6YIvKveRyc3g6cEqBjFRGcCK7BBnoPIP2e19QYtj3Iyi1x4XI5u8DNU/qMyl4hUhjYGkTNNK T5cBJiIIj/IvsQcJ4o8a/BRTttHfvw8MusoX3yUJ6L7fv2/nakZnzEYOXjNkOi1qV0K868BmpJf4 QBJV18s7FegKJi0r68tzqlFVsFcEkU5LPyLtQkit1Uf4KztNEgMR1EADJrJGPm5y11itqOFFZAuV F1+SeUmMF1Z3YG2jryLPqjUGmA/8FNQsk5AaLH5Pg4MJY1z+yVIW6v+ypG9h4ZZPrXOxclkz8S5U wxjsQCZTkbe1ZHKdn9fDABngnk6M+0Hh/gDmD4C/CgbbeGTemDXE46qQBe70sBrwMAXHnMpNGk0N qxJqXV5kx70Rt6P5ZaazDM1Ns2w+rB9UMaO4HFdRC5C1dAoQTRfUT3c04dP2S78ObSsBDwFQhMcC I7qG51el9qFBNxRn+L9+H6+egV3MZeMXfRbvo4t/iM7XEaigJZeVyilMvfQoknA5NR5lTS3oXcDq GgKDfNdfizNjU03As1+qbNpxvWiII3p/RbynH4vKzAEUgwQcQPydyYM4bNp37gJmK7kltHVUGLK+ WK97SUw9b+g79m+MfwlsuJgPzh8xUhsrOyRr0v+IqnCO1JP29xl3Va2eTOqYi/HOTo+aTO4So3qz 2lZmu9cu5KrphsS4byojrgwaVOTCWRf7THGGabd1ex03NQ8BD2U7/F8LD4Uk4PnpCA8FP+cuz6ut MKle711yuWYFK45gwm58QG+FD9jyhHqj7cVCSSB/F5WgYcRDB69XOmye+GZkEXmAjdd1TWhSXhq6 vD0kYIGRYhdQUEhDwJLS6+ZGjS1yhrUf7LqFBPwsZ9gAM+b0J9mIE1UaeyrUiTUNvRRrbGq42IGv PTv5C60ojTiqFLeyFOuIDEkSXUHaRN4fjdBoJXi1BFOL8nGY6dnAbmYxck1LitRUWkFgbxRScyGr H4IqZ3hKBVsaQ0tOa1TXIUUYl9/OlS+zAkltem6ELGsa2iczP/EUg0kUwxRcvEv0MELk9qx0BtpT Hy+3HZbxE0yMO8rlQvU4aU9GiLQdCprVlTauu1bGqjAP1Maseg1+lqAK06f3rNHTQNlTtQkDpMGt vOrexMBXCPBHLh13BOQFUNMa2ZbBoo/pYcAYGODJzwamNxBI56CWfEWuuTivTy0/HovcRcxAxNjD fEb46RpeFAQrM/FUMdrCLUpuZYhUMNVlOrB2uPl6Js8l+Ewu/YO4s0uRzSwKaZykrcXLC69JEs+9 yrfUJhZkd8peIviYDjTqVujrtzj4tWzf8Fzz7H3b5pGXGjxE4bbw+9xGE0WW4yMHfSmoVV44yYz5 SpMZGzYnMLNrJmESjO/XKpB73L/TQ8gzwPy0hiCkanuIUxdAWi9trdMh2we2952upxvqFrYul5SY LwKoG1/25xhlemto0EVeNj7GyE+ETdWuBoVil2sz8Gzoj005K0VaPG99tu14So04Xt8+6BxXQQ/+ 5cZHAGPgjqHwdCj5fATMqp566ld9jwuDTiGaKZYXd2dQfSfh7UZZV/O8deN6rS4MwHyyFlyRxc0D 6JlzyMmeBW6dgOvOjsikk0oXMv9fBpqTpFhiA29neL63WCOw8HHU4z+cLj3JuzABco1aRYSPH1Tu /bw1GNKHziuHoMI9sAITPcQkBCY0PGGRSZigBR+JJ4AukQaJZRQA5eBPB+1Eus6Rilg+OTjWRBPZ xZyZGMDqgqGFMoTqy8dSnThMNWaEdzRkLyw4/HnSK537ReyIVog/awn7qcCkUoSvoLXZlBpiM4Io aGpanIoBze6SmqB65wQ/3soicsu0zzthqrMZG2owGTp+UyfgDA2PeIFdL6D7bDQFAhpTV5rZOmd0 BGzvRkAXpXTOOvXOmsppP2NKceoQ8iW/wb/B4Du9C+YbPGK3BtpoAvjbJ91TUf5jsj+qCW9bSRKU Sl5QyogOyBJquxgcqOBsrGBWSLL4QaUzCsTmoK1ngOP9OOh22QWHOOFAvzczz8jFEFcnDmKIIbwV Pc7wglqXeU9TogXJnWs6bhtH4c/mCjIiELXCcjl6rq+mf6LSXYRxz/FuPCSl4g2sLGoXPatPJLc9 JK1I/lINYTvO27gcTeWjO81A5v5LnCNcsbi82eKiHjoG+zHuxH6riaq99aBVkyVvfILz1q8KH4v2 M02ucC7QRbidm02QnMYg7U+2h7SWykncc9RLfpRIyXfpck82njaqRp4FPjuYYkFwKsaqGGF1V83Y jPvpBBuaXMmA0vWU46DLJ09lQgUdILsccttHKkxb5NuNyufOdq2oij7XjtWu1D5na+2CaVRp6Trh QZK9ziJ5Hwo+q9FQaMYkGmw77W4X/Bb3lGlk7nMIfVy86WlIagoElBJRq3WTwJSesS+8JEAwRpKs dKnlX7Qrmhh5dow6t2KvSdA+edlRXRhe8Z+WIYVnR7HdPZk+LRfvrgeEbQWJAWCmSoOlBWqs+mE9 aWbUikO3ik0RtPbDzFMOEOFRKtw9ohKxcjmYYv+R4/+oT9JT4IGLoVjN4X5KsAZbJ2cUJafh1OMn Kz2DOBdrOlWfr7gzZjdhGPTfXMkN3DdkRPZZa+8UKLfCm8VLV3sgAk7lkGOS/7IvRiOvIUexva5v QP22Q54s5C2M8z4LgQvFmdXuBPt+0+GTr42HN1Q/I0mMqO5Nr2m7VfyhIDC9CUJtf/ohPUATKg5t bEca27R+DOuLFJlkDLbjqvUgohKRbmyWzX0kJkWBIH0h1FIQWz2IRpqjFtlfrg8AxUhxwA1N3ZZq FaD6g+AAcMVYIh17V49pmCEkZLyQmhijCtOkbzpmW797CCebSdnTNgpVCDRx1Vris1aVaZqOcGKG 11PkBE3r2ThbF2MUROQOW2szJUQcooBubLSYtm4N8UIHe3+L4QjGMdStkyWH2ZV0zsoclYNdvUqt tK/oY+tDdlejfpMgJufiov4nohMkYqkFthdds+TiK8VhrWVB26dW3lla8n1m8JGBD64PH8ZTmq1c 7XefbY4xcU+ehexPEQ3orl0o3iHM0jjjCtBJTS0ZlOEDB1jLq0obz4lFkcf0bzV9Emq5LNwSM2jx AzxmMnoSjxQrQgne/6RCFuYKQKN7fHaKhfiCXit6C4nwV3e0vekksPovyMiRQ2wrCdj+IJBdw9XI sUyNMj7pDWWZGczYsbtFItmM/soP2ma0mG+/YGBtL0KZID6ZNEJeHaF66kOz6ejy0L/oxIRphcBi vcSER6wmCynJMQrTpWh3M/aWUsHs/YyIF6hdYDRVurIQ7iCJxNoWfB0QXwM6v5dH/QTuGEaz6G3O J7bhkVzW2QZyLZXIaAUQrt6jIjSNLZ1g+CHVK+NZ7zdsTmF33/GkYR3ud0ONfxhOm/eAjJ0+vlVV XlUuLqCsH+TO5KcQL5MaCHczb7l3qVPYX7+oLib+aQhgFrrPo+ABcUjAGW1hG8Rh5WCodPkCgAqG c7Kj5AMb/i+oFc6+YV8ERDjx1ecWyZtFMOryCIYLv0RfJ2i/ChfqPlYz5sGQ1/eLtFRxsHN+McF8 YH7l6dgwPkbNd8l2tZcCEpLV1J6fq04zAxHG70MrxLxpZ7KLURtzoZv7DgfTj4BBxsSw898WOQzz BO138lrc3QOj8BKmqNUQLUGpxtWM9+deosGBO9T8hG3QRflHjP1E/nUyBJ8d/MR/qDS4+6vyegER 8OIJyAKCimX31gWNpwfThRA5LaY+tqq8Vi0KNeG9VEV3rzWpNA4HLk1WzHLE1BXCQmZ8DkJDHpoR RdnXy1j4G34KuAxTgyiCEMHHTtgrwqVaUWiZRj1D+7plz4HxJNxb7+nw5qX7vbv5C6Bs3jFQ4ZZP YKVVbLNWbeDZ2X1Mq+QIHVDJHLzUSOHMt5nk0oj0BZgJ4n9/kWZjuF7aYpeNVHW1fQ4OZGn12WvP wo5k5yVFcPNcd0iHc6i7QeoO/vPRou+KtoN0tD54kbC0nBWzXMwcW9AHZAc2iZHgkGqp6aUhlgIx /5eGCgRtvkRJ+dLdNQu7Df5j1mjQ0nnCR87bLA+44MFEb5tnXIV9J9mzdIsSzczLSydb+Hrr/Bq7 9Otm6pwN5cwSt48x2aAnILKSN6OneMFaOcBT+MHLoyShBT8Dwei28ZLnZDQ71JLwUomzstEBFzju mmrXMV8e2e2wYsnFZn0ls+tNQd+g/daasBzpv1GGc2Ke2WbGSVW3osXYLnGqwf+dn4BpqIsYyR8F DmA0iRWxaxSRz/Qxr8P5zQFWwebL543S6QoE/XAzb4DCkR8+ibiA0iRdBaGm17qq5yup5GHFp8oK BlXHHnzv/3xiGVDDj8Pv5w7QPBNQN4PAolqIYDKbsBnT4OXUiBGia1J8/1p6VgOzM8AXYLa1RvKr GuMhiV/d6KUTk+Hl11Vjl3uPUvXlS7SU0LRAZ+5DFCi89peg/3IRFITXHr+RrIY/phhQ0ZDZmx7e 5+PmmS9ZAczePs54eqwyaJAEqWvuhK1Yd2guyI6MHUDv+KAtoNWbPM1rH1iUZbSse+ztvMxrO95m OargXv7bci226U+YSqgwh8CPurKMDswq+rkQ/f9mQPEDCDoIXphulLdtDmJB59vYfcobCkbrIym6 E5dojuivglsHS4AoPtSkw2Nmt/QlWtL3rM3RFEOyejpgj9gx97q7zvsFbJg+KCH5yjVXe6+MwF2+ oEndANZdiTGXj81asHJAT/DVjamaUsF55EN/JKPT73jbmZFmXVD6fqsR9ba0d+d+Uza2/TYYOHwh BM9dkCIJfFzwcph+DdyvC49l5Nk3O/KXbU6825lEsnH/bmO0x+RdE7izBf1LObCuEghg7o5mhMto /t8KQN3g8ZwM86DpnBt/pxCJ2yTps7VPDL5P/EfZNypRMM4vQ5euQZWjI/fOXOC9aleS9g0aYpXL 0JA9+nSTeTKrVdWmndLFg86qGvvZRjxrYX09QtWetzegVPKRt58ATT6wqya1NdeIgKFvAkwIiK6N P8RCHze6JWU3f+EaGYJPENQeMV4q8/Ve9siJ9Hx6mAZ4l4iKDTdGtomOe69ErorKvztOzxYs6TOz 7b90OUsjZlj8fCnlCVHF8+wLt1pZ5B4kSSK+9RhThTps2tcMhf7TohZBl/AQ28pazwxPyt+UxPv2 ln8PPDuOj2Cqzdg76u+EMbG4xeZwF680usPNjypjK8mheUguOGDx/eSFYzAOAEqt+oYXUXXO1Kdr S4F2mcIhuJ6ucQsylzYLx/Z8zQ6dh22eO3qDQENEIOpOeiHvL33OM9fp45erT5+mKYAx7Gv8M+ud rBRWBuwxfUJ+eyYZN+64aOV+WO5eRbqIAesCoij1J4pRhMAr24CiiKeKmY6vhpsZOmwjk3/iAerL 98Hz+xytZnKfqhwcEwakHLNz4ZgVa9bSlR+P7dDIBfV8/ddlAcIYOtjKzvGg1L1Z/k8QaL5KxZnS vQQoXg6KQloaHaJucbrbIPYWW+QoNFMUoK5x6xfY9pN61ezaKPgTiJL6hfjmFnVXWKcaTn2aW6b3 NNCwR5GycIlWOdP89R02jztKxrp9pt+njhdga59m5ST/yfl2vc4Kr2LuY2H67qfQ4MkI99xega0B +CjylDLpBWt9csTAgsOWF8lDhi7fjrcbK8V6zaMkwjOPaT+ddleP8FTOhJ2yuFvuoy8OSI52Mo4D jSLXmr//xTOezCuuo6FWTaE7CwkxNGPQrJqH8m2eUzuxbjtTiNJSlcpiUsE6kOZqlNPPFcZJpwRv xRJ2UftCy3mbtlE9Hq8FJrN8FqgNOvDFr3UFiFtuBLz94/tETqOz7AbfEP250d0qJxFOURvvP2hr sKD5E5BqxBNM4u30r3Lqf3B4G65i1O48xL4khUdqAWdaGs0jPb8bj6DSv9TQR6QWT+PU9LrgAyuk u6XymSXTc5/OnfTSazXi9+nl1hojPssoJcG1Ak8p9pT67FjBNagbHtAkMxlLrYek+Q8Ma9T9qz2q hqJypPLjSPuh35hi62n0igNDBQDh2YxYZRBeEt08nodVavlvFD/QnG//+qyWcKtENE731Hqn+tJe 0k5f/jAXkVRo4n2iIX3ttfwdABb/5MCrZpzWLmKl5VxKLDBmETqyur/8EzlOOWdLHRtRTD9g0kxn +rfvpT87/P4ATxt8gCN9Si8jH4BaCRg3aZAQEHSNkaucrpRPgDDOxaxelonjDgjbquDZjriktSwK i+KLe4fAb5uMGWPTl4+vC0cOpD6Doe8+FI5Hn0EMeu8R7UbeFqc/qWUtUNhCjTyAFGixrremp59t ncOpi7TMCy9j/IVJh7lGl8jY1JRWSxwwi38CiD/fvEZ3cz9rIw3lVw2zcMI8yaC2LavEh5fBeQHy W3RAUixxjfAjkynF/wCxbgKxkQ4JEQ3MTtErdQiWrK/22MB+WCVCnHN+8FId7ITkipuAK+xQ4vut D7f1rAxx7v3cimOC3HVkuwAEw1Tg2NmWTMPSqyUUKTetPJ4u369SN36urC5/vQKmaX7xmV8W3lGC bYTa91THO4aUZ+uX9J4Q+iVgcH4pibGRf0OEz4Hr5+cfLBqXznBNkqtctTJzsJu9GvOhUFXI55mF V5+MIv37cnkl1BdfXx7f6XpDJwuRDtvJf1pRqWrmE2vTL/1niO4xqNONSF+cgAeN2PU4SeaNwWTU h4PyaIiG4g0iUJ7/0HzZKe2rFMpYnDzMNm98Ll5Hdlp6aM1NppKdSJTI7SWvDh4dECxm8tSSsXyd hBnhQGSs+wq4Ez1aMEXwaqQ09tVWhwgQyZdVit2Vauj8kzoLHqbA8PDBili9M2Auc89IygLcIEvS DUb210rXLqVxoj9J1E4dg2w0KGzOHQgBsfhzM64K6TzRG1CnzsgJmHFpMTZ33bpmc11yfMXNtOAK Y8/urkc74mzW63Sq9WtLLlX1ghAjd1AMrIXq2tEDeswOypjrefIj9JLk9Uv600FIlhFv+fPB4yCK Su6S/tGw1efMFCo1RUVQPS+p/E3syB/+7cs/WKRVl/XKcx3YAh+xr1aHaB9SVO3GAom8BPfG3Mpx IPDZOHvI8AP1ga9oAMHhG3AeRXF1FcWO1cbu8jWlks6rFPujOmpjU28Hg4L9knJmEpa/pBi+ZUdU 7tx2ER/uH1zbB+DjU1UZdDKEs9jn5WmgM5srnBzjEGjeUTfsZkJua1inAMnA0rkGIOFDEuANch4i p9H2M3Qz+IEr9184ezwpOyFUIOeSSloIfvCaVU421lXXRp2urtIv9RDrc+xG9RFtepaQ/7Q9hXbr MBZftVsVFtl48SmQXbpa0Kt3YXxJa0sOn5JlRH99EMMJXqThQO9CQhhq9vwGUAxRGSAm3kX31Nvr EwKDAhoprYdg/augq6j3me8wDWPrtzqK1REcLnqHpwovkTGmIY4PCxFf0OH+LIUauGThLCROQ2oQ i1JJ23bTM0cwlxSa7PqypgiG4Aa4qDmZYW2edSwiOaukfxTM8ASrWlJOsMWLANxmRG6wzAAfzlNz 3ENUAVJUsoROhZG3mZzInVy60e5ByUBM7i0Uve89H8AGAX9q4FOMTaLCLrIBtEN7fxLezpDex/C5 L6sfy2NpWb90aeJLzxYM/GxliFzfoM9TuYRib+saFwtxVcfb3vCUlO+zbjbZWoVZHvD+Qst4xpCC y/aVrItEJLhq17ydam7JvNwY01VKxTDgH3MpqZR4NOfWpkHmr8nonWhnNy5nSU44pHWeUNgz3L1G eZwW8WrHbZJg8Ts0/AifxTHfd88irICkE6YkxfG6agLNLAOTBMpUo6Ij3XPDMxyKljqV9bw94zyH AOalVHim/beuu3+vQhlJdi0pGf5beED0wEP7nKzlPPgh34Hd+fItX33EMhtINLbVnz209zOHj2Po EFe8pOI3LJbdYQikhri339Dvl/JKIf9Fdt3001NbCBGxP1J9dOMh4UVW5opsJLQlRIhAAxAvRK2O qjcKmSnCi8r8tWFKIsTwkFzMOYVAxbGDCprzar9bs57QKyIrDAmBjZHhmEQ/4Ei3xr1QOW1fObuJ GdJSM5XHJDmGyR2a8ronMZtCAckN04o8Jbn+lHcIt2R0JMZOdQosHXgmg/N83lfLqXmIAtdLyu5N 2Eqiz0IBAQVGz0WAqwqEjlvjEtPrmgsJ9w7safA+a+bKAFuCLJqV7Vnp0M7LJxhSpWeUUhdSZhsf Ja5JsruSeWND8tXaiiNjdsefL2VB3NjBXUIJyl7PI5It1Dsdx3Eygqf89Sg/LEmBmgQ/jByqD9VK e+H3aeujjpe5lCh/XprIA9GChfhc2ne+crGs+OCWB37ZJ09exyHtDj5WWzUUxeNujKy6cvCI/8kb +5OyPn3F8bgQAi9CTZzJu0KoWRojGrrxcwHj6djoDhFNVR+Q96tsBLhgpGmWRtPeME2Zoec9bVe+ BTDsK9mCD4Hj3mbQK4iyQuJpWyYEVjP7uJo3HirJRjNlytaNc1EEGdkwUbJQCDxdPRV9wxGBVkZe OoqAhtKzEBIuEmV/Gi8jyi7Eury7RiwKrRCUFHsjKrK11RXp4ikl15VKdgfB6XJ/umZbw5aM+Fqr lJ5pREm522ItDHqavUi4+EOsdp0Jq6corbDbbZoGnr00Q6EDxi54lNQVGeQqXZ99Cfd7Pyzpap/a z3Y8kmsJrqM+UuMCJ3cLyBD8pLDJrjTqrrUgXYrDZO14mHz3YRnChDWSrzJM9ikeF3cZMRhIT3BY WdcMfPha7XLGRGjw9CH18yTuyiJfbXG3Fq/LKZL+XFkybBtbY60R7ROQrDXz06OTZIs7Umg/so1C /vUlECpeeSsglz5tO9gYr6x9OK2vhngp2htFDSUk2TF8P3Ywq47xvv65Hq43cekHJvKdubyVpHUq g+zCajSl6/lHHuDlSU6VqO2dEC+cOnbRfeFBp1Sc5UBdLeMQos6fFW787Az1+leevo/do/zP0ABe zoFcGd8kzo4fRFlCJN18jftly/IH8hH0Xw2xJXT67Az2Dl1+aHT/yMlXrXb4wGnNbW61/0O9fzMg XmTRB/asO7lXVkbhFvwmsytPpM4yFgh7y0EyLyz6GtndbDxui92uR9GL1teWxYuSjn/rL7lirAd3 UP3a/BhTXVBr/euwpzMx2mP+hzNxGSrWrBzOHObL/FpT8g2BGOD5ge+PwObvqz1zARHDF5itOadk //X3Y1thrhNJYAQV30iB1GP8rCr1IdQZFXUvJCKOHKk3ZYSIIt2lC/q9txw2YFQdEWZ5J2Bp3d25 d7zNUkL3KL4yap2hSujmcfHy074OH/NN4vLSsAsWWYBZpqsRAeuyybd8KkI8Oyc4bn8xyybR3DvE 6QWi7Wf1yNFsMXfo/MD6CgYMOjIaE0vGJ9ib9xCiS6+BW+QGD0xq88NlJNIVoPuc2V8FAtYgK1pt SdH1eAekr8n9WHmftUoMm0AAjUXdhSRA8JPjGt9mM/dqyooYOJHRvxCI33uQWeb34HOnxMOzQw2J 4n8V2q6UQPa4D2cled8KXVMwURtH6TFU0dBvlLSHENMptcm+2/EHC2mHQqDpyJWnx3l48Wtgms0R 1GFFWfL1yqOvBR+S9sBQP/hKdrQXgbsqxkLSZR4kXXPo+yXluP/Tc2GF7txGZ7MAw/INE88rMxak Id1mHA6t9QJAROQ3cdGbD5W7T8bQXfJeyXw2cLxq7HUu5otWC6KxHsSoYyoDwe7xiU2XYKyiEwZX HCDGZW0HxcAaxYJV5Ha2GGcp2G+PQkrIa5xWvsz1fhrkHn/NrE9qMg63yPV3eojjMQ0EsPkwuEgM ciY7yjXJt8yixXLPHuGMXuaCKqWWFIYD8dkI18V2QS+r4OjFrKGJ3JgQ68e4CLLhDKlpkb5GB5UX VMQqhmgunn24y6HFkXMlltGF0maOKJJzECz4OIQ7LKealp/2wenZ5z0NXiNqTyoVe2fMfV9x5Sjx dkwgla4KYktcspHHOQtukcSiLf77deVBg/3TGQ6cU3UUu00D6loFgjAnTaERjoxqhPWzsTj1OkcU QYnk3v+mAjIRdbFJSNkcyc8icr867+mrh2DXUrti5xRJlYIW8ANczoo5nPAJ1byGq5DFAYOlFmkl ZqUyr29kDOgyiu4GtITMQtHVDlHCzwIOWSS1/4WLO4tO8sCrNqV+wVbOtnX9us3/YqY1BYw5LjvT dPnjy4q2DR2+K4kyZpA9TM/Czt0BPRwrP/FVKJYkQZMlVYRBP0J6ZSHcJZpviFUU0MuGtMC/4Xhk HD8YZm02fjpuJFAHzAD1ETi15tPByJlCeAMjah1pD8YCAMge+1B5dXGbWHE3xSXqFe/Af7b2jITb Bw6mvH5gQhzIaVF0mAyA6iwLIE7MlEXUl2Qo/cnEnXbKuBZUmfNA9fVud5rICDgQYEaqPaa0q0bp 2vZYGOKn+IaKr82s0KFM6396rOXGBcUay/2HnTDCxhh1M+s00JBsNQKlzJMCjBKBlAvenaKzsGHy cMEIgZRoM5ocqWBGY24UMw6Hd1F9Jf7rEus9/f+CHKGGiG3edTNlqO9EEs5AwtV5+AqRaPbw9df4 pPZBSdRclCqo0iCPac2VnMAyP0vaPDd2DeNQydAXzG68rAemODqstvBiqfqEJR8gC13vHbYX+LNw fVCjKgdMrICK1eJraeFHexkUkDCo3zHUTbX6fV2t7by4gRistcnzHMKIymEFy7GEXmADrhp3NupX o5AGdYPpCowJs//QOv9bmqCS02VP++Xhqck/1FuHA5Zx3D007nw8xrXACY4GRUfp06UU6SmzcgCR ghWLdfNt7c3YRqY60YEP5n4WeL2ZcMZEk6j18wJn6JooYbgBw/1kLPBcsUka9buKUtNBpVACG4YO xMtBYXS2cmOuUnjNuftTox0xK1FJ6KylyBn9G3LXcD47LBin2SIjZ+vitRoVuzRSE2boLd5x9+r3 F2L2ne+1UIcti2W15CpPsXMDL+LVQdXZzNdP7GVHH/4S92ClyR7dpxG0R9YeAaNCI90SvDs9vjhq NEdPAT20cbgXcjVw9Gn6MxZ/D7nZ8Ap8WVA6eQlshLFan5A3/iP3kHR4Iy5xGySZdavutpgws2Io 7RhsNliadzB9kzSkoKDlbRMd3parsbC4sLEViBzoYY+g5ySX65KIfLm151C1ysLrBtGywsHA+2Tv lvyfeZbQh4ORvc1kOVY+Why9nzoUJL/EwVLpdyURT+Vpsp05eZRV7CUYHaqWRfTxPMaRmBsLFcek Tby5J93goPU4D22KVjsBnglzRfi5KoF6/P0FwKCMeZ133VsIu1Eh7Ifypeza/5uNSGDGkJmIGUR1 o4ZJnPHXm3InHWx0nEPVl7BqKCfU4Pe6essCXpxYfm+YdiZTmF/EAqtBl8NPXyhLS05D1gickQX9 bD09hQ+U+2f0OX1kgNnQofEg/pBKa4cTnQIgkf0ZJDFw83kf38LUEUCaQi8zT5w4X7xBBrfhy1k+ oE2tltBPN4WnT0uKMal2Lr3y55FBGCKw61xkZhlkp2tyFx/n6w6EvUHDtJRwhhZdm0TBFku5lAdn krdAu7AScya5nYuipUrfdt2JgC1ssn6OeNkHPOJ8IlYgFUXt0n3KBayshDqp9Q+5j9Hl0HJQ300i wq61hedLw3REHFJnITQL3otRL9ohyhoNLCBeZS97nwZAtIvmOU/5LwjdTZRjAeHaLr6xaW+pVlxH vfTtoUHc30OEywBUB2SGlriXJ/zSMz49aUFRo+EN3Ka7lpBYEzq80zLLMB0DrTUdvLIu2Q0keKOu wTjp/DVi4bEku9gSJamN5Qkxsj+pbfQ3pFWjYntP3o2wTAQOYg5fpHotFR+n4mF2COi4o3Pdan5A k2lYEJESJTwbt4S+Wc+5FBc2tSOk4jggG16fW+9bsGS2lRO+lOoreoEkEG0UEZ25CZlQn6LgcgBK cMOOlRKIlstE7tjZIBQDPjV3bi/QKF9PLwbsd0xnstPArWYBekVzv3JtdPvMYxSvCSq4YBbSFDtW Z1MRlmvV5Xf6MeCy59axB21gP4Olu+mDkyVX+KFJSzyL0rJOiCpjrQlWB68cOyNwPSWmEjMV4AYP mniepo86Pc30zCvjtej6Dj9eFK/lx58O3ZQXRa888pAEHj0Eu5tG1i3b8QmfWwIL6QzfYGG44/Wm UNCy7w0ZIWLQw5v+17rbMC0zUfXRzy7jjKD1MPZrJre5AFL0EWIUmjQHaQGQJve6+R6W34rblVu+ qE+6aJbWBi8e24W2tqU/Tm8uxndCXAtWmRvOq6mKQEKd7uqjnqdVxOFzwJABB3Gkh2c/P1Dydzmn DUBTw+f3zJsnXw1rFyHLNGduhPJB50aPr4cjHtj6VWFMkI7kK5Sl16xlp1kKCvWYZnLZL9TBbA10 GwLPcceUdruS+c0bmzxY8JR9luH6bIXECAdQUzYim+iC5bxs3z6UYRwes48kA5Hj0OqnLxM1V1+E kW336lOYYSG7ZQGsB7gUxHGTmb+5wqjowQ9YVnf0YCzNpyu12YtDv12/0JnsbosFllHMybPkQ8wu JwJMLO6bDZQkuA5GtfGVg1ti0vMssCyZ+93cjc/rMAczDRqD4HndamRm2cfJ4ZOrNnZucDO4x6Y/ FUvk1Gq8ezOmQEfMSfWTixUVWNgxv8DUDQ9iyCMrfbi6MBQFf7jiE51NEeTvzeqRfqrp1K11WDTj L5s4uWdyIoYmn62G5nkhZ74+0BAXKIYI/b6n2p26itjdqWKyPwVDsSBrkDe4AQhvuIzhk2DsGpyf QXb4Gy+XbbyURbRE+XYxL9IDnmOlRsBDShAV21wLESm1ll84VywZckT4FLiwT+MJ9FsWWZNb+Aem 2ZIkCUDb2Qc13MrmArW4kpMSHHyuBhY9QUzuFSO+qnCDY7c/a3uszQ4dCm2oqDXYzQluKQN5tHZM 6p/0Qi8fXpH4OBTn8oW9frLK8gYsi6HbBluVSIYyW7Rz2leXArbfsru0+ZwtPCGnAedV7g951PaB /6hm9FYkQGEs532PFldCiPys9CIPgq7MXAZJ6ff1cL7C7gYUUX6dfRCsroN1/joef18ay/FAK/x3 RUFhOw8UIyk/5Ete6cRllPeKXMaiWUsqyINkfpX3WWElHfxxr8l7MGjK3cRpNfd4OQ2yX3ADOpnl 0qKotdpTSD/UIEsAKYHSAYI2HBP6N58BiC+3ovRjiNKJlsVcJprRMqKeZEy2dsdY8yMB/h13W4w9 VWJzs1w//vk2ClsZ0ALa5VQyXFnEFaaS6pK+aaTkv0xOJqB9FRsFVSt72HYrhvvp5cc0dmCqhMmY ZWiD12D2evpfCnmGZuj5+ay3+wSHIiaYHwB6vgLYYndFT+up1wQv612dlZi5liwn+dX6AHSAOAbN B7MpnNqsI4uXC5Xb2XlqnROADuMlAYcHzekywOaFkre74SKiVtUwn/MXV0IrrVCjSFBjGEN0CXuG 1Pjaqe3K80TmMGhkCdX22V3knu0PryCwDWAyo44NveSbIgL6KJs4g6gPpxUQ7aQ8U7wLnjGQqNIi LfQAb0V/YqC6GcE55fcMTEoi9ZqNOA2l57eRAHjaSk2sdJN0GnPpicI6iF2njnU5r9r8ycnJgWsc //KOiqGK4DAIt1VwYJRn5XBoGSrp/cg56Ik/8lSIcdAd6RdqgII7ViQng6iGoZWwENaMwYFI4zMI vIkH6XAhKE2Pheh/f/r8O2onQCoxRa68XcKVq+Qe7E7NA+fZfMvHwcwWghk2aqG4fAbzo7h+f2Uv NEOlkoYoQw4jJvn6nJS6H7wGYi6rvdoccYGFN2RXhR6CvBxM9JRjHYMZ6Ive+REmwaE2PHaas9Kc HsT5jXezNGMolDMv1I5Gt8sdLuyFEYBCum7kzd30Ip1Aavt7CboyP+OIMYSxYD+il+Abz2Vn0fwz ZXC8tXwJoUEjA4jGonFzR22bYkdHr+cohfLe4L7HHB6aaZxB2a6d06h+K81aEUZShmU8kbhvrAfO /jK2uyJ62E3BuVDkEGDHuLe1jGnCkONI2K+WMgwhTW1QULso71ELiUuz8qs9YiPN0fnFpz4DGdXP LDoOGffxhyth5VW/8l9BZx57CYGU9p5YMHJJ5JnyvT3hq4Gem3wM3UHB4etzEjenEj27BlB2nmec XxEa8tblVdVJ0wV1LgBLkeilPNdsI7zpDDC9YgfsNtGYVHXD/DYlHV8Bk9Asc8y08uWOad/l+PSW dR2vIddCn7LMvO5Otknfpbek/m4/C1VF3M3YIqUzCuzN4iFzI3DCLwLR/nQK2XFgJijyb/FKrEjE +RwYXtqO/Fa3y5hiCqRYynJPDKf862i3U7Q5nceiWFiUUY+sVKrpbYxQgurPbQlRVIl1wmzpWgGv eOmnH+bUl3MxdEMmUQDXO2dB+rXmorGaWeaSr3zC+++Y/PTDuLVUjUogaW1LiCBAVBW30biZxPga tCidaqf7UeygvIGTIdctGeWgrC3y/fKByMXhbAYEVU55s0wQkSqw+SZWxr/2F+4OZadER6E863wr nmG7O6eNwSQCCg56nvmtjm7cWtdpaHxFB0gWJrX0jN8Xf6HM4smO8+LTmF6JTKPReKqQdvD8TQpj 7rCFrIqClVSgjpS8QjNstMboKHcC1H2ZcUBxAQkYy/LNXFaR4qGboth/ZqflemuR8hUJcF2Iq/yM 1oM75PhF0IotM1kTvr6g/QRhaRR9Rjf6ojOp1EfMYlXFHrXiwEtXVejQE01lbGMc/r65Nngyw1X0 vsUGomP1R51fpP4J1IW6ryqhxQEoHy1rdkoc44/TObMrKF2lNFa/Aa+4HdxS/KGE+udHjG5dfwnA rzpHyUi6mXUC2JZeJzcsZdfA69t7FtD7OiK8jDVG/rCwSp+IRu9F0AKs/LViK/r4VKh1iuKtpRlh FFGXZqp7GVtdcOhQ4ECgZwQW25xsY7bnWKx1JOs9VehFo0H0QcSq8eSZalykCZzEf4LMToyghug6 +gxOaY4+xU8ZPskOIj4E06DITXrbyXB+OEjai3bJEXmNyaKi0+SiulIPW5MQC7vlmzwOlGbjk+VB 0EbPIylFadM+Fx1zVc2vz/LYTkfJCc3sFnRrXdO+cxfbfZAU/FuX+208fjfvOl3GTgBDEydQpJvN jPqQozOyPBwesc6CW3RQ3p0FYS19rHXood0sYoF7RZu7p8kC7ssklWJOZpLck/OBQciyRNqNcm4R XCMN3N24SRG50SXu/ph/LUaYmxF5//dANysh/0rQH4Mqjz5bMQt5XHscEKdQayCKSDH3r/NZgBzu hb8f4gryEH+z2NDrgIB7ZMH9THUcK1peYfNzInmq+EdR8R45OOvvg1vQx+RmflFvJy4z5DNgFXVX zzETJR7O1dz9KLrIYKhsj+/sQOXF15UfRomVI3/+Drv9H1zN5jgHte+OIt4jExZ9z74Y7EbMeU1E rXS4FtDXmDbAjO3TWAmc5d0ig0Tybxot+sg4UWY7w0Xe9PhnXrN6OP9Aq7ilidW1Zn7H805maRpB T+o0kmxXBTy/bjVHkx7LQmS/I9pDuADyJ9m6bbXv/4HSb/xvT/Nq1GQxtm+Uc1tsJ5xeCfm1FRID J6gDobqnjaTa6ZjSxtIDT7K6dOrHvdJJ427xFg4MWz2jDQ7SzyIsjmSEK1p258Fjp57kqZtdH/P+ mGnaFiiW+VQeW+L+NnsgzITaVXSPb8bTbzLG2kf04LYekCBY5+B1cxLprhJ3lyBvyTfAdGaNgmc0 7PmUSjXjIVDN+7THtf/J0azUab2uJwkBdyRiQ8pXpGKDWbtsr6LKaJs13gEC9fI/IAoGxDDCBAD2 FyUOu8HTJ4ZwSpf+ulVUTqrSLAdc4WD6xGyxO4F5MURmJ3p95BcFezv7ZXWIC0j8gv//Whm2txAX k9Meur7H4YhXdbF8FFacWWXCOXJC/NALt60ZjcKmXdIMYMSC0oemnshFxJC3Fe4Zq+LhtwHyzbOl PYIJQSBuG4OC7k7CrmZdS2Bk8JkGBExRvaKapU91vFufyCp+boGPdjEksAng8vuphVjEgUb79fA3 xUHB7gW3ifIDFCfaQCRbGjjjoaaVyo9tXnVyLEj741+aDJND8MDH/a6fa9REDnpyECRfLIliL6Iq NbGaJhlZ4JEvhvOI81WCNMVmUhOGIcQDX/OOi+V/2q0UFLARXprIJb2WvF1qcnECWkKeBbkotf2G K40ohA6YXdP/MU1IvYuwRJkko0QNjDucRu/wAlmPSgAQWhL/tV4c3lfgcDxujECXJXgLYHQU/gmK rz+vGG1bI++dCc8PD3Prl3gTep9ajcnES57ovIloJVhKwViNq48jAfwF8O3uWpGQaOS6yKwc3dD5 +QB3Ey1ECCKeJNOfkBeDn8N0AVlyWowODX6fjqvxYym8A6j+WZ0GmP5KNlHbJqPgtraeBwuiFGas vcZfbBO1Fv81UWX3I6O4nW3+FOZqnwvm0jkYPAdiQG8Z+Kx6Ppf4JBuDMa8LL++4nGzJNnHTzVqp U27eSpbiQ+JgTemqRHNzj2a4WAwLTa1wIoaqP30a+0u6J45scI1a+DO6cLe3xTSlRNwM0eVLbRL2 3LeTa1ZDxtdBpeL3IR81kBG6KcX8CXdxSb3knu5GmgeCtF5dBgQnsY3YALlVC/p3PP5JAGB+fB3z 66jxfrfJzvo9jO8RIZPPpIHafrIiTomqfScUgY7Gkt94ns5ovzHiP5fBz4NChHh2YXbTyZnqdJh3 bE4O9NviCQESygAIlYvuB69YgHsTlmJ8i3MwxVeYG1mD9n39ikuZrUkl70D+xSkmE3N+BYUra7HH 4KxuwyjOS6GP4tnWPwuZmm+lK56+8HJvule8jW5U98GYBQ9rr4DAohUK1ZY1/MRXbc3gCxn7V0L6 n4vBbrM7ICpgZgP8VkoXQtl9955mdFiLu8ThSX0yrG0a/TS/6RrB/IVv7XE0D8mxIZLaJ50noK2e i3I4LfTCKvuOWITEER6QjdEokKqNeLNmGgrTHfHG622/fmZIXtRhfaI+tNsrOBlmkUo9CgFOz9vD 0n8a6QisXueKkEWV3M4k2jaXWq2jNVCkJHQE6S41sf3Z9JNC7ogLeYw0z48SJc8qpVzQB02oCz8w RFp+SiaS7tN6zTi73anWrjsfaWwABeyypT2GGK9jYswiHhYU6632YM96lt2KEATwGBj5bk4DL/bn MSvnnGWwwyOEFDHA5qDu9pZ5wnidyqgr7UaJLqAm6HMt6kyqUDrTGUGKVLaJI2wheQcwpO0QHoJ3 QUecpMx1N2+Vx/z83xz6cLedfIZRA+dLTeYi5QnaHdWpFMJ9uuYEMfmqiSaEx1q780FVKQak0wNd XdeS0HbAtXRUATKu2Se21hS3Yj498o3327PMJvXyCeH3vMYJczcgun0z2n0vrX4e9Znda7a4MExB c12gHMp3uQ1MfWPoo4RwI9BJD/I9D84Q1k8Qt5q/64uB/9/k7Cjm6JqlSxp1rAcqxLOiaI75pZHL mw0b1K4a9LH2bz5RK94W3H4BUr+Yvr6kebIM8xuUGsd/St8wbM/GCezsyHjSM+pD6B41P5DdLMgo r7rlB8Y3p6b+7beC6tcCo/dUzLg36v/k+r8HlZkzFXy9uwf+7dEJDIRwkrngiRXbUPrUEq0P2iLg Vx5V4F9/NKKYC8gTXYeOJIG7dNLrExs6D/6XGeSytD/vJjVVulMKmtXtQWg4ZxDcmaqJ/s9vcC8u e4VVu1PmghHY3lXQkqOpPKREf+Sv0zepyJANxwNj9/+jxFFtPM/j3gDqVdPQaodncB2e4NXCnsqq Bg2K2/VNTd0EX9biQ8ysycDrlDrEkeRhrbFqg0i3CaSl3FtpjsRVgToVBTBKQTeIQioRsPE6eADw IG4o0vFxKPbdQjRFDX265sGlvhTmIXVBuv7OiifVXVq031WDtP8HiUfLfl2lvkhKuapL3D0rkwqg v7ep7iytv9pme8wDsmk+CbkcRu1xEqZEhMD9Rj0mgqGomorFicaD1FEzk574x8zE6lX9EmzJViPO aIH76tKTsfWDLk+Xmxz4hbbd+Tj921j78IkV078psAfKH4FFY0BSavvWIwMaZC8SFxxGLO2pS1ey OaG+N8u6E+cHRBuMjHixr+9md/TbEEkpKf2lFdEpIHDj3xiWHErr2aeO6qtJHpDkuz9rE6HAqcTO CT0/+kni1XJMGjQuvICJtDaaDsyOr5oISOgDgMkPXk3TxaLnJ5OVTL1vxqgLDpbjivcI/6F7c4Ui aWqEEmhHURMs0Rr3SBjgCzCDkCHyQlzR+h1O+nn97Z6Ts8tqMoHs0Yl/KTP+MTLO3NVe7R1RJx8z Ze8gHJVPk9Td4gFV4OH9EjQ14q4acM771E2EQ8YQKglMMjX2sPYCCdh6XNPXz6xD87ZR7yy8UZUi z96yVvSEJ5JoGrKS0cqdfBt7v9ahTcvpj3rOHXKUOpE7oExoIYOUE3Sk62K61MUDx/P4vesrZxUq Nu1eHIpHdGtJDpgYf7evu6E8MQNaw4aVpJ+/lQD7/s60Irg41vPPT0I5wL68sE2uIbuLid36Yg4f tLo0Ss/GtZ4TwZc5A6xMLZtHmT3TwE61yvcVqk4+ZHHtblAcihZlSHYAQ9Nh0s6mKI3FmTEZJiK3 05z/Vn5H+QbrD9N0t7mrv/WMUWhl7dr9mRMWRA+3D0GT6nH/u109poLlWtkOErdDXRpBlLFcvkoy g3qPrQjipS3/SeMfWo1pevOpCpQVzDrIOI6xHRISrNkW9JJ4+mQj4ddsOgkCpVK9DaO9BhceSprA u30YHyfzkvr3xu/dSeomAEPZkJBMlOhQvQdXpmoC9tPnTlkjoFUkPA4ClRnQAcCuh29+Vb81sQl0 AuGAfb94cZe5U/tgGqKD8ojfGv9D7jvOQB+JFtnyZZ2/5hvBlObSRaGVsEvCDvlxaHSby9i2VBUa NdCIYb8QkpFCN9eblyrKPPtGl15YvW3lS62uWitSopsz7ABnKRPC8InmfMhDpUKbivOBscrI5zej Sx1NhNmgFZGn6H3Zz62PXRG5+BPM5h4MxBn0BlW47PDWQJgifUfpTI1JeVwxt69MvKzWA9R0Lael zlNyutWeZKhKgeienKDV+g9BXjDmxg2y4MQBKUmF9S8RND5katNWzG/MEl/I2hr3VBy+D13hhp7l EgwrCUutEZeB+9PdFpwddRta2dhRLCRZeVdNWrfoDpy1L8R4wJnnqAJxArGQWBOwodzdwneNBHnd 8fEVCFR9DMiT4TNhzo5rxRK8UPHgxsVyNp1FDm/w0KJ+05VHWCZSfcExPCvUW6SvETQ1GdvpeyvZ 5ZQgfvbB8fKhEsBgzaDp+sByw+i+WkI6lmvBhfeg99LeilfSRgW/hOTf9pohTKrdSQM588cbCCUk nVkuGhlxZmDr92mRxzN53JCRtbwoCkUfTOKSt1UTiEWOv2I/lUkcL8U0X1FQOzZwFSaivydQH3DJ g9D24Chsfji7hL2veqX4l2AtIIZpb4TrFhGHXPdsXhmt7vw2yhhONmKlQCAHVHyfQ7OnNizs+nS5 d5HcB7dMIERhalsyvtZ/SzZCrp/KbTvXtGUj0FC4V/fnGAEjnBSYQ2OKmLCr9UxcA+r/S0Y0+1dq EvV5ncnhu6S/tfZmUanJ+vOZp+ejc2aNBY/gmvFHE7ww4Srbup36l9aMSK3adwG6cxSbI/O1UIFd OUzZ7D81brKTj4ds/rlA7o3b0qN03qhfZ9Jq+aC5O4QsLMZoMUA4TObakGja6IG/17mEnauHDGkx aB7p4/II0MM9CWMCID5ATDeeDTSJ38lm8RLRcAcUrkBdctv4SLy2UtEuX5tk4NCMO2dB5Y6tXKMr s8soKfFMvZDpeqAP/v2Qq/ltBHtq9YvPIcPocr7xm2b8G/NDYjllVVsNhrvfLhl8UDRKE24c1NJL F08P4CxdG8NhpWZWW+hK+BARr9YK+gjqaIUquIaPTsLkp2p/6LVvbqzY8EunbLoTSpPwf2xUccXk pI3w6xc84wZHGwfrO0WLYSN2nTmRDD54QRnIygDczOCableHCw3zY0fjAuzbGK1RJe8x4JFWooxC mgTcz7b/2CRjOES5OsGg8/GeOqVgjFWHosUEzJZcamjMTEwSzQR7ViUHQ/4lz/Nblz5fGCDiJd1Z VkpdUStWTEDZE1BfA8ZvxI71kdL3/aoWjz9PLYz5S1TSDkiY0H3rwYBGu3eou72qGHVYEQqGU5Vl ctGIjvV2bnniHVeiOJiNPNFc7Tn9eTKURk8n1KEw8yX1d4F9ikpuPCv+/YlXTaRVqSKCfCnlq1+Y LKFAOfbeqsI5kc2DcCjX/I5PKAF1FwsP/YfOHsVgNN0nWP/KLHiAfiNYK2Qrk/SMIPI4Vp7MHTqj ADWwLWR4eGy+e2a/eU2k/wcvYfHb2y2hhxsbn4RYoUWX5c/OrzmsTKc95JzuRal6KWfvGMoDI7J1 RKEsALDZ8uWWgyOT2lP4tllfT/9BeCFav0Ao+gUxbKgDDzDj6/D0h+p0emDn8XXZInKkeO0Pu7uJ GGZMNuUFNVx//2/AljKxZK2kPXghnX+d40xk8I0jGvwnsxTyimYTAZVgiIBvdtwzkK0Hnx/CAqvP cr4/SvT5/G/wZkA056BIrq+1MFwFeAOYr7tpYogqZEF9Dj6pSvXbohgHPwnoc+4m6mlP6D1SArYl fRGeYqDZBMCrdYa/4Hg+xFUv/XDRp9enuxCeaQYB3b18ds81c2GZLiM+pJZ37S6MWJtJcXbrU2NN KTF2owdT1od+jr72nVL+/4Q4osATOhgPw8ynjjGF3E/H0zkjXvGi0dgI/CqVAQyWNr+twrytWwES u+yxuYvJpyNc7inKw5GarWc/5X9xyuXGCyoxhK2RDuop4dcTfh0+edEKj9jIpD2aMCt5MsYqFj0N J89lH32kqtgHM9Yd7DfoznZxSEE0hNRakHFn3Sqg8/Oov9FYQ8QkwtgF0e2u/Rv1RTI39N5taDp+ dIVqfP7l9mbTPSGDAY0+yR1WZiDGzQGVnTHWFKZx67jsK3pQ+qi8tWjdeQF0HfSxjlSPefYzG7lf bxaZcZa8HCgApt6xqEJ3ysUPEWaL1bPZUaikf4lTl1YnBI+11rU+9AZaDUwxBEV8xT1HLajbfwOp wNgmeoJ9lqY3/eUOpppp7hibHk2AkJ5L7KbtslILmBHK4vM30GL7lqr1PIS5orUzd5W84ic8GQzh 5pod+Ze6VpPhd3MJmG5vKyUNGGRvSzQyC6wt9HlxGRlP/UXXHUKgDs7KnMDv7JsKYY31EK0bUueV NvzRt5pxgRBy6PH6oLXQYbnpkkzyAuLvjRz9LZEGLK3BpIyR53//dW22owT1GBqcmy5VKZ6QKXd4 XbVmpXpX1jgDoIeu+86WhVVAeMpg5vTb8u/CzL1k5fJKVjIR5dcmxfZv0YM2E7gFKmJikaEcd7Kp Nf1jsG+ol0muntNDOBx6B2E+uQrdchKs9pgIO/yk9gdEG1jnMC6ae+pJ+5kWYBzPT4uRPt2/jcLf GqxMLIED2f06v4WTqYhy0wfVJ7kaLrQQCB+HIgVTMFOaQBKRbZXp6e5impC57tXVmgEbLi+d3dxn hpqf6gA7h4fAandqPnh59fQFQ8Vj0TGUYO2VbILH3KtqbJZtko5IH46etem3mVf2PmVfKh2Ivut6 pOJbpc2w6xTsyMU8PUExhoqAVjL5pzFHVQrAPvHrGn5wEOx5ci9cMTAk9++tKIEYjNf66b24c5lA qqmNhb4PB20gyb7WH1y/opR6OeDrQ7wZUQDJQNF2q8W1xJnh6HcLuuv5kstvXxuEiq2obJHBpaNV l8On3tAqoTglSPjhQyn15weVp8df2UrX2UD7YRpsHCvkUUHnYFnHMblUoCiwORCzSMY8CZbTFZ2s A/2nXh98uSPGZlhadJrt5el0ExKQNFWToE+6PgCK2uqSW98SpQRV0Cc5wVWkqc6TTR9qdBUW1BI7 BPpY4YduFLCFNNWMJRgIh+yV39TLHbxinM8QR8mcuNzuU1/bG7OpA/6t+iF00s5e80oCG9KlSGEv 6pB0SXiqxNiMKZUSLOoeNjpsGMV/0TNkcrA9pBDfGTIOL7WR2SMzlLjssW9husdtDHBbmC1Bw7Wp gS+SVKfIRkwhhFbSH8hP/5rzWwyt9dyT82oIVfjhlqz8Lw9tWRGFjLPZD1FZ7+rKtgTbN/IVzbLV Kpp46OKdN6Jhycq053awE2RkklmY0kTwSAgULz43yZ2I3zoB/en5dHPyWUdBi7h2jg0sEe8cSWUE 89Mr2Z7jSHzt7XBAFcaWaVgmagecxF4XMz9/0y/sCbJHknHNlSeiGkOdt5pUAfrw3XGM+7qoSEtS KdCH6zZ5i4C4glu8Iil0fP+EK0S3ktv0srqGHdIG6ubVa0jdrrb6MJJj8jOB0dDWzKm5v4BYnbi+ zaVFG/g1nyQn77Bwo+dUN/+Zq0kZlCxRcN7packIi38dlG0Wie9X+kwMItvoLsjQfHhvUrKezCxF 5UOgigf0WmNe4NBjY7XLAiVEIA/Fh+w4/xUdWIz6F3fFxqtIsdqB+Z4vToFzQxCGoey9V08FrCpU iTcFl3vpAPgOSj8iJGQ5dizyWK9n1yvB/PadjjQetpn4eQibUkhhAuvyMcNZbH9xKvliZvayl+Ni ys0tMQA9i0RHqiYR+hMl8tM2cfFz3mLGlgUjqZiZXN31gkL11Rx3LSbYznWc/MrfYvCjwbFWNff4 HNotOoiuDPXCZzebrQgYUl0Bb3Uet7QTpM9xRBv6NoC+EymjqFraZ1MmrmIrKFzDOnk1fVUB8aiI 7shwaKNfVDvQlyw1K/tXDtEOAp1BwQQ1sngZT/HI4Q+KST66T2vwjdEVzm0WABdNTeZtIGKqT+EU pmaBXjWWFlA3YlY0Nac0FseocWbHoWHluEFqm9OAvks1HtF+5jg2fFYfFNXNq6hwbK6eS/yEo1Kx JKANaruN5DMuIj9MzNLBx/40T3Wz6Sz1ji46RKutveOaUCBMFrd/9Be2rBCaL//ZKP5ksxhqm4Sc WT0hm+39lQ0zU0/EqdOLmThIRcLJtzmTMElW8YMevs2fWS+aa2xTbE0YoAOvu1K+xmJTwqWV8sgi fMnL98ulWvDtpQ/LxGAf7xLSJbTMrgBx5NLcBcvhzjoIxjWHeXNlNOvXPydkzwOd/eD/7A+/0k4I p67g9VMS8lJ/8gtrfuc5SNu7MxWbiJQVIqlAUnAiccRk9hUAj/96uMz2G5m3F4Nv1XiP0s8GBxkR lT1pNs84gHQNMe3bOSQAsVnpokzveKlLVmcA7mj/5HFGrCTHyUFJh2h1DdQ0g4HtnFBH2zTzDrht V8ya8tzC4YjwFFFybpuqVBFIB70eByo07dP/B3z6HdwpOBvdS7EvGyBESSwAXkMDJ9EePvAc68ey V7z1QE8di4O9S06+8SWS/kKM6KQrPzo2AnaheRxTcJmyGf14+PX7V97w/T3DFlwDKyPKY5CYphMn 2qqsUCJ7Ba3ud5IdrbfkTxh3xZU7e+9MLLAsMy2zIu2DtXW0iexECcYyNht1sFvhBvTsx/MHjs4G QYpEjpWYu4yphndu5xf2etpQD17xuDaA/84vSCa1ghruj8dYr0eUMzWgRG8kN13cJIy4eMyBohfx pjndaHdnevY/LhBKKbss0Ngc9KWXCx87dP7cbtOMD52hHFIs2Rsxe/NmFTIzwCkF2TiaBq18jKS4 oYxLudeuQQxA/Y6XJYAMFJuhptKv/GAnXrRhDIRHgsD7j/NfoQZHbIYhZ661LQxAFg3pAFuB6O7c 9yu+EilCuDyWtFiimfd2R6xqvlU46QKQisp6uWIX5V9K3g+mu6xEDFsEmafnG9o6il8OjsO8hL9v EkgcQIky3FPlf2T9G/ruMJCUYHdWxslbkIynrKnoU6EO9j/3q/vR6EA5Nx5fQUDIXUWVOqvt1mjN 7gxlzTIkhoGwPC9OUUuEsy9zf8Dkb53VwxlJ3/xc8AUFIc8tPMVp1s6aIGTCuSAp5hJvupg2vWUN ty5bOSKTBZc2yTsTDzNIy1T/vX3OT4c0tmbdg7nobjAE/2ElgJAGGmvpwcZV/qDPux4EBABcuCbD j1UAJApVPCl+OGPvtBsmvhMrjk1ySHmk74c0leQPegYMZ4THBh1fKFrsAvFHaK5LqlOLmd4ALer0 kijj54590lp63hP/qr1dsjTuuMs+YBcMnw1PPwbNcyykNGH1pPajw3kMzfDAJj7r8etMpzSXylYc 5lvBVQrIFs0hbyUGsQW2AMg4PiNyj02XAhJlCLlv39sToFUCsXdQeS0+B6aojxn60i+LeSv67FCP l5PKPaphfDLOcHniB4DAaalYWBkTXWAKs3oeEkuqEmEre/xxERYkKNyOYonYROa4wVkApC2D5a1D OINgUpml0SMpA1gvLwGj5g8pVZpMwM2HQgMdiUuYMKHetf2VYcsmx5iKxWu7HAGkcxUYsBP5c3M/ JWtTdmJVk3klJ5B3Hl4WO9UPrpcRXn9HPz15dNUU9DGyj0bSspvs5weubTxuF3gRfOXR+OjoepFs ZzSzUejpeI2YCCqdjq3fwsYNnwffgk5AXO2B4nKqWYPE1QGmqPw59bPR+5aFBmUWtKVWVWblNx+r Ane1DNNrYxAUNYkCgKxEUghNAdEfC5e7oKBBIhNbRTBAXbFvvg/d2C/JPokxQ/gYHcOSZwpTFeqw OMzriMthm8/DOniMwIZAlkR/HWjAe3ReZV7WLsXcUkGyKYMxr3B96HGQGxgCzg5Cs4180cGy6jVk B9UT5bKbJqPDl2FoCTR+BXoOpU27/eOPX7UuA72ZE9qYltxbpGL3necWr9f37A+Z7pgiynouTrOT pdr/6C0KctHigchaSwCtnLNL19CZnpLpyGGRgA/1Tax0SZq4AH3a3lVa9hGNOzN5gH9V9tzZgPqo gqrzU987lMx3GeQJQK8wujAH/lrBBIqr+8rIbG7+E2MpN2SyssKkHcH817yRBfob6W4hhmnKnXdd EYXkUWlIpXmA2HKN8noJPlDWXQD4mslObLdq+Km6UuCxQWIP8ymQCObPvWqqXQk7TnKd0RoFYeRg PEKmO4U7uP+qjuYGx2PFK3ynsWgcvtIOODlQBqZVIsYTRyNh8L7UvAZ1ZhkmCsP6cnNTJJ9XEn4W HC3rLAomeZUdLzxG1VlY6F47ljZvub7BaIvqsbQSzcs/7NCl7vEB4neEiWy+KXl7mC1k7sUJj6aM rmLLo60SdOwP/AiIDbLew3qqZKR0489EtUKefBcpQAsaoxA8Ff72O5RvdT8DNs7Uwcam1l4t0k7V ohSd1n/UL4sK0RToUa2gysV7vufB4xNG6iBaszEVLLMaVw715iYCDbWr0sK4rqZ/xhuVBoDZcL5l RpykvhU9xoOCgk4McBRDO55RkjD18D2IXOyxbj/c8Y6y+Nagq3rMxRZXjDSia/mHzh4gQ6KRWRT+ QUhY73wQjGBFCgj5KHiD1i8ZR3C0rnCtCjPtnYV50mKDY6sTjbGhhUOzwr82b7Bk570b/hcIiPdv dWvffuUwS0rtN4KIZIlSP/coVX0tTg3HYL44uShpfNlpLAxwbNMxfw7LzKhoV+W5gt/LeEiPQo4I ePCJruHAayY5TInZbJnWux1BpGHoPPWukaVPr7UkivvDbLDGot5GXvx3B2wTk5bJcV7ykQrQW8ax YNBDUf/0HTW6b4mS8kwa8zvD7dlZFfvVav/WOlOvStqDzs9ve8E9Kyzq0mLk0FXBEt1Fb7kEXumh tXra0DZJ4u/bffz6poUyWKJyPEF9pi61TlLfj5TJHu30OYwYMZC4YXmlPvlwBVdHrFG61JCs5IAG KXCxW+8xuqsPIIpPOvXAjJyYn7mK5Ht5bIRWCwuPXXNJCOhpV2TK/S8gTxC5VapcJp4XZ9dQ0+ih OdF/kl0WUYyHs+FdeS+OZGS5TANPj4iB0yjJCf1031ML9WJVPbzCy1JZF+biOL8O/+aD0xE84dF2 91O0b3Kn5FJ/Nikfi/3YCFhdeGwxa82ttc51XJw7Fi4i/do4deYpC2rE39Ic7TIAfSlstgOeXnlc nGDSHMM6134kXDyO0jF1+HkAGGwJWipxQgh0YlyhMF15pi8lA/pJO/WSAl2fOqpZCGwdh4cmOBSu m0VfihHXxgUmAch0/9XHyjZcYbZ0eRhY6EHzvMEiueMz0umIHW1mCe0DX7tdsiblMTGVJxl9cpOn VCt79aMCor/7g10yBB14bRJGiA1fEhLX5lTBv/Z4mfylwq+H7AQETgim/zW/Lf8JkkHw3+w4Xp/X 5OcbBLc0NbWqm+ZcnFOiriF9sADwLZdxmeOyHpbqqyqlExZ4SN8OxPa/1z+9K8/7WnTSdN2Vjjy2 +Aig3qSqKTGR/CKet6dOEsTwv+tX4OratDujWtp+uBRaRnbKdRtWFDWwCcFn5D8PKzlKtn+QNicr wnp0qUjYn0yjdVPbqe62fJx9a+fZ+p1tHBaB51c4fApzOQD7TUfT86RFDdgZH92VihAufGRChrHa JODv0JTi4mUA1qIZfPDPkM1W27l0SC43+2ty/W3e/vgeWFMIyRmyXE2CNFd/GZGgX0wPa0nxPeVQ Vvgw7cT5Z4D1IQ/hympbTRbMRsBQdnN6K1TqYm0zmQBs2nbez0yQmHKXGgqJS1UuSd/qbacln4aI +yfkxHKd6cJP1RQoSSO8v2bvY09TaaFIM6K/NQaQFR8hWYebsIc6vzJw7uGktEdfqIRta3JGOTmm jJk2i3qSxdUmr/13yxqtyN98ArH/qI4fCgb0tfahH4UEGfkkYT1rIxoRulQrDze2XBiC8KFuAgaa SqWQJ8pWW6e5u8KJcK9F34QphK2y1FdZJYoHymgSPZr1DixPO7CQ8JxviheV9nxpPmTLEae+c/gG 7o4pnr/pvVg/Qm/LKM5jivd48dskBOjRTOiT/9B+7GT5N3tWbtq7t3ZDzrfXfk2rmSWPTCXdZose 7Q9V0xyLFZTNAGk6TnzNgMI804lM2WS22a1zvrm48Jv06UeDMlfQuDajSStouisacHnBzga3vb6X dHTnw48isTSempISwGBhsL7iJfMu4GGLewM4cwvFvqFZXCCnCYCY6FVVT/2Ab16NHTZ2qb6zVglo g9lD1c0MyvUEsd3Z5y7i3iHRcDc0CzhP83XRDLx86mqQ5AI7vR888JgLbUNAFYMNvxCKlwQM9Vw/ BL53Ar9C5VQYst45kJH70I1oD/QfeTuhi4SOdZ0bxKweZxqZtaWBg5+C7Rtl7B0FXiIr3r+vlUG0 +ckG2OP1B9J8yz24kqFGCxq3cx7tcaGYBUm1WeAHsTzKr71LAusyViq5C3VGWgKtxIWVbA2rMPDE mALlZcNORNV2WnLLhKbcVCX2CiSKQi5iUGJj+4fp8w6aP6bVOAqY6F1YjoSrfH7gdvNykRsdSVFe KqXRfMUiJkbcdYLhssvJAOrWyUFSgnUB1xOj6IaoNOGeUryYqGePS3nKlxJApgVG+Ih2035ou/B2 eLAc+lW06Gi9w085Blw04+K6XV18mIeW1cd8PfIV7FlbZFEoWhwGf6ZBtZjv0JQYDtFKIpdtGzu+ qQTfb6+zpN0q8K6tUS+KAQrPN+all+53wR3pjy7V+Be/2qnlIPOO3tGwl2jDhITgmo7SKfnzzGQF dZwPnzezkAZbq88rz8qrhaWN6+PJsNpRK4R4BeWTvR2oND1BDpOxAQdAX/buT4X5TjlTCHFNP5pU YuJH7+D4AJS8UTcO0EUvb4sh8XVwDNDWkNVBG9LmDRRrhVAAH2YqKODMd/zd2nL+nO4kZ041jw17 QIeFKxIpHLFOq/C6qpcVRJQh11GE6Fi8W/a3oaXepD+PF6ur/6Q/vmjW6Qhchrd+y5G6246hM/dQ Fd8uY+zOsTFR4MXQwB/wXoyEAihb0bi7MZLhcEtNhQ7f7nAPpIk4fuVtU1U8dprBpqGl0xVFzivX LJvIWFjxA4HxClMl+0Himpb8Lk4GKPaAGl7IZIqYGK0izf/syBdaJyO4LI9WmQEZfOawncHZADn7 am80rhco5CdlUx4Pa/oqjpaHWKv4LQ+p7KP25y8GVFNRMtWGoZR3IjPMMEopPmLR0dgkHURe3tti Vtx+Y19O0jxHnbMIS0Jlu9gp/zUJcywtr45DWFkLi3iylxfUKlvs9sSHdX+88jna6NCAcr2Uvh4o NJoWKcZRsCbL2uvDfbc4zaxg8XrmngQhvAyiFhGTCc5e4Kvl2I9n/O7FlHTGWk8SY0jwZK33Xrur iIFvcoG8WTDmTyZ6R+r7YEhmpYFhE1qdVZ/HSkmF39gxf2lF6u3Rf1vvW1u2+vblSfqrDoLrzAVl qoPgARjBFNptMhveMJh6oWeJ1Ha3JA7gk/xAnL6b2r/TKmKWPCGA/147sBsdMJP2D7X2u3sKGEGd T1Apnae2QxJdrZPtF+Jbxx/UQ3U1fAINwL8jWIbinfbyElBPIdRUtI0T94wpAQXsr9NBYQuxWan6 hmUAeWmQNoWxS0RbPZqLCeimdJTlGFOuKzyrMFmmHk4blj8vUyTj8Jyr59fNiGL03htf9leRxgZE nMESxJObt0JVBuPxeTNOr+BXlq0A6FuFBSg48wJLY+uqstJ4cajksCmhcpURP1r4ju7akV236GGc 4lC3pTYUe1wq+P3qzR9jKBYJXUMivZNmK7eDYvXRH/8wGgk3ydz75u/sDbjE37OHk0x4xy04G5Wk WqLVFtDTeB33C429KlgyqIrXS+YNy6JGBjJadbs1tKQdzMTUmjUKBHDSagXDGMWC6C5vnAA+baWF 90fszXd/WFx6sB4+toFua+U8pKVE7OGwSHeD7fnhphEh+egtWIoFxMncZVKEFtkC8CFfopqWIoOP LjgKKdnHjy+sRxoQDYBMtieQ8SqMdHwRabF932NLzPWPa50zEWOqQSUrQN7KtXpoV7CPZcU/o7BB /0MNSFvSP+n2dXSpnO6VIyQn8Zn1MVQL3dXlafQGVF2utweYK/Wg3g77UkBGl3ygbajiE3nRHHfV 66SrUaaDx3OyjWuhWi0GVb4WwBDL8/0889TFKcWQkjYP+x60i8znL6juSiW8vmWx/QqPzoIv5ujD 6j0/cGYwUDHzLO3YAI6LqIjMLCtw/+wotR+IlKXjs5WAMqG5r5JgO5oPNYhJJjQ3dN+RwBO7QF2J ytOay4SpZ68IHDtIJ4lK5teVSK54rXVwljS/MNDC6ua0iaNw16X3R2DqkCtuS3z2oBCATAgYfYsn Z+CPHkl8OLlr4PHIkelrTU544vUBJ9fX1aRSR4RRH9QWN/pmwU3PlXRq4GeqX9CSwlgpi0Tj1OCH 4zgb04UXsWi2pzXZ7X1F+zqOQvZUioa/IQkfkFGOKv23DBiE/8n7GlmlljvK9Z+9hzfQBp8se3Ig MIcxh1HpkSMZFbvVsoXTPGmlWerG4SJUqlW1wXTcr3oclwTDwvRZBNA/MNDgKroqTDbryWlPd/vS uQqI7v2YD8VyEw2rogi77Ak3LvSMSiDrc7TkCtJWqBZunewhiTua/cR8GgzC1uLWlYCg2U1Ef7y9 WqhOCjFGlki+Mm9ceX5z+joF/twbD9MkHn+r2KcfFbI8UmzB5xcxzGksympOofRyFdjSrSp1PJwZ ZEonLnj2LN90k3I8k1WYOVDzaCKGCOyTFEViFf8l3P6POhzaRrtuon6bp/ne/EHTis2lzM3M9Bb9 jA2kB62wPZiqL9C2CYRYeztuE6cJp8HuU7DOfaKtM0KFaZAAqXUeSBp9t9pCYiNrpps++aKmcCyw 5jA//x3pfwL+VDfdkLFADNZtps9nYIedGdLFIKxdVMyxchgl9MZPcpHfSS8wl5+Ld47mVulo09nK TAV1JHdvvO/tILZXWrEo47zRYyXBVe9mB5+YZklY8LDG0BNEpDqgtGF3ig6JM8mj6o5NGA3Y7UAa MtYC9H7vEFZZKjesz7S2Gj7ciwRWxJ9noLgKlr36ihO/fFFAc5zgj4hedyI/XArRUtBh3F3WNNHF /IWZB/xQLr3edBfrxH4QQcFJKfweuxmgxIyFWpH+VMH1g3w4ev7EBgrMGq9ss1gdi3xQpV2WMEo2 flqCsKW2Z+GOfUynnY1Vz97JiFerZ94wWtB8fz+ej6Ha6DT86KP1amYtQKROGkT5JdOXymCZZAKP sN30sDx6TooNj2eSw0zHcmrbJRpwOKz9TiIUjtC88fmpKzPst1iEkPcZ6fdjFBPKB+/cUSVAv87T ipiVp7v5UicrRM8VpJBacJF+Co4j/21boR4vYfsdg/yPkR9CEVtnCbrgAo+EO0150+cFbPe4l8Qk v0W8EehzJ7/cayEwt2h8tc8KE/UyXoH2n8n9dIN24MDfQtExIZIlG4mWWRxzFXpgKfRSG6JsEa2D pLFKcT4DyZylSSGj0Xfh8HJNCF9Dj/MMKw+a11uXJ5quP+Ggufjy2GRWsxo9HUhyevx10CQqW8EM mogSHFaEzRhCfZWAsIOTn57I3iwsfVP1t6VJlCsHyA8WMMvBSXORYS2Jl9l1jQoqkey8DYMXNeLM DRr4rkDQFxhBoK0U7qTKL6Sp/g3AycoykmVVZcDRQV3tpM8J5h5FwHWHhW1UTtDIKIf8Rw7f3Ec6 2SM+Fbjd1CNCvS7UeOVnXHMSuCBWrxyN6YOFybs9hw/ja/bSH15TVzOymQGEyPCgRMNrhBzTf76h tjYsa9CCVQzh1GHzoT14IxtQcd/mub+D0RNcf+hNf4peI9kMzEj1eXQbIZBPzSFzlORrk7JtHcXZ uiZZRcKiFd/YvDLNpuu4mYrOZ7fd03nt/oRFH9LevhcEunuoOsGO6VLOyBCsY4Dpc4MiOvzX5XaD 9Hh/WESFHKUiIrKG9qTveuie85AQyJYfjUenUq/dWY0b2dWn+hmBm5Y9bbILAnr5vtKx/OW5ebb5 Qmp+pddtV+TV9SzuCDv03UCM+KdzrbN9wF/zwNTsF/x4PSBvtMYmhkFb2QfA7C7PQlPODfgRqF96 bkzAk+ASqjQuM4yihqJ26vRT3ScSve4XzjwYfWkP+C5nu47TFhYw35Kwu8gG5PCcbKHq5Ny1zBbm 5l0GL76XwKk4fbrbK4yz4aV0hbG2tFRJmdVbPLQS+83BYJq+6HmPpI1bOZ1n+Y6zHF6TyTKZTNzb p1Db+utW5kEyB97XmwVjGwhZlkCph5T8xBCdCFt8kD7GH+A/4Se6BTvdhJ/0czrKEgB4DSfeJMEa DXOAE643bCilJUbF3M+Pf1SUZ718NNwc94KUpWqoGTEMvDTKHV+URHjp0EtNdewsiowLQvB7kn8B 3i9DR1aP6rg4eY4NFbOyB4yqt0jEN4DarMGped5b5JDDn9qgHyLiIEz2QgBFz0dNR2leUQO7gTY8 AHtPjeIJ/D4FJbEDS2rms/PkT2cK4jIrA8v4GBF01/QtgSe/2Bt3LzOk2KueDemKNmbPd06T44wI EkYLRLqSqL9VfD5h95CymhwURRSTIeC0WQbSQeDag0P8R2nTEsh6IGfxwbY4bPIXWxug8jBlmtFL ki7ESJkbU/WD7yPdwV4IztZptvRgeJAsW0Zi5P901prpXUNP9DfSxAYCGmmxiL5/AFcUXSaDRnmL 7nSRep/IvhSIZJkXetkpNzucV8UyXD4w0anhiAcCFq4vPcvv6gOELwAghTS+4HQKqy22u/Lz1+q2 54PtfsbFvs4BWmWnwM/WHn2vbZsOfyjfaDIfG0lKJ5E8Y952IlbQsDmHcxkKd8BiCrIptxt/bwtO 5PmXROt7WAULH5FthmBoEmwdwJX+a2nMy07fIeKONHptORnmtv+3wbGCFt9WJjs+iEaSXSLjTfL4 MzjGCeTr8VxVzdA3lwdedaC+boQC518vCD0Wa0RvQ8tnp1Oo9CzPfhFqF8WxkkJ1YbOxmdJXxDzS t+XFqiXvDG71JgvQsWlL4Ju5S12ql3SiStexKmScisKwQmLR4hXVSTxhdOT1BL9ushafjzqYXZhP cMgezUHiMiaj4exqU5tt+x25ouA6w3DxGq0oAH5tgPWzdcXypg8lWji4OPzx2fN/t0Ha3zUZ+Xwj mGjwJcYDPQq8ytmQuhmEi/sYw7UWCwsA4pfBsGB42SSIPjkYYPIA1Th/Plsp+SdGrgfEZamGPr51 RAn8pKih86m3QpXwJ0cdd06qP+kKeI68ZdA4A74534PC8Uhybez7butFYk/C2wULCSS6h6OLMWcc Z/us74nFT5FERX1HwHaTcec3c/ZZ60sJc/m+CIenUAd9Nd2sF0qungU9xgpMPp8peJ/M1I+1gLu0 jMMGLfv4y4URCkom7LkqDOmLICZJGHUH/L540eWwx6MjiwQOLeZN2V72bEFFQQ76lC8dmj7I3aEc cBwujJBU0fhI4LS3Udz1H8YjfSOY5CeSMAstO7NhJm7/ajiJ7D+57UAHSAj74TxMehuBFrTi/7TT knIptWdWuqnxA6BdetFKrMrnf+JEcr862B4u6zs5wt3tgKT+NxmkILLe5/lBToUQAh1Kk4X70Vuq wGDAsPefhNDes8pbS0txRy2JyUHBs1Ih8VvipXQEf/M3xuF61F1Kc9zvslTc0SwU5kCRbtGyvtiX 4ISVeyvf/am5YDfwMzp+C9IVsRxCCbnrsUq4W3ppUL4rTYNZjI5yVXHThhp4rqenQXcKui5mgBKZ vdBZwJRONPo58ukU1sLyuGoXbH9L7n6yUnEve/0/emQE3mruVtjmXIFyYiMB8sJ6f8e/HbsoZkkb hIr4kyf9NLBrQLN00X/Kk5osqgtkg6B68gQvwLnxzUjtg1DmEvbhZtXq4fKy/BEX1WRIKsS6pvou hRNXEuj2n64XruRdrJic0livKSwQWkM1wxx0hevEcaaRotGdYUt7JET5NaRwRkiSUhU6BUeDXJUx HGDkYxHFlG6v7kaIGQHaseugJk2NrqVpmO98Cmytx/wPwl2SZRfiYIDcmzfDmemV7EqNF6u0V9+5 X0wCF+mUqyJwzEiCszQveHotFfYRnLsx/14nkTf5BWx/laxWupkspRtFAf62g/2Tnm6dxSr4zfVo 5Xv5GihmCJa+UlYVIVBq+fNSL3SLE8wUKuDLZTMl2qBArouDZkQfQcAJ5Gz11TYNIubuWe1AcjRM tqOGAN20hK52DWWNLoP8mHoiZSvTLcmI4jDf8OpKs3Jl/Cu7nCTW/WULat/LsbAHX6hMph9Kq8mE pF669bhWzMS2JO4pD4b635iOwKOkTo8QRtFUWMsb3EkhtQp0FDncQUlUY76aEyh5TwpZYfiaPZ8w 2VYbCfv9u2Qa1cpMQS+ibYhqcy7+RInXGtecsnUyY018pwkyz0eKvSVWUHam0TllCcZLKkEzq9si +1qO/DC6mwImo8oc21tCEJ6eR8YSs7UUTNjNTmWX8FrhEN8cbusCc7JC5IJwmtcEb0jB9VPwMZFM GVPj9yCOaUye1/Q4Jl7DEyDzgMKKQjYoZl3tscNp32MYqSZBVgL5I++oR/ev2PD7ERNdQJNrsa9y 8C4c9BCjT6fC8iwu743tDBwcZ7LV4qVuYXiA/3+nlSH5VXjSdwAaWCYacq/8nLMNHg4cxT7B1MKN 4SSs/0tQoQgjBaAsPThWHZLKb1T+9AaJDQfjqwcwkM0nQRGzbEshFdaxgWtGB8Xa6OFoyPNDJ7hI HaHCFRFljqIK7PTZvsC1gLOHX1CsPxT0QloD5+NJZGWepP0q04qdppPyEk7W1hsdeKKekN5l+9m3 Mx+Tgbs/MFkINyjz5dGgni5evLD4OsuNQrP+a0nrtVQbKYsjzhqcUEXuTfJqkGPyZv4dkxkIUa0z NI4dhYMKriui4MtVtjifUNvzjsztyj1TiWYduVreCw+MRH1vONGqpZ13Ep3rYJ+rrpUW84Q1GB1p Geh+epwNowSUfOfxBXpxho/SvzpYggZ722qkCYB4UExikLcG+/40a/l6iMY9scHOHWOWfaFAdFpl UrmwOBclaE803dvdhE4DOrJqmbIh7bjxgk2TdF6bBP09qvsNXFs1l6/ttNJ834JVgdpxFPDDMiHk NsSSbd7TPEesTOj7LJOOQ4afWB+b5IW7PJpQV2tjOGQmyS7oLA31fAIVWuJSFP18fL1TCSk3zn1S 0pu2SdA+m7LX39y210gVU2mNmUTfcyWFrMsMRFc8B3QYdnkKGh8XjxJIZOeyrD5+uRXIa9gj46to HY1Z2jTq0ZcvFMdKpMPifKKYO5vJF6HX8c/4ZzUCWo/wMT5E72v5FKeZ5auDZmVS8m3FP7MWckkh FZbbDd3BRAOmji6iqNjYaz4WFDREJTREr9Ysi6Qb4ATNZucEQu4qF8JIUms5isaSGlB/zaHg5gn9 aXN5cyGQ67zfbEg28rkjC6rIW/I4nn/ch68ZtxEFrsX0PN/B4bM8pfBroR2Bwh96m43+SftTfEc7 OALt6xETvlC53WK3zwuk17vvuTKJz2yejX2J+5naJiCsSiJK0Vs7jN/2G5aUoi7lWxh9LKCN5EdE 3Cs4fUNT1l1wLaPqcencClRoXvWtp7XJme6Ly/PgFOmD8W6Vqa71yZz7zdMkZekFjMq16i5TGHmH f0cl+/HhD6KNuTSX9UV8HEt7qDXzQG4tqxVRoso/LN/kxP979MOSuGynS/No+FfgKhh0VTtrpu7I XdXeVuPhV82dmpdlP4tzkl+S/8r+9/+ea7bB/I8+7yB4Gftc0O+L8b/tfszxweZnmdoguypWoYtm 5smkBbR1+NECAyjg2RCy4uC1ox31tbTR7SDb6mzwNDhiiIBpecAk7cevcb/GkyfiFLxb8H9hOgf2 Ly7r6LqjLI9VQhJ7LymS0jU4a41TH9vbIYQIspdNyqYqaNPTEHP9RnPzU9hYjetl5o/NqjG7U61c 8y1l2PAXsRyUTFtwxKiMTiTirMbcD8cqgFGJiORnq8aTxv+JMw32e19r0JTPW3uS8BflI3bdmmoE CLxcxo6JVMG9kmKCJGIEsREtrMpdq4n4629KBQ3BWBEjWNcP5XQKIQklYbOp64QL0BUX1A5Gcfru Ny48jM+xHwbT+9DoYrvzlsyLKAxDLAdASt6lB2o3AQb83LY2dPocjEa6xfZkJw9JvqaWiBKaWb6k DOb439YpJjttSOs5A405zrDlIRzUlBUjbn9uiic5GGuo2OB/jOUz/5gUq1Uq279oUhAuDHK2GPNa H8cKvbPLg8/tbRhBvDyiLCwzE4YG+RWWzMvWMnfz4iH8NEPaN7HGo4gzuATcOgZ+fGtU6hYYCAY4 IiCELHPu6URZKSGRa0nNBsz0aEVVbK6yjjq86qfRxavcg3v/y6aTByoDoBcERDjT59Yf6eJ7ihwF QW3gU5MD6ZTOc76J24JOBLlROb0tCmvw829rrqnJNM2dAKMNcZL7YJZ7wzRkR7DVdfq2JxwUd5Iu L3EJEcv27R+qi31dPQypWZyaj20DJf4DmRPe4mupte4rL+YRC7tWqaMCoYW9NKlpVQbHrlpMKSy9 8FSfaff1eI6UXLFsdBjO+bf0/AxZChOuiPad/TLegNcT3QOED0DL/B/M7SCO9Kjf9DLPtKgj4ZRE 0eutl0IS/ik3HOoZwYCF45+vT/NWsLTm5pmIYEoZC++z0t70FATwCE2u4LCQbd6HX9zqir0j3DyI +zuLExxd32w7IEFZCrMHfOojJ5wgYvBCd4nm/vqbORtTr2ooWXykPhWQ9wKOo+Sv4qae515S/nvn tqyFgM07y3E8IHOM+9Dm3skAsNQjkn8fD8AGWE61neb9scXn4MTvB3GMzCAotdbrKpuvy+3mZAOm u0lPtXIcfvpikc1SFcuVKvOpfKNVzfOzE8AKDYzRJNjiJ+C/KfyYmXVDxbgnK7CyqyHQgiJQHvEJ qHv1Xh67CXChLeFlLSGkyVuSZyQNX/mm+IueX8raAlDghntD3VSiMiElugUOKgSaj64wYRye0aMG iOtfjUW/FjYW4LcuCoecTMQkaYMeN/r2ZYidbzQDivTnTSFKC7tKb2VsDjigtYO0l4ApUNGDze4e iAknFKFq3wqqcFPi7zA0kV74NjxTKkECM0kz5gWawEFIuv7cuWbbb44kB/EL1Cn3m8Xo3/x88kyd qm65uggEdnJ5m2XBzEwzoBkR4WtXamDST0r6DJKOerWH0Y/Fs9l829mxRDNaXcJDDE4AYl+Lvqlg g9lpsph8M36DcVcwnlQIsaEz5Dg/uUQaFpvIksAUMY0YBzUFzKgx4QEPfjRM6xAuR4wrd6L10+F9 rgGnEV2/5CFiG6fPVsKDq+tzTiKSWuVbHb3Y+LBoH2g4IkWSBYCr/P9RCgYnUxrEFdwOJuA3dzcx 9CINn/7fr3JR8c3oRkjr2w1qxZbIaP1xXy/qOKT4pdCBzFybKEs4Kh35FtzPD0CVRSPcTZzufMfO inJxkrrhuPW5Ix7+cwhJLpEE8kL9amDR0Yz9KLc/hnG5brVZeXfzpktLp1MO6EnDnOun3JGudgx8 5SiJ6HygQwg4gaAZoDp3kRbryXdDscA1BrRBsCAzQKIXgsMv75AvD0gwdZX/4iaPxJCyktFKJCTP TukU4k1DH8fr9n7sh4/ei0/2AwIYE2OWndypT+vsnoU02sOByUB8U/oJ1NxLcInRbQiKmVuh/3Nd RAxw0nLJyMgh3ImAHJX1eG5NDr31Wx3LVjDDmfl0dGRJbQRZfQ5/n8IPU0FBx6+8xvLn0T0V9U7a logwYsjWfUOrvwAGBQ7bDeHGayU/waLKrtVi5/k7vfENkWx11w2DjA+D3l/hOeftwUCv4l/zVhrc R/bfVCq46ydHp23+wW4KR8ey1+jtgqZtPACTgChV3yzhheDNbmIApXXdjcVV+pFRjTsep+1ObqCm eMu9fUiiWe9id53upViVqrWO/fmSWSVKT6+PfTdVyp7DLxUFhCDHBZCTtLbCf31PsZ7dE6TiIff8 nClz9+jP0jHp6kvSQs1bQ/3sp8MV8sBz+KXoN2HBuy5WEQ4Cc1dRQCSUiIKLlBac5sQZ4ZeFdrWt j1u6aFkQTMNyndWeFzvdy0L+Emp1iI9UqqNEZDIn9ImDR1yiTKwd4/63ZFJzmzJqRHK6kkpCMzzp gSa5XzXJHGg7PWuQwqCktIzSBG5EAlvHD6maYgK7wKy00UAEn+xSrkC9MYcDoTSCHsk7DcK7n+FO 3S28XWLsXlShK1Sv81skQrD+c6RvpxNlDG5EvjMJleCxOyRjgV8U8OlZZEQ0+cztT9vB60n6NUDK y77ivtZh/Tr64ujQQVSwnFXFFRFO0JaqGyOAoT9oWDUUoxN35uVkGdSv+JP+UoiTwQ88NhH7tmnK QPku `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone/ipshared/xilinx.com/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
24
73491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA zkhz98SR0w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1 xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2 Vf/+czWAwGAF78M7eU0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB 4rCaDADltHHwoyn39vQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3 RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf 50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo 3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5 avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR 6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block lHJV8fpNPaAZNP6iIPw0aooXy7VRQ2GLxTpNXR94nT4ghoEdejBJNhfc/Fwc80b61mJwVFxchIdb GWC+ItoNeNoQyj44L4mQHHbqXr11K9g1ah6JdpEBvpbq5r7jAZ96wTtDFnmp2jSnAvlN7D/ZiY0h LGxedS1cvxUNYPKiThD2nGHzCC6Zt/lZul0nauBQBSGS4/+KRCDJUNt2ye73F3xL2EbHXQhpdgJg 27df8BZNsq2V5zRneU3NStGgH/uk3X3hdQUe6vMoRZ0W0G7YMti3H1zH3Hgpi4xXOg27VrODjHlL dB0Q6VieODZVtqwUttrsbF/B22HC/Yn1PwcS0/BygvG+3vvOOyfzUdQQ6UGtKBiwTrX2AgWE06Ll HFicd6drMRluA8EMhKdbEoIPBzEyY9wSHO8HH/jWZnK1Aq52SDISlJi0pbjM4VGD/fc2zeD0eVCs 5JSxpFx4ohZZYKip9eak4gYa9vRS6P1WlewHmIAdrpRw9rWfWjVW1OyqHrm9wtu4TrgY/ZjPCPS3 L9t4IGuBdseVBQcz4cpPKRUI3+zaNA+bT5jyO4D/nQPACA4RnBBL5YFCC79oFIR+R0KTflVM8RyC u70OozzyUV9lP8zQfSunz6zCNP8MqFkUu/7EU8GW+4dxcXoSgLuSqIXnb1gWpiuB67Xm0R5LkcUz 4/LJE8DwhOqX9G+5jg1naCAGJtYjSZ30rBvrDbCSbUeLZNXW0Wzil/heTItm4mGssyhCAklkVh3g s1oIC/oEo0zv6Ff/ShmUgHpfA9tsEjGHKYbz639bm8WH7MJwrj8O4yUmE3cIMll0eI2IFtJ5tlxo LqfnXag5cUzf2U54NcMjHs6lHKI18xnOSB0K8NKex1Ye1Ckq7M2JMVVanS52SpsdXsUc0aK98A6R gZypEAjOxwIjihyoGfs5hIebqkmeqBKG8nd9ZUqYV4luO9tdSHRvI7pfaf41RYSmphJQPxapR8Cm 2P/8D2EnLKAIe8CzBmF18m3WJcnfplRzeqNStxyKggvIUzq40fe9NKhCYfuKoGsNR88HhEt2gp2D kOUt2MviofJK7HZiJfEm53OXDTM96Rz9fbq40lj6AimZVXBtUy6U3BzZfn1i8Xb0DMS0MPje7Ivz PSs1QuFBJI4vtm22OhxyebrCziBvLFgPUbzbNWEwfDY/YVtDIVIH9goDgK8BYQ0vjTsYsFfvJPK1 PLOs0cg/sC8497LnDtQbvyqcQJcbsfbrgljqnEAadBHhVyEeHIha4HlxcgSL0STB8RI2qpCY+CtT hAcJYrnNv6IWHtWHPnmsz9tc6zSFUPphNQ6Jq0qWOA49opP++OB1IK0wXff6koCNHWY+ZN9Bi6jE fBZzmPn9QT5AB9IePJbxZz9IjAhEDVKxRYAoO1zmvY0o6eycX7q2y2xRn6+fL/cuovURdT4cRoi2 /ltueXECIHJPmxOgRCG/b2mbt+MtVnS4EkSI7DVwsS6Y2j6Epc+wpDlpzcu+CjqGE/igCA9jdTil X4N9/IyQB5pJ/B0+Vd7d1VwC2tYn/FxPkTaFClxvL9Qxmh3CQo16tXfti3M3MheQbbagzYKmXdAJ KbL9pX8VeyS5jP5EHBHirCLW3n4d44O3Evi/K9asBNH2wWbx5ByAx7vuX+IiYALaeUey+27YmF0g pO0iiywyR8z3TzMbt/GU7cgOwcF8/Ye/mxavaMHsZmqBbGeKeU8SU4LKGPRRv3ZoUYSm4TXpiyOa BvW7lY8cBtDefvzS7m4/1CPEwXOnv8pWHJTtoIU1tKYzXGBh7nQb/flhd2vOZ7bHwvNhLG3+8bIJ 2MPGHSs167GZRywQWyUDlXxk1OJj8deu4gLE47pQop06E5ZI0R5J/xmtt7OAtgip2lojJIhhDDEH Q/qnGvsWLp5XxrA3G13mpZf3aZEVbMHmiWmXiRQ9Ucc7tze4huWmSAugWR/pGtODa6ArinjVMlYN DXSz66a6n91gv3WSDbdNFyZOau2PI/shZZkQBfFYnqq9CeLuSzgU9ZaReu9vWb9XxebNkmiRAr8R sXs+ctMgK9tjSybqkdTtyJVkSqwQDE9J6UTtdYQnsfO2LwGDjJbTsjlHAKsoDEHdn74CARwIxy3j 7exz0v3S2GNvMaRJ6hh6Nrl0g6yopGnEItQIosjag6QmAwCcBchsKmf7I98HTkTf5tlVZImUcZo5 KfSiifLAqLtop1TezKTwhJtOQPeo99s9BMa6NOG+rzJLxPYuEaxzrEAtLONwrC/cqad7eEZLA6iz bPc4afW5BOXM3q9iYOaglpePfam+GxRv84x4VBtVtmO3pEKtABFvXpCSpxXjHyzQLMBXlCjsqWhJ ICBOecKedmkKca7qDZf8Gyh3I5EO27KZIUZv5CnJ2VQVhv5/D+K4FtPuMZW/i1rXG6fWC8nn976V SqZN7DbjO6ErWWseFLLw6R46LQhLb5UdAqP5wx55NmokExV1fBdFAzahaW78uZIcra475oFZ6eZB /j2z1aQC1FUgaTG+KZVwWIRoxi9lwEqEKbCpdR7F5uqP2EsD1d3p5bi91Gi3kJgXeM0J49Ky7U9I 1v3q8AjPqPFp9QN3eftvsQ2+u8KxkOiq1YNyHGnJVFCisBnECQ1P/t5kwBl64n47kNUxomeqNoj8 5slBpbipoYnzMETKDKgSlofq8ndrdRvysw4juVYDDZxo8iIt7W1a9mgUisS8W7IIHYfbUYo4qczD 42q9a/AqWsfAjnrHNX3mYsu29+aiyyOgJcRfSr7aCJGCZo/diOArrlY6ry4Qw63z5zUDDlyfJfYX 1r5SDoIDXKmR7QXQSdvlXq1TfLiLIwT+W6ko9EZ5zWmBvU1hW4Fmd4RPyaMQVAWoWE5ZoKjUfzRl H7d9OEixt8eUeA9VESIBvASinDRPpUvl4CCtkxVnAM317XWy7f2Bo4zL+smW4LJBlIKVJTkKWw8O LqexlHYh37GOYxtVR3tQpNR1Tt+b68O7RskHirAn5tuoH/px1nHirUyqAwfzj1OvwZ7Zjhj6o8N4 WBNinCbeJ8t+avQXXQlqSOBJ3IbGnrfNzC6xo4zbJzyPtUc0ERTIDFuiTTTI/IAUj6Hdh5V8+k13 wvZeFyTZBMDQyD6hUX8d1LgfKmj5IapG7zQPDxDIFsBRf6wkQO0EG2DazKLbVpccCx/bzD+JzQR8 OT1+yGHPv0DgjoAQYD8xIayspanoAafvCxJPhgqEnxfnZedF89cX/QqFseR/2tlh64dEMI3d4uMj eBzpNkcNrP8aOCkVyx+JxQMo4aDSt1RgA89JKOocPqO+YvXE0o3WGVkoDpo1Gz4M2X55tVYwUAF6 PrgdOhdV2k7nMHAXW6Gqu4TJwHlU4OuFWIZsNhmNNemC6ohz4n2oz6GCxDz4+sewYPulRmTiR9oV ii8WcPIpKvzJHIzPMANy5tJERbXWCsfgYiwSUuDUE5bFkh14pidzL2kGe3FSk7FqSp1B6tUp1Q1k /GHgodnYw5/qXagjac3BQbbasPfK178EZW4ELAhzIW4yBBGj+93mz/8D7b0c4Upo7VJmgXaex28+ Xo/iLa7Xl6uRkwhy16diMu4ShjzFpbS5qDEC66NsMnVfH7v7bS2ezB9UfpQ1bxi65h2NYLl35V2O pVYYodILLRLbgnAZzQISS8pP32De2LsfmR1MAKMnF+1KzJxj0aL/YWF8rDuAwOyswTjQQ9PJPBqo 4y4eZcPc5mBa/VdEncbAOpIb/PRFAuisPa+pZm3gT/l3ZH2TjWdXrV8YIXbBtTJjCE+sxk3XUAY9 5w/VGmKeaeOY4/5rR2zx3WODiTiBucHfQzNQCziKYrjjouNwfifH9X71Qda8asnw3B+NMOdc6Tjm KNiz034y8pLgM0qb/9iaeQ+OOSzQQCBOcy9vlQ+pcm/+DRhdc5J41k60cr63RVnDSN+U7JPhMfL4 CE3h9pNGluctJWn+72yxv+u9Df1UE305wZyWc781/YLI8OwLdCVpebbMIpTJztugl6l0jaW9MJsE /cJbOHthlB0ehOhfkrGYe8aDvk5ilg1YDITAky4gKhfE5orReC0obgTsteE1uN5v/tsNXX42V+om RhwTdGOdgfda24HfB9wNU150/3Ek5vLczU2D2Bn+6hB6jRYMEdx6rDeD9rVQwzXEpvpK1C5vts0B Hhhuj4Xn6jppTeY9KHWP5bqILrnp+kneuSHPziU+9aoamOHe04lXni35BMk8+Bvqr8ssD4JkU8aG WM8AZS4SEZKm0ZKaoFPw19s3VX3dDJZuYx+AuC71oZ48hfhS74RonbUhpNyxhmg2M8bH0QaveSbz hcgA8eflgljoVN+69DRnyPV3mmbIXF+lmFSe5+XOIw+VoOC7wQ3qEgQHleoF0rS0MDl8Khy3BG9d WvclgQycTiQkki1giQ2n9hKTbUlBh0eW9HXXG5dqn6axBb2vEr7mV3O4pxi8nm99raBJI1RIzrmw k9ASYEr9Oh8k8FW7L29vHuj5/Gsp0oybukjh1bLluVYdaWKnD/eOHQyMcFEEAzUJEfevL34RiR6Z t+h1udDO1pbHaD0jtfjnTUMw/H/IqCHXwv4IXyGECvJ5vyr/wjH9qPMHCrIg+MR85GFCyVplXG7K B1mAoOBhjWrorBnVXK12xWjzHABWtsATQem4vnGSyqEYf3l56p53/QnM0k06OHu89Pr8jlx/W+Gp ZGe+/OeY58X5nEX3jk5onAVdI68TBmhYELuYDBcWs8aaSXltp0sD8VyLQ9LRhdRqQLkm/5fBMfFA u8DLFSufFZTh+SigStcXXglittX15hz00rmTREwov6VItTYeVHEuLIyJNPK1d8OVs7c+hHQAoZda /zcG4tPq2hnnWvLY0iszuPE4YA4lryR0PWgYGQxEmRsmkoh/CsOSznQ09lziPVnw77ZpiYAD6fVH 7gbPIAAwLDIUuMOHlIr4WQSgMqQnojpc7b08aOXUSTOhcB173qhpbFXWawo4sEFuKDIxhs+RGe+J Tim0unQ8TPlMFamjdXCbVtPk5glB8zaYHYSoJPrXUEghRh7BFerBO6b5ap9UPVQiDxt+6zCanrnR ww69nmXLbhakNmU0sHzknZIaS0AXSLBqNofLURtBqu92sLRDgczxXmIhTLAJYVrbXdIN3wSJSvwm RDS/6j7lg3BLEWHBkbbBp9u/itMfPUA54Iik/D8rSCOu7baobeb6JK1sK+sHdA5Iscz2ebWfSlzG K5nZIa1aToBS8eE+5sgKKxOnjFgkwNTq7GvBYir+aLMo/a98QI1J3HNw7kjJk2J0DXmCfMTVglZ4 GL0APmFv1sQOfZgP2zCKHyWDS4+Bw+Zeyy/X5R3zxHS9tL1tmhUfQfgr0lPNbHouz8ALAsZOx9Lc RNll64dJQSXQteoJr8aNqYdP2qPeUSVB/0L+WsUvQ05X4jsrWxqO6OcTUTBiWFMthrHeSeg+mOQJ rhP0n6vHO6IxNvFM+pkw+LeSUCruPKzHeiGlDMUZDgMTVm9g8YRhe87CJJCbHRnujVIXQl8FkhMs 3fDCUSYpsQRY9buQIKPKUKlLaEnxD4igWQRfWZRJxwWlrKjpUfReXv0Ia6G8/QJ4LKnYoJfhoHWG n0i+xNmpFZGj55AjI/Rhqgap7ecuR8+lAOZY+vrI3lFXNFsBGmVXgyg8GpCIO7LavvPndD/WoWoA MzKDoq27cST0TeobfWTBsprNvl+gUF8A67ThFnGSqltPj4AUU4Lo/oKY5sGw7HCuHnx+ZrVpk0q4 p2tqSZPtZYdXmdov21m+mGxrZjGPf1HR6iesJ4Bo3+0rGZ7zTZQpYWN8OudB2Nf+LBaPtv4AZDzw JpmIbVvhu0MuoMEugN/BBxEzIi3MSCuv3bFtNqTEZ/9iM5BdyxdQ/ZBL/ZHzY2G3N1lmRZXP9TBa FYrNq5E+5p15wuL0EaGAUILn87wBBy7Kn/BG/n2Syi20H/WjDCJnwQie6OEbR7JtJXbzXPtVVOYg LLO91V4g+OlbazZalqSFQC2I2kCMVr00tBm8KJYx3JtgVkoANOqfZzQpK53a49bb4BisCaqNrVi4 hh9oWMIGlJKfOKA9d2D+k1g5N+poISGOCk3Zqw0YXz+xHNa7+BTux/NMwWFznzVNqLr7FDzKoVvU kJB5EyF97AyPZq5VHSZJZrTtyhbKGyDVxkGAlpIfFW6QuDoM1oka1ETnLSpQUNy1ERmGgGJ9ofow ndU1VUhNNFFW8OmmTJDaCQSrwRaTnUsVAALucv8Cjg0zLecxebFzf9A/VYw3wKz13XPJBgSag66q YA6dBsJA6qeEPsSo+SSWm1ttiMVPDf4ysEgEwkGUg8ou9xZixC2KTWhuA5rhz31LBuenzc77TI4U YbTduqfeDh/t8ILeDjg4GBmTIiLsxH5RzNH5YBtPq8jdez8+vwKrQ+jsx3wQIb9U9558a/3d4Ggk FW4quLm6ic23NvzcK/oxcDv3GmZgHRN3fjLpY13MVfMOgLUvzKSGLg+Sda+1yRAnX268C10waGFO Vdak7HZ6s3BEdXO+cMu8zKQbPHGJsPKDDnU4oebdfcwzYwBzqNNmnXy11heRiTU7uCZExsp0APRq vNdsCKcEAe8KfG3oV/d68UDWR1erm0zQqbESwIxGWKPiGR3Nn6fGbFXQKRanl/+sEgrZiT9cCnVl hNFrM6gv+AZ1tDwk9X6dJtt9yD91SOdsk4XjXeWoteA7eWoHViwcOj0oor6I6xmhQhj1oKCW/Jt4 n48ZK4vPfndwa8r1iiA296nahZ8RPqKB7sS8YZAif6IcZZgRSKPfQCE/j/BqwSddXgWm/txSUAfn yN9rN8kOoKUfwt87cGk2lj8Clt8b5yUw+weVTJUsCuX1gaWVZMFE7XtWWFCUg4S9dereutR8nK9q YCUeN5bPqm5KVD4ERMf1lHmKXqa7iwcpDG6wbQ9AOpqY0Mf5hiBZWXkxIS8MUaYqnXy7YhHVpR4h 5lA1VjL39LYsByu1YyQJgXGXHzlEbcfm/Ezx98gOKCUUClhvp3iUuFkq/WmVsltrwN2z9RZrAKLi S1cYAAZyiVTBB8VxlwNIvhrL7deJZpU/zDeyEtCW8MEEIAD9urHHyprwPA/bb4if8iMOyiThDeY6 to+7ME53J2DmadGGjQgHtTT9EapO3DkSVZWODOlxa4xL9C5Yh1jUgORtK9VMnx1BtcqrH+urDMCT mCzwU/279JPXoN5BqzkCkE6BANr1SuXGtX/ee8UBg2TiPMYbaJ0xGe8n0cZG14Y/7ZPl5oUoMeJK yNzGXtvg6n4+dv1nsmfwvjkXWRsGVEpx/Wujy0do3u1ymKyiHyVzSKuARw692p4zUCjn3w17vmrt jSDW74euYyCzFzIL80Z+Is+GugrNaEX18o/xUA3zf2JSOPREYixSDcy9gE7QpsNkIKeuBPKBlVK0 fYuv/oxLXfwby0FceKYsDptawCAA5xIdOqK+qHs6FNe8XypLowBnOaJMELRvmKTjma62cF1KpKWb XyYgrDbRZd76ITC5SlnFkSvDjFnQuReRChvSwd5nQ8e5Uj+4AaCZytqtyU4NVNVcjDsJsRjruNVj clAcX7Nzs702aUQM64flcgf0S6nhxk4IFq47BMh3rBJCLBpzf8MbO98fruPrUbSCMV477MiQW4G0 RbY4P26/DX48nYwgm00VwOiLSVR0zNrwEfVnf8VzHI9XuCW5AhyYaFXsAhYGxFEVYQRAqeG8aMBk /XSWZZ1TO8N1mqypKlkmNR5sFIzu4PIxwWvEL1qJ3bYSULNWCGH0xu1pik324fWJ+dv14PEi79W5 MFYmEDnTP+EM9SKUjVftvZg3LSOdqEQXjdSCl0Z+G2PegTvaWiCbkKkmax76KFyOXn5paUobvxl2 cnkm4bQkfUlw7KbBeoWvLFjUfUZamspzRSm1XQpeScmbXCVvnA36pAvvV4tij7syucP7Ha9jjMuV IE4KOudvM9U4weoNyZWI3CgpNviFSiHOeSot4+cfY1v21mQn631d9QnMhTclAvAdWQwERqg0la7i g4l/ekgVXtnjxhXKzVRIUjPKSMS8FkCfA3zJR3fL7EgM2BrVhYvUAs5zRzp+H1eXMuz7igqJKh9C 5EVM9Uiaazz8gRcd3o9tRqmSS/7CoRS5qvFzyOdWgsBw/kEC8KZrw5N22doKl19ZBYx+rL/Zks8Z hkChG85fNiviujjU88+EOqbJkQacrwM69BU4dsnLn6f+j951OqOy80+DR9AT0MZZmHZSy3uPhXqx qAZZ1eJbJke4sTy+9+tJS1B3XjQCR4Nv3C6jSust8U7v2hkyRsuVWL3DIVRhdVWDbh5Tf9NpQhpv 94yQ3hq6CO5fnfRvzzFnkn/57UTnLaL2bxer5KopQcsfnq5yn9KQrsb5ExnTz2etS2wejYVLXNR3 DuYDYiVNTSDKj1faTGnbXEK1ONwHq7OfB6pnnZbdafq16cfSlP7KT/vIw1W9UJgo7cCxpO53p6hd 9D3tuWg16tuaPao7H524CNsnEPYcENNbxi2K4QwW6nNA7nm4aR+UuvBVEmq4TudEl1yR29h6tcBd AtbPKD+VJmgUIKgl0duN29T9v2b/LRhOtYUjZEA7J1YFPeg/02NrMbglc1Jlw1DC5SnUvUaU7Y3C ZEnQX98dSUuxNf5QYd+WGopMpIsxboD8/i9o8mABJjWn0MF/V1qTpCDQfzSZxJfDIaPTJdGKkqwS iSsuWLN9KmIV/AsVxLlVVv5pDsgFFjhhuHgcmO1Xvx7THlufhb5P82KkmesH1l2b/Wt3+LEQ515E CL2G0oexeQ6JfsKcA8s4A+PDu4fhsDFS4zPJE5ag0/66rX5Tupu6kY9ikopeDgzqg/UotLDKMNV/ WPmfWtxCjswLG04ud5/RzgHvo0/3dBnwrmLAAwqhvzbrCLPIWhumNFBxhbj7g6RiT05eypuf1fwQ 44OQCHPg5AR4K9dcbuwEnkV1G4/M1p2tifUVJPeroExXjuRUg5hYx1IUQdG01LcvSvX9i7PQgBN6 dRGSv5hrWIEzlsz+Q3BvbijI1BROPsOIX9uj5zvfaiVDDQVYoOQ2RRnqCbfanDBer2xi4lRMM10F lU3okoymNXx1b6Flj6ntnyiZEq7A+2g+0hubtmq3rL0FzthtfHiaW5jHPncm5PTFxD+p0Ck/l8kz xWJS1eQnrgYB9Pjt0OvyFia0c27u8z1O7x6dep+Q2fPoWtht+wGgyPFZe4ofZpcaL3/9nyQmz2SP 1LXo62V+kynGSE4i2r0SbtgN/afoucOn7VC9y+qp4yXX+PGtnEeKTJnPVuWzmcTQWUHIiE7vBRer 6j9lqetfpdGIZf4ZdjlpVj/++NNz4NHT2lKmw1ckUhaa9KCrij8IpgC7JV368hd8l6iERyZ2svxZ Lcy/DylD2z8uPEZZ5wqXJxdywK3i6E/TxKD7oA1uMhFeZcTWi/o4PPGAe4S5UGbEy9E/+tefcWWi afzxKTdpMKP0ziYjs5Nu6cRREyGjFl6bxAy1fU/7JZo6UGklii8pWCLSPc61mN6kciEN1ZlcTOec Pc90kSkV7lKMQP3sSw8Yf7e4deDaEbM4vvQFxS2ylew3RRkntplytNxvMEDort6ciNWLa6x9HTbW RtnPlT8Ch0cqdwx0RPCIstQyCiAO/hI610VoNKlE8/XsOwBHcke3ISYElVeCzPJ1w5HRkLV5AHys iMsS1r4YV9mZJuG+Y5FDe+92CZwDfTmC6QKvKlI82lnhYfUlJPRe7o4qiwO3t6/lt35GTdxJAJoR rLxW08OwXhU8TlqW0p8mKdkPGfjftM+OsmHGqIzuy2G+W0WQvAE9y9JXtfqy77xM4KouCrwop7zt ybYYN0ttPOqJQ7W51O3GOPhAThEkdXVbnk5vZxokT3jIXm1vA/75ZYmf+o6hKZSxlMRUExLuuB4N 1tPs9M4W0PA38/fPKtk+4KQ/R/q9mf+C12ucE9HH9UTtCUtCytxnai5kauJvJwDpJQBvrnQJmlvO 74eJQjO9XKSqGnoTXWLqAPzFI9pZ7XE9cL2F3P5y8Znlh5+AlL27a/qPj5TrMF14XnH/lRpEbUNL 9bMjWrCEnDQd32DbT5GLgX9scITJhSSIV5u702GFRDcIzy7CIh3il3Q2T0ln9ex3REHhyOvMDEQv j1MisnhVLxxv6Joqm07mlind8BQr0GC3Q63NX9k8eJligIf2qMcl2HkMqt0qdUVoIY/3SQ2Q8daa igFjS2VKKKoBxKs8wsJws0/BSVCC7180+DHnV7/0lFL21c68HtbOJClCOdk8btZ5vOIFM9cooVP9 ET+GDlkXFOXV/L4MLZYrWcVTtUpntmY1LmlcDTRO9xXtzYGPssHusJSzopK6L2Zk4BBAz6AcQwtA kd7jEfTK4bhfZiQvR5dhPLqBkdQtObyAUlWYQV1697OO8EDhb443h/JuGb4fbV2QlWz+BKo/Saah 51n4JLIqu6JIJvKOYHbbEm/cf1gGmpjD/VMxQOr4qT+SuHlsMEvV7fxSVUDGqnlqWsdLFyi7pXEc y/83hJjY0AK+PQarr/in35XJA7S6F7BjJ3iBruvbA4tNgwPwbWXuxjRZlcXklXO2eoIWU3ZVpfQA bq6dhAnEshB1dDjCifqxoXoUFGqU/4Vd2qQIMYErwdGPLHUgXLckS4Bg40U9exQQBDtcegF/Tzwr Ocphd564ymGuKBLC639a6tr33C3ZN8wBsydySW+86W3iPoMx9rA7G5DtnnQgGFzIMgoEli1/SvU0 +IjKB7zUsDqEXN3jo1C8nq1JvQ2EuxzFMSdjKQP+lcFoqxIvYc6571ae75ziSwR8JlAoGoTZm0/7 a/rYzxGiDU/JBDqTJ4eZDl/vBlTKlMltoJOkXkARGquFEzNrTcPEew6XSNBpKaDL81WgFyASSUzk 13rtBwCifJcUs3yB+QKjZUB8SXTYcR89pm30R6bgFAmyXA5LUaJwPdLBNgCI33iPbVKosg9Xqbe+ Pk0IW1ke//0Bz7lQvtEd4iHVAyubvV2sthdXrJNiJnkRoZAUGGxDwqiZ2LBqZpA4HZ/lshd4gYQd OJFncfzCG/ultyvGDqJXUZuZ4gHqkJjPqVhnzq9joGU0EE7qBSB9brRTDM9rM4oYWB12GM1tXbas T/jkgZMmm7WnHUbb2/DwsyTJm/ng8rSfOoPp9O/EFM6Xv14ZyeyXDBf9dg+AKWlGvMkCeLSkWH+Y +Ni/svPfg0uVxhE68ubWXGJ+Lgvtx8MUJCasJAlNm8UFXD9Da47ZQTkXOqoNGS7zZx+RhvwzHUkk WlZj8EjNkT+fG86w26qJAUEPOXE0ZAzTWBIUf7FAfvVPgPOcdxwwGKfs4UodkLwLJndlZ/CuMNSu IAmiJ6r6CZpi9YRA80aXzPszSZevSkoyC9sFqJ0bMWqoh+rzea4Qdy0rGS+uQRjvIpA57uIMqSRL T//BzKwnsKn7BrR8ZCS/Oqp6A36XWXJaApOiPeRU20WpaSxJAQ1wRDQuB1sT3Nfk3XxXdKGkYbB1 TCkKZPLRmMh2fp+ERN91uD9kQQM3x2mJE2VSJXef+/VPCR/mphnwZdZCS9Y/5452uNPjBnJv8RBL vFkaxC6CFiHjl+c2nlUOXe3zXwaSWE56rXJ16z7jg/JlCHjrh2Nf/zLR8cv8l//rRbQIiuDefM2l njmCSTdmLvZaMjl4hDAYHkWWYNflV4ylX2bjJsQB4cvFcs8ko9gEXVCBdNF4xvkeABCeQBB2Q0/w cjspsNCBKtgCAiEF1ppPL8xrDoKUrgdSG3/oLl2Slr3yGo51y6KCfhLMEs+DNjRInnKznPh6upwK ldOjnCgfmmMfiYJgqnoQsQZua6Tq3Ngcso77JnMucvgik9d6zUshF9MnkRK0fqQgLb5AHi3bmvEF KDhGY3FdQUyWAkU5dgUyiM587j7/DTI1FOaydyP3+y6pyGyN90m2Gl36qpe1tG49Hyit+tFTLsem f3yHyO5CdCY7uExBJHX3sWdw9Imt7p86De/F0IYzklhOWUg9Im8GhdVWz4JvEY1czuKYR162kylz ZamclvSivafq57pucTgMuWuGULV8mPuNuNK/gc8aO2eUufh20syURlVYm3F73MMQavw1CQFd/E3f hPlMl/gdk9B+bNQz/YGwdxk3cTibcbmbhVNHGZPOTV5nIe9GU4RaY8fxuJTTgnLxoFdp+DqMYFhN MnkMIptxI5YQ6qHxqyrNXVGJXPeF00GOOkHSV3nohQsXSaxpPbjSidJOBeDXfMHc/t8/xxL3nd36 r+C2ihP9E23b5MoGKXKUYSyJyFE9pTs7i/pAGHNNdtcw09y9h20VjE1DGY7sqqYvFNysWk6/OWMh sHstRQGNN0wFwjOfqqmM5vJKgHfMVctfX9IFmdHXQNeNR+OZyNL6KFuMx2j9nDjI3Jn25TYGLg20 MJZWiuzpQer1tzruZfsdNeum+DG+SqKkKC6qAAPCZ1qjnTyafVM8V8MkE9YfDo70gxthsJ2zXxoN TMRYfFoSl95m71fRqPjiD4ikstRVXgSRXT64sdayp2af5oe1mKXXy4VCctkJ8V2jIjCVJTOdJgMn qNiTBL5ZuiGsPtR6HFkchSPbNC/iJT/xSRXOzbgqC6cxAKPCHMQ10ziePuYlw9B6i8e6mM2wG4co x5P6F5rHekI70O0RLwNqfBciRXWek2RgF338W/kcdgArbGMI7q6wtOue73n/ZFAWto5gK+89BPUZ +Ry0vRfJcIXmbd5R9BQrrZXnuY/JDZbFAjL1clVt+0/+u/AN/kruC7L8APi8VWtpiNeqIh35E3jm X9yWli2An7b5+WgJw46nVMqOP7NnCRu4ntVpg6RwfJ+PZGZzNUcDwXfyP8M/mM8j1ZWYK/fSYAmj 7AB0CgUnAjgSXaZnrvbLDEkQ2aOJBsqWwtdEb/+FUYM0OuHbGGfxvLrhsbjZmnN/c6Td0WVy5V7/ aKqknBEqFuSr4aXLBga/fiz+cg7+RQDSyKeMHfVeJpPN8Gcoovp46gqwAx3LPytANY7ZlobfEhGR RKTsk01u+Z+vjsSzUO8JLSVhFktJPf8ptopraLOPTOnm8sEuKYIZOX5tQAgZy5jo/xy0vp6HWcyU W7xip4PfhXLLws367w60pRrjJbuGx40jRIwHEKaNiXAeKhPjEsxq/6iua+n8YyQ+pOowlfZAZqBe PQgXqbVieDgVUPoOWowoqpQre0uyXzi7V/51A1cjyYIqRBM2Yijnknc38uZa4C08Y7LKYqlXJU/Y lS51n2qaGC/mKr2K1kHeNtckMD6MJMFR4kNzxm3cyxU8FX5Ylk1hQcw1HAFEUX9KPuDiJHFLkqPI 8R40bJewdRysHREBtMpvnG+HBZh1PHefaB64k/6PJBweugcRxpODZpxZWPC4mXBTEqfimas7BSLl GvdRAxLTeGh4lp8dG4RmXKbnbYEJsIyAHJN8AKt6f9PJGidzAKdEkiwI41ZoKXp5TS4lxBE3cCTO P8/HunDRMhPcffPAtZNJHbOP1xHfdwvCtJy57BOIEZKOAJdUYiipkpbgu0f59PJv//ViIaq/AXsL Pv2yUk7BUIwtssC3Ib916YKSCqCn2rlsSOOhju+Eewk+3RTSFlNFSPky01ElqqL9riHtlgNxS4E5 TYLoDn0QPT7Gf5Y/7erkg4/IiCIECzWxo+vqLbQ9l+RFAyfSFLmMdt+ppyEmDHZPHIC+e9btAw4u HOdWD58bwyVmD/g6EEaSm24msdPg68QoCjPXEu6xfHtw5vxbfHUdJ/GN3+i5dOsElO1gvY2QATmX QiiMO2m+6seqiD+k4FEkr46Q4sVU4pitXeOpPMEC22QRC+5wSSzsW0lt+JDn+SDvXL3NbGAPxuKi t9X22p+zT5GCFtvWm7OcXbArQeVqVfR53jaBxXb3XdE0vzKNzh504erCZJpt7v00aaoPIYyqoZBI eNWeOxvBlDvnRXLzEADQlUg2SMI04v+lfBlalHRtuavyy6qJH9DKa1/fDsFbXV+45urYlqLlnI4b FV8oiF9mILBcUH0NzInv2z7diPFWP1GhLXpmj1LvTPnY6VbKX7wRzxrgLPIVOAxBIwcK5s6w0rIA cW5iNbxur2KMSbM/r2abctFOAxJdj14pv8ibQujTq99V015hZ+5E32IKlpVeroMEdg0GCvwHFW/n c17MSn8Vw1/hPWAz2lu4F1tTxZxHcPt/ny3rev2MC1TBMYl8bt1MTBaU7jQIQB25R46q2VgSzsIZ 6Ggwq5ViJ7nvydtcVsqdhs1I3Xai6fxMpo1UckiVJ0SoEGe9sIZLBkuxgdXp50RuTjPdrazIbDvq gPX3mHu3eLvr5J5EYGLXeCspuPZPbP/TaoNJccHn6D6LgJYzWRaY+bdat+nWSck2VbyqGQO1VABT UIgVuSARsCOMDUpr6I4zoSuwaxOUkbn44nEtCoiMqW5bMSXzBeIinla2urAu0N+XiH65cr2QOdt6 FgvGVazAMpxBSCh3ohQyh41GLgskfkHPKlZvT6o+wg3wtdT01yn98ru0AwJatfiUjP1FczZq8TEI Bj7jiXOeqs+a5NfPabBWbp0eCZfKuagkW8obCkt4HfqA9sHBfkSeMWpj7z1VsLIO+DP4SmwgM00p ZearmTPBGXReBBnd4Om5uYNqgUqlrW0pGGc7ZAjDYioXu5eU0r/gbSqVD5M5FY8V6OpwA4Cq1Kay l91hRso7nSlZ47UzHf2W9HpEvfy0RhrUCxEJop1OXB2G39zWDxCHOCR3ap5QYe2dLr0J8+6wk7TF IkJuFoIPameJ1CY5j3m2NBcwoeWnh+vbeKW7laqkjxWht8UXK7amJThOvXGfd9L0Vq6oU3KMqplY n9dv1Y0vE2mde+rEoa/UYuRX1ajGojLqnwgPGF7oWSelZv9HBjLobNt2OOqpQFyV3wsTuhXbCyQ+ +vheqG4qE25bOKbHqE3adw56l1bpbwCMRlqsSZMY/DE+oHqPBxYnoFcwdJUvGPeUSWgq5CGFCs1R HlncyrttGZoJR2+zxjhm4xyf0TCpPBOVMbcRSw4Is6OBb9LIC4bpJU+l0RKduguPSQdUYESE8Khr nHF3zGOFKeBsvc+88Pq+sBCh+i4s6MkxgwwgciyC3CbUmgDtMKtfeaMv73YXWnHxqtzALSzNHeQi OJUswWK18hQuBfb7kjoTCLShbgC3ddjA/78Im9XcQ6eFs/g5V3kfsV3G7byktGiIe/UbPSUols7G MJajiljMBPYAbaXgAA3XzHtYtUbGJgYTq4bocdAR1KmYNLnGhkQUUzu5JofvBlABTWx/MADKgHV2 yUJfCWnSCJ65l3ULNyVmDlAbADH8sTbunkejug+Oj8G3att7cQYW1gWsd8/jzLt9JmT5f8bt6/9U U39Kg8/0233mk8mh+bHnj4jk9xVDKivRHIqnn58rcW8spQChezVOKOEpcZrjtF57PTsee24/08if KE8QflkbwbSleY24wJSW4FMkAfOKuoqySI3EVRCKg+9Lkx2/jKyUhprHqsX9TZqBYjCu1f/hege6 4ie3IscmnLGyGGznpYeo6Vc6XsTOauLsN3q6JNC1pPxvr1wVczqghmJtXihBbYNGszbHsD8BWIP0 qZs+/SVNsPoR2gdXm+ouwHr6XHN4v2ra2gHMZvtRisUt/9RYUzjTZYtp2C17RlNefHnwj3gFHmN2 KHPgZuxsiV1Jktt8fT6RUi7QF5MqcDKDy0TS/DAipe5AX4KnThTnuy7UnMdTi+stzQre77vlVjyr OYviqVumaomxQfda2BAKGIg3AkGorMm0vxUFLQhOZ/xxnLFFGEHlwQU0uF2ij6dZH6d1OusFYu++ Eha0HALP7zbcYjV6mLX4zpyedhqcQDikeer5lgKxCEuN1K+WbOtzjRMj8JGLgno33g/3OBYrHVOA rr+hwTaRT7i7j4Ff7PreUULawMJ4NPsi1bGGHnnLmopKh0l9sQIzbo/GStQaX6WQi+GSW8WVUeu2 ZcyrT+GIvhbNw8cXm4D71WW+LloS6124j8X6ErxrcUZu60atqXn8KROLLxF1kc6iUPQhvcsAWxHI 9ZuadFhYYgxZP8m1qe+iDOJzPnS15ceRpk8V5oEGaNckD90PtkiAv8Vk5u0bGhBPZBURMcdABCHl FqZ/Zg2BHetSZRKIjF+S8P3ClbLMs4TaEc7ixFIefv0IIDGL74GlECmbiW2daHXD/MCKadw0nBxl lSoFrs3h03NcTKe2jWpwETfw67ZF7RnY8i53rmGOqJmAN1a3V1kzoo4HrEpn6tVfb+mrf2BJSRgf oa5g/N4ck6pvzigzJpervH82rPasOEOTZGClPlRdylJ2ipSzTnlBzWoaAAicEWBDvKUQQDdck7XX OPSV9iZZDHI0G2WKRG+98hcIqZ+owke148d27rhUW5Y6QineGaeyuTRFrJDmPT9X7auSHfQy1scs fSB7w7bzg54ZwWVgZuTtYXfkgp7l5A9FNtOlneDyddf0myu3mng+O2Su2u0D0H2FW3vAqdFqgkGL f+ezhU0Pi2KDSjbyVnELRjeNp6BeCU/eOhmuO83Jg5f4F9Y1ei23YHOHq9/o+M9JR6PlIX313hIb swAgixgnkKDtxa+LmsGoFvK7Bq9cdVBMqxxWmLG3a1vogfQEvbxM+iNsNWc8RLKKXhstrb+uynlf NgSDvF9S3A8VpX0nq60JiOaiBZSpjJK37ZnKYCXz0/AaOy8Vz3UIMAUia3B3Fksk2jkovlPdFrnT sv4ajXLVgfJQSo8zkrVWZ2q/Ugp2lY5NzJMjFrHcZbW47Vj+PJAB7R6MTp9CG8oGxz/iDw/SXAr5 vtG7dgBb5zg9JWWxnlhMIfca2FCmoQimYeNTmlSdD6D57MXMVqeH9cAgZphp0frbC1ZrraRRScb4 88NJbOsEMMWyd0gJyrQPyPgoqFDCpqLTGxgo5z4RvsUjwRwBEN2G8yXgU6MOh03TXq8gKMI57Fj4 sxIx5qeWmPhEW5830fRQq85PGX33zS/yjvGJgHDZxzJzZroWfYsO3GbRm5FYFgyfwNQ1I7ylMBSO fzNQoNRPaaYGj+49/qFywyBlulZaxpkf78LgleN8PKXZgLVY4nOC+n5TVklUvQcm1rU8dAhICVnQ ugFLlQcug8slgurC4Fe/BV084YF0K8YbZGEHibHsZi0T4rko0O/Lmk7bvSPY+GJcoWYPYARMmjDb 9gZElFyNYpNNra2I81CNqtwgejJOon5Jeh9vWVjOsS+LVt2BVg6dDKYpwxUPI2DFQM4RWYYPZxMT bSnU+tUvJ6DX24120XIn36+t1+GiAQkLrQV99nALP3BIxrb8EZsvvUOKwSVEkekOudJsIJenfBr+ qLgtLnF7aNe5/9kL1BZsSxbr4Sy9+FoK2L8gUlk4oAcUEffteDCx5f6a2A8Pq4DTpq0wwoEA7QI6 +U+OeExFNt7I2q1MQ3f3OqdQ75bJfuPtemg2QXhQahvHappl0DoAerOmv6DJ9MnI/D6ObukYKTkJ IcK/h7hUkI3i/dJaRE5fYpvJXKSyiqzMgDJzqWBXMa67gMNlJIWeNCQ9Iqux11aagq0BO5qU8cXW Rp+x44IChKDQf6i/CnsnC1+mCoaOQkUgWAlVfj2f7Jdeh2dicLXXTOGeK4FHsyeniMimGpBcices 4aKdEDt4WZpiKHBzihdfUhwNFkQNK/fN28UiSfl7a4DqRmx4/DPPrQnvtgkUQqRYW4FGsSX1aGv7 VlZ5y1kOTwWuU76FyNjzRzerT0fZi0Odj8sIGmYP2+JeB4ZErc2fq6tNXdsBkgzIzmb5BO/c3v8r C60Ld8D30/z+e27SiI62CGIUnXPXnZE//Z45lhMIQoBcEFIPyCFG2UvVtbnInoUwcI1Av6edSjss Sl2tgjU5L9z4VSqzhUySFywS8XqrqJRda7J4yGxayV3ME+D/Anxc/HcSfqgmnjQj3dHqZderTo8x /X+tIBpr/BjC8Qk5fOQriE8cdBLIHquD+Dw2Vmxr83g19WiaYi/yL8aznx7etdQ8Ye/uRHRsCqfU QNkb04I2dRQBVRDCcxIlfnD9rY4MC1j78bK+tGfdCaFHuBCBDNYaUGBbWgdgyFZp9z6CuWD1LR4y L/zdiWYVv5tzD7R3go9hTbieuyvkb6YEYrF7hQETqQENvePntrhVAXlTnt/yANbK4JLQinXcUhS/ oKlHi2l2MDUAjta4v9ygxiAjWgRh+FoGpeSoWQDGBF6zA3mYLUBjnYWbiu6ZYZzXT8gbShi4PGVT 3HXTFZUp9jW5peiBCOZhSlnZtG8Xztc5Qs3YItaXHpdYPcAhJZQlmofOV1KQFpay+XOMSivJhuLj usws8avalVtUGaEG+f76Ky4vpxrTU10/CLyOjo1DGB4ezuOcRRdJr1NlNNwP6DezpsitzvOmIZSZ V79x9HK8W3Bp17bXjiluLNbEul4RbNI1zG20+HZxKzm0cPXrmsQNVkPTV9zK9kkiMfZVoXvgtDQb 27zO3tgmFxZvkOYLBsEU+/Cb/A/TgXdk/0N3Mqk3SX5olGOjrZXKLbNMDgrutVQl1oUG/61Y8x86 XwOci7kJee5PMYFLxJqfNBOov3cNvAXNuWCRoQ49O/+nhDu9GHKZ+qKA5y70A8cygybzm6bdGixf xcyVMu8AYHsL2XaY5iRFh/Lfv7ojQIMwOAezexuZKDABXDaOPhmrzQGIR16IOXdxAnMzf0BoYFuJ 70YAy29hfXvEaw/E3dXSmX6hLfX5M9XWbH7KvujhMdjhxclRtNL31Ygbnuz2VfEjvx2XwQgrgwaR QgdYwCQXCoiHxfOGsEfhNs4OlFEiOVKF9uptJ9QSVHeGgfNP2RWceVTs+hen9cKaHptHF3nRPECl J/o9z3mV29QUIVdlxXq5pBjgNdLo0BN/ogd+iGLCS8t3jRIcPLQ67WSF67+GWjbNKLEgmlbECD0r +HGecJo2hWpg3CZFBnC0GCtSw3H1XRByKNcZbQ1A9zS2tQLGt/P0FcXHAIDLMuh1o9Wn9LeF8kwv SnEMS1l+v1OEcTGd4uw7rfxPh5XBINrx8HnecA364ilt7qXmWigGZmaC2o4IUOnevpS8g/GKjUv8 fZrleMPOldMCvPb3cZe3TawYiURXKUyiUgzoefde120oGwfujZb/Wmz10o+Sf1MHvun9ejvOFsiz +mnFMSm7lQTU/te9cf+ita+wN2Oguk0yOS4/iFgKrl6bpfU7w3bB93BLwIXOthz7aqRH/5xg/RrM auCcR9uYD0Ybpd675b9g9VuIIN/P89v7DKpCcZUtD+64K7xZnkF1BOsvyOnLBniivVq1fItTryAa oTSdGKH5hCBL8+PWE5FBGZEcT9kQQRMjdlNRPXI2EDSQpmadzS9OCgXFrnrhPHcjNrdSKD0kZmmH 0NmHRlosZxFBhhndH1G9Jt0mCxGqdT+VgkkfQjyB+bxzsSLcVbn+LsJa+7Mzvn8lhurMaoKP8NKJ bLC7H2nup8Ig1hfP76XlbvlQeOEFbqkx9tIyB5U6cAfdS3Ss+UEsVjp2WTLaks1+gaRLnrIaOtDD hOE05QBHPqWwUQsMyf6FMFsapvdRgQppVAbuCA1gLMSsgZtAtjW3/2QulffGpu8TS3gHbsb7yk10 eZRzF3jE9TVot9QfW7HQyrA+JdnG1kiYE5VrdTrFZxgT6MthDz1qDt/JqUk7OJuj00SSpL02ivzJ eCKWEBXfvozujmwHsh1Yok7I05aEYxWN/gTN8YyyNb2qtARU+rnR2mnWJBMyUBIdsnGaNxzK3D9B HT5Wop6B1Yymc070kAa8n82TwA9jIbC7pcjCu6Sme3YGXzjzNGj8QmYG1gasOZNFOcE7d4G8amQ1 E3k7g4aVPru1SlS3Hf+t6+dc/JWLPlP9cPLQBf+IjNXIT4u0qgpNyVD6S017vG62Ihz4P9x/c71W cFm43W8+78bN7DcX+jBYt9dfLpCHxAn8H3SfWSiiGnp500zfvHKk/hRGatn/S0Jj0Yf9AnBarH8Z phCA1crq4tLSiB8t6TI5+zCrfF3hyr7DIo/KGdtcZeXQJgU6vLeC6gXUsNsuiR8mpKBjfnwNzt7C FaZVr4NDEb9j5mgSeNkbVtui3yOMbb/Qlf0CnOTHPZpLALB6TK3sb0mj1rdYnhwn9YBMRcNcfJD8 62LBRIMPmYakMaqdLJmGk3lH4UEH2MDjkgkcQvlhwa/oXx5IhLfPZiyd8eI3UzZCbytUCPj3m5GS cDdieGaIAA5GiVw31a0NzGAOOgHbHSb6ynWbnTja0YUFSsxUsywFmFdbj09CTwgI5Lg9GYJ6NuD+ jYXpUmNEoHUKZ5TAf6vRv1SnA+Hh3gNiFqdQNm0VhzeDfroXTnBxnHZZ7hMI5SOoI4L6BQxpgm2s NH/CBBpW8f3iRaFcS52+spiHr/U48JrE0wSqFqAqTTxDmQBSczMB9/GQVV0WWSZ6e2oszDJBq17G vfXkxtiPdHpgDsSv/EC590m0A/DiL+3AMJOTH3VFgiVi9NQO8qQo3lD5xhJwujP6/An6IVaPoSOn fa3j0Y77Iou75uMt+GYBf/5kQ8XOJDkWnLgFy2CJBX8rqAdvjk5w2GunA207KX37fosotGhojjMf +ifS6iUwvRVY6GkQD8ne6gw7GaCNB6he7jsViIxhN6S3eZgzk6rKvi3xKCNaPD6zLAHWmujAoeUT zXq3sEDz2WgIxfeT47nlxuKGdTALuvLnB674wYRxmZbVI0jY8CrUvCkrhKPyFeuoBejDjB+dVr8q wYZLLrHicZMijaHCrYc6qgPV6ezNy/Cw9lEgIhs0Ua78vnujVIl5uH7aKTcS4NY9zMYH9xANmL6K aLcD8hDHACNmQMbf2s5cTvt/dOEFDFjNXQEkfkbVyzrR/7fVvlRliV7B/LKnMF4x1nime2tuZebS a+jjuWBHPLbgX1Zdgrc4VA6mv/ajwgOL7RbKdqmzTgrhDqyLuvaaZ4gb7+1kh9IZxeogtKy7HxuE jRXZRnY5Jf7AkNHkikuofH6Kah7un7CENTeNVrQDrTN4lhYcaQOnxhHiYLmcZZyxfm0tV3w/H0Hl +XtR/lzIjfiUiF9VunAODVtc6BkVVQewQ9EZFX26ZWOnwWO9cKeS3D8IDW5CB7ILSujQWGw/01SY newDEYFNUOe3jgoUKpkeaCZOqnujgwj39QL3fvnb5WqAJvbh2HG5LRjuZNyix7hPgzh1E8nMw+Od swddwbZzai/bmiUAC5SAyxpv0IA5tGjD0ROGHeJQuXG5hxLyWRHxaa//xRGv2lkB7n7jp2OvBd40 OyTcypsqmIGE7k24zxprJVzoTrCDiWSYNjwP0J9aKi3ryRy7CqldWKb+GSB8i1Kc9WmNMFcQhJJf 3iLaHVus7W+i/fuOkUR38WsNPa8AOpc7FmJX7x0n14uCAJS9lXgpOSwEVn0zPN8v/S8KR9nsyYJJ imwrE8IuZk14HF29RjOTQyMkZIzGsVGgnZNf6bzze02Lk9xDfnCweaNeI3foXbUId7UEWRNAhkar CecmA9WWMDC+Q5B5waQPHN3OIV7gNu535HJ6HVe9fLicn1FDFy9ALpRGJ1Jq3JaTJ71UbXVY/xDD kVzWtsxGr9IMh64BK58Jy6X9sy/ccX0YCzSQpi/XAwJd+GZ1nKPX/vN7pWa8iRegT4EisPl77YZF Nx/pax+HE/pzCuqi7rpOxLchv+4MzcGOCCUdOmIX/JAE3mdLUxj2liY4Jc6eGZqWPjVY5jhdke79 o4zt+5dhHR27tBKGnA7TNdJcVvUi5mS+26dyr4VzKTsI9r/cZC7mdfgLv5+evwpzbSHY9rF1zBnc 3GaP+Dr6Rxrh31xntpYqbX6u7MohChlFH6YOTfQ3ycWEcxU3X7+91eqEHm8fWG8aH3WCa1wZxig1 sjKMq5p2chQOI++v7lR0YTMELpITREI+mLr9AuMNz5kGf0fre6kYY4LrIn9lyDpPAp93lyJQ2FuI Wy2QlhGcYUD1jogZCrjDpCBhcuWYdY8T9HPaeyhX3cGvDlD4lddWxjQ4V1YREDf8mk3Sdh7aqg0a x/B/RQfY+JFlZII+SU5iWuoYIa3sFX8S7bu8btQC5keSvSHqeVUEv1SYLi4RAFPPVMG2dW6v079l fPM+9EH4IvkH2D1E33xuH1Un4O4zUUt10RBw5enwzUKH3QF0GwmXk3TP20Z0y7xwEWuv+3KpRN+p 6oalPMEdN+trf3XDQkn6lfHqpUlCBvxQlbBdq0/yE14ck8X3Ye4oNfC8csfapL423oPWY5E9jVzY mK7gCn0xQuL511zU2z3fgD3boctClGQCpuWBenK1PdM3H3xkxMwwmre7A1dyutbJLi6zqKkhAJ34 W/GG/ERuAMktcopj3bk6sAyqzphQ/HHFe3WxbWLUtFNMxR6Xo7Hebd0LCTyhjbYbMmv5P1N8S2fL X1Du+jaCB3ThAbo8+nx2qwL+lsZLzr77TSQsYyzoKijFAF+b4+vf+mFUMFsOZgxCfDScfDt2VSnA tHynhG1rhmpp6Be21oYd59hYGXmJ1Ft1INHfdWe63R+4jb19CCojGUNH7PB6FvFIMQjlFDz+Q2wm TncRvJIIPmbGbUieM+DCuFJP6+zok+xZW+M+CaL3fzWhz6QFwbLbzWxWdbH5klrdtumuhG8U9GEm J4THZNwd8/YH7h+g9vw708DXCaPPNr38WHuGNxg9OgUyCE651EhYCOlSLJtJQAyg6l0FTNdcRtaI eNdS2jJI1fkfbOJNKCO0VWwuWFpH+zQUyC3kBhDLXUIj6/am45HU/awDol0e6TtXoZNA8qsyjVMX zKTv6/VnklKoNvopQ4U/lkcyXZxJvzAh0PI6BlxANAruoDQIPDglTIgBg+HSQkr1mJtpipi0d0Hu vbU4BVft7ZjbBQiNpHCuYvC4ocQ+P5LIKefEXC+XFjACViK1wSp9wPYshFtdB8K6bxwgD22X9fwg mLFpzsOgqu6c9EYD2Scymo5AxyWYbdARhrpRyWUDxwiOWPCawpAsrWU5icpDfdBPo+oWuxTbEPge ZNjpK0H8xTTkB9H1Wz0jiEQxWkCv6KJA7ZbeeWheCOLeVR5JRswImMbLsFXnqjZ36lXvxLWz3nwH 1kpfGHcy/ItDrlNvdxxKzXFSQOr0GDhSvx4DOP9jvRHa6q1IouomR99dGFrWYxrq2HGR4oTfvZYa CUfziyYuxBnLA0NC/j5t8g/W+z9IRvd1lmimgzkxkDZagGupcbFFqiTbpiXK4lZti8hpHc2Q8VCz /32u+yVjZTpl/2fN6e/PGy1/mrgJxV8MVN41mA4wGsPKhPQyGvSM39IYlJIYbV2nboZeTSwn3Ak2 MNpw9XoKSWgVJjXp7ln8uu2y1EpClDskO+IEhJpyN7jhIIc9ep2NPCCnMet7cQREsydB6oIZtUv5 vqg65bcySrSnYskKTARn3p8sgaXmH288RDfhicrFZEfD9EGb6AvIB4Z3k9DDIfVVTlFa0LMSRibX sEea08ChXXMypo08LzKJJcnSzUhaxgmrduKkDQ02Gukb5/JBVhzx8r4Zl4BrARxzWKWudkF6iB6Y EFHovl6hRvz/orIAlzr85GY3P0srpafNgN2u5waz5K+E6/bAHPLOFNv+cr1bnLnjAb+56gZy3NkX Iuc6nxnvN+3AOc8kdWUY4zGThfFVkXBCU5+EayCQKxOwpEnlXwQZBktRWuxwi/p8reYZplwNoYJ2 D837iUBhovpP4lOq5hDbf6eBI/MzRErSjKVK3n8oaOVq8Qgh2KZ9H5dfwqw4xT439/d8HUe4D6PB yrBuOnYtIu0VAjfrmSFHwAb0g0RSBsGUxmR/kfAf5jx66xAEVDgD+hlf+sJ0Ra7eV/qbQzgW3GPY gACK8aNaUfNMU4h9hCxuamkoweeCpmOF9yeQuVWDY5XDsu/w6y/dN1IZoUZDPE+oGzJB3eBygRXh umMf3bQMS1sM6/PHN97qQVxhmTGNq5Hpm/t4mfziEBJgi6jn8VM1AA7N9ttVwhXy+5gpD7+JGnJX 9rGKHwaR4frHtNu7D44+FFjxKQLoPwR58GZHO4Rcb/f76gNg6j84xF087pr+3QTOiemLF3lNKjSJ ibV8h1pK6iHRBwMOXzrRWnxEbVIRcb/BIvyEsYJI1Kfrddi5Q5GkUTxGeMQcbNq+NUuo7pMO+uh2 QIEGJ+YR3dFcDxpeGMMyuvcuPwfTDlurhQjFp+yRG7pUvTOAGoBdETwk9cHG5zEI1xA14PDQKrjl qQeXYseBVsqZmyFo07f8473AVMCGhEdam5j1vien2sfuOUH+Lts5ynWMyLl0OA7uT5fGMXW408pi wE+drdgr6eU+66eXTpp9E3j+S7u6ZC9Q/KYHTlURo+IOCCPVnRgJFO+GUYJ6QXhwfupLHqTWaAR1 yIhA8wBT65V1wqo4jnh65cD4HgEwP1Hf88u7A6UBBizLRV+xJhphGU8bVsmWl8M3gRQV3OTLPqe+ TOxPzixl158Ehsq+Kl00CqUzXIVeypghq+4D3/PAdsIK+MuF2lktS7q5rWfkruwjtLV5ITCy2CHJ wl6antY/9Vd5MiPSagU3iOwt8eTFtd+kXDC51zRByAXmFP8su8wyUQYnBj+2vBo+DQSpvXZjISNY lapQ6+79mbx3REszEKtmaSba9Zx6K/OLjLRtfTcOXG8Vz8VPRC/+HIwvOer4M7KIxqHoRJ8J7uVW ZU2aXDLJvf5h6oEq30MNsuWW2PSNfO0CENv8VFWHA4P081wV72kQ60Y+am8AkthqICILs5G1eIee I9GosoHOjJuFznTAUKUHd3HfPfFgTPes7NZ8KyDTwQ3rWjR7K8NeQc2eaA9/wRJtVIYMa6aGcL71 tlMLEuo88dkHDima1iMcAaIRov1/DxPZ5BpieVilqnGl8x1wb1+TCMaKWyIsuTADuq1nTbZqCucV IIe5fonbyStrxo185cTZhN0K6vgwNOGvjoytJR/Dl1Lm6G3OIjEqalGxysaJ45PvZQyLkWSjdHWj oxZ0QPytUy/CKSO/rhxOaF+P4O+yWXIml9VrOHpWo5TjNfcIUisgzdzlk+cpEdpRHS1Qn9V4xfYR pKmNgOCVUy2VAgieoIEVJD3Bgak2+fUJiRfd1Przo8aTUE5X8OARXlLI7yxZCSyq/WonaoEdFpAq SvKQj+pAisrkSCGXO/Mh3BCAy3IZBsLxJKplZkyrzpFG6UIt6qNYvsV/L43l81Jf1zHQKxFrfVts kqMxiUwANO1ubtUqY3UNHEcvRcbpHXsy3y7ZynMeMB+J+vIrDRH3PiKc13XZlRB6b5EDIRxSd99N sTMzRPOiLVfd/wUK288yNX3Nh4Lvf+guwA9IITzxvW8iWswIb2CsJEbyAEKp0uyFW7nhMbswk3BC 5YVGV7RRpifvZA90JKZTkQRzDPCKJkf4WQ91R02fwenQ/8gyrSiLEMsCXvlIlX7UJaXM1WZptqsj 20LN/rLZrgp7XfFlk/RzmtmSVnwIbS16TXurcZ+XRUFDNn8W7UYNWZckb8Hbn20aUtU+LM0Ln/R8 lhiQOK0KusdXk1Wn5YvDug/SGrPKMGsE6zB1E3oRWTFkNGoqw5Fjur98Zm3++3rq0ApCzF7Xps6i FahY3Wu6jVsP0CYcy3OSAG8hd/P2OEoy/n5cmW/Xx+JxK/QbqSdtnatnH76UGQDIZdmmoeYJ2Vc6 4I8FT5oFWQTZgZxg4dJp6X6c2IbnU3qFKaGbumxINmglrkY9nuEGs3VbuHM/jcgniJTfM+FV9v2p Enb483iVOC+OsYVjRnCUxqspV64WHx7V59ikWj61sxap9s0XbwpAvryX3fxUkgqacAMq5ZMsy0qt TLi26PT0nEcv9l1eurA8OKoHl0sjAgKLEn6KlntFjPZRU/0XRROKC98NzTlggC/tDB9pi8Gb5m8V uNAxKOpwqjja+BaQJnj21oIeLikzuOu9wyaFEPFzRJKnHvFVmnniKy5qg6o00xtS4FxXJPNOY2jq YwapcvpwaRHDRdnMyN0640zg54sxX/9LOuAv/T9biJoZ7Eg2zqLGDJGCCCikolh50alAaKHFC7Bs 834ySx47aE3ZZMhRIAk/yQGvOe+Znh6Fz74tpFwVVn5wJCA7yT7zLrFZAKM7C09vk8/7gxlNL03Y BjxgUXK53nxvAvgmRzIdbULcvhnz2ybAiwMZadC9RifF2Z8oW0Twt8Bkol4B3SkFCN7CUROYJgCQ v1mm6p+g5RbTCYpu13mvpD6jcuxN2CVfaXoX8CZzVanfpL5glLQ1vKy75AC2mrJcZGvOCpUqEleh OD9jQOagoELQ8ZWgoPF1t3g/EAzDpnv5SAjd8NoeVOPQGmiQTP4rrMsZXA3yYn4ufzGcUL1uyas9 NziLFyzKuWrx96jSQKA0sPbfEA07zJoSIyCQ4x/uP0Dk9sGDwyGc6c2q9E5EbTYyDcnUV2zYzw2x MejhLzJoKERYcrXFOj+/kSTgZVkiPoEfn9Z+nE3QuM99nlJZ7gERF1fpyBSJJCatK/zxJWdROYhj /nyISm3ETCdEam9jWKV1okB2JucJjqqmaJkUSev637TTRVbxcSZw3SbC/TePjIb26Mvc7E2hJg8G b0HmpZz46HBE1E5id9QeF62CebjFutmeqWIi5UUPcRB+tqtR7UwO36GbxmWWDz8K8JtVQuOXxVdV VasnLEVwqxrM+iBUZLKsONWCeA54hccUyv2hCYO5UKC21l9FUe5alJnysKUjCKZZ7nO4pOGFjR+v dqSKzRxEsnzChMAWu/5a8dTG8qadxZ+z+QqJEF6rysBs4x1IKKe4lk1jD19jYde7+oewUpfIqRo/ TWxupARkY/RcYzJ9mSc0FtSs9n9e7+Oy/cHZWy8cy/Nm0OK2MuUB21JzJ4ngVkrTp2Q/K4NmFFvE qfoCc/8VEea+m+tApQ/ch1RqRRnV7ohKwS8VyEEiE4yz8DyRF9CnQa7ANVJDR83tzU77KnAHxfGq 4i5t2v9kj+3BpZXCt71yVJqEGk5cVkHT4GXeCr02XG5tdVhbGU1c+5jHI4PBS+BNyapOp8vSAF/8 QKpPv+shbZlcrC3T9l2S475IVGrzKvlgM61GJnWT2b86Cu9TBO9E540KL7ntYx/iIwqB02WXLb2U Ci5RQ67mUdHtgkgOdOo3TlUYR91SI26VILmvNsaKmJeaaf4pHZhgfCq6RMWSFF7pibK8tgGJswx3 KuiBdSLQY4Mt0lwwojvabiojcpFZtsBaaiqfIkKr48RBbXfV7eFKQo3gl5YEQiECpCbdbshK68ol eaOP4kE3T80xtaZT2DlYfYdA65Z6zHh1nutjUy/6d2jJ5IU7rRfcOtmPPoWlyqer+oq3xcmTl9mI T2QxiIxGF1LqNipEgM0F0JhizmwNHIwVDOrChPXsjKPcQofIwCBTVweavDsrkq5aIPHd3cxPlBH8 nB2glbomSLfFyqgoSLYP/tyZZaGWgdWEZobGK+7dDxCsH723oaKhDZXwKm9R2jzdCr5GViSCIUoP DbFY6wDzzCOlJZkx1ga4vf8MGjDkZIJobWkrnirodcjU7eB0EHHO5p8KG+2hxKFN/5ZFbr5sBJGY QsJHlx3mDVY+4yq6IXl3xuPafQetUjbJZrMeRp7kksVWONE61+oYgxkm4vr0oWKgCdW4ty8oQF6v JCWJ45eRo51tgM7nWoqboiIwxHCpvtlmCK5/+/En1qMktuXSg80tE6hse1ywBdjB92BT3JUPP3KC 6Dj5QIjWslzOqqxdvvtFHZS6KcqxhrpJn1vMd2pLS9IGMbSdxmFqj+Ne2Cj+m1rTfoLceaScXhfA YFdlSblfJ2kj1au+lkzQzwIlpd3hsLCU+tP2eyS48MnO48VprZH8R3dkda7jdBlN3a0EsYlB8bQY 8ug9Xi7HS4cCleEAkHkxffmMqHIjqg0jOhgylVuzf7m8BI5VaLTwcaBzYp+F5FmDG1t/qZfpuxbT HHz4/9dJy4QqYI4Su5919oPyWU5xkW7XVgAj9tl38lWd0DJMID2tMrYAJhJmBqIp/+s2iUARm8H2 uZhwTXYv1+Nb7F80/7ns4/nM7owFrX4nE4ZoP3t+0jC3wGkw0hj0DHjWu331jz3a9JxlKRx4w2Zg qqcCTGz/uHYHwIIiOLLB24hG20hIqQD+yDmrkuoOIwc4GNcBDhFMosRxsAIcAin7gOPeE+F3XkHD dzq7avtRjZUc7L5SuorfwupVvNcRNGZfkPw5lP3xtu42anAaufAN2/OAGKttqVD/J7fytEcllR9j qg2uHrferdXl4xspj2EkyEh7//gUUWVGkJEHn33vC7jyrRae/AwnIIJ0XJpUIXFtxjNNp7nWeyCv F4+rM0amLMzTCHbpiLXSNujQkW+80xr0PNixUZ5kZk4cJBYN8pm0853kx9XYi0A86bGDUqqIt0Xn vKzdQaHbl8RcjsLOevT2vy7C7jsiINCP8RfNQGAlCJw5bAL8ekno01trF3MLtjsig1XI8v4XZ/kl levvCkMg4M6KmEKpLtuJRkXQ31l7VHg/lsM+NCPSi/F9B2FsSOjo+DxPsratY5sBZKmuEYXMy6pR 2leevHUfV0ge8UCyJ0sbvqYec+7MVpR/j+UQjEBMeXbfwYEqt0CP524vysKoNALJWMAYKuAJuFat mOm1bEyQl7Rnozy4JZ/83aN2CSZpHp1pLlvBKbWTX3dZeq0Qmjyfl65Kg2ecjQMP1pXnStJaMujt +iI2R/wLSF6IuCTXA3i9WPPNjx1NhTf1XIabK8LtKSaB2n1CzEY44bfjshVQROIEXi3Z7KFtMvuL 7/26rohtu8PaWxBwaF2Ys157ESq+pzBwqh/NXbwKaYtpyi9KbLjlSAIddowLkciQOo+FyZY9iIbT RycSQRli26TVlor73pHVKCU+d9ReIjFL5AT12CG/93lzTWEDDOSHGgxz3Adlg48ayQeWkbdGjhJl fL66F/gxuSaFdwQRyJ8ySYFa8EGk21BL58lw1MoVJwspcs1mN0WrW1gAfiKzr5TQwg7eccdLzDGk TpOPQF+9OMM/RK2FbyoWgUhhqzAVFFLRIY9QeynmDZIY3YDIvm3Hw9ePWZ2av8yJgo1qUHnb5ZmI 0X8wTcPog9Y1hOqzemkktv5VYJ9c3P44uVURVMftHYDAYYo4d0BmDgKiMEYoNblrTKV72zd6bAsQ GXKwmAznwczoCmqB6/qCc6ZGvl1HDTYd3zeGuWFHUjLKV/yMmKulXmEwrUCdKH7288nkz6tVgYAs b3+1JN36N5tc57sxtemXoCX24ZpDR8VA2TW6o9B6pxa6Wa3i5ntbzhgJ3PEP5Y4Xdcdi5LyTDGMT 4tH/i/vd0bIIurR5iVcV8cIoWr/AX1qwmTJ+Bczd57zXKI8/dIzxuDz106k01Laq5eWM6lL23OWh idIlOt6N+HuXGSlEaAWZJw51AAKDrRtOnkobi0UCoro6lSnSrBGj5e145c+6av503PrulhCkrjU7 ZtAy2v9xN8RW+6Vjtlt/9TUOKCVMkUHBy1PWl8hr0FeG+HKPo8hyEwsXNB0p/NNXspWOM3oELTAG rsLssDeOTsqDB+AZN8deTFzGkipXLcTYhVNunjiLTqyNhVrwdYbSpr2e/ZmZKErjrEuesPdXYqXX 80AWbbwAFN+j0zqNsNjV/ycQdgFELa8HZmsfuKCUhSBCkdjW24O1VmBH01z+nkIRlMlp8rnGoBH0 cb4bKJiYDN5jk+aWXCZM+k3R1M6DiGpMDOcHxQWVqHG4WWqFy/7SEe3xiVW3xJk2G4duBFSrRTG7 bGtGoYCFalNI8tLOzMbz5tn/me0a4SSiVBd1sLu5PPFWMfl8yLReejh1x8DoluPk1sBq3+uZ2cFb vK+5+1yZMwWFsHq2DIrs9wJ/u8Lsfn6cTT5hCdvmucD8GcB/pM8jr2kKCYkxpZRqSVo5WFw5dqB7 4o2lP4ccs8Yo9cjV7ZP14+HthIrCZXqeJncRujkEHdQgG3nTjUYnck9BlRQldvGNNnSXrLXD1OQJ ueThlGJpk3W0APrb19aYCPhaUd6uVQYuUx9yhp9yFLIPxgasq9UG/3okSIh/46Apns9sS84FS8cG 9q6pIBa7SqwVJr13sEW2XGi6yjrec8lXM+9z0syB5qrfX1igfaeV76b+TKAit1VBtDdhQdFtwLGf eQWCdPIZvk/liLboVLiD/U0yTdY5tnci+yFyAuh+uPQZLIoFYKoNrTOXZrdVAebrRdTpdsc5OIhp x9YKs0m4+31LzNkh/ZnYUSdsJgIXz5ZnwbxgWP4SI+s48206AWqHARxqAdzMefCgDqxfSej4Kqep eJ4ov6jaTAwO8gBSijZiDB4lOgvhzydKANimk3pJhvmo9oxewWK6M9Hh1gjPbBK7GVR4HrOYnWU6 s8u7zBsXKDKAQPWPwE3e5FVdXhj90bTduxy9AaYjLAbZ644N+s/rXNpxKCuQlrYzLVLkltKpYYrq cdGTxCY06SnloNzHTS/uzpKRjV+FCSWA72uBa+1NijBk8sbKU3EH1h+cF7uEI6bANab05kRPMiiw SnZeMeWtg6FS679rBc0KwbY6Qoh6nfUo0oZGwwRUIAa9+j1zr4f/vQkhFuIFNBIFbtxk5lcn2QNv +VBFWEbBlwJNsl39LbMw6kvq7TtwyEkieUemM6rfVrtlrSVIPEBufP0KGexF50DzushdrW52GFBb /k3hP/f8RwhJX75XK7JfWBrQSJrBiPAhjdPvtp1fD7yjGUWXgQgUuUVfMODMgbXyC3Z5fukidcRF Wa/2juayqcUU4htu0XczOir5ahbk1s5ceM3PENnvErlaMj5pqWdoYbBzmjOy5+esYHTMQUQeEMeS 53nnMBKuHQU3d1aVMFVCtkxNIqFyCan9Z6+isSvBQxFlLvtlAtnohhwVxcZvji4fevyRqA/bBpOu ciIXSyf6S6brgd4xv/CI0Mf6taDyhQ74LSo2kwz782zjmzWPdtSZCSEcHTABaBxjH7iWWHh8HzYK eysPMhANFY/v7e1FdJq6bETVBAlESulVaUOIs1HovUKz8VBGWCZ6uLqEts542Lrouor9xDYFyJyt J/RJtfgSLsZGNjaz5tzS9w7YE0THffOMBXXxzXXifhAyzXrQpkvLOon+a0Ovw8fpjQFcwrUmKgbM RsXREgvQQwKiGA4v77QSuFs2rikqYTIBcBk+/4/O01ueLNV+kB102GSrEg7JaHfEacA7KKC1vnFa KNmvLZCFFvj6ZeX7BQ8lBVHG+TUK/VYRXg62tyfoQn3U9kJnMElejbnZYPyw0dKSaSC7w660QwT3 6nYUcjhtnp2vlHpQ3qkm+7OyV1E/GhEo6LzI4MS7Tdq4tcnwRc+zLFRj5izrx6h+JiL/WWcBkwDM Pvak3Y9q69FTuVoFzMXK3Lk3WZwbP+oFj7fyTbAFp42s19Qnc60SixJ3WkFv/0cFZa3RS0Uhasgh 4Y/AoOe+XO4t7TSYI5R4s3deRqLGCRXy56Oo++blYesr/wBe2MJKF3V+zhYtUbhVk5iBr18cqFGn a2DppTY0VIO9SBOcLBFwMbiMopcmF9cURzrlaGU/Nv0+chHgUAQPJcJsF9auDKDvdTB06kD24G/D tQaVoxF2Qwgq5J9V/X67XqGG5KxavWL+qkzqlu9GLzGWjindXkA//tqPnxMsWfUsN6qMvXrrZ8o9 6GQVA0fjLc01+03ZNQ8d3zcBtqqsXAHwZLdVe+I6/3Cd2DD9zXJKW3ySg+Walrs/m+5TYrmcO5NE pTd9IJkI65grDPkJM0vWkYIYeWdQlyysJmTOiY8XICSwuOlLpBMQNeLRxxjn/h5Y4872cXyXBMDb O8azyH+UTsbVfd/YvqOZp/1jpPxoXXDA6H4k1x2aopE7QT2XSRJnQHzM/R4MVn0+CdNs+7JYB8g0 piL8LUbOL4NjljIXR3+QpCeMeNtEuZP2JBmxfgHdtFh8w3+Wt8jff/mXO0Rk/Qw8hvnr/Uw/Bm51 xSzCyfRoETW1aPYLU57ipofTiQ8tn0OPl428QuCWKLc6wGpRssfwmFVjM9/mWkcGV06QGUjb4ZB1 uYkgYT5XL86DG1R3X/FzxV2Xt2EEetGqKvEUPkq8nyEF3YdEjbjy7ERLuO0UkJDL1pvxRH2MtPib wr8z/lAjOx0ymZw5Fyh+PX4uH6wngoPTuEhx7eL/R0+ySQnV+yIz9c+zCxne48h50iKVnwBPgvpo HmIvslTVKdnTwxkJrHO/wYcGzwNYGRk9ygsbZfkx2RAu+P7JXX/BiaLAkYX4WHOvbeFNuofVcI6D eSNy6YIvKveRyc3g6cEqBjFRGcCK7BBnoPIP2e19QYtj3Iyi1x4XI5u8DNU/qMyl4hUhjYGkTNNK T5cBJiIIj/IvsQcJ4o8a/BRTttHfvw8MusoX3yUJ6L7fv2/nakZnzEYOXjNkOi1qV0K868BmpJf4 QBJV18s7FegKJi0r68tzqlFVsFcEkU5LPyLtQkit1Uf4KztNEgMR1EADJrJGPm5y11itqOFFZAuV F1+SeUmMF1Z3YG2jryLPqjUGmA/8FNQsk5AaLH5Pg4MJY1z+yVIW6v+ypG9h4ZZPrXOxclkz8S5U wxjsQCZTkbe1ZHKdn9fDABngnk6M+0Hh/gDmD4C/CgbbeGTemDXE46qQBe70sBrwMAXHnMpNGk0N qxJqXV5kx70Rt6P5ZaazDM1Ns2w+rB9UMaO4HFdRC5C1dAoQTRfUT3c04dP2S78ObSsBDwFQhMcC I7qG51el9qFBNxRn+L9+H6+egV3MZeMXfRbvo4t/iM7XEaigJZeVyilMvfQoknA5NR5lTS3oXcDq GgKDfNdfizNjU03As1+qbNpxvWiII3p/RbynH4vKzAEUgwQcQPydyYM4bNp37gJmK7kltHVUGLK+ WK97SUw9b+g79m+MfwlsuJgPzh8xUhsrOyRr0v+IqnCO1JP29xl3Va2eTOqYi/HOTo+aTO4So3qz 2lZmu9cu5KrphsS4byojrgwaVOTCWRf7THGGabd1ex03NQ8BD2U7/F8LD4Uk4PnpCA8FP+cuz6ut MKle711yuWYFK45gwm58QG+FD9jyhHqj7cVCSSB/F5WgYcRDB69XOmye+GZkEXmAjdd1TWhSXhq6 vD0kYIGRYhdQUEhDwJLS6+ZGjS1yhrUf7LqFBPwsZ9gAM+b0J9mIE1UaeyrUiTUNvRRrbGq42IGv PTv5C60ojTiqFLeyFOuIDEkSXUHaRN4fjdBoJXi1BFOL8nGY6dnAbmYxck1LitRUWkFgbxRScyGr H4IqZ3hKBVsaQ0tOa1TXIUUYl9/OlS+zAkltem6ELGsa2iczP/EUg0kUwxRcvEv0MELk9qx0BtpT Hy+3HZbxE0yMO8rlQvU4aU9GiLQdCprVlTauu1bGqjAP1Maseg1+lqAK06f3rNHTQNlTtQkDpMGt vOrexMBXCPBHLh13BOQFUNMa2ZbBoo/pYcAYGODJzwamNxBI56CWfEWuuTivTy0/HovcRcxAxNjD fEb46RpeFAQrM/FUMdrCLUpuZYhUMNVlOrB2uPl6Js8l+Ewu/YO4s0uRzSwKaZykrcXLC69JEs+9 yrfUJhZkd8peIviYDjTqVujrtzj4tWzf8Fzz7H3b5pGXGjxE4bbw+9xGE0WW4yMHfSmoVV44yYz5 SpMZGzYnMLNrJmESjO/XKpB73L/TQ8gzwPy0hiCkanuIUxdAWi9trdMh2we2952upxvqFrYul5SY LwKoG1/25xhlemto0EVeNj7GyE+ETdWuBoVil2sz8Gzoj005K0VaPG99tu14So04Xt8+6BxXQQ/+ 5cZHAGPgjqHwdCj5fATMqp566ld9jwuDTiGaKZYXd2dQfSfh7UZZV/O8deN6rS4MwHyyFlyRxc0D 6JlzyMmeBW6dgOvOjsikk0oXMv9fBpqTpFhiA29neL63WCOw8HHU4z+cLj3JuzABco1aRYSPH1Tu /bw1GNKHziuHoMI9sAITPcQkBCY0PGGRSZigBR+JJ4AukQaJZRQA5eBPB+1Eus6Rilg+OTjWRBPZ xZyZGMDqgqGFMoTqy8dSnThMNWaEdzRkLyw4/HnSK537ReyIVog/awn7qcCkUoSvoLXZlBpiM4Io aGpanIoBze6SmqB65wQ/3soicsu0zzthqrMZG2owGTp+UyfgDA2PeIFdL6D7bDQFAhpTV5rZOmd0 BGzvRkAXpXTOOvXOmsppP2NKceoQ8iW/wb/B4Du9C+YbPGK3BtpoAvjbJ91TUf5jsj+qCW9bSRKU Sl5QyogOyBJquxgcqOBsrGBWSLL4QaUzCsTmoK1ngOP9OOh22QWHOOFAvzczz8jFEFcnDmKIIbwV Pc7wglqXeU9TogXJnWs6bhtH4c/mCjIiELXCcjl6rq+mf6LSXYRxz/FuPCSl4g2sLGoXPatPJLc9 JK1I/lINYTvO27gcTeWjO81A5v5LnCNcsbi82eKiHjoG+zHuxH6riaq99aBVkyVvfILz1q8KH4v2 M02ucC7QRbidm02QnMYg7U+2h7SWykncc9RLfpRIyXfpck82njaqRp4FPjuYYkFwKsaqGGF1V83Y jPvpBBuaXMmA0vWU46DLJ09lQgUdILsccttHKkxb5NuNyufOdq2oij7XjtWu1D5na+2CaVRp6Trh QZK9ziJ5Hwo+q9FQaMYkGmw77W4X/Bb3lGlk7nMIfVy86WlIagoElBJRq3WTwJSesS+8JEAwRpKs dKnlX7Qrmhh5dow6t2KvSdA+edlRXRhe8Z+WIYVnR7HdPZk+LRfvrgeEbQWJAWCmSoOlBWqs+mE9 aWbUikO3ik0RtPbDzFMOEOFRKtw9ohKxcjmYYv+R4/+oT9JT4IGLoVjN4X5KsAZbJ2cUJafh1OMn Kz2DOBdrOlWfr7gzZjdhGPTfXMkN3DdkRPZZa+8UKLfCm8VLV3sgAk7lkGOS/7IvRiOvIUexva5v QP22Q54s5C2M8z4LgQvFmdXuBPt+0+GTr42HN1Q/I0mMqO5Nr2m7VfyhIDC9CUJtf/ohPUATKg5t bEca27R+DOuLFJlkDLbjqvUgohKRbmyWzX0kJkWBIH0h1FIQWz2IRpqjFtlfrg8AxUhxwA1N3ZZq FaD6g+AAcMVYIh17V49pmCEkZLyQmhijCtOkbzpmW797CCebSdnTNgpVCDRx1Vris1aVaZqOcGKG 11PkBE3r2ThbF2MUROQOW2szJUQcooBubLSYtm4N8UIHe3+L4QjGMdStkyWH2ZV0zsoclYNdvUqt tK/oY+tDdlejfpMgJufiov4nohMkYqkFthdds+TiK8VhrWVB26dW3lla8n1m8JGBD64PH8ZTmq1c 7XefbY4xcU+ehexPEQ3orl0o3iHM0jjjCtBJTS0ZlOEDB1jLq0obz4lFkcf0bzV9Emq5LNwSM2jx AzxmMnoSjxQrQgne/6RCFuYKQKN7fHaKhfiCXit6C4nwV3e0vekksPovyMiRQ2wrCdj+IJBdw9XI sUyNMj7pDWWZGczYsbtFItmM/soP2ma0mG+/YGBtL0KZID6ZNEJeHaF66kOz6ejy0L/oxIRphcBi vcSER6wmCynJMQrTpWh3M/aWUsHs/YyIF6hdYDRVurIQ7iCJxNoWfB0QXwM6v5dH/QTuGEaz6G3O J7bhkVzW2QZyLZXIaAUQrt6jIjSNLZ1g+CHVK+NZ7zdsTmF33/GkYR3ud0ONfxhOm/eAjJ0+vlVV XlUuLqCsH+TO5KcQL5MaCHczb7l3qVPYX7+oLib+aQhgFrrPo+ABcUjAGW1hG8Rh5WCodPkCgAqG c7Kj5AMb/i+oFc6+YV8ERDjx1ecWyZtFMOryCIYLv0RfJ2i/ChfqPlYz5sGQ1/eLtFRxsHN+McF8 YH7l6dgwPkbNd8l2tZcCEpLV1J6fq04zAxHG70MrxLxpZ7KLURtzoZv7DgfTj4BBxsSw898WOQzz BO138lrc3QOj8BKmqNUQLUGpxtWM9+deosGBO9T8hG3QRflHjP1E/nUyBJ8d/MR/qDS4+6vyegER 8OIJyAKCimX31gWNpwfThRA5LaY+tqq8Vi0KNeG9VEV3rzWpNA4HLk1WzHLE1BXCQmZ8DkJDHpoR RdnXy1j4G34KuAxTgyiCEMHHTtgrwqVaUWiZRj1D+7plz4HxJNxb7+nw5qX7vbv5C6Bs3jFQ4ZZP YKVVbLNWbeDZ2X1Mq+QIHVDJHLzUSOHMt5nk0oj0BZgJ4n9/kWZjuF7aYpeNVHW1fQ4OZGn12WvP wo5k5yVFcPNcd0iHc6i7QeoO/vPRou+KtoN0tD54kbC0nBWzXMwcW9AHZAc2iZHgkGqp6aUhlgIx /5eGCgRtvkRJ+dLdNQu7Df5j1mjQ0nnCR87bLA+44MFEb5tnXIV9J9mzdIsSzczLSydb+Hrr/Bq7 9Otm6pwN5cwSt48x2aAnILKSN6OneMFaOcBT+MHLoyShBT8Dwei28ZLnZDQ71JLwUomzstEBFzju mmrXMV8e2e2wYsnFZn0ls+tNQd+g/daasBzpv1GGc2Ke2WbGSVW3osXYLnGqwf+dn4BpqIsYyR8F DmA0iRWxaxSRz/Qxr8P5zQFWwebL543S6QoE/XAzb4DCkR8+ibiA0iRdBaGm17qq5yup5GHFp8oK BlXHHnzv/3xiGVDDj8Pv5w7QPBNQN4PAolqIYDKbsBnT4OXUiBGia1J8/1p6VgOzM8AXYLa1RvKr GuMhiV/d6KUTk+Hl11Vjl3uPUvXlS7SU0LRAZ+5DFCi89peg/3IRFITXHr+RrIY/phhQ0ZDZmx7e 5+PmmS9ZAczePs54eqwyaJAEqWvuhK1Yd2guyI6MHUDv+KAtoNWbPM1rH1iUZbSse+ztvMxrO95m OargXv7bci226U+YSqgwh8CPurKMDswq+rkQ/f9mQPEDCDoIXphulLdtDmJB59vYfcobCkbrIym6 E5dojuivglsHS4AoPtSkw2Nmt/QlWtL3rM3RFEOyejpgj9gx97q7zvsFbJg+KCH5yjVXe6+MwF2+ oEndANZdiTGXj81asHJAT/DVjamaUsF55EN/JKPT73jbmZFmXVD6fqsR9ba0d+d+Uza2/TYYOHwh BM9dkCIJfFzwcph+DdyvC49l5Nk3O/KXbU6825lEsnH/bmO0x+RdE7izBf1LObCuEghg7o5mhMto /t8KQN3g8ZwM86DpnBt/pxCJ2yTps7VPDL5P/EfZNypRMM4vQ5euQZWjI/fOXOC9aleS9g0aYpXL 0JA9+nSTeTKrVdWmndLFg86qGvvZRjxrYX09QtWetzegVPKRt58ATT6wqya1NdeIgKFvAkwIiK6N P8RCHze6JWU3f+EaGYJPENQeMV4q8/Ve9siJ9Hx6mAZ4l4iKDTdGtomOe69ErorKvztOzxYs6TOz 7b90OUsjZlj8fCnlCVHF8+wLt1pZ5B4kSSK+9RhThTps2tcMhf7TohZBl/AQ28pazwxPyt+UxPv2 ln8PPDuOj2Cqzdg76u+EMbG4xeZwF680usPNjypjK8mheUguOGDx/eSFYzAOAEqt+oYXUXXO1Kdr S4F2mcIhuJ6ucQsylzYLx/Z8zQ6dh22eO3qDQENEIOpOeiHvL33OM9fp45erT5+mKYAx7Gv8M+ud rBRWBuwxfUJ+eyYZN+64aOV+WO5eRbqIAesCoij1J4pRhMAr24CiiKeKmY6vhpsZOmwjk3/iAerL 98Hz+xytZnKfqhwcEwakHLNz4ZgVa9bSlR+P7dDIBfV8/ddlAcIYOtjKzvGg1L1Z/k8QaL5KxZnS vQQoXg6KQloaHaJucbrbIPYWW+QoNFMUoK5x6xfY9pN61ezaKPgTiJL6hfjmFnVXWKcaTn2aW6b3 NNCwR5GycIlWOdP89R02jztKxrp9pt+njhdga59m5ST/yfl2vc4Kr2LuY2H67qfQ4MkI99xega0B +CjylDLpBWt9csTAgsOWF8lDhi7fjrcbK8V6zaMkwjOPaT+ddleP8FTOhJ2yuFvuoy8OSI52Mo4D jSLXmr//xTOezCuuo6FWTaE7CwkxNGPQrJqH8m2eUzuxbjtTiNJSlcpiUsE6kOZqlNPPFcZJpwRv xRJ2UftCy3mbtlE9Hq8FJrN8FqgNOvDFr3UFiFtuBLz94/tETqOz7AbfEP250d0qJxFOURvvP2hr sKD5E5BqxBNM4u30r3Lqf3B4G65i1O48xL4khUdqAWdaGs0jPb8bj6DSv9TQR6QWT+PU9LrgAyuk u6XymSXTc5/OnfTSazXi9+nl1hojPssoJcG1Ak8p9pT67FjBNagbHtAkMxlLrYek+Q8Ma9T9qz2q hqJypPLjSPuh35hi62n0igNDBQDh2YxYZRBeEt08nodVavlvFD/QnG//+qyWcKtENE731Hqn+tJe 0k5f/jAXkVRo4n2iIX3ttfwdABb/5MCrZpzWLmKl5VxKLDBmETqyur/8EzlOOWdLHRtRTD9g0kxn +rfvpT87/P4ATxt8gCN9Si8jH4BaCRg3aZAQEHSNkaucrpRPgDDOxaxelonjDgjbquDZjriktSwK i+KLe4fAb5uMGWPTl4+vC0cOpD6Doe8+FI5Hn0EMeu8R7UbeFqc/qWUtUNhCjTyAFGixrremp59t ncOpi7TMCy9j/IVJh7lGl8jY1JRWSxwwi38CiD/fvEZ3cz9rIw3lVw2zcMI8yaC2LavEh5fBeQHy W3RAUixxjfAjkynF/wCxbgKxkQ4JEQ3MTtErdQiWrK/22MB+WCVCnHN+8FId7ITkipuAK+xQ4vut D7f1rAxx7v3cimOC3HVkuwAEw1Tg2NmWTMPSqyUUKTetPJ4u369SN36urC5/vQKmaX7xmV8W3lGC bYTa91THO4aUZ+uX9J4Q+iVgcH4pibGRf0OEz4Hr5+cfLBqXznBNkqtctTJzsJu9GvOhUFXI55mF V5+MIv37cnkl1BdfXx7f6XpDJwuRDtvJf1pRqWrmE2vTL/1niO4xqNONSF+cgAeN2PU4SeaNwWTU h4PyaIiG4g0iUJ7/0HzZKe2rFMpYnDzMNm98Ll5Hdlp6aM1NppKdSJTI7SWvDh4dECxm8tSSsXyd hBnhQGSs+wq4Ez1aMEXwaqQ09tVWhwgQyZdVit2Vauj8kzoLHqbA8PDBili9M2Auc89IygLcIEvS DUb210rXLqVxoj9J1E4dg2w0KGzOHQgBsfhzM64K6TzRG1CnzsgJmHFpMTZ33bpmc11yfMXNtOAK Y8/urkc74mzW63Sq9WtLLlX1ghAjd1AMrIXq2tEDeswOypjrefIj9JLk9Uv600FIlhFv+fPB4yCK Su6S/tGw1efMFCo1RUVQPS+p/E3syB/+7cs/WKRVl/XKcx3YAh+xr1aHaB9SVO3GAom8BPfG3Mpx IPDZOHvI8AP1ga9oAMHhG3AeRXF1FcWO1cbu8jWlks6rFPujOmpjU28Hg4L9knJmEpa/pBi+ZUdU 7tx2ER/uH1zbB+DjU1UZdDKEs9jn5WmgM5srnBzjEGjeUTfsZkJua1inAMnA0rkGIOFDEuANch4i p9H2M3Qz+IEr9184ezwpOyFUIOeSSloIfvCaVU421lXXRp2urtIv9RDrc+xG9RFtepaQ/7Q9hXbr MBZftVsVFtl48SmQXbpa0Kt3YXxJa0sOn5JlRH99EMMJXqThQO9CQhhq9vwGUAxRGSAm3kX31Nvr EwKDAhoprYdg/augq6j3me8wDWPrtzqK1REcLnqHpwovkTGmIY4PCxFf0OH+LIUauGThLCROQ2oQ i1JJ23bTM0cwlxSa7PqypgiG4Aa4qDmZYW2edSwiOaukfxTM8ASrWlJOsMWLANxmRG6wzAAfzlNz 3ENUAVJUsoROhZG3mZzInVy60e5ByUBM7i0Uve89H8AGAX9q4FOMTaLCLrIBtEN7fxLezpDex/C5 L6sfy2NpWb90aeJLzxYM/GxliFzfoM9TuYRib+saFwtxVcfb3vCUlO+zbjbZWoVZHvD+Qst4xpCC y/aVrItEJLhq17ydam7JvNwY01VKxTDgH3MpqZR4NOfWpkHmr8nonWhnNy5nSU44pHWeUNgz3L1G eZwW8WrHbZJg8Ts0/AifxTHfd88irICkE6YkxfG6agLNLAOTBMpUo6Ij3XPDMxyKljqV9bw94zyH AOalVHim/beuu3+vQhlJdi0pGf5beED0wEP7nKzlPPgh34Hd+fItX33EMhtINLbVnz209zOHj2Po EFe8pOI3LJbdYQikhri339Dvl/JKIf9Fdt3001NbCBGxP1J9dOMh4UVW5opsJLQlRIhAAxAvRK2O qjcKmSnCi8r8tWFKIsTwkFzMOYVAxbGDCprzar9bs57QKyIrDAmBjZHhmEQ/4Ei3xr1QOW1fObuJ GdJSM5XHJDmGyR2a8ronMZtCAckN04o8Jbn+lHcIt2R0JMZOdQosHXgmg/N83lfLqXmIAtdLyu5N 2Eqiz0IBAQVGz0WAqwqEjlvjEtPrmgsJ9w7safA+a+bKAFuCLJqV7Vnp0M7LJxhSpWeUUhdSZhsf Ja5JsruSeWND8tXaiiNjdsefL2VB3NjBXUIJyl7PI5It1Dsdx3Eygqf89Sg/LEmBmgQ/jByqD9VK e+H3aeujjpe5lCh/XprIA9GChfhc2ne+crGs+OCWB37ZJ09exyHtDj5WWzUUxeNujKy6cvCI/8kb +5OyPn3F8bgQAi9CTZzJu0KoWRojGrrxcwHj6djoDhFNVR+Q96tsBLhgpGmWRtPeME2Zoec9bVe+ BTDsK9mCD4Hj3mbQK4iyQuJpWyYEVjP7uJo3HirJRjNlytaNc1EEGdkwUbJQCDxdPRV9wxGBVkZe OoqAhtKzEBIuEmV/Gi8jyi7Eury7RiwKrRCUFHsjKrK11RXp4ikl15VKdgfB6XJ/umZbw5aM+Fqr lJ5pREm522ItDHqavUi4+EOsdp0Jq6corbDbbZoGnr00Q6EDxi54lNQVGeQqXZ99Cfd7Pyzpap/a z3Y8kmsJrqM+UuMCJ3cLyBD8pLDJrjTqrrUgXYrDZO14mHz3YRnChDWSrzJM9ikeF3cZMRhIT3BY WdcMfPha7XLGRGjw9CH18yTuyiJfbXG3Fq/LKZL+XFkybBtbY60R7ROQrDXz06OTZIs7Umg/so1C /vUlECpeeSsglz5tO9gYr6x9OK2vhngp2htFDSUk2TF8P3Ywq47xvv65Hq43cekHJvKdubyVpHUq g+zCajSl6/lHHuDlSU6VqO2dEC+cOnbRfeFBp1Sc5UBdLeMQos6fFW787Az1+leevo/do/zP0ABe zoFcGd8kzo4fRFlCJN18jftly/IH8hH0Xw2xJXT67Az2Dl1+aHT/yMlXrXb4wGnNbW61/0O9fzMg XmTRB/asO7lXVkbhFvwmsytPpM4yFgh7y0EyLyz6GtndbDxui92uR9GL1teWxYuSjn/rL7lirAd3 UP3a/BhTXVBr/euwpzMx2mP+hzNxGSrWrBzOHObL/FpT8g2BGOD5ge+PwObvqz1zARHDF5itOadk //X3Y1thrhNJYAQV30iB1GP8rCr1IdQZFXUvJCKOHKk3ZYSIIt2lC/q9txw2YFQdEWZ5J2Bp3d25 d7zNUkL3KL4yap2hSujmcfHy074OH/NN4vLSsAsWWYBZpqsRAeuyybd8KkI8Oyc4bn8xyybR3DvE 6QWi7Wf1yNFsMXfo/MD6CgYMOjIaE0vGJ9ib9xCiS6+BW+QGD0xq88NlJNIVoPuc2V8FAtYgK1pt SdH1eAekr8n9WHmftUoMm0AAjUXdhSRA8JPjGt9mM/dqyooYOJHRvxCI33uQWeb34HOnxMOzQw2J 4n8V2q6UQPa4D2cled8KXVMwURtH6TFU0dBvlLSHENMptcm+2/EHC2mHQqDpyJWnx3l48Wtgms0R 1GFFWfL1yqOvBR+S9sBQP/hKdrQXgbsqxkLSZR4kXXPo+yXluP/Tc2GF7txGZ7MAw/INE88rMxak Id1mHA6t9QJAROQ3cdGbD5W7T8bQXfJeyXw2cLxq7HUu5otWC6KxHsSoYyoDwe7xiU2XYKyiEwZX HCDGZW0HxcAaxYJV5Ha2GGcp2G+PQkrIa5xWvsz1fhrkHn/NrE9qMg63yPV3eojjMQ0EsPkwuEgM ciY7yjXJt8yixXLPHuGMXuaCKqWWFIYD8dkI18V2QS+r4OjFrKGJ3JgQ68e4CLLhDKlpkb5GB5UX VMQqhmgunn24y6HFkXMlltGF0maOKJJzECz4OIQ7LKealp/2wenZ5z0NXiNqTyoVe2fMfV9x5Sjx dkwgla4KYktcspHHOQtukcSiLf77deVBg/3TGQ6cU3UUu00D6loFgjAnTaERjoxqhPWzsTj1OkcU QYnk3v+mAjIRdbFJSNkcyc8icr867+mrh2DXUrti5xRJlYIW8ANczoo5nPAJ1byGq5DFAYOlFmkl ZqUyr29kDOgyiu4GtITMQtHVDlHCzwIOWSS1/4WLO4tO8sCrNqV+wVbOtnX9us3/YqY1BYw5LjvT dPnjy4q2DR2+K4kyZpA9TM/Czt0BPRwrP/FVKJYkQZMlVYRBP0J6ZSHcJZpviFUU0MuGtMC/4Xhk HD8YZm02fjpuJFAHzAD1ETi15tPByJlCeAMjah1pD8YCAMge+1B5dXGbWHE3xSXqFe/Af7b2jITb Bw6mvH5gQhzIaVF0mAyA6iwLIE7MlEXUl2Qo/cnEnXbKuBZUmfNA9fVud5rICDgQYEaqPaa0q0bp 2vZYGOKn+IaKr82s0KFM6396rOXGBcUay/2HnTDCxhh1M+s00JBsNQKlzJMCjBKBlAvenaKzsGHy cMEIgZRoM5ocqWBGY24UMw6Hd1F9Jf7rEus9/f+CHKGGiG3edTNlqO9EEs5AwtV5+AqRaPbw9df4 pPZBSdRclCqo0iCPac2VnMAyP0vaPDd2DeNQydAXzG68rAemODqstvBiqfqEJR8gC13vHbYX+LNw fVCjKgdMrICK1eJraeFHexkUkDCo3zHUTbX6fV2t7by4gRistcnzHMKIymEFy7GEXmADrhp3NupX o5AGdYPpCowJs//QOv9bmqCS02VP++Xhqck/1FuHA5Zx3D007nw8xrXACY4GRUfp06UU6SmzcgCR ghWLdfNt7c3YRqY60YEP5n4WeL2ZcMZEk6j18wJn6JooYbgBw/1kLPBcsUka9buKUtNBpVACG4YO xMtBYXS2cmOuUnjNuftTox0xK1FJ6KylyBn9G3LXcD47LBin2SIjZ+vitRoVuzRSE2boLd5x9+r3 F2L2ne+1UIcti2W15CpPsXMDL+LVQdXZzNdP7GVHH/4S92ClyR7dpxG0R9YeAaNCI90SvDs9vjhq NEdPAT20cbgXcjVw9Gn6MxZ/D7nZ8Ap8WVA6eQlshLFan5A3/iP3kHR4Iy5xGySZdavutpgws2Io 7RhsNliadzB9kzSkoKDlbRMd3parsbC4sLEViBzoYY+g5ySX65KIfLm151C1ysLrBtGywsHA+2Tv lvyfeZbQh4ORvc1kOVY+Why9nzoUJL/EwVLpdyURT+Vpsp05eZRV7CUYHaqWRfTxPMaRmBsLFcek Tby5J93goPU4D22KVjsBnglzRfi5KoF6/P0FwKCMeZ133VsIu1Eh7Ifypeza/5uNSGDGkJmIGUR1 o4ZJnPHXm3InHWx0nEPVl7BqKCfU4Pe6essCXpxYfm+YdiZTmF/EAqtBl8NPXyhLS05D1gickQX9 bD09hQ+U+2f0OX1kgNnQofEg/pBKa4cTnQIgkf0ZJDFw83kf38LUEUCaQi8zT5w4X7xBBrfhy1k+ oE2tltBPN4WnT0uKMal2Lr3y55FBGCKw61xkZhlkp2tyFx/n6w6EvUHDtJRwhhZdm0TBFku5lAdn krdAu7AScya5nYuipUrfdt2JgC1ssn6OeNkHPOJ8IlYgFUXt0n3KBayshDqp9Q+5j9Hl0HJQ300i wq61hedLw3REHFJnITQL3otRL9ohyhoNLCBeZS97nwZAtIvmOU/5LwjdTZRjAeHaLr6xaW+pVlxH vfTtoUHc30OEywBUB2SGlriXJ/zSMz49aUFRo+EN3Ka7lpBYEzq80zLLMB0DrTUdvLIu2Q0keKOu wTjp/DVi4bEku9gSJamN5Qkxsj+pbfQ3pFWjYntP3o2wTAQOYg5fpHotFR+n4mF2COi4o3Pdan5A k2lYEJESJTwbt4S+Wc+5FBc2tSOk4jggG16fW+9bsGS2lRO+lOoreoEkEG0UEZ25CZlQn6LgcgBK cMOOlRKIlstE7tjZIBQDPjV3bi/QKF9PLwbsd0xnstPArWYBekVzv3JtdPvMYxSvCSq4YBbSFDtW Z1MRlmvV5Xf6MeCy59axB21gP4Olu+mDkyVX+KFJSzyL0rJOiCpjrQlWB68cOyNwPSWmEjMV4AYP mniepo86Pc30zCvjtej6Dj9eFK/lx58O3ZQXRa888pAEHj0Eu5tG1i3b8QmfWwIL6QzfYGG44/Wm UNCy7w0ZIWLQw5v+17rbMC0zUfXRzy7jjKD1MPZrJre5AFL0EWIUmjQHaQGQJve6+R6W34rblVu+ qE+6aJbWBi8e24W2tqU/Tm8uxndCXAtWmRvOq6mKQEKd7uqjnqdVxOFzwJABB3Gkh2c/P1Dydzmn DUBTw+f3zJsnXw1rFyHLNGduhPJB50aPr4cjHtj6VWFMkI7kK5Sl16xlp1kKCvWYZnLZL9TBbA10 GwLPcceUdruS+c0bmzxY8JR9luH6bIXECAdQUzYim+iC5bxs3z6UYRwes48kA5Hj0OqnLxM1V1+E kW336lOYYSG7ZQGsB7gUxHGTmb+5wqjowQ9YVnf0YCzNpyu12YtDv12/0JnsbosFllHMybPkQ8wu JwJMLO6bDZQkuA5GtfGVg1ti0vMssCyZ+93cjc/rMAczDRqD4HndamRm2cfJ4ZOrNnZucDO4x6Y/ FUvk1Gq8ezOmQEfMSfWTixUVWNgxv8DUDQ9iyCMrfbi6MBQFf7jiE51NEeTvzeqRfqrp1K11WDTj L5s4uWdyIoYmn62G5nkhZ74+0BAXKIYI/b6n2p26itjdqWKyPwVDsSBrkDe4AQhvuIzhk2DsGpyf QXb4Gy+XbbyURbRE+XYxL9IDnmOlRsBDShAV21wLESm1ll84VywZckT4FLiwT+MJ9FsWWZNb+Aem 2ZIkCUDb2Qc13MrmArW4kpMSHHyuBhY9QUzuFSO+qnCDY7c/a3uszQ4dCm2oqDXYzQluKQN5tHZM 6p/0Qi8fXpH4OBTn8oW9frLK8gYsi6HbBluVSIYyW7Rz2leXArbfsru0+ZwtPCGnAedV7g951PaB /6hm9FYkQGEs532PFldCiPys9CIPgq7MXAZJ6ff1cL7C7gYUUX6dfRCsroN1/joef18ay/FAK/x3 RUFhOw8UIyk/5Ete6cRllPeKXMaiWUsqyINkfpX3WWElHfxxr8l7MGjK3cRpNfd4OQ2yX3ADOpnl 0qKotdpTSD/UIEsAKYHSAYI2HBP6N58BiC+3ovRjiNKJlsVcJprRMqKeZEy2dsdY8yMB/h13W4w9 VWJzs1w//vk2ClsZ0ALa5VQyXFnEFaaS6pK+aaTkv0xOJqB9FRsFVSt72HYrhvvp5cc0dmCqhMmY ZWiD12D2evpfCnmGZuj5+ay3+wSHIiaYHwB6vgLYYndFT+up1wQv612dlZi5liwn+dX6AHSAOAbN B7MpnNqsI4uXC5Xb2XlqnROADuMlAYcHzekywOaFkre74SKiVtUwn/MXV0IrrVCjSFBjGEN0CXuG 1Pjaqe3K80TmMGhkCdX22V3knu0PryCwDWAyo44NveSbIgL6KJs4g6gPpxUQ7aQ8U7wLnjGQqNIi LfQAb0V/YqC6GcE55fcMTEoi9ZqNOA2l57eRAHjaSk2sdJN0GnPpicI6iF2njnU5r9r8ycnJgWsc //KOiqGK4DAIt1VwYJRn5XBoGSrp/cg56Ik/8lSIcdAd6RdqgII7ViQng6iGoZWwENaMwYFI4zMI vIkH6XAhKE2Pheh/f/r8O2onQCoxRa68XcKVq+Qe7E7NA+fZfMvHwcwWghk2aqG4fAbzo7h+f2Uv NEOlkoYoQw4jJvn6nJS6H7wGYi6rvdoccYGFN2RXhR6CvBxM9JRjHYMZ6Ive+REmwaE2PHaas9Kc HsT5jXezNGMolDMv1I5Gt8sdLuyFEYBCum7kzd30Ip1Aavt7CboyP+OIMYSxYD+il+Abz2Vn0fwz ZXC8tXwJoUEjA4jGonFzR22bYkdHr+cohfLe4L7HHB6aaZxB2a6d06h+K81aEUZShmU8kbhvrAfO /jK2uyJ62E3BuVDkEGDHuLe1jGnCkONI2K+WMgwhTW1QULso71ELiUuz8qs9YiPN0fnFpz4DGdXP LDoOGffxhyth5VW/8l9BZx57CYGU9p5YMHJJ5JnyvT3hq4Gem3wM3UHB4etzEjenEj27BlB2nmec XxEa8tblVdVJ0wV1LgBLkeilPNdsI7zpDDC9YgfsNtGYVHXD/DYlHV8Bk9Asc8y08uWOad/l+PSW dR2vIddCn7LMvO5Otknfpbek/m4/C1VF3M3YIqUzCuzN4iFzI3DCLwLR/nQK2XFgJijyb/FKrEjE +RwYXtqO/Fa3y5hiCqRYynJPDKf862i3U7Q5nceiWFiUUY+sVKrpbYxQgurPbQlRVIl1wmzpWgGv eOmnH+bUl3MxdEMmUQDXO2dB+rXmorGaWeaSr3zC+++Y/PTDuLVUjUogaW1LiCBAVBW30biZxPga tCidaqf7UeygvIGTIdctGeWgrC3y/fKByMXhbAYEVU55s0wQkSqw+SZWxr/2F+4OZadER6E863wr nmG7O6eNwSQCCg56nvmtjm7cWtdpaHxFB0gWJrX0jN8Xf6HM4smO8+LTmF6JTKPReKqQdvD8TQpj 7rCFrIqClVSgjpS8QjNstMboKHcC1H2ZcUBxAQkYy/LNXFaR4qGboth/ZqflemuR8hUJcF2Iq/yM 1oM75PhF0IotM1kTvr6g/QRhaRR9Rjf6ojOp1EfMYlXFHrXiwEtXVejQE01lbGMc/r65Nngyw1X0 vsUGomP1R51fpP4J1IW6ryqhxQEoHy1rdkoc44/TObMrKF2lNFa/Aa+4HdxS/KGE+udHjG5dfwnA rzpHyUi6mXUC2JZeJzcsZdfA69t7FtD7OiK8jDVG/rCwSp+IRu9F0AKs/LViK/r4VKh1iuKtpRlh FFGXZqp7GVtdcOhQ4ECgZwQW25xsY7bnWKx1JOs9VehFo0H0QcSq8eSZalykCZzEf4LMToyghug6 +gxOaY4+xU8ZPskOIj4E06DITXrbyXB+OEjai3bJEXmNyaKi0+SiulIPW5MQC7vlmzwOlGbjk+VB 0EbPIylFadM+Fx1zVc2vz/LYTkfJCc3sFnRrXdO+cxfbfZAU/FuX+208fjfvOl3GTgBDEydQpJvN jPqQozOyPBwesc6CW3RQ3p0FYS19rHXood0sYoF7RZu7p8kC7ssklWJOZpLck/OBQciyRNqNcm4R XCMN3N24SRG50SXu/ph/LUaYmxF5//dANysh/0rQH4Mqjz5bMQt5XHscEKdQayCKSDH3r/NZgBzu hb8f4gryEH+z2NDrgIB7ZMH9THUcK1peYfNzInmq+EdR8R45OOvvg1vQx+RmflFvJy4z5DNgFXVX zzETJR7O1dz9KLrIYKhsj+/sQOXF15UfRomVI3/+Drv9H1zN5jgHte+OIt4jExZ9z74Y7EbMeU1E rXS4FtDXmDbAjO3TWAmc5d0ig0Tybxot+sg4UWY7w0Xe9PhnXrN6OP9Aq7ilidW1Zn7H805maRpB T+o0kmxXBTy/bjVHkx7LQmS/I9pDuADyJ9m6bbXv/4HSb/xvT/Nq1GQxtm+Uc1tsJ5xeCfm1FRID J6gDobqnjaTa6ZjSxtIDT7K6dOrHvdJJ427xFg4MWz2jDQ7SzyIsjmSEK1p258Fjp57kqZtdH/P+ mGnaFiiW+VQeW+L+NnsgzITaVXSPb8bTbzLG2kf04LYekCBY5+B1cxLprhJ3lyBvyTfAdGaNgmc0 7PmUSjXjIVDN+7THtf/J0azUab2uJwkBdyRiQ8pXpGKDWbtsr6LKaJs13gEC9fI/IAoGxDDCBAD2 FyUOu8HTJ4ZwSpf+ulVUTqrSLAdc4WD6xGyxO4F5MURmJ3p95BcFezv7ZXWIC0j8gv//Whm2txAX k9Meur7H4YhXdbF8FFacWWXCOXJC/NALt60ZjcKmXdIMYMSC0oemnshFxJC3Fe4Zq+LhtwHyzbOl PYIJQSBuG4OC7k7CrmZdS2Bk8JkGBExRvaKapU91vFufyCp+boGPdjEksAng8vuphVjEgUb79fA3 xUHB7gW3ifIDFCfaQCRbGjjjoaaVyo9tXnVyLEj741+aDJND8MDH/a6fa9REDnpyECRfLIliL6Iq NbGaJhlZ4JEvhvOI81WCNMVmUhOGIcQDX/OOi+V/2q0UFLARXprIJb2WvF1qcnECWkKeBbkotf2G K40ohA6YXdP/MU1IvYuwRJkko0QNjDucRu/wAlmPSgAQWhL/tV4c3lfgcDxujECXJXgLYHQU/gmK rz+vGG1bI++dCc8PD3Prl3gTep9ajcnES57ovIloJVhKwViNq48jAfwF8O3uWpGQaOS6yKwc3dD5 +QB3Ey1ECCKeJNOfkBeDn8N0AVlyWowODX6fjqvxYym8A6j+WZ0GmP5KNlHbJqPgtraeBwuiFGas vcZfbBO1Fv81UWX3I6O4nW3+FOZqnwvm0jkYPAdiQG8Z+Kx6Ppf4JBuDMa8LL++4nGzJNnHTzVqp U27eSpbiQ+JgTemqRHNzj2a4WAwLTa1wIoaqP30a+0u6J45scI1a+DO6cLe3xTSlRNwM0eVLbRL2 3LeTa1ZDxtdBpeL3IR81kBG6KcX8CXdxSb3knu5GmgeCtF5dBgQnsY3YALlVC/p3PP5JAGB+fB3z 66jxfrfJzvo9jO8RIZPPpIHafrIiTomqfScUgY7Gkt94ns5ovzHiP5fBz4NChHh2YXbTyZnqdJh3 bE4O9NviCQESygAIlYvuB69YgHsTlmJ8i3MwxVeYG1mD9n39ikuZrUkl70D+xSkmE3N+BYUra7HH 4KxuwyjOS6GP4tnWPwuZmm+lK56+8HJvule8jW5U98GYBQ9rr4DAohUK1ZY1/MRXbc3gCxn7V0L6 n4vBbrM7ICpgZgP8VkoXQtl9955mdFiLu8ThSX0yrG0a/TS/6RrB/IVv7XE0D8mxIZLaJ50noK2e i3I4LfTCKvuOWITEER6QjdEokKqNeLNmGgrTHfHG622/fmZIXtRhfaI+tNsrOBlmkUo9CgFOz9vD 0n8a6QisXueKkEWV3M4k2jaXWq2jNVCkJHQE6S41sf3Z9JNC7ogLeYw0z48SJc8qpVzQB02oCz8w RFp+SiaS7tN6zTi73anWrjsfaWwABeyypT2GGK9jYswiHhYU6632YM96lt2KEATwGBj5bk4DL/bn MSvnnGWwwyOEFDHA5qDu9pZ5wnidyqgr7UaJLqAm6HMt6kyqUDrTGUGKVLaJI2wheQcwpO0QHoJ3 QUecpMx1N2+Vx/z83xz6cLedfIZRA+dLTeYi5QnaHdWpFMJ9uuYEMfmqiSaEx1q780FVKQak0wNd XdeS0HbAtXRUATKu2Se21hS3Yj498o3327PMJvXyCeH3vMYJczcgun0z2n0vrX4e9Znda7a4MExB c12gHMp3uQ1MfWPoo4RwI9BJD/I9D84Q1k8Qt5q/64uB/9/k7Cjm6JqlSxp1rAcqxLOiaI75pZHL mw0b1K4a9LH2bz5RK94W3H4BUr+Yvr6kebIM8xuUGsd/St8wbM/GCezsyHjSM+pD6B41P5DdLMgo r7rlB8Y3p6b+7beC6tcCo/dUzLg36v/k+r8HlZkzFXy9uwf+7dEJDIRwkrngiRXbUPrUEq0P2iLg Vx5V4F9/NKKYC8gTXYeOJIG7dNLrExs6D/6XGeSytD/vJjVVulMKmtXtQWg4ZxDcmaqJ/s9vcC8u e4VVu1PmghHY3lXQkqOpPKREf+Sv0zepyJANxwNj9/+jxFFtPM/j3gDqVdPQaodncB2e4NXCnsqq Bg2K2/VNTd0EX9biQ8ysycDrlDrEkeRhrbFqg0i3CaSl3FtpjsRVgToVBTBKQTeIQioRsPE6eADw IG4o0vFxKPbdQjRFDX265sGlvhTmIXVBuv7OiifVXVq031WDtP8HiUfLfl2lvkhKuapL3D0rkwqg v7ep7iytv9pme8wDsmk+CbkcRu1xEqZEhMD9Rj0mgqGomorFicaD1FEzk574x8zE6lX9EmzJViPO aIH76tKTsfWDLk+Xmxz4hbbd+Tj921j78IkV078psAfKH4FFY0BSavvWIwMaZC8SFxxGLO2pS1ey OaG+N8u6E+cHRBuMjHixr+9md/TbEEkpKf2lFdEpIHDj3xiWHErr2aeO6qtJHpDkuz9rE6HAqcTO CT0/+kni1XJMGjQuvICJtDaaDsyOr5oISOgDgMkPXk3TxaLnJ5OVTL1vxqgLDpbjivcI/6F7c4Ui aWqEEmhHURMs0Rr3SBjgCzCDkCHyQlzR+h1O+nn97Z6Ts8tqMoHs0Yl/KTP+MTLO3NVe7R1RJx8z Ze8gHJVPk9Td4gFV4OH9EjQ14q4acM771E2EQ8YQKglMMjX2sPYCCdh6XNPXz6xD87ZR7yy8UZUi z96yVvSEJ5JoGrKS0cqdfBt7v9ahTcvpj3rOHXKUOpE7oExoIYOUE3Sk62K61MUDx/P4vesrZxUq Nu1eHIpHdGtJDpgYf7evu6E8MQNaw4aVpJ+/lQD7/s60Irg41vPPT0I5wL68sE2uIbuLid36Yg4f tLo0Ss/GtZ4TwZc5A6xMLZtHmT3TwE61yvcVqk4+ZHHtblAcihZlSHYAQ9Nh0s6mKI3FmTEZJiK3 05z/Vn5H+QbrD9N0t7mrv/WMUWhl7dr9mRMWRA+3D0GT6nH/u109poLlWtkOErdDXRpBlLFcvkoy g3qPrQjipS3/SeMfWo1pevOpCpQVzDrIOI6xHRISrNkW9JJ4+mQj4ddsOgkCpVK9DaO9BhceSprA u30YHyfzkvr3xu/dSeomAEPZkJBMlOhQvQdXpmoC9tPnTlkjoFUkPA4ClRnQAcCuh29+Vb81sQl0 AuGAfb94cZe5U/tgGqKD8ojfGv9D7jvOQB+JFtnyZZ2/5hvBlObSRaGVsEvCDvlxaHSby9i2VBUa NdCIYb8QkpFCN9eblyrKPPtGl15YvW3lS62uWitSopsz7ABnKRPC8InmfMhDpUKbivOBscrI5zej Sx1NhNmgFZGn6H3Zz62PXRG5+BPM5h4MxBn0BlW47PDWQJgifUfpTI1JeVwxt69MvKzWA9R0Lael zlNyutWeZKhKgeienKDV+g9BXjDmxg2y4MQBKUmF9S8RND5katNWzG/MEl/I2hr3VBy+D13hhp7l EgwrCUutEZeB+9PdFpwddRta2dhRLCRZeVdNWrfoDpy1L8R4wJnnqAJxArGQWBOwodzdwneNBHnd 8fEVCFR9DMiT4TNhzo5rxRK8UPHgxsVyNp1FDm/w0KJ+05VHWCZSfcExPCvUW6SvETQ1GdvpeyvZ 5ZQgfvbB8fKhEsBgzaDp+sByw+i+WkI6lmvBhfeg99LeilfSRgW/hOTf9pohTKrdSQM588cbCCUk nVkuGhlxZmDr92mRxzN53JCRtbwoCkUfTOKSt1UTiEWOv2I/lUkcL8U0X1FQOzZwFSaivydQH3DJ g9D24Chsfji7hL2veqX4l2AtIIZpb4TrFhGHXPdsXhmt7vw2yhhONmKlQCAHVHyfQ7OnNizs+nS5 d5HcB7dMIERhalsyvtZ/SzZCrp/KbTvXtGUj0FC4V/fnGAEjnBSYQ2OKmLCr9UxcA+r/S0Y0+1dq EvV5ncnhu6S/tfZmUanJ+vOZp+ejc2aNBY/gmvFHE7ww4Srbup36l9aMSK3adwG6cxSbI/O1UIFd OUzZ7D81brKTj4ds/rlA7o3b0qN03qhfZ9Jq+aC5O4QsLMZoMUA4TObakGja6IG/17mEnauHDGkx aB7p4/II0MM9CWMCID5ATDeeDTSJ38lm8RLRcAcUrkBdctv4SLy2UtEuX5tk4NCMO2dB5Y6tXKMr s8soKfFMvZDpeqAP/v2Qq/ltBHtq9YvPIcPocr7xm2b8G/NDYjllVVsNhrvfLhl8UDRKE24c1NJL F08P4CxdG8NhpWZWW+hK+BARr9YK+gjqaIUquIaPTsLkp2p/6LVvbqzY8EunbLoTSpPwf2xUccXk pI3w6xc84wZHGwfrO0WLYSN2nTmRDD54QRnIygDczOCableHCw3zY0fjAuzbGK1RJe8x4JFWooxC mgTcz7b/2CRjOES5OsGg8/GeOqVgjFWHosUEzJZcamjMTEwSzQR7ViUHQ/4lz/Nblz5fGCDiJd1Z VkpdUStWTEDZE1BfA8ZvxI71kdL3/aoWjz9PLYz5S1TSDkiY0H3rwYBGu3eou72qGHVYEQqGU5Vl ctGIjvV2bnniHVeiOJiNPNFc7Tn9eTKURk8n1KEw8yX1d4F9ikpuPCv+/YlXTaRVqSKCfCnlq1+Y LKFAOfbeqsI5kc2DcCjX/I5PKAF1FwsP/YfOHsVgNN0nWP/KLHiAfiNYK2Qrk/SMIPI4Vp7MHTqj ADWwLWR4eGy+e2a/eU2k/wcvYfHb2y2hhxsbn4RYoUWX5c/OrzmsTKc95JzuRal6KWfvGMoDI7J1 RKEsALDZ8uWWgyOT2lP4tllfT/9BeCFav0Ao+gUxbKgDDzDj6/D0h+p0emDn8XXZInKkeO0Pu7uJ GGZMNuUFNVx//2/AljKxZK2kPXghnX+d40xk8I0jGvwnsxTyimYTAZVgiIBvdtwzkK0Hnx/CAqvP cr4/SvT5/G/wZkA056BIrq+1MFwFeAOYr7tpYogqZEF9Dj6pSvXbohgHPwnoc+4m6mlP6D1SArYl fRGeYqDZBMCrdYa/4Hg+xFUv/XDRp9enuxCeaQYB3b18ds81c2GZLiM+pJZ37S6MWJtJcXbrU2NN KTF2owdT1od+jr72nVL+/4Q4osATOhgPw8ynjjGF3E/H0zkjXvGi0dgI/CqVAQyWNr+twrytWwES u+yxuYvJpyNc7inKw5GarWc/5X9xyuXGCyoxhK2RDuop4dcTfh0+edEKj9jIpD2aMCt5MsYqFj0N J89lH32kqtgHM9Yd7DfoznZxSEE0hNRakHFn3Sqg8/Oov9FYQ8QkwtgF0e2u/Rv1RTI39N5taDp+ dIVqfP7l9mbTPSGDAY0+yR1WZiDGzQGVnTHWFKZx67jsK3pQ+qi8tWjdeQF0HfSxjlSPefYzG7lf bxaZcZa8HCgApt6xqEJ3ysUPEWaL1bPZUaikf4lTl1YnBI+11rU+9AZaDUwxBEV8xT1HLajbfwOp wNgmeoJ9lqY3/eUOpppp7hibHk2AkJ5L7KbtslILmBHK4vM30GL7lqr1PIS5orUzd5W84ic8GQzh 5pod+Ze6VpPhd3MJmG5vKyUNGGRvSzQyC6wt9HlxGRlP/UXXHUKgDs7KnMDv7JsKYY31EK0bUueV NvzRt5pxgRBy6PH6oLXQYbnpkkzyAuLvjRz9LZEGLK3BpIyR53//dW22owT1GBqcmy5VKZ6QKXd4 XbVmpXpX1jgDoIeu+86WhVVAeMpg5vTb8u/CzL1k5fJKVjIR5dcmxfZv0YM2E7gFKmJikaEcd7Kp Nf1jsG+ol0muntNDOBx6B2E+uQrdchKs9pgIO/yk9gdEG1jnMC6ae+pJ+5kWYBzPT4uRPt2/jcLf GqxMLIED2f06v4WTqYhy0wfVJ7kaLrQQCB+HIgVTMFOaQBKRbZXp6e5impC57tXVmgEbLi+d3dxn hpqf6gA7h4fAandqPnh59fQFQ8Vj0TGUYO2VbILH3KtqbJZtko5IH46etem3mVf2PmVfKh2Ivut6 pOJbpc2w6xTsyMU8PUExhoqAVjL5pzFHVQrAPvHrGn5wEOx5ci9cMTAk9++tKIEYjNf66b24c5lA qqmNhb4PB20gyb7WH1y/opR6OeDrQ7wZUQDJQNF2q8W1xJnh6HcLuuv5kstvXxuEiq2obJHBpaNV l8On3tAqoTglSPjhQyn15weVp8df2UrX2UD7YRpsHCvkUUHnYFnHMblUoCiwORCzSMY8CZbTFZ2s A/2nXh98uSPGZlhadJrt5el0ExKQNFWToE+6PgCK2uqSW98SpQRV0Cc5wVWkqc6TTR9qdBUW1BI7 BPpY4YduFLCFNNWMJRgIh+yV39TLHbxinM8QR8mcuNzuU1/bG7OpA/6t+iF00s5e80oCG9KlSGEv 6pB0SXiqxNiMKZUSLOoeNjpsGMV/0TNkcrA9pBDfGTIOL7WR2SMzlLjssW9husdtDHBbmC1Bw7Wp gS+SVKfIRkwhhFbSH8hP/5rzWwyt9dyT82oIVfjhlqz8Lw9tWRGFjLPZD1FZ7+rKtgTbN/IVzbLV Kpp46OKdN6Jhycq053awE2RkklmY0kTwSAgULz43yZ2I3zoB/en5dHPyWUdBi7h2jg0sEe8cSWUE 89Mr2Z7jSHzt7XBAFcaWaVgmagecxF4XMz9/0y/sCbJHknHNlSeiGkOdt5pUAfrw3XGM+7qoSEtS KdCH6zZ5i4C4glu8Iil0fP+EK0S3ktv0srqGHdIG6ubVa0jdrrb6MJJj8jOB0dDWzKm5v4BYnbi+ zaVFG/g1nyQn77Bwo+dUN/+Zq0kZlCxRcN7packIi38dlG0Wie9X+kwMItvoLsjQfHhvUrKezCxF 5UOgigf0WmNe4NBjY7XLAiVEIA/Fh+w4/xUdWIz6F3fFxqtIsdqB+Z4vToFzQxCGoey9V08FrCpU iTcFl3vpAPgOSj8iJGQ5dizyWK9n1yvB/PadjjQetpn4eQibUkhhAuvyMcNZbH9xKvliZvayl+Ni ys0tMQA9i0RHqiYR+hMl8tM2cfFz3mLGlgUjqZiZXN31gkL11Rx3LSbYznWc/MrfYvCjwbFWNff4 HNotOoiuDPXCZzebrQgYUl0Bb3Uet7QTpM9xRBv6NoC+EymjqFraZ1MmrmIrKFzDOnk1fVUB8aiI 7shwaKNfVDvQlyw1K/tXDtEOAp1BwQQ1sngZT/HI4Q+KST66T2vwjdEVzm0WABdNTeZtIGKqT+EU pmaBXjWWFlA3YlY0Nac0FseocWbHoWHluEFqm9OAvks1HtF+5jg2fFYfFNXNq6hwbK6eS/yEo1Kx JKANaruN5DMuIj9MzNLBx/40T3Wz6Sz1ji46RKutveOaUCBMFrd/9Be2rBCaL//ZKP5ksxhqm4Sc WT0hm+39lQ0zU0/EqdOLmThIRcLJtzmTMElW8YMevs2fWS+aa2xTbE0YoAOvu1K+xmJTwqWV8sgi fMnL98ulWvDtpQ/LxGAf7xLSJbTMrgBx5NLcBcvhzjoIxjWHeXNlNOvXPydkzwOd/eD/7A+/0k4I p67g9VMS8lJ/8gtrfuc5SNu7MxWbiJQVIqlAUnAiccRk9hUAj/96uMz2G5m3F4Nv1XiP0s8GBxkR lT1pNs84gHQNMe3bOSQAsVnpokzveKlLVmcA7mj/5HFGrCTHyUFJh2h1DdQ0g4HtnFBH2zTzDrht V8ya8tzC4YjwFFFybpuqVBFIB70eByo07dP/B3z6HdwpOBvdS7EvGyBESSwAXkMDJ9EePvAc68ey V7z1QE8di4O9S06+8SWS/kKM6KQrPzo2AnaheRxTcJmyGf14+PX7V97w/T3DFlwDKyPKY5CYphMn 2qqsUCJ7Ba3ud5IdrbfkTxh3xZU7e+9MLLAsMy2zIu2DtXW0iexECcYyNht1sFvhBvTsx/MHjs4G QYpEjpWYu4yphndu5xf2etpQD17xuDaA/84vSCa1ghruj8dYr0eUMzWgRG8kN13cJIy4eMyBohfx pjndaHdnevY/LhBKKbss0Ngc9KWXCx87dP7cbtOMD52hHFIs2Rsxe/NmFTIzwCkF2TiaBq18jKS4 oYxLudeuQQxA/Y6XJYAMFJuhptKv/GAnXrRhDIRHgsD7j/NfoQZHbIYhZ661LQxAFg3pAFuB6O7c 9yu+EilCuDyWtFiimfd2R6xqvlU46QKQisp6uWIX5V9K3g+mu6xEDFsEmafnG9o6il8OjsO8hL9v EkgcQIky3FPlf2T9G/ruMJCUYHdWxslbkIynrKnoU6EO9j/3q/vR6EA5Nx5fQUDIXUWVOqvt1mjN 7gxlzTIkhoGwPC9OUUuEsy9zf8Dkb53VwxlJ3/xc8AUFIc8tPMVp1s6aIGTCuSAp5hJvupg2vWUN ty5bOSKTBZc2yTsTDzNIy1T/vX3OT4c0tmbdg7nobjAE/2ElgJAGGmvpwcZV/qDPux4EBABcuCbD j1UAJApVPCl+OGPvtBsmvhMrjk1ySHmk74c0leQPegYMZ4THBh1fKFrsAvFHaK5LqlOLmd4ALer0 kijj54590lp63hP/qr1dsjTuuMs+YBcMnw1PPwbNcyykNGH1pPajw3kMzfDAJj7r8etMpzSXylYc 5lvBVQrIFs0hbyUGsQW2AMg4PiNyj02XAhJlCLlv39sToFUCsXdQeS0+B6aojxn60i+LeSv67FCP l5PKPaphfDLOcHniB4DAaalYWBkTXWAKs3oeEkuqEmEre/xxERYkKNyOYonYROa4wVkApC2D5a1D OINgUpml0SMpA1gvLwGj5g8pVZpMwM2HQgMdiUuYMKHetf2VYcsmx5iKxWu7HAGkcxUYsBP5c3M/ JWtTdmJVk3klJ5B3Hl4WO9UPrpcRXn9HPz15dNUU9DGyj0bSspvs5weubTxuF3gRfOXR+OjoepFs ZzSzUejpeI2YCCqdjq3fwsYNnwffgk5AXO2B4nKqWYPE1QGmqPw59bPR+5aFBmUWtKVWVWblNx+r Ane1DNNrYxAUNYkCgKxEUghNAdEfC5e7oKBBIhNbRTBAXbFvvg/d2C/JPokxQ/gYHcOSZwpTFeqw OMzriMthm8/DOniMwIZAlkR/HWjAe3ReZV7WLsXcUkGyKYMxr3B96HGQGxgCzg5Cs4180cGy6jVk B9UT5bKbJqPDl2FoCTR+BXoOpU27/eOPX7UuA72ZE9qYltxbpGL3necWr9f37A+Z7pgiynouTrOT pdr/6C0KctHigchaSwCtnLNL19CZnpLpyGGRgA/1Tax0SZq4AH3a3lVa9hGNOzN5gH9V9tzZgPqo gqrzU987lMx3GeQJQK8wujAH/lrBBIqr+8rIbG7+E2MpN2SyssKkHcH817yRBfob6W4hhmnKnXdd EYXkUWlIpXmA2HKN8noJPlDWXQD4mslObLdq+Km6UuCxQWIP8ymQCObPvWqqXQk7TnKd0RoFYeRg PEKmO4U7uP+qjuYGx2PFK3ynsWgcvtIOODlQBqZVIsYTRyNh8L7UvAZ1ZhkmCsP6cnNTJJ9XEn4W HC3rLAomeZUdLzxG1VlY6F47ljZvub7BaIvqsbQSzcs/7NCl7vEB4neEiWy+KXl7mC1k7sUJj6aM rmLLo60SdOwP/AiIDbLew3qqZKR0489EtUKefBcpQAsaoxA8Ff72O5RvdT8DNs7Uwcam1l4t0k7V ohSd1n/UL4sK0RToUa2gysV7vufB4xNG6iBaszEVLLMaVw715iYCDbWr0sK4rqZ/xhuVBoDZcL5l RpykvhU9xoOCgk4McBRDO55RkjD18D2IXOyxbj/c8Y6y+Nagq3rMxRZXjDSia/mHzh4gQ6KRWRT+ QUhY73wQjGBFCgj5KHiD1i8ZR3C0rnCtCjPtnYV50mKDY6sTjbGhhUOzwr82b7Bk570b/hcIiPdv dWvffuUwS0rtN4KIZIlSP/coVX0tTg3HYL44uShpfNlpLAxwbNMxfw7LzKhoV+W5gt/LeEiPQo4I ePCJruHAayY5TInZbJnWux1BpGHoPPWukaVPr7UkivvDbLDGot5GXvx3B2wTk5bJcV7ykQrQW8ax YNBDUf/0HTW6b4mS8kwa8zvD7dlZFfvVav/WOlOvStqDzs9ve8E9Kyzq0mLk0FXBEt1Fb7kEXumh tXra0DZJ4u/bffz6poUyWKJyPEF9pi61TlLfj5TJHu30OYwYMZC4YXmlPvlwBVdHrFG61JCs5IAG KXCxW+8xuqsPIIpPOvXAjJyYn7mK5Ht5bIRWCwuPXXNJCOhpV2TK/S8gTxC5VapcJp4XZ9dQ0+ih OdF/kl0WUYyHs+FdeS+OZGS5TANPj4iB0yjJCf1031ML9WJVPbzCy1JZF+biOL8O/+aD0xE84dF2 91O0b3Kn5FJ/Nikfi/3YCFhdeGwxa82ttc51XJw7Fi4i/do4deYpC2rE39Ic7TIAfSlstgOeXnlc nGDSHMM6134kXDyO0jF1+HkAGGwJWipxQgh0YlyhMF15pi8lA/pJO/WSAl2fOqpZCGwdh4cmOBSu m0VfihHXxgUmAch0/9XHyjZcYbZ0eRhY6EHzvMEiueMz0umIHW1mCe0DX7tdsiblMTGVJxl9cpOn VCt79aMCor/7g10yBB14bRJGiA1fEhLX5lTBv/Z4mfylwq+H7AQETgim/zW/Lf8JkkHw3+w4Xp/X 5OcbBLc0NbWqm+ZcnFOiriF9sADwLZdxmeOyHpbqqyqlExZ4SN8OxPa/1z+9K8/7WnTSdN2Vjjy2 +Aig3qSqKTGR/CKet6dOEsTwv+tX4OratDujWtp+uBRaRnbKdRtWFDWwCcFn5D8PKzlKtn+QNicr wnp0qUjYn0yjdVPbqe62fJx9a+fZ+p1tHBaB51c4fApzOQD7TUfT86RFDdgZH92VihAufGRChrHa JODv0JTi4mUA1qIZfPDPkM1W27l0SC43+2ty/W3e/vgeWFMIyRmyXE2CNFd/GZGgX0wPa0nxPeVQ Vvgw7cT5Z4D1IQ/hympbTRbMRsBQdnN6K1TqYm0zmQBs2nbez0yQmHKXGgqJS1UuSd/qbacln4aI +yfkxHKd6cJP1RQoSSO8v2bvY09TaaFIM6K/NQaQFR8hWYebsIc6vzJw7uGktEdfqIRta3JGOTmm jJk2i3qSxdUmr/13yxqtyN98ArH/qI4fCgb0tfahH4UEGfkkYT1rIxoRulQrDze2XBiC8KFuAgaa SqWQJ8pWW6e5u8KJcK9F34QphK2y1FdZJYoHymgSPZr1DixPO7CQ8JxviheV9nxpPmTLEae+c/gG 7o4pnr/pvVg/Qm/LKM5jivd48dskBOjRTOiT/9B+7GT5N3tWbtq7t3ZDzrfXfk2rmSWPTCXdZose 7Q9V0xyLFZTNAGk6TnzNgMI804lM2WS22a1zvrm48Jv06UeDMlfQuDajSStouisacHnBzga3vb6X dHTnw48isTSempISwGBhsL7iJfMu4GGLewM4cwvFvqFZXCCnCYCY6FVVT/2Ab16NHTZ2qb6zVglo g9lD1c0MyvUEsd3Z5y7i3iHRcDc0CzhP83XRDLx86mqQ5AI7vR888JgLbUNAFYMNvxCKlwQM9Vw/ BL53Ar9C5VQYst45kJH70I1oD/QfeTuhi4SOdZ0bxKweZxqZtaWBg5+C7Rtl7B0FXiIr3r+vlUG0 +ckG2OP1B9J8yz24kqFGCxq3cx7tcaGYBUm1WeAHsTzKr71LAusyViq5C3VGWgKtxIWVbA2rMPDE mALlZcNORNV2WnLLhKbcVCX2CiSKQi5iUGJj+4fp8w6aP6bVOAqY6F1YjoSrfH7gdvNykRsdSVFe KqXRfMUiJkbcdYLhssvJAOrWyUFSgnUB1xOj6IaoNOGeUryYqGePS3nKlxJApgVG+Ih2035ou/B2 eLAc+lW06Gi9w085Blw04+K6XV18mIeW1cd8PfIV7FlbZFEoWhwGf6ZBtZjv0JQYDtFKIpdtGzu+ qQTfb6+zpN0q8K6tUS+KAQrPN+all+53wR3pjy7V+Be/2qnlIPOO3tGwl2jDhITgmo7SKfnzzGQF dZwPnzezkAZbq88rz8qrhaWN6+PJsNpRK4R4BeWTvR2oND1BDpOxAQdAX/buT4X5TjlTCHFNP5pU YuJH7+D4AJS8UTcO0EUvb4sh8XVwDNDWkNVBG9LmDRRrhVAAH2YqKODMd/zd2nL+nO4kZ041jw17 QIeFKxIpHLFOq/C6qpcVRJQh11GE6Fi8W/a3oaXepD+PF6ur/6Q/vmjW6Qhchrd+y5G6246hM/dQ Fd8uY+zOsTFR4MXQwB/wXoyEAihb0bi7MZLhcEtNhQ7f7nAPpIk4fuVtU1U8dprBpqGl0xVFzivX LJvIWFjxA4HxClMl+0Himpb8Lk4GKPaAGl7IZIqYGK0izf/syBdaJyO4LI9WmQEZfOawncHZADn7 am80rhco5CdlUx4Pa/oqjpaHWKv4LQ+p7KP25y8GVFNRMtWGoZR3IjPMMEopPmLR0dgkHURe3tti Vtx+Y19O0jxHnbMIS0Jlu9gp/zUJcywtr45DWFkLi3iylxfUKlvs9sSHdX+88jna6NCAcr2Uvh4o NJoWKcZRsCbL2uvDfbc4zaxg8XrmngQhvAyiFhGTCc5e4Kvl2I9n/O7FlHTGWk8SY0jwZK33Xrur iIFvcoG8WTDmTyZ6R+r7YEhmpYFhE1qdVZ/HSkmF39gxf2lF6u3Rf1vvW1u2+vblSfqrDoLrzAVl qoPgARjBFNptMhveMJh6oWeJ1Ha3JA7gk/xAnL6b2r/TKmKWPCGA/147sBsdMJP2D7X2u3sKGEGd T1Apnae2QxJdrZPtF+Jbxx/UQ3U1fAINwL8jWIbinfbyElBPIdRUtI0T94wpAQXsr9NBYQuxWan6 hmUAeWmQNoWxS0RbPZqLCeimdJTlGFOuKzyrMFmmHk4blj8vUyTj8Jyr59fNiGL03htf9leRxgZE nMESxJObt0JVBuPxeTNOr+BXlq0A6FuFBSg48wJLY+uqstJ4cajksCmhcpURP1r4ju7akV236GGc 4lC3pTYUe1wq+P3qzR9jKBYJXUMivZNmK7eDYvXRH/8wGgk3ydz75u/sDbjE37OHk0x4xy04G5Wk WqLVFtDTeB33C429KlgyqIrXS+YNy6JGBjJadbs1tKQdzMTUmjUKBHDSagXDGMWC6C5vnAA+baWF 90fszXd/WFx6sB4+toFua+U8pKVE7OGwSHeD7fnhphEh+egtWIoFxMncZVKEFtkC8CFfopqWIoOP LjgKKdnHjy+sRxoQDYBMtieQ8SqMdHwRabF932NLzPWPa50zEWOqQSUrQN7KtXpoV7CPZcU/o7BB /0MNSFvSP+n2dXSpnO6VIyQn8Zn1MVQL3dXlafQGVF2utweYK/Wg3g77UkBGl3ygbajiE3nRHHfV 66SrUaaDx3OyjWuhWi0GVb4WwBDL8/0889TFKcWQkjYP+x60i8znL6juSiW8vmWx/QqPzoIv5ujD 6j0/cGYwUDHzLO3YAI6LqIjMLCtw/+wotR+IlKXjs5WAMqG5r5JgO5oPNYhJJjQ3dN+RwBO7QF2J ytOay4SpZ68IHDtIJ4lK5teVSK54rXVwljS/MNDC6ua0iaNw16X3R2DqkCtuS3z2oBCATAgYfYsn Z+CPHkl8OLlr4PHIkelrTU544vUBJ9fX1aRSR4RRH9QWN/pmwU3PlXRq4GeqX9CSwlgpi0Tj1OCH 4zgb04UXsWi2pzXZ7X1F+zqOQvZUioa/IQkfkFGOKv23DBiE/8n7GlmlljvK9Z+9hzfQBp8se3Ig MIcxh1HpkSMZFbvVsoXTPGmlWerG4SJUqlW1wXTcr3oclwTDwvRZBNA/MNDgKroqTDbryWlPd/vS uQqI7v2YD8VyEw2rogi77Ak3LvSMSiDrc7TkCtJWqBZunewhiTua/cR8GgzC1uLWlYCg2U1Ef7y9 WqhOCjFGlki+Mm9ceX5z+joF/twbD9MkHn+r2KcfFbI8UmzB5xcxzGksympOofRyFdjSrSp1PJwZ ZEonLnj2LN90k3I8k1WYOVDzaCKGCOyTFEViFf8l3P6POhzaRrtuon6bp/ne/EHTis2lzM3M9Bb9 jA2kB62wPZiqL9C2CYRYeztuE6cJp8HuU7DOfaKtM0KFaZAAqXUeSBp9t9pCYiNrpps++aKmcCyw 5jA//x3pfwL+VDfdkLFADNZtps9nYIedGdLFIKxdVMyxchgl9MZPcpHfSS8wl5+Ld47mVulo09nK TAV1JHdvvO/tILZXWrEo47zRYyXBVe9mB5+YZklY8LDG0BNEpDqgtGF3ig6JM8mj6o5NGA3Y7UAa MtYC9H7vEFZZKjesz7S2Gj7ciwRWxJ9noLgKlr36ihO/fFFAc5zgj4hedyI/XArRUtBh3F3WNNHF /IWZB/xQLr3edBfrxH4QQcFJKfweuxmgxIyFWpH+VMH1g3w4ev7EBgrMGq9ss1gdi3xQpV2WMEo2 flqCsKW2Z+GOfUynnY1Vz97JiFerZ94wWtB8fz+ej6Ha6DT86KP1amYtQKROGkT5JdOXymCZZAKP sN30sDx6TooNj2eSw0zHcmrbJRpwOKz9TiIUjtC88fmpKzPst1iEkPcZ6fdjFBPKB+/cUSVAv87T ipiVp7v5UicrRM8VpJBacJF+Co4j/21boR4vYfsdg/yPkR9CEVtnCbrgAo+EO0150+cFbPe4l8Qk v0W8EehzJ7/cayEwt2h8tc8KE/UyXoH2n8n9dIN24MDfQtExIZIlG4mWWRxzFXpgKfRSG6JsEa2D pLFKcT4DyZylSSGj0Xfh8HJNCF9Dj/MMKw+a11uXJ5quP+Ggufjy2GRWsxo9HUhyevx10CQqW8EM mogSHFaEzRhCfZWAsIOTn57I3iwsfVP1t6VJlCsHyA8WMMvBSXORYS2Jl9l1jQoqkey8DYMXNeLM DRr4rkDQFxhBoK0U7qTKL6Sp/g3AycoykmVVZcDRQV3tpM8J5h5FwHWHhW1UTtDIKIf8Rw7f3Ec6 2SM+Fbjd1CNCvS7UeOVnXHMSuCBWrxyN6YOFybs9hw/ja/bSH15TVzOymQGEyPCgRMNrhBzTf76h tjYsa9CCVQzh1GHzoT14IxtQcd/mub+D0RNcf+hNf4peI9kMzEj1eXQbIZBPzSFzlORrk7JtHcXZ uiZZRcKiFd/YvDLNpuu4mYrOZ7fd03nt/oRFH9LevhcEunuoOsGO6VLOyBCsY4Dpc4MiOvzX5XaD 9Hh/WESFHKUiIrKG9qTveuie85AQyJYfjUenUq/dWY0b2dWn+hmBm5Y9bbILAnr5vtKx/OW5ebb5 Qmp+pddtV+TV9SzuCDv03UCM+KdzrbN9wF/zwNTsF/x4PSBvtMYmhkFb2QfA7C7PQlPODfgRqF96 bkzAk+ASqjQuM4yihqJ26vRT3ScSve4XzjwYfWkP+C5nu47TFhYw35Kwu8gG5PCcbKHq5Ny1zBbm 5l0GL76XwKk4fbrbK4yz4aV0hbG2tFRJmdVbPLQS+83BYJq+6HmPpI1bOZ1n+Y6zHF6TyTKZTNzb p1Db+utW5kEyB97XmwVjGwhZlkCph5T8xBCdCFt8kD7GH+A/4Se6BTvdhJ/0czrKEgB4DSfeJMEa DXOAE643bCilJUbF3M+Pf1SUZ718NNwc94KUpWqoGTEMvDTKHV+URHjp0EtNdewsiowLQvB7kn8B 3i9DR1aP6rg4eY4NFbOyB4yqt0jEN4DarMGped5b5JDDn9qgHyLiIEz2QgBFz0dNR2leUQO7gTY8 AHtPjeIJ/D4FJbEDS2rms/PkT2cK4jIrA8v4GBF01/QtgSe/2Bt3LzOk2KueDemKNmbPd06T44wI EkYLRLqSqL9VfD5h95CymhwURRSTIeC0WQbSQeDag0P8R2nTEsh6IGfxwbY4bPIXWxug8jBlmtFL ki7ESJkbU/WD7yPdwV4IztZptvRgeJAsW0Zi5P901prpXUNP9DfSxAYCGmmxiL5/AFcUXSaDRnmL 7nSRep/IvhSIZJkXetkpNzucV8UyXD4w0anhiAcCFq4vPcvv6gOELwAghTS+4HQKqy22u/Lz1+q2 54PtfsbFvs4BWmWnwM/WHn2vbZsOfyjfaDIfG0lKJ5E8Y952IlbQsDmHcxkKd8BiCrIptxt/bwtO 5PmXROt7WAULH5FthmBoEmwdwJX+a2nMy07fIeKONHptORnmtv+3wbGCFt9WJjs+iEaSXSLjTfL4 MzjGCeTr8VxVzdA3lwdedaC+boQC518vCD0Wa0RvQ8tnp1Oo9CzPfhFqF8WxkkJ1YbOxmdJXxDzS t+XFqiXvDG71JgvQsWlL4Ju5S12ql3SiStexKmScisKwQmLR4hXVSTxhdOT1BL9ushafjzqYXZhP cMgezUHiMiaj4exqU5tt+x25ouA6w3DxGq0oAH5tgPWzdcXypg8lWji4OPzx2fN/t0Ha3zUZ+Xwj mGjwJcYDPQq8ytmQuhmEi/sYw7UWCwsA4pfBsGB42SSIPjkYYPIA1Th/Plsp+SdGrgfEZamGPr51 RAn8pKih86m3QpXwJ0cdd06qP+kKeI68ZdA4A74534PC8Uhybez7butFYk/C2wULCSS6h6OLMWcc Z/us74nFT5FERX1HwHaTcec3c/ZZ60sJc/m+CIenUAd9Nd2sF0qungU9xgpMPp8peJ/M1I+1gLu0 jMMGLfv4y4URCkom7LkqDOmLICZJGHUH/L540eWwx6MjiwQOLeZN2V72bEFFQQ76lC8dmj7I3aEc cBwujJBU0fhI4LS3Udz1H8YjfSOY5CeSMAstO7NhJm7/ajiJ7D+57UAHSAj74TxMehuBFrTi/7TT knIptWdWuqnxA6BdetFKrMrnf+JEcr862B4u6zs5wt3tgKT+NxmkILLe5/lBToUQAh1Kk4X70Vuq wGDAsPefhNDes8pbS0txRy2JyUHBs1Ih8VvipXQEf/M3xuF61F1Kc9zvslTc0SwU5kCRbtGyvtiX 4ISVeyvf/am5YDfwMzp+C9IVsRxCCbnrsUq4W3ppUL4rTYNZjI5yVXHThhp4rqenQXcKui5mgBKZ vdBZwJRONPo58ukU1sLyuGoXbH9L7n6yUnEve/0/emQE3mruVtjmXIFyYiMB8sJ6f8e/HbsoZkkb hIr4kyf9NLBrQLN00X/Kk5osqgtkg6B68gQvwLnxzUjtg1DmEvbhZtXq4fKy/BEX1WRIKsS6pvou hRNXEuj2n64XruRdrJic0livKSwQWkM1wxx0hevEcaaRotGdYUt7JET5NaRwRkiSUhU6BUeDXJUx HGDkYxHFlG6v7kaIGQHaseugJk2NrqVpmO98Cmytx/wPwl2SZRfiYIDcmzfDmemV7EqNF6u0V9+5 X0wCF+mUqyJwzEiCszQveHotFfYRnLsx/14nkTf5BWx/laxWupkspRtFAf62g/2Tnm6dxSr4zfVo 5Xv5GihmCJa+UlYVIVBq+fNSL3SLE8wUKuDLZTMl2qBArouDZkQfQcAJ5Gz11TYNIubuWe1AcjRM tqOGAN20hK52DWWNLoP8mHoiZSvTLcmI4jDf8OpKs3Jl/Cu7nCTW/WULat/LsbAHX6hMph9Kq8mE pF669bhWzMS2JO4pD4b635iOwKOkTo8QRtFUWMsb3EkhtQp0FDncQUlUY76aEyh5TwpZYfiaPZ8w 2VYbCfv9u2Qa1cpMQS+ibYhqcy7+RInXGtecsnUyY018pwkyz0eKvSVWUHam0TllCcZLKkEzq9si +1qO/DC6mwImo8oc21tCEJ6eR8YSs7UUTNjNTmWX8FrhEN8cbusCc7JC5IJwmtcEb0jB9VPwMZFM GVPj9yCOaUye1/Q4Jl7DEyDzgMKKQjYoZl3tscNp32MYqSZBVgL5I++oR/ev2PD7ERNdQJNrsa9y 8C4c9BCjT6fC8iwu743tDBwcZ7LV4qVuYXiA/3+nlSH5VXjSdwAaWCYacq/8nLMNHg4cxT7B1MKN 4SSs/0tQoQgjBaAsPThWHZLKb1T+9AaJDQfjqwcwkM0nQRGzbEshFdaxgWtGB8Xa6OFoyPNDJ7hI HaHCFRFljqIK7PTZvsC1gLOHX1CsPxT0QloD5+NJZGWepP0q04qdppPyEk7W1hsdeKKekN5l+9m3 Mx+Tgbs/MFkINyjz5dGgni5evLD4OsuNQrP+a0nrtVQbKYsjzhqcUEXuTfJqkGPyZv4dkxkIUa0z NI4dhYMKriui4MtVtjifUNvzjsztyj1TiWYduVreCw+MRH1vONGqpZ13Ep3rYJ+rrpUW84Q1GB1p Geh+epwNowSUfOfxBXpxho/SvzpYggZ722qkCYB4UExikLcG+/40a/l6iMY9scHOHWOWfaFAdFpl UrmwOBclaE803dvdhE4DOrJqmbIh7bjxgk2TdF6bBP09qvsNXFs1l6/ttNJ834JVgdpxFPDDMiHk NsSSbd7TPEesTOj7LJOOQ4afWB+b5IW7PJpQV2tjOGQmyS7oLA31fAIVWuJSFP18fL1TCSk3zn1S 0pu2SdA+m7LX39y210gVU2mNmUTfcyWFrMsMRFc8B3QYdnkKGh8XjxJIZOeyrD5+uRXIa9gj46to HY1Z2jTq0ZcvFMdKpMPifKKYO5vJF6HX8c/4ZzUCWo/wMT5E72v5FKeZ5auDZmVS8m3FP7MWckkh FZbbDd3BRAOmji6iqNjYaz4WFDREJTREr9Ysi6Qb4ATNZucEQu4qF8JIUms5isaSGlB/zaHg5gn9 aXN5cyGQ67zfbEg28rkjC6rIW/I4nn/ch68ZtxEFrsX0PN/B4bM8pfBroR2Bwh96m43+SftTfEc7 OALt6xETvlC53WK3zwuk17vvuTKJz2yejX2J+5naJiCsSiJK0Vs7jN/2G5aUoi7lWxh9LKCN5EdE 3Cs4fUNT1l1wLaPqcencClRoXvWtp7XJme6Ly/PgFOmD8W6Vqa71yZz7zdMkZekFjMq16i5TGHmH f0cl+/HhD6KNuTSX9UV8HEt7qDXzQG4tqxVRoso/LN/kxP979MOSuGynS/No+FfgKhh0VTtrpu7I XdXeVuPhV82dmpdlP4tzkl+S/8r+9/+ea7bB/I8+7yB4Gftc0O+L8b/tfszxweZnmdoguypWoYtm 5smkBbR1+NECAyjg2RCy4uC1ox31tbTR7SDb6mzwNDhiiIBpecAk7cevcb/GkyfiFLxb8H9hOgf2 Ly7r6LqjLI9VQhJ7LymS0jU4a41TH9vbIYQIspdNyqYqaNPTEHP9RnPzU9hYjetl5o/NqjG7U61c 8y1l2PAXsRyUTFtwxKiMTiTirMbcD8cqgFGJiORnq8aTxv+JMw32e19r0JTPW3uS8BflI3bdmmoE CLxcxo6JVMG9kmKCJGIEsREtrMpdq4n4629KBQ3BWBEjWNcP5XQKIQklYbOp64QL0BUX1A5Gcfru Ny48jM+xHwbT+9DoYrvzlsyLKAxDLAdASt6lB2o3AQb83LY2dPocjEa6xfZkJw9JvqaWiBKaWb6k DOb439YpJjttSOs5A405zrDlIRzUlBUjbn9uiic5GGuo2OB/jOUz/5gUq1Uq279oUhAuDHK2GPNa H8cKvbPLg8/tbRhBvDyiLCwzE4YG+RWWzMvWMnfz4iH8NEPaN7HGo4gzuATcOgZ+fGtU6hYYCAY4 IiCELHPu6URZKSGRa0nNBsz0aEVVbK6yjjq86qfRxavcg3v/y6aTByoDoBcERDjT59Yf6eJ7ihwF QW3gU5MD6ZTOc76J24JOBLlROb0tCmvw829rrqnJNM2dAKMNcZL7YJZ7wzRkR7DVdfq2JxwUd5Iu L3EJEcv27R+qi31dPQypWZyaj20DJf4DmRPe4mupte4rL+YRC7tWqaMCoYW9NKlpVQbHrlpMKSy9 8FSfaff1eI6UXLFsdBjO+bf0/AxZChOuiPad/TLegNcT3QOED0DL/B/M7SCO9Kjf9DLPtKgj4ZRE 0eutl0IS/ik3HOoZwYCF45+vT/NWsLTm5pmIYEoZC++z0t70FATwCE2u4LCQbd6HX9zqir0j3DyI +zuLExxd32w7IEFZCrMHfOojJ5wgYvBCd4nm/vqbORtTr2ooWXykPhWQ9wKOo+Sv4qae515S/nvn tqyFgM07y3E8IHOM+9Dm3skAsNQjkn8fD8AGWE61neb9scXn4MTvB3GMzCAotdbrKpuvy+3mZAOm u0lPtXIcfvpikc1SFcuVKvOpfKNVzfOzE8AKDYzRJNjiJ+C/KfyYmXVDxbgnK7CyqyHQgiJQHvEJ qHv1Xh67CXChLeFlLSGkyVuSZyQNX/mm+IueX8raAlDghntD3VSiMiElugUOKgSaj64wYRye0aMG iOtfjUW/FjYW4LcuCoecTMQkaYMeN/r2ZYidbzQDivTnTSFKC7tKb2VsDjigtYO0l4ApUNGDze4e iAknFKFq3wqqcFPi7zA0kV74NjxTKkECM0kz5gWawEFIuv7cuWbbb44kB/EL1Cn3m8Xo3/x88kyd qm65uggEdnJ5m2XBzEwzoBkR4WtXamDST0r6DJKOerWH0Y/Fs9l829mxRDNaXcJDDE4AYl+Lvqlg g9lpsph8M36DcVcwnlQIsaEz5Dg/uUQaFpvIksAUMY0YBzUFzKgx4QEPfjRM6xAuR4wrd6L10+F9 rgGnEV2/5CFiG6fPVsKDq+tzTiKSWuVbHb3Y+LBoH2g4IkWSBYCr/P9RCgYnUxrEFdwOJuA3dzcx 9CINn/7fr3JR8c3oRkjr2w1qxZbIaP1xXy/qOKT4pdCBzFybKEs4Kh35FtzPD0CVRSPcTZzufMfO inJxkrrhuPW5Ix7+cwhJLpEE8kL9amDR0Yz9KLc/hnG5brVZeXfzpktLp1MO6EnDnOun3JGudgx8 5SiJ6HygQwg4gaAZoDp3kRbryXdDscA1BrRBsCAzQKIXgsMv75AvD0gwdZX/4iaPxJCyktFKJCTP TukU4k1DH8fr9n7sh4/ei0/2AwIYE2OWndypT+vsnoU02sOByUB8U/oJ1NxLcInRbQiKmVuh/3Nd RAxw0nLJyMgh3ImAHJX1eG5NDr31Wx3LVjDDmfl0dGRJbQRZfQ5/n8IPU0FBx6+8xvLn0T0V9U7a logwYsjWfUOrvwAGBQ7bDeHGayU/waLKrtVi5/k7vfENkWx11w2DjA+D3l/hOeftwUCv4l/zVhrc R/bfVCq46ydHp23+wW4KR8ey1+jtgqZtPACTgChV3yzhheDNbmIApXXdjcVV+pFRjTsep+1ObqCm eMu9fUiiWe9id53upViVqrWO/fmSWSVKT6+PfTdVyp7DLxUFhCDHBZCTtLbCf31PsZ7dE6TiIff8 nClz9+jP0jHp6kvSQs1bQ/3sp8MV8sBz+KXoN2HBuy5WEQ4Cc1dRQCSUiIKLlBac5sQZ4ZeFdrWt j1u6aFkQTMNyndWeFzvdy0L+Emp1iI9UqqNEZDIn9ImDR1yiTKwd4/63ZFJzmzJqRHK6kkpCMzzp gSa5XzXJHGg7PWuQwqCktIzSBG5EAlvHD6maYgK7wKy00UAEn+xSrkC9MYcDoTSCHsk7DcK7n+FO 3S28XWLsXlShK1Sv81skQrD+c6RvpxNlDG5EvjMJleCxOyRjgV8U8OlZZEQ0+cztT9vB60n6NUDK y77ivtZh/Tr64ujQQVSwnFXFFRFO0JaqGyOAoT9oWDUUoxN35uVkGdSv+JP+UoiTwQ88NhH7tmnK QPku `protect end_protected
gpl-3.0
bonfireprocessor/bonfire-soc
clkgen.vhd
2
7200
-- -- System Clock generator for ZPUINO (papilio one) -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.VCOMPONENTS.all; entity clkgen is port ( clkin: in std_logic; rstin: in std_logic; clkout: out std_logic; clkout1: out std_logic; clkout2: out std_logic; clk32Mhz_out: out std_logic; rstout: out std_logic ); end entity clkgen; architecture behave of clkgen is signal dcmlocked: std_ulogic; signal dcmlocked_1mhz: std_logic; signal dcmclock: std_ulogic; --signal dcmclock_1mhz: std_logic; signal rst1_q: std_logic := '1'; signal rst2_q: std_logic := '1'; signal clkout_i: std_ulogic; signal clkin_i: std_ulogic; signal clkfb: std_ulogic; signal clk0: std_ulogic; signal clk1: std_ulogic; signal clk2: std_ulogic; signal clk3: std_ulogic; signal clkin_i_2: std_logic; -- signal clk_div: std_logic; -- signal count: integer; signal clkin_i_1mhz: std_logic; signal clkfb_1mhz: std_logic; signal clk0_1mhz: std_logic; begin clkout <= clkout_i; rstout <= rst1_q; --process(dcmlocked, dcmlocked_1mhz, clkout_i, rstin) process(dcmlocked, clkout_i, rstin) begin --if dcmlocked='0' or dcmlocked_1mhz='0' or rstin='1' then if dcmlocked='0' or rstin='1' then rst1_q <= '1'; rst2_q <= '1'; else if rising_edge(clkout_i) then rst1_q <= rst2_q; rst2_q <= '0'; end if; end if; end process; -- Clock buffers clkfx_inst: BUFG port map ( I => clk0, O => clkout_i ); -- clkin_inst: IBUFG -- port map ( -- I => clkin, -- O => clkin_i -- ); clkin_i <= clkin; clkfb_inst: BUFG port map ( I=> dcmclock, O=> clkfb ); clk1_inst: BUFG port map ( I => clk1, O => clkout1 ); clk2_inst: BUFG port map ( I => clk2, O => clkout2 ); clk3_inst: BUFG port map ( I => clk3, O => clk32Mhz_out ); pll_base_inst : PLL_ADV generic map (BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "SYSTEM_SYNCHRONOUS", DIVCLK_DIVIDE => 1, CLKFBOUT_MULT => 30, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 10, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => 10, CLKOUT1_PHASE => 250.0,--300.0,--155.52,--103.700,--343.125, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT2_DIVIDE => 10, CLKOUT2_PHASE => 0.0, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT3_DIVIDE => 30, CLKOUT3_PHASE => 0.0, CLKOUT3_DUTY_CYCLE => 0.500, CLKIN1_PERIOD => 31.250, REF_JITTER => 0.010, SIM_DEVICE => "SPARTAN6") port map -- Output clocks (CLKFBOUT => dcmclock, CLKOUT0 => clk0, CLKOUT1 => clk1, CLKOUT2 => clk2, CLKOUT3 => clk3, -- TH 32Mhz clock CLKOUT4 => open, CLKOUT5 => open, LOCKED => dcmlocked, RST => '0', -- Input clock control CLKFBIN => clkfb, CLKIN1 => clkin_i, CLKIN2 => '0', CLKINSEL => '1', DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DWE => '0', REL => '0' ); --DCM_inst_1mhz : DCM -- generic map ( -- CLKDV_DIVIDE => 16.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 -- CLKFX_DIVIDE => 1,--8, -- Can be any integer from 1 to 32 -- CLKFX_MULTIPLY => 3,--23, -- Can be any integer from 1 to 32 -- CLKIN_DIVIDE_BY_2 => TRUE, -- TRUE/FALSE to enable CLKIN divide by two feature -- CLKIN_PERIOD => 31.25, -- Specify period of input clock -- CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of NONE, FIXED or VARIABLE -- CLK_FEEDBACK => "NONE", -- Specify clock feedback of NONE, 1X or 2X -- DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or an integer from 0 to 15 -- DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for frequency synthesis -- DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for DLL -- DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE -- FACTORY_JF => X"C080", -- FACTORY JF Values -- PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 -- STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE -- ) -- port map ( -- CLK0 => clk0_1mhz, -- 0 degree DCM CLK ouptput -- CLK180 => open, -- 180 degree DCM CLK output -- CLK270 => open, -- 270 degree DCM CLK output -- CLK2X => open, -- 2X DCM CLK output -- CLK2X180 => open, -- 2X, 180 degree DCM CLK out -- CLK90 => open, -- 90 degree DCM CLK output -- CLKDV => dcmclock_1mhz, -- Divided DCM CLK out (CLKDV_DIVIDE) -- CLKFX => open, -- DCM CLK synthesis out (M/D) -- CLKFX180 => open, -- 180 degree CLK synthesis out -- LOCKED => dcmlocked_1mhz, -- DCM LOCK status output -- PSDONE => open, -- Dynamic phase adjust done output -- STATUS => open, -- 8-bit DCM status bits output -- CLKFB => clkfb_1mhz, -- DCM clock feedback -- CLKIN => clkin_i, -- Clock input (from IBUFG, BUFG or DCM) -- PSCLK => '0', -- Dynamic phase adjust clock input -- PSEN => '0', -- Dynamic phase adjust enable input -- PSINCDEC => '0', -- Dynamic phase adjust increment/decrement -- RST => '0' -- DCM asynchronous reset input -- ); -- clkfx_inst_1mhz: BUFG -- port map ( -- I => dcmclock_1mhz, -- O => clk_1Mhz_out -- ); --clkin_i_1mhz <= clkout_i; end behave;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_scc_wr.vhd
13
44376
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_scc_wr.vhd -- -- Description: -- This file implements the DataMover Lite Master Simple Command Calculator (SCC). -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_scc_wr is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS address bus used for -- Muxing/Demuxing data to/from a wider AXI4 data bus C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the width of the AXi Address Channel C_STREAM_DWIDTH : Integer range 8 to 64 := 32; -- Sets the width of the Native Data width that -- is being supported by the PCC C_MAX_BURST_LEN : Integer range 16 to 64 := 16; -- Indicates the max allowed burst length to use for -- AXI4 transfer calculations C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Tag field in the input command C_ENABLE_EXTRA_FIELD : Integer range 0 to 1 := 1 ); port ( -- Clock and Reset inputs ------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------- -- Command Input Interface --------------------------------------------------------- -- cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cache2mstr_command : in std_logic_vector(7 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cmd2mstr_cmd_valid : in std_logic; -- -- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry -- -- mst2cmd_cmd_ready : out std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- ------------------------------------------------------------------------------------ -- Address Channel Controller Interface -------------------------------------------- -- mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : out std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- mstr2addr_size : out std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : out std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_user : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cmd_cmplt : out std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calcualtion error -- -- mstr2addr_cmd_valid : out std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : In std_logic; -- -- Indication from the Address Channel Controller that the -- -- command is being accepted -- ------------------------------------------------------------------------------------ -- Data Channel Controller Interface ---------------------------------------------- -- mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is 8 or 16 bits). -- -- mstr2data_len : out std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the data transfer -- -- mstr2data_last_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the data transfer -- -- mstr2data_sof : out std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : out std_logic; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2data_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : out std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : out std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : In std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- -- calc_error : Out std_logic -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------------------------ ); end entity axi_sg_scc_wr; architecture implementation of axi_sg_scc_wr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_slice_width -- -- Function Description: -- Calculates the bits to rip from the Command BTT field to calculate -- the LEN value output to the AXI Address Channel. -- ------------------------------------------------------------------- function funct_get_slice_width (max_burst_len : integer) return integer is Variable temp_slice_width : Integer := 0; begin case max_burst_len is -- coverage off when 64 => temp_slice_width := 7; when 32 => temp_slice_width := 6; when others => -- assume 16 dbeats is max LEN temp_slice_width := 5; -- coverage on end case; Return (temp_slice_width); end function funct_get_slice_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_btt_ls_unused (transfer_width : integer) return integer is Variable temp_btt_ls_unused : Integer := 0; -- 8-bit stream begin case transfer_width is -- coverage off when 64 => temp_btt_ls_unused := 3; -- coverage on when 32 => temp_btt_ls_unused := 2; -- coverage off when 16 => temp_btt_ls_unused := 1; when others => -- assume 8-bit transfers temp_btt_ls_unused := 0; -- coverage on end case; Return (temp_btt_ls_unused); end function funct_get_btt_ls_unused; -- Constant Declarations ---------------------------------------- Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address) Constant CMD_TYPE_INDEX : integer := 23; Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH; Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1; Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH; Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH; Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1; Constant AXI_BURST_FIXED : std_logic_vector(1 downto 0) := "00"; Constant AXI_BURST_INCR : std_logic_vector(1 downto 0) := "01"; Constant AXI_BURST_WRAP : std_logic_vector(1 downto 0) := "10"; Constant AXI_BURST_RESVD : std_logic_vector(1 downto 0) := "11"; Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000"; Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001"; Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010"; Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011"; Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100"; Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101"; Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110"; Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111"; Constant BTT_SLICE_SIZE : integer := funct_get_slice_width(C_MAX_BURST_LEN); Constant MAX_BURST_LEN_US : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(C_MAX_BURST_LEN-1, BTT_SLICE_SIZE); Constant BTT_LS_UNUSED_WIDTH : integer := funct_get_btt_ls_unused(C_STREAM_DWIDTH); Constant CMD_BTT_WIDTH : integer := BTT_SLICE_SIZE+BTT_LS_UNUSED_WIDTH; Constant CMD_BTT_LS_INDEX : integer := 0; Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); Constant BTT_RESIDUE_ZEROS : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); Constant BTT_SLICE_ONE : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(1, BTT_SLICE_SIZE); Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; -- Number of bytes in the Stream Constant LEN_WIDTH : integer := 8; -- Type Declarations -------------------------------------------- type SCC_SM_STATE_TYPE is ( INIT, POP_RECOVER, GET_NXT_CMD, CHK_AND_CALC, PUSH_TO_AXI, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- signal sm_scc_state : SCC_SM_STATE_TYPE := INIT; signal sm_scc_state_ns : SCC_SM_STATE_TYPE := INIT; signal sm_pop_input_cmd : std_logic := '0'; signal sm_pop_input_cmd_ns : std_logic := '0'; signal sm_set_push2axi : std_logic := '0'; signal sm_set_push2axi_ns : std_logic := '0'; signal sm_set_error : std_logic := '0'; signal sm_set_error_ns : std_logic := '0'; Signal sm_scc_sm_ready : std_logic := '0'; Signal sm_scc_sm_ready_ns : std_logic := '0'; signal sig_cmd2data_valid : std_logic := '0'; signal sig_clr_cmd2data_valid : std_logic := '0'; signal sig_cmd2addr_valid : std_logic := '0'; signal sig_cmd2addr_valid1 : std_logic := '0'; signal sig_clr_cmd2addr_valid : std_logic := '0'; signal sig_addr_data_rdy_pending : std_logic := '0'; signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_load_input_cmd : std_logic := '0'; signal sig_cmd_reg_empty : std_logic := '0'; signal sig_cmd_reg_full : std_logic := '0'; signal sig_cmd_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_type_reg : std_logic := '0'; signal sig_cmd_burst_reg : std_logic_vector (1 downto 0) := "00"; signal sig_cmd_tag_reg : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_data_rdy4cmd : std_logic := '0'; signal sig_btt_raw : std_logic := '0'; signal sig_btt_is_zero : std_logic := '0'; signal sig_btt_is_zero_reg : std_logic := '0'; signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_next_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_strt_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_next_end_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign calculation error output calc_error <= sm_set_error; -- Assign the ready output to the Command FIFO mst2cmd_cmd_ready <= sig_cmd_reg_empty and addr2mstr_cmd_ready; --sm_scc_sm_ready; -- Assign the Address Channel Controller Qualifiers mstr2addr_tag <= sig_next_tag ; mstr2addr_addr <= sig_next_addr ; mstr2addr_len <= sig_next_len ; mstr2addr_size <= sig_next_size ; mstr2addr_burst <= sig_cmd_burst_reg; mstr2addr_cache <= sig_next_cache; mstr2addr_user <= sig_next_user; mstr2addr_cmd_valid <= sig_cmd2addr_valid1; mstr2addr_calc_error <= sm_set_error ; mstr2addr_cmd_cmplt <= '1' ; -- Lite mode is always 1 -- Assign the Data Channel Controller Qualifiers mstr2data_tag <= sig_next_tag ; mstr2data_saddr_lsb <= sig_cmd_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0); mstr2data_len <= sig_next_len ; mstr2data_strt_strb <= (others => '1'); --sig_next_strt_strb; -- always F mstr2data_last_strb <= (others => '1'); --sig_next_end_strb; -- always F mstr2data_sof <= '1'; -- Lite mode is always 1 cmd mstr2data_eof <= '1'; -- Lite mode is always 1 cmd mstr2data_cmd_cmplt <= '1'; -- Lite mode is always 1 cmd -- mstr2data_cmd_valid <= sig_cmd2data_valid; mstr2data_cmd_valid <= sig_cmd2addr_valid1; --sig_cmd2data_valid; mstr2data_calc_error <= sm_set_error; -- Internal logic ------------------------------ sig_addr_data_rdy_pending <= sig_cmd2addr_valid or sig_cmd2data_valid; sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready; sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready; sig_load_input_cmd <= cmd2mstr_cmd_valid and sig_cmd_reg_empty;-- and -- sm_scc_sm_ready; sig_next_tag <= sig_cmd_tag_reg; sig_next_addr <= sig_cmd_addr_reg; sig_addr_data_rdy4cmd <= addr2mstr_cmd_ready and data2mstr_cmd_ready; sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX); sig_btt_is_zero <= '1' when (sig_cmd_btt_slice = BTT_ZEROS) Else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_RESIDUE_BITS -- -- If Generate Description: -- -- -- ------------------------------------------------------------ GEN_NO_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH = 0) generate -- signals signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); begin -- LEN Calculation logic ------------------------------------------ sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH)); sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto 0)); sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE when sig_btt_is_zero_reg = '0' else (others => '0'); -- clip at zero -- If most significant bit of BTT set then limit to -- Max Burst Len, else rip it from the BTT value, -- otheriwse subtract 1 from the BTT ripped value -- 1 from the BTT ripped value sig_len2use <= MAX_BURST_LEN_US When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1') Else sig_len_btt_slice_minus_1; end generate GEN_NO_RESIDUE_BITS; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_HAS_RESIDUE_BITS -- -- If Generate Description: -- -- -- ------------------------------------------------------------ GEN_HAS_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH > 0) generate -- signals signal sig_btt_len_residue : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); begin -- LEN Calculation logic ------------------------------------------ WR_EXTRA_FIELDS : if (C_ENABLE_EXTRA_FIELD = 1) generate sig_next_len <= "00000000" when sig_cmd_tag_reg (0) = '1' else "00000101"; --STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH)); end generate WR_EXTRA_FIELDS; NOWR_EXTRA_FIELDS : if (C_ENABLE_EXTRA_FIELD = 0) generate sig_next_len <= "00000000"; end generate NOWR_EXTRA_FIELDS; -- sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH)); sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto BTT_LS_UNUSED_WIDTH)); sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE when sig_btt_is_zero_reg = '0' else (others => '0'); -- clip at zero sig_btt_len_residue <= UNSIGNED(sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0)); -- If most significant bit of BTT set then limit to -- Max Burst Len, else rip it from the BTT value -- However if residue bits are zeroes then subtract -- 1 from the BTT ripped value sig_len2use <= MAX_BURST_LEN_US When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1') Else sig_len_btt_slice_minus_1 when (sig_btt_len_residue = BTT_RESIDUE_ZEROS) Else sig_len_btt_slice; end generate GEN_HAS_RESIDUE_BITS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_INPUT_CMD -- -- Process Description: -- Implements the input command holding registers -- ------------------------------------------------------------- REG_INPUT_CMD : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or addr2mstr_cmd_ready = '0') then -- sm_pop_input_cmd = '1') then sig_cmd_btt_reg <= (others => '0'); sig_cmd_type_reg <= '0'; sig_cmd_addr_reg <= (others => '0'); sig_cmd_tag_reg <= (others => '0'); sig_btt_is_zero_reg <= '0'; sig_cmd_reg_empty <= '1'; sig_cmd_reg_full <= '0'; sig_cmd_burst_reg <= "00"; sig_cmd2addr_valid1 <= '0'; elsif (sig_load_input_cmd = '1') then sig_cmd_btt_reg <= sig_cmd_btt_slice; sig_cmd_type_reg <= cmd2mstr_command(CMD_TYPE_INDEX); sig_cmd_addr_reg <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX); sig_cmd_tag_reg <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX); sig_btt_is_zero_reg <= sig_btt_is_zero; sig_cmd_reg_empty <= '0'; sig_cmd_reg_full <= '1'; sig_cmd2addr_valid1 <= '1'; sig_cmd_burst_reg <= sig_next_burst; else null; -- Hold current State end if; end if; end process REG_INPUT_CMD; -- Only Incrementing Burst type supported (per Interface_X guidelines) sig_next_burst <= AXI_BURST_INCR when (cmd2mstr_command(CMD_TYPE_INDEX) = '1') else AXI_BURST_FIXED; sig_next_user <= cache2mstr_command (7 downto 4); sig_next_cache <= cache2mstr_command (3 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_64 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 64-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_64 : if (C_STREAM_DWIDTH = 64) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_8BYTE; Constant RESIDUE_BIT_WIDTH : integer := 3; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- note 1 extra bit implied begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_8bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 64 bits wide and 8 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_8bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "0001" => sig_last_strb <= "00000001"; when "0010" => sig_last_strb <= "00000011"; when "0011" => sig_last_strb <= "00000111"; when "0100" => sig_last_strb <= "00001111"; when "0101" => sig_last_strb <= "00011111"; when "0110" => sig_last_strb <= "00111111"; when "0111" => sig_last_strb <= "01111111"; when others => sig_last_strb <= "11111111"; end case; end process IMP_LAST_STRB_8bit; end generate GEN_LEN_SDWIDTH_64; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_32 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 32-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_32 : if (C_STREAM_DWIDTH = 32) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_4BYTE; Constant RESIDUE_BIT_WIDTH : integer := 2; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_4bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 32 bits wide and 4 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_4bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is -- coverage off when "001" => sig_last_strb <= "0001"; when "010" => sig_last_strb <= "0011"; when "011" => sig_last_strb <= "0111"; -- coverage on when others => sig_last_strb <= "1111"; end case; end process IMP_LAST_STRB_4bit; end generate GEN_LEN_SDWIDTH_32; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_16 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 16-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_16 : if (C_STREAM_DWIDTH = 16) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_2BYTE; Constant RESIDUE_BIT_WIDTH : integer := 1; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_2bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 16 bits wide and 2 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_2bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "01" => sig_last_strb <= "01"; when others => sig_last_strb <= "11"; end case; end process IMP_LAST_STRB_2bit; end generate GEN_LEN_SDWIDTH_16; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_8 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 8-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_8 : if (C_STREAM_DWIDTH = 8) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_1BYTE; begin -- Assign the Address Channel Controller Qualifiers sig_next_size <= AXI_SIZE2USE; -- Assign the Data Channel Controller Qualifiers sig_next_strt_strb <= (others => '1'); sig_next_end_strb <= (others => '1'); end generate GEN_LEN_SDWIDTH_8; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DATA_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Ready control -- to the Data Controller Module. -- ------------------------------------------------------------- CMD2DATA_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_clr_cmd2data_valid = '1') then sig_cmd2data_valid <= '0'; elsif (sm_set_push2axi_ns = '1') then sig_cmd2data_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DATA_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2ADDR_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Ready control -- to the Address Controller Module. -- ------------------------------------------------------------- CMD2ADDR_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_clr_cmd2addr_valid = '1') then sig_cmd2addr_valid <= '0'; elsif (sm_set_push2axi_ns = '1') then sig_cmd2addr_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2ADDR_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SCC_SM_REG -- -- Process Description: -- Implements registered portion of state machine -- ------------------------------------------------------------- SCC_SM_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then -- sm_scc_state <= INIT; -- sm_pop_input_cmd <= '0' ; -- sm_set_push2axi <= '0' ; sm_set_error <= '0' ; -- sm_scc_sm_ready <= '0' ; elsif (sig_btt_is_zero_reg = '1') then sm_set_error <= '1'; -- sm_scc_state <= sm_scc_state_ns ; -- sm_pop_input_cmd <= sm_pop_input_cmd_ns ; -- sm_set_push2axi <= sm_set_push2axi_ns ; -- sm_set_error <= sm_set_error_ns ; -- sm_scc_sm_ready <= sm_scc_sm_ready_ns ; end if; end if; end process SCC_SM_REG; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone/ipshared/uc3m/feedforward_v1_4/hdl/vhdl/feedforward_ddiv_64ns_64ns_64_31.vhd
4
3362
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity feedforward_ddiv_64ns_64ns_64_31 is generic ( ID : integer := 7; NUM_STAGE : integer := 31; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of feedforward_ddiv_64ns_64ns_64_31 is --------------------- Component --------------------- component feedforward_ap_ddiv_29_no_dsp_64 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- feedforward_ap_ddiv_29_no_dsp_64_u : component feedforward_ap_ddiv_29_no_dsp_64 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/xilinx.com/proc_sys_reset_v5_0/hdl/src/vhdl/lpf.vhd
21
17850
------------------------------------------------------------------------------- -- lpf - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: lpf.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/08/01 -- First Release -- -- KC 02/25/2002 -- Added Dcm_locked as an input -- -- Added Power on reset srl_time_out -- -- KC 08/26/2003 -- Added attribute statements for power on -- reset SRL -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library lib_cdc_v1_0_2; --use lib_cdc_v1_0_2.all; library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- -- Definition of Ports: -- Slowest_sync_clk -- Clock -- External_System_Reset -- External Reset Input -- Auxiliary_System_Reset -- Auxiliary Reset Input -- Dcm_locked -- DCM Locked, hold system in reset until 1 -- Lpf_reset -- Low Pass Filtered Output -- ------------------------------------------------------------------------------- entity lpf is generic( C_EXT_RST_WIDTH : Integer; C_AUX_RST_WIDTH : Integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic ); port( MB_Debug_Sys_Rst : in std_logic; Dcm_locked : in std_logic; External_System_Reset : in std_logic; Auxiliary_System_Reset : in std_logic; Slowest_Sync_Clk : in std_logic; Lpf_reset : out std_logic ); end lpf; architecture imp of lpf is component SRL16 is -- synthesis translate_off generic ( INIT : bit_vector ); -- synthesis translate_on port (D : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16; constant CLEAR : std_logic := '0'; signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal exr_and : std_logic := '0'; -- varible input width "and" gate signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate signal asr_and : std_logic := '0'; -- varible input width "and" gate signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate signal lpf_int : std_logic := '0'; -- internal Lpf_reset signal lpf_exr : std_logic := '0'; signal lpf_asr : std_logic := '0'; signal srl_time_out : std_logic; attribute INIT : string; attribute INIT of POR_SRL_I: label is "FFFF"; begin Lpf_reset <= lpf_int; ------------------------------------------------------------------------------- -- Power On Reset Generation ------------------------------------------------------------------------------- -- This generates a reset for the first 16 clocks after a power up ------------------------------------------------------------------------------- POR_SRL_I: SRL16 -- synthesis translate_off generic map ( INIT => X"FFFF") -- synthesis translate_on port map ( D => '0', CLK => Slowest_sync_clk, A0 => '1', A1 => '1', A2 => '1', A3 => '1', Q => srl_time_out); ------------------------------------------------------------------------------- -- LPF_OUTPUT_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- --ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate --begin LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked; end if; end process LPF_OUTPUT_PROCESS; --end generate ACTIVE_HIGH_LPF_EXT; --ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate --begin --LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- lpf_int <= not (lpf_exr or -- lpf_asr or -- srl_time_out)or -- not Dcm_locked; -- end if; -- end process; --end generate ACTIVE_LOW_LPF_EXT; EXR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if exr_and = '1' then lpf_exr <= '1'; elsif (exr_and = '0' and exr_nand = '1') then lpf_exr <= '0'; end if; end if; end process EXR_OUTPUT_PROCESS; ASR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if asr_and = '1' then lpf_asr <= '1'; elsif (asr_and = '0' and asr_nand = '1') then lpf_asr <= '0'; end if; end if; end process ASR_OUTPUT_PROCESS; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for External System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate begin ----------------------------------- exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst; ACT_HI_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ----------------------------------- end generate ACTIVE_HIGH_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for External System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate begin exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst; ------------------------------------- ACT_LO_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate begin asr_d1 <= Auxiliary_System_Reset; ------------------------------------- ACT_HI_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_HIGH_AUX; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate begin ------------------------------------- asr_d1 <= not Auxiliary_System_Reset; ACT_LO_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_AUX; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate begin ---------------------------------------- EXT_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_lpf(i) <= exr_lpf(i-1); end if; end process; ---------------------------------------- end generate EXT_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ EXT_LPF_AND : process (exr_lpf) Variable loop_and : std_logic; Variable loop_nand : std_logic; Begin loop_and := '1'; loop_nand := '1'; for j in 0 to C_EXT_RST_WIDTH - 1 loop loop_and := loop_and and exr_lpf(j); loop_nand := loop_nand and not exr_lpf(j); End loop; exr_and <= loop_and; exr_nand <= loop_nand; end process; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate begin ---------------------------------------- AUX_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_lpf(k) <= asr_lpf(k-1); end if; end process; ---------------------------------------- end generate AUX_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ AUX_LPF_AND : process (asr_lpf) Variable aux_loop_and : std_logic; Variable aux_loop_nand : std_logic; Begin aux_loop_and := '1'; aux_loop_nand := '1'; for m in 0 to C_AUX_RST_WIDTH - 1 loop aux_loop_and := aux_loop_and and asr_lpf(m); aux_loop_nand := aux_loop_nand and not asr_lpf(m); End loop; asr_and <= aux_loop_and; asr_nand <= aux_loop_nand; end process; end imp;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/ANN_dadd_64ns_64ns_64_5_full_dsp.vhd
6
3340
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_dadd_64ns_64ns_64_5_full_dsp is generic ( ID : integer := 7; NUM_STAGE : integer := 5; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_dadd_64ns_64ns_64_5_full_dsp is --------------------- Component --------------------- component ANN_ap_dadd_3_full_dsp_64 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_dadd_3_full_dsp_64_u : component ANN_ap_dadd_3_full_dsp_64 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/hdl/vhdl/ANN_fptrunc_64ns_32_1.vhd
6
1942
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_fptrunc_64ns_32_1 is generic ( ID : integer := 4; NUM_STAGE : integer := 1; din0_WIDTH : integer := 64; dout_WIDTH : integer := 32 ); port ( din0 : in std_logic_vector(din0_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_fptrunc_64ns_32_1 is --------------------- Component --------------------- component ANN_ap_fptrunc_0_no_dsp_64 is port ( s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_fptrunc_0_no_dsp_64_u : component ANN_ap_fptrunc_0_no_dsp_64 port map ( s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0; dout <= r_tdata; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_cntrl_strm.vhd
4
21799
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_cntrl_strm.vhd -- Description: This entity is MM2S control stream logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; library lib_fifo_v1_0_4; ------------------------------------------------------------------------------- entity axi_dma_mm2s_cntrl_strm is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Secondary clock / reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary clock / reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- -- MM2S Error -- mm2s_stop : in std_logic ; -- -- -- Control Stream FIFO write signals (from axi_dma_mm2s_sg_if) -- cntrlstrm_fifo_wren : in std_logic ; -- cntrlstrm_fifo_din : in std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); -- cntrlstrm_fifo_full : out std_logic ; -- -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0);-- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic ; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_dma_mm2s_cntrl_strm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_cntrl_strm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Number of words deep fifo needs to be -- Only 5 app fields, but set to 8 so depth is a power of 2 constant CNTRL_FIFO_DEPTH : integer := max2(16,8 * C_PRMY_CMDFIFO_DEPTH); -- Width of fifo rd and wr counts - only used for proper fifo operation constant CNTRL_FIFO_CNT_WIDTH : integer := clog2(CNTRL_FIFO_DEPTH+1); constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- FIFO signals signal cntrl_fifo_rden : std_logic := '0'; signal cntrl_fifo_empty : std_logic := '0'; signal cntrl_fifo_dout : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0'); signal cntrl_fifo_dvalid: std_logic := '0'; signal cntrl_tdata : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal cntrl_tkeep : std_logic_vector ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal cntrl_tvalid : std_logic := '0'; signal cntrl_tready : std_logic := '0'; signal cntrl_tlast : std_logic := '0'; signal sinit : std_logic := '0'; signal m_valid : std_logic := '0'; signal m_ready : std_logic := '0'; signal m_data : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_strb : std_logic_vector((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_last : std_logic := '0'; signal skid_rst : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- All bytes always valid cntrl_tkeep <= (others => '1'); -- Primary Clock is synchronous to Secondary Clock therfore -- instantiate a sync fifo. GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate signal mm2s_stop_d1 : std_logic := '0'; signal mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; begin -- reset on hard reset or mm2s stop sinit <= not m_axi_sg_aresetn or mm2s_stop; -- Generate Synchronous FIFO I_CNTRL_FIFO : entity lib_fifo_v1_0_4.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => USE_LOGIC_FIFOS, C_WRITE_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_WRITE_DEPTH => CNTRL_FIFO_DEPTH , C_READ_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_READ_DEPTH => CNTRL_FIFO_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 1, --req for proper fifo operation C_DCOUNT_WIDTH => CNTRL_FIFO_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 1,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through -- C_USE_EMBEDDED_REG => 1 -- 0 ; ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => cntrlstrm_fifo_din , Wr_en => cntrlstrm_fifo_wren , Rd_en => cntrl_fifo_rden , Dout => cntrl_fifo_dout , Full => cntrlstrm_fifo_full , Empty => cntrl_fifo_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready cntrl_fifo_rden <= not cntrl_fifo_empty and cntrl_tready; -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= not cntrl_fifo_empty or (xfer_in_progress and mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= (cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH)) or (xfer_in_progress and mm2s_stop_re); cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- Register stop to create re pulse for cleaning shutting down -- stream out during soft reset. REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_d1 <= '0'; else mm2s_stop_d1 <= mm2s_stop; end if; end if; end process REG_STOP; mm2s_stop_re <= mm2s_stop and not mm2s_stop_d1; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast and tvalid need to be asserted during soft -- reset else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not m_axi_sg_aresetn; --------------------------------------------------------------------------- -- Buffer AXI Signals --------------------------------------------------------------------------- CNTRL_SKID_BUF_I : entity axi_dma_v7_1_8.axi_dma_skid_buf generic map( C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ) port map( -- System Ports ACLK => m_axi_sg_aclk , ARST => skid_rst , skid_stop => mm2s_stop_re , -- Slave Side (Stream Data Input) S_VALID => cntrl_tvalid , S_READY => cntrl_tready , S_Data => cntrl_tdata , S_STRB => cntrl_tkeep , S_Last => cntrl_tlast , -- Master Side (Stream Data Output M_VALID => m_axis_mm2s_cntrl_tvalid , M_READY => m_axis_mm2s_cntrl_tready , M_Data => m_axis_mm2s_cntrl_tdata , M_STRB => m_axis_mm2s_cntrl_tkeep , M_Last => m_axis_mm2s_cntrl_tlast ); end generate GEN_SYNC_FIFO; -- Primary Clock is asynchronous to Secondary Clock therfore -- instantiate an async fifo. GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate signal mm2s_stop_reg : std_logic := '0'; -- CR605883 signal p_mm2s_stop_d1 : std_logic := '0'; signal p_mm2s_stop_d2 : std_logic := '0'; signal p_mm2s_stop_d3 : std_logic := '0'; signal p_mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; begin -- reset on hard reset, soft reset, or mm2s error sinit <= not p_reset_n or p_mm2s_stop_d2; -- Generate Asynchronous FIFO I_CNTRL_STRM_FIFO : entity axi_dma_v7_1_8.axi_dma_afifo_autord generic map( C_DWIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1 , -- Temp work around for issue in async fifo model -- C_DEPTH => CNTRL_FIFO_DEPTH , -- C_CNT_WIDTH => CNTRL_FIFO_CNT_WIDTH , C_DEPTH => 31 , C_CNT_WIDTH => 5 , C_USE_BLKMEM => USE_LOGIC_FIFOS , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => cntrlstrm_fifo_wren , AFIFO_Din => cntrlstrm_fifo_din , AFIFO_Rd_clk => axi_prmry_aclk , AFIFO_Rd_en => cntrl_fifo_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => cntrl_fifo_dvalid , AFIFO_Dout => cntrl_fifo_dout , AFIFO_Full => cntrlstrm_fifo_full , AFIFO_Empty => cntrl_fifo_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready cntrl_fifo_rden <= not cntrl_fifo_empty -- fifo has data and cntrl_tready; -- target ready -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= cntrl_fifo_dvalid or (xfer_in_progress and p_mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH); cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- CR605883 -- Register stop to provide pure FF output for synchronizer REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_reg <= '0'; else mm2s_stop_reg <= mm2s_stop; end if; end if; end process REG_STOP; -- Double/triple register mm2s error into primary clock domain -- Triple register to give two versions with min double reg for use -- in rising edge detection. REG_ERR2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then p_mm2s_stop_d1 <= '0'; p_mm2s_stop_d2 <= '0'; p_mm2s_stop_d3 <= '0'; else --p_mm2s_stop_d1 <= mm2s_stop; p_mm2s_stop_d1 <= mm2s_stop_reg; p_mm2s_stop_d2 <= p_mm2s_stop_d1; p_mm2s_stop_d3 <= p_mm2s_stop_d2; end if; end if; end process REG_ERR2PRMRY; -- Rising edge pulse for use in shutting down stream output p_mm2s_stop_re <= p_mm2s_stop_d2 and not p_mm2s_stop_d3; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast needs to be asserted during soft reset. -- else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not p_reset_n; --------------------------------------------------------------------------- -- Buffer AXI Signals --------------------------------------------------------------------------- CNTRL_SKID_BUF_I : entity axi_dma_v7_1_8.axi_dma_skid_buf generic map( C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ) port map( -- System Ports ACLK => axi_prmry_aclk , ARST => skid_rst , skid_stop => p_mm2s_stop_re , -- Slave Side (Stream Data Input) S_VALID => cntrl_tvalid , S_READY => cntrl_tready , S_Data => cntrl_tdata , S_STRB => cntrl_tkeep , S_Last => cntrl_tlast , -- Master Side (Stream Data Output M_VALID => m_axis_mm2s_cntrl_tvalid , M_READY => m_axis_mm2s_cntrl_tready , M_Data => m_axis_mm2s_cntrl_tdata , M_STRB => m_axis_mm2s_cntrl_tkeep , M_Last => m_axis_mm2s_cntrl_tlast ); end generate GEN_ASYNC_FIFO; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_wr_demux.vhd
18
75691
------------------------------------------------------------------------------- -- axi_datamover_wr_demux.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_wr_demux.vhd -- -- Description: -- This file implements the DataMover Master Write Strobe De-Multiplexer. -- This is needed when the native data width of the DataMover is narrower -- than the AXI4 Write Data Channel. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_wr_demux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Write Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the native data width of the DataMover S2MM. If -- S2MM Store and Forward with upsizer is enabled, the width is -- the AXi4 Write Data Channel, else it is the S2MM Stream data width. ); port ( -- AXI MMap Data Channel Input -------------------------------------------- -- wstrb_in : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- data input -- ---------------------------------------------------------------------------- -- AXI Master Stream ------------------------------------------------------ -- demux_wstrb_out : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); -- --De-Mux strb output -- ---------------------------------------------------------------------------- -- Command Calculator Interface -------------------------------------------- -- debeat_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ---------------------------------------------------------------------------- ); end entity axi_datamover_wr_demux; architecture implementation of axi_datamover_wr_demux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is --when 2 => -- var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 1; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (stream_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case stream_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- assume 1024 bit width var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant OMIT_DEMUX : boolean := (C_STREAM_DWIDTH = C_MMAP_DWIDTH); Constant INCLUDE_DEMUX : boolean := not(OMIT_DEMUX); Constant STREAM_WSTB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant MMAP_WSTB_WIDTH : integer := C_MMAP_DWIDTH/8; Constant NUM_MUX_CHANNELS : integer := MMAP_WSTB_WIDTH/STREAM_WSTB_WIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(C_STREAM_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port demux_wstrb_out <= sig_demux_wstrb_out; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memeory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (OMIT_DEMUX) generate begin sig_demux_wstrb_out <= wstrb_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel demux case -- -- ------------------------------------------------------------ GEN_2XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_DEMUX -- -- Process Description: -- Implement the 2XN DeMux -- ------------------------------------------------------------- DO_2XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when others => -- 1 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; end case; end process DO_2XN_DEMUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel demux case -- -- ------------------------------------------------------------ GEN_4XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_DEMUX -- -- Process Description: -- Implement the 4XN DeMux -- ------------------------------------------------------------- DO_4XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when others => -- 3 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; end case; end process DO_4XN_DEMUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel demux case -- -- ------------------------------------------------------------ GEN_8XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_DEMUX -- -- Process Description: -- Implement the 8XN DeMux -- ------------------------------------------------------------- DO_8XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when others => -- 7 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; end case; end process DO_8XN_DEMUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel demux case -- -- ------------------------------------------------------------ GEN_16XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_DEMUX -- -- Process Description: -- Implement the 16XN DeMux -- ------------------------------------------------------------- DO_16XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when others => -- 15 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; end case; end process DO_16XN_DEMUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel demux case -- -- ------------------------------------------------------------ GEN_32XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_DEMUX -- -- Process Description: -- Implement the 32XN DeMux -- ------------------------------------------------------------- DO_32XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when 16 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in; when 17 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in; when 18 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in; when 19 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in; when 20 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in; when 21 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in; when 22 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in; when 23 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in; when 24 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in; when 25 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in; when 26 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in; when 27 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in; when 28 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in; when 29 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in; when 30 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in; when others => -- 31 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in; end case; end process DO_32XN_DEMUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel demux case -- -- ------------------------------------------------------------ GEN_64XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_DEMUX -- -- Process Description: -- Implement the 32XN DeMux -- ------------------------------------------------------------- DO_64XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when 16 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in; when 17 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in; when 18 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in; when 19 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in; when 20 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in; when 21 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in; when 22 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in; when 23 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in; when 24 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in; when 25 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in; when 26 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in; when 27 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in; when 28 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in; when 29 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in; when 30 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in; when 31 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in; when 32 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*33)-1 downto STREAM_WSTB_WIDTH*32) <= wstrb_in; when 33 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*34)-1 downto STREAM_WSTB_WIDTH*33) <= wstrb_in; when 34 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*35)-1 downto STREAM_WSTB_WIDTH*34) <= wstrb_in; when 35 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*36)-1 downto STREAM_WSTB_WIDTH*35) <= wstrb_in; when 36 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*37)-1 downto STREAM_WSTB_WIDTH*36) <= wstrb_in; when 37 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*38)-1 downto STREAM_WSTB_WIDTH*37) <= wstrb_in; when 38 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*39)-1 downto STREAM_WSTB_WIDTH*38) <= wstrb_in; when 39 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*40)-1 downto STREAM_WSTB_WIDTH*39) <= wstrb_in; when 40 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*41)-1 downto STREAM_WSTB_WIDTH*40) <= wstrb_in; when 41 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*42)-1 downto STREAM_WSTB_WIDTH*41) <= wstrb_in; when 42 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*43)-1 downto STREAM_WSTB_WIDTH*42) <= wstrb_in; when 43 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*44)-1 downto STREAM_WSTB_WIDTH*43) <= wstrb_in; when 44 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*45)-1 downto STREAM_WSTB_WIDTH*44) <= wstrb_in; when 45 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*46)-1 downto STREAM_WSTB_WIDTH*45) <= wstrb_in; when 46 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*47)-1 downto STREAM_WSTB_WIDTH*46) <= wstrb_in; when 47 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*48)-1 downto STREAM_WSTB_WIDTH*47) <= wstrb_in; when 48 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*49)-1 downto STREAM_WSTB_WIDTH*48) <= wstrb_in; when 49 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*50)-1 downto STREAM_WSTB_WIDTH*49) <= wstrb_in; when 50 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*51)-1 downto STREAM_WSTB_WIDTH*50) <= wstrb_in; when 51 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*52)-1 downto STREAM_WSTB_WIDTH*51) <= wstrb_in; when 52 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*53)-1 downto STREAM_WSTB_WIDTH*52) <= wstrb_in; when 53 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*54)-1 downto STREAM_WSTB_WIDTH*53) <= wstrb_in; when 54 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*55)-1 downto STREAM_WSTB_WIDTH*54) <= wstrb_in; when 55 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*56)-1 downto STREAM_WSTB_WIDTH*55) <= wstrb_in; when 56 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*57)-1 downto STREAM_WSTB_WIDTH*56) <= wstrb_in; when 57 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*58)-1 downto STREAM_WSTB_WIDTH*57) <= wstrb_in; when 58 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*59)-1 downto STREAM_WSTB_WIDTH*58) <= wstrb_in; when 59 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*60)-1 downto STREAM_WSTB_WIDTH*59) <= wstrb_in; when 60 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*61)-1 downto STREAM_WSTB_WIDTH*60) <= wstrb_in; when 61 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*62)-1 downto STREAM_WSTB_WIDTH*61) <= wstrb_in; when 62 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*63)-1 downto STREAM_WSTB_WIDTH*62) <= wstrb_in; when others => -- 63 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*64)-1 downto STREAM_WSTB_WIDTH*63) <= wstrb_in; end case; end process DO_64XN_DEMUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel demux case -- -- ------------------------------------------------------------ GEN_128XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_DEMUX -- -- Process Description: -- Implement the 32XN DeMux -- ------------------------------------------------------------- DO_128XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when 16 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in; when 17 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in; when 18 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in; when 19 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in; when 20 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in; when 21 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in; when 22 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in; when 23 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in; when 24 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in; when 25 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in; when 26 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in; when 27 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in; when 28 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in; when 29 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in; when 30 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in; when 31 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in; when 32 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*33)-1 downto STREAM_WSTB_WIDTH*32) <= wstrb_in; when 33 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*34)-1 downto STREAM_WSTB_WIDTH*33) <= wstrb_in; when 34 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*35)-1 downto STREAM_WSTB_WIDTH*34) <= wstrb_in; when 35 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*36)-1 downto STREAM_WSTB_WIDTH*35) <= wstrb_in; when 36 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*37)-1 downto STREAM_WSTB_WIDTH*36) <= wstrb_in; when 37 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*38)-1 downto STREAM_WSTB_WIDTH*37) <= wstrb_in; when 38 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*39)-1 downto STREAM_WSTB_WIDTH*38) <= wstrb_in; when 39 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*40)-1 downto STREAM_WSTB_WIDTH*39) <= wstrb_in; when 40 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*41)-1 downto STREAM_WSTB_WIDTH*40) <= wstrb_in; when 41 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*42)-1 downto STREAM_WSTB_WIDTH*41) <= wstrb_in; when 42 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*43)-1 downto STREAM_WSTB_WIDTH*42) <= wstrb_in; when 43 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*44)-1 downto STREAM_WSTB_WIDTH*43) <= wstrb_in; when 44 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*45)-1 downto STREAM_WSTB_WIDTH*44) <= wstrb_in; when 45 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*46)-1 downto STREAM_WSTB_WIDTH*45) <= wstrb_in; when 46 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*47)-1 downto STREAM_WSTB_WIDTH*46) <= wstrb_in; when 47 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*48)-1 downto STREAM_WSTB_WIDTH*47) <= wstrb_in; when 48 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*49)-1 downto STREAM_WSTB_WIDTH*48) <= wstrb_in; when 49 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*50)-1 downto STREAM_WSTB_WIDTH*49) <= wstrb_in; when 50 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*51)-1 downto STREAM_WSTB_WIDTH*50) <= wstrb_in; when 51 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*52)-1 downto STREAM_WSTB_WIDTH*51) <= wstrb_in; when 52 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*53)-1 downto STREAM_WSTB_WIDTH*52) <= wstrb_in; when 53 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*54)-1 downto STREAM_WSTB_WIDTH*53) <= wstrb_in; when 54 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*55)-1 downto STREAM_WSTB_WIDTH*54) <= wstrb_in; when 55 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*56)-1 downto STREAM_WSTB_WIDTH*55) <= wstrb_in; when 56 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*57)-1 downto STREAM_WSTB_WIDTH*56) <= wstrb_in; when 57 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*58)-1 downto STREAM_WSTB_WIDTH*57) <= wstrb_in; when 58 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*59)-1 downto STREAM_WSTB_WIDTH*58) <= wstrb_in; when 59 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*60)-1 downto STREAM_WSTB_WIDTH*59) <= wstrb_in; when 60 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*61)-1 downto STREAM_WSTB_WIDTH*60) <= wstrb_in; when 61 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*62)-1 downto STREAM_WSTB_WIDTH*61) <= wstrb_in; when 62 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*63)-1 downto STREAM_WSTB_WIDTH*62) <= wstrb_in; when 63 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*64)-1 downto STREAM_WSTB_WIDTH*63) <= wstrb_in; when 64 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*65)-1 downto STREAM_WSTB_WIDTH*64) <= wstrb_in; when 65 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*66)-1 downto STREAM_WSTB_WIDTH*65) <= wstrb_in; when 66 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*67)-1 downto STREAM_WSTB_WIDTH*66) <= wstrb_in; when 67 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*68)-1 downto STREAM_WSTB_WIDTH*67) <= wstrb_in; when 68 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*69)-1 downto STREAM_WSTB_WIDTH*68) <= wstrb_in; when 69 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*70)-1 downto STREAM_WSTB_WIDTH*69) <= wstrb_in; when 70 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*71)-1 downto STREAM_WSTB_WIDTH*70) <= wstrb_in; when 71 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*72)-1 downto STREAM_WSTB_WIDTH*71) <= wstrb_in; when 72 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*73)-1 downto STREAM_WSTB_WIDTH*72) <= wstrb_in; when 73 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*74)-1 downto STREAM_WSTB_WIDTH*73) <= wstrb_in; when 74 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*75)-1 downto STREAM_WSTB_WIDTH*74) <= wstrb_in; when 75 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*76)-1 downto STREAM_WSTB_WIDTH*75) <= wstrb_in; when 76 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*77)-1 downto STREAM_WSTB_WIDTH*76) <= wstrb_in; when 77 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*78)-1 downto STREAM_WSTB_WIDTH*77) <= wstrb_in; when 78 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*79)-1 downto STREAM_WSTB_WIDTH*78) <= wstrb_in; when 79 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*80)-1 downto STREAM_WSTB_WIDTH*79) <= wstrb_in; when 80 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*81)-1 downto STREAM_WSTB_WIDTH*80) <= wstrb_in; when 81 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*82)-1 downto STREAM_WSTB_WIDTH*81) <= wstrb_in; when 82 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*83)-1 downto STREAM_WSTB_WIDTH*82) <= wstrb_in; when 83 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*84)-1 downto STREAM_WSTB_WIDTH*83) <= wstrb_in; when 84 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*85)-1 downto STREAM_WSTB_WIDTH*84) <= wstrb_in; when 85 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*86)-1 downto STREAM_WSTB_WIDTH*85) <= wstrb_in; when 86 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*87)-1 downto STREAM_WSTB_WIDTH*86) <= wstrb_in; when 87 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*88)-1 downto STREAM_WSTB_WIDTH*87) <= wstrb_in; when 88 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*89)-1 downto STREAM_WSTB_WIDTH*88) <= wstrb_in; when 89 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*90)-1 downto STREAM_WSTB_WIDTH*89) <= wstrb_in; when 90 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*91)-1 downto STREAM_WSTB_WIDTH*90) <= wstrb_in; when 91 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*92)-1 downto STREAM_WSTB_WIDTH*91) <= wstrb_in; when 92 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*93)-1 downto STREAM_WSTB_WIDTH*92) <= wstrb_in; when 93 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*94)-1 downto STREAM_WSTB_WIDTH*93) <= wstrb_in; when 94 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*95)-1 downto STREAM_WSTB_WIDTH*94) <= wstrb_in; when 95 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*96)-1 downto STREAM_WSTB_WIDTH*95) <= wstrb_in; when 96 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*97 )-1 downto STREAM_WSTB_WIDTH*96 ) <= wstrb_in; when 97 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*98 )-1 downto STREAM_WSTB_WIDTH*97 ) <= wstrb_in; when 98 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*99 )-1 downto STREAM_WSTB_WIDTH*98 ) <= wstrb_in; when 99 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*100)-1 downto STREAM_WSTB_WIDTH*99 ) <= wstrb_in; when 100 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*101)-1 downto STREAM_WSTB_WIDTH*100) <= wstrb_in; when 101 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*102)-1 downto STREAM_WSTB_WIDTH*101) <= wstrb_in; when 102 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*103)-1 downto STREAM_WSTB_WIDTH*102) <= wstrb_in; when 103 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*104)-1 downto STREAM_WSTB_WIDTH*103) <= wstrb_in; when 104 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*105)-1 downto STREAM_WSTB_WIDTH*104) <= wstrb_in; when 105 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*106)-1 downto STREAM_WSTB_WIDTH*105) <= wstrb_in; when 106 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*107)-1 downto STREAM_WSTB_WIDTH*106) <= wstrb_in; when 107 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*108)-1 downto STREAM_WSTB_WIDTH*107) <= wstrb_in; when 108 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*109)-1 downto STREAM_WSTB_WIDTH*108) <= wstrb_in; when 109 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*110)-1 downto STREAM_WSTB_WIDTH*109) <= wstrb_in; when 110 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*111)-1 downto STREAM_WSTB_WIDTH*110) <= wstrb_in; when 111 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*112)-1 downto STREAM_WSTB_WIDTH*111) <= wstrb_in; when 112 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*113)-1 downto STREAM_WSTB_WIDTH*112) <= wstrb_in; when 113 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*114)-1 downto STREAM_WSTB_WIDTH*113) <= wstrb_in; when 114 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*115)-1 downto STREAM_WSTB_WIDTH*114) <= wstrb_in; when 115 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*116)-1 downto STREAM_WSTB_WIDTH*115) <= wstrb_in; when 116 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*117)-1 downto STREAM_WSTB_WIDTH*116) <= wstrb_in; when 117 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*118)-1 downto STREAM_WSTB_WIDTH*117) <= wstrb_in; when 118 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*119)-1 downto STREAM_WSTB_WIDTH*118) <= wstrb_in; when 119 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*120)-1 downto STREAM_WSTB_WIDTH*119) <= wstrb_in; when 120 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*121)-1 downto STREAM_WSTB_WIDTH*120) <= wstrb_in; when 121 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*122)-1 downto STREAM_WSTB_WIDTH*121) <= wstrb_in; when 122 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*123)-1 downto STREAM_WSTB_WIDTH*122) <= wstrb_in; when 123 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*124)-1 downto STREAM_WSTB_WIDTH*123) <= wstrb_in; when 124 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*125)-1 downto STREAM_WSTB_WIDTH*124) <= wstrb_in; when 125 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*126)-1 downto STREAM_WSTB_WIDTH*125) <= wstrb_in; when 126 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*127)-1 downto STREAM_WSTB_WIDTH*126) <= wstrb_in; when others => -- 127 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*128)-1 downto STREAM_WSTB_WIDTH*127) <= wstrb_in; end case; end process DO_128XN_DEMUX; end generate GEN_128XN; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_0/hdl/ip/ANN_ap_fcmp_0_no_dsp_32.vhd
6
12778
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fcmp_0_no_dsp_32 IS PORT ( s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ANN_ap_fcmp_0_no_dsp_32; ARCHITECTURE ANN_ap_fcmp_0_no_dsp_32_arch OF ANN_ap_fcmp_0_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fcmp_0_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fcmp_0_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fcmp_0_no_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fcmp_0_no_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fcmp_0_no_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fcmp_0_no_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=1,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=1,C_RESULT_FRACTION_WIDTH=0,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=1,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=8,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_operation_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_OPERATION TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_operation_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_OPERATION TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 1, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 1, C_RESULT_FRACTION_WIDTH => 0, C_COMPARE_OPERATION => 8, C_LATENCY => 0, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 1, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 8, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => '0', aclken => '1', aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => s_axis_operation_tvalid, s_axis_operation_tdata => s_axis_operation_tdata, s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fcmp_0_no_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_queue.vhd
7
41099
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_pkg.all; --use axi_sg_v4_1_2.axi_sg_afifo_autord.all; library lib_fifo_v1_0_4; use lib_fifo_v1_0_4.sync_fifo_fg; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data width C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_INCLUDE_MM2S : integer range 0 to 1 := 0; C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_primary_aclk : in std_logic ; m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; ch2_sg_idle : in std_logic ; -- Channel Control -- desc1_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; desc2_flush : in std_logic ; -- ftch1_active : in std_logic ; -- ftch2_active : in std_logic ; -- ftch1_queue_empty : out std_logic ; -- ftch2_queue_empty : out std_logic ; -- ftch1_queue_full : out std_logic ; -- ftch2_queue_full : out std_logic ; -- ftch1_pause : out std_logic ; -- ftch2_pause : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing1_curdesc_out : out std_logic ; -- writing2_curdesc_out : out std_logic ; -- -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- sof_ftch_desc : in std_logic ; m_axis1_mm2s_tready : out std_logic ; -- m_axis2_mm2s_tready : out std_logic ; -- -- data_concat_64 : in std_logic_vector -- (31 downto 0) ; -- data_concat_64_cdma : in std_logic_vector -- (31 downto 0) ; -- data_concat : in std_logic_vector -- (95 downto 0) ; -- data_concat_mcdma : in std_logic_vector -- (63 downto 0) ; -- data_concat_tlast : in std_logic ; -- next_bd : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); data_concat_valid : in std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_aclk : in std_logic ; -- m_axis_ftch1_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch1_tvalid : out std_logic ; -- m_axis_ftch1_tready : in std_logic ; -- m_axis_ftch1_tlast : out std_logic ; -- m_axis_ftch1_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch1_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch1_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic ; m_axis_ftch2_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch2_tvalid : out std_logic ; -- m_axis_ftch2_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch2_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch2_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic ; m_axis_ftch2_tready : in std_logic ; -- m_axis_ftch2_tlast : out std_logic ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_ftch_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Number of words deep fifo needs to be -- 6 is subtracted as BD address are always 16 word aligned constant FIFO_WIDTH : integer := (128*C_ENABLE_CDMA + 97*(1-C_ENABLE_CDMA) -6); constant C_SG_WORDS_TO_FETCH1 : integer := C_SG_WORDS_TO_FETCH + 2*C_ENABLE_MULTI_CHANNEL; --constant FETCH_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE -- * C_SG_WORDS_TO_FETCH1)); constant FETCH_QUEUE_DEPTH : integer := 16; -- Select between BRAM or Logic Memory Type constant MEMORY_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG_WORDS_TO_FETCH1 > 16); constant FETCH_QUEUE_CNT_WIDTH : integer := clog2(FETCH_QUEUE_DEPTH+1); constant DCNT_LO_INDEX : integer := max2(1,clog2(C_SG_WORDS_TO_FETCH1)) - 1; constant DCNT_HI_INDEX : integer := FETCH_QUEUE_CNT_WIDTH-1; -- CR616461 constant C_SG2_WORDS_TO_FETCH1 : integer := C_SG2_WORDS_TO_FETCH; constant FETCH2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1)); -- Select between BRAM or Logic Memory Type constant MEMORY2_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1 > 16); constant FETCH2_QUEUE_CNT_WIDTH : integer := clog2(FETCH2_QUEUE_DEPTH+1); constant DCNT2_LO_INDEX : integer := max2(1,clog2(C_SG2_WORDS_TO_FETCH1)) - 1; constant DCNT2_HI_INDEX : integer := FETCH2_QUEUE_CNT_WIDTH-1; -- CR616461 -- Width of fifo rd and wr counts - only used for proper fifo operation constant DESC2QUEUE_VECT_WIDTH : integer := 4; --constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 --constant DCNT_HI_INDEX : integer := (DCNT_LO_INDEX + DESC2QUEUE_VECT_WIDTH) - 1; -- CR616461 constant ZERO_COUNT : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_COUNT1 : std_logic_vector(FETCH2_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tvalid_new : std_logic := '0'; signal ftch_tdata : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tdata_new, reg1, reg2 : std_logic_vector (FIFO_WIDTH-1 downto 0) := (others => '0'); signal ftch_tdata_new_64, reg1_64, reg2_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal ftch_tdata_new_bd, reg2_bd_64, reg1_bd_64 : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tlast : std_logic := '0'; signal ftch_tlast_new : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal ftch_tready_ch1 : std_logic := '0'; signal ftch_tready_ch2 : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; -- FIFO signals signal queue_rden2 : std_logic := '0'; signal queue_rden2_new : std_logic := '0'; signal queue_wren2 : std_logic := '0'; signal queue_wren2_new : std_logic := '0'; signal queue_empty2 : std_logic := '0'; signal queue_empty2_new : std_logic := '0'; signal queue_rden : std_logic := '0'; signal queue_rden_new : std_logic := '0'; signal queue_wren : std_logic := '0'; signal queue_wren_new : std_logic := '0'; signal queue_empty : std_logic := '0'; signal queue_empty_new : std_logic := '0'; signal queue_dout_valid : std_logic := '0'; signal queue_dout2_valid : std_logic := '0'; signal queue_full_new : std_logic := '0'; signal queue_full2_new : std_logic := '0'; signal queue_full, queue_full2 : std_logic := '0'; signal queue_din_new : std_logic_vector (127 downto 0) := (others => '0'); signal queue_dout_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_dout2_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout2_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout2_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout2_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_din : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout2 : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_sinit : std_logic := '0'; signal queue_sinit2 : std_logic := '0'; signal queue_dcount_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal queue_dcount2_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal ftch_no_room : std_logic; signal ftch_active : std_logic := '0'; signal ftch_tvalid_mult : std_logic := '0'; signal ftch_tdata_mult : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast_mult : std_logic := '0'; signal counter : std_logic_vector (3 downto 0) := (others => '0'); signal wr_cntl : std_logic := '0'; signal sof_ftch_desc_del : std_logic; signal sof_ftch_desc_del1 : std_logic; signal sof_ftch_desc_pulse : std_logic; signal current_bd : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal xfer_in_progress : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin SOF_DEL_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_ftch_desc_del <= '0'; else sof_ftch_desc_del <= sof_ftch_desc; end if; end if; end process SOF_DEL_PROCESS; SOF_DEL1_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1'))then sof_ftch_desc_del1 <= '0'; elsif (m_axis_mm2s_tvalid = '1') then sof_ftch_desc_del1 <= sof_ftch_desc; end if; end if; end process SOF_DEL1_PROCESS; sof_ftch_desc_pulse <= sof_ftch_desc and (not sof_ftch_desc_del1); ftch_active <= ftch1_active or ftch2_active; --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- CURRENT_BD_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(32+DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_64; CURRENT_BD_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_32; GEN_MULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 1 generate begin ftch_tvalid_mult <= m_axis_mm2s_tvalid; ftch_tdata_mult <= m_axis_mm2s_tdata; ftch_tlast_mult <= m_axis_mm2s_tlast; wr_cntl <= m_axis_mm2s_tvalid; end generate GEN_MULT_CHANNEL; GEN_NOMULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 0 generate begin ftch_tvalid_mult <= '0'; --m_axis_mm2s_tvalid; ftch_tdata_mult <= (others => '0'); --m_axis_mm2s_tdata; ftch_tlast_mult <= '0'; --m_axis_mm2s_tlast; m_axis_ftch1_tdata_mcdma_new <= (others => '0'); m_axis_ftch2_tdata_mcdma_new <= (others => '0'); COUNTER_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then counter <= (others => '0'); elsif (m_axis_mm2s_tvalid = '1') then counter <= std_logic_vector(unsigned(counter) + 1); end if; end if; end process COUNTER_PROCESS; end generate GEN_NOMULT_CHANNEL; --------------------------------------------------------------------------- -- TVALID MUX -- MUX tvalid out channel port --------------------------------------------------------------------------- CDMA_FIELDS : if C_ENABLE_CDMA = 1 generate begin CDMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (63 downto 0) <= data_concat_64_cdma & data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate CDMA_FIELDS_64; ftch_tdata_new (95 downto 0) <= data_concat; -- BD is always 16 word aligned ftch_tdata_new (121 downto 96) <= current_bd (31 downto 6); end generate CDMA_FIELDS; DMA_FIELDS : if C_ENABLE_CDMA = 0 generate begin DMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (31 downto 0) <= data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate DMA_FIELDS_64; ftch_tdata_new (64 downto 0) <= data_concat (95) & data_concat (63 downto 0);-- when (ftch_active = '1') else (others =>'0'); -- BD is always 16 word aligned ftch_tdata_new (90 downto 65) <= current_bd (31 downto 6); end generate DMA_FIELDS; ftch_tvalid_new <= data_concat_valid and ftch_active; ftch_tlast_new <= data_concat_tlast and ftch_active; GEN_MM2S : if C_INCLUDE_MM2S = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_rden_new = '1') then queue_empty_new <= '1'; queue_full_new <= '0'; elsif (queue_wren_new = '1') then queue_empty_new <= '0'; queue_full_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then reg1 <= (others => '0'); reg1_64 <= (others => '0'); reg1_bd_64 <= (others => '0'); elsif (queue_wren_new = '1') then reg1 <= ftch_tdata_new; reg1_64 <= ftch_tdata_new_64; reg1_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then queue_dout_new <= (others => '0'); queue_dout_new_64 <= (others => '0'); queue_dout_new_bd <= (others => '0'); elsif (queue_rden_new = '1') then queue_dout_new <= reg1; queue_dout_new_64 <= reg1_64; queue_dout_new_bd <= reg1_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_dout_valid = '1') then queue_dout_valid <= '0'; elsif (queue_rden_new = '1') then queue_dout_valid <= '1'; end if; end if; end process; MCDMA_MM2S : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH1_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0_4.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren_new , Rd_en => queue_rden_new , Dout => queue_dout_mcdma_new , Full => open, --queue_full_new , Empty => open, --queue_empty_new , Almost_full => open , Data_count => open, --queue_dcount_new , Rd_ack => open, --queue_dout_valid, --open , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch1_tdata_mcdma_new <= queue_dout_mcdma_new; end generate MCDMA_MM2S; CONTROL_STREAM : if C_SG_WORDS_TO_FETCH = 13 generate begin I_MM2S_CNTRL_STREAM : entity axi_sg_v4_1_2.axi_sg_cntrl_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_ASYNC , C_PRMY_CMDFIFO_DEPTH => FETCH_QUEUE_DEPTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Secondary clock / reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Primary clock / reset axi_prmry_aclk => m_axi_primary_aclk , p_reset_n => p_reset_n , -- MM2S Error mm2s_stop => ch1_cntrl_strm_stop , -- Control Stream input cntrlstrm_fifo_wren => queue_wren , cntrlstrm_fifo_full => queue_full , cntrlstrm_fifo_din => queue_din , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate CONTROL_STREAM; end generate GEN_MM2S; GEN_S2MM : if C_INCLUDE_S2MM = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_rden2_new = '1') then queue_empty2_new <= '1'; queue_full2_new <= '0'; elsif (queue_wren2_new = '1') then queue_empty2_new <= '0'; queue_full2_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then reg2 <= (others => '0'); reg2_64 <= (others => '0'); reg2_bd_64 <= (others => '0'); elsif (queue_wren2_new = '1') then reg2 <= ftch_tdata_new; reg2_64 <= ftch_tdata_new_64; reg2_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then queue_dout2_new <= (others => '0'); queue_dout2_new_64 <= (others => '0'); queue_dout2_new_bd <= (others => '0'); elsif (queue_rden2_new = '1') then queue_dout2_new <= reg2; queue_dout2_new_64 <= reg2_64; queue_dout2_new_bd <= reg2_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_dout2_valid = '1') then queue_dout2_valid <= '0'; elsif (queue_rden2_new = '1') then queue_dout2_valid <= '1'; end if; end if; end process; MCDMA_S2MM : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH2_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0_4.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit2 , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren2_new , Rd_en => queue_rden2_new , Dout => queue_dout2_new , Full => open, --queue_full2_new , Empty => open, --queue_empty2_new , Almost_full => open , Data_count => queue_dcount2_new , Rd_ack => open, --queue_dout2_valid , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch2_tdata_mcdma_new <= queue_dcount2_new; end generate MCDMA_S2MM; end generate GEN_S2MM; ----------------------------------------------------------------------- -- Internal Side ----------------------------------------------------------------------- -- Drive tready with fifo not full ftch_tready <= ftch_tready_ch1 or ftch_tready_ch2; -- Following is the APP data that goes into APP FIFO queue_din(C_M_AXIS_SG_TDATA_WIDTH) <= m_axis_mm2s_tlast; queue_din(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) <= x"A0000000" when (sof_ftch_desc_pulse = '1') else m_axis_mm2s_tdata; GEN_CH1_CTRL : if C_INCLUDE_MM2S =1 generate begin --queue_full_new <= '1' when (queue_dcount_new = "00100") else '0'; queue_sinit <= desc1_flush or not m_axi_sg_aresetn; ftch_tready_ch1 <= (not queue_full and ftch1_active); m_axis1_mm2s_tready <= ftch_tready_ch1; -- Wr_en to APP FIFO. Data is written only when BD with SOF is fetched. queue_wren <= not queue_full and sof_ftch_desc and m_axis_mm2s_tvalid and ftch1_active; -- Wr_en of BD FIFO queue_wren_new <= not queue_full_new and ftch_tvalid_new and ftch1_active; ftch1_queue_empty <= queue_empty_new; ftch1_queue_full <= queue_full_new; ftch1_pause <= queue_full_new; -- RD_en of APP FIFO based on empty and tready -- RD_EN of BD FIFO based on empty and tready queue_rden_new <= not queue_empty_new and m_axis_ftch1_tready; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; m_axis_ftch1_tvalid_new <= queue_dout_valid; --not queue_empty_new and (not ch2_sg_idle); -- below signal triggers the fetch of BD in MM2S Mngr m_axis_ftch1_desc_available <= not queue_empty_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); FTCH_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new_bd & queue_dout_new_64 & queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64; FTCH_FIELDS_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32; writing1_curdesc_out <= writing_curdesc and ftch1_active; NOCONTROL_STREAM_ASST : if C_SG_WORDS_TO_FETCH = 8 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate NOCONTROL_STREAM_ASST; end generate GEN_CH1_CTRL; GEN_NO_CH1_CTRL : if C_INCLUDE_MM2S =0 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; ftch_tready_ch1 <= '0'; m_axis1_mm2s_tready <= '0'; -- Write to fifo if it is not full and data is valid queue_wren <= '0'; ftch1_queue_empty <= '0'; ftch1_queue_full <= '0'; ftch1_pause <= '0'; queue_rden <= '0'; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); writing1_curdesc_out <= '0'; m_axis_ftch1_tdata_new <= (others => '0'); m_axis_ftch1_tvalid_new <= '0'; m_axis_ftch1_desc_available <= '0'; end generate GEN_NO_CH1_CTRL; GEN_CH2_CTRL : if C_INCLUDE_S2MM =1 generate begin queue_sinit2 <= desc2_flush or not m_axi_sg_aresetn; ftch_tready_ch2 <= (not queue_full2_new and ftch2_active); m_axis2_mm2s_tready <= ftch_tready_ch2; queue_wren2 <= '0'; -- Wr_en for S2MM BD FIFO queue_wren2_new <= not queue_full2_new and ftch_tvalid_new and ftch2_active; --queue_full2_new <= '1' when (queue_dcount2_new = "00100") else '0'; -- Pass fifo status back to fetch sm for channel IDLE determination ftch2_queue_empty <= queue_empty2_new; ftch2_queue_full <= queue_full2_new; ftch2_pause <= queue_full2_new; queue_rden2 <= '0'; -- Rd_en for S2MM BD FIFO queue_rden2_new <= not queue_empty2_new and m_axis_ftch2_tready; m_axis_ftch2_tvalid <= '0'; m_axis_ftch2_tvalid_new <= queue_dout2_valid; -- not queue_empty2_new and (not ch2_sg_idle); m_axis_ftch2_desc_available <= not queue_empty2_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); FTCH_FIELDS_64_2 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new_bd & queue_dout2_new_64 & queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64_2; FTCH_FIELDS_32_2 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32_2; writing2_curdesc_out <= writing_curdesc and ftch2_active; end generate GEN_CH2_CTRL; GEN_NO_CH2_CTRL : if C_INCLUDE_S2MM =0 generate begin ftch_tready_ch2 <= '0'; m_axis2_mm2s_tready <= '0'; queue_wren2 <= '0'; -- Pass fifo status back to fetch sm for channel IDLE determination --ftch_queue_empty <= queue_empty; CR 621600 ftch2_queue_empty <= '0'; ftch2_queue_full <= '0'; ftch2_pause <= '0'; queue_rden2 <= '0'; m_axis_ftch2_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); m_axis_ftch2_tdata_new <= (others => '0'); m_axis_ftch2_tvalid_new <= '0'; writing2_curdesc_out <= '0'; m_axis_ftch2_desc_available <= '0'; end generate GEN_NO_CH2_CTRL; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
gpl-3.0
bonfireprocessor/bonfire-soc
byte_swapper.vhd
1
1345
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 00:28:56 12/10/2016 -- Design Name: -- Module Name: byte_swapper - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity byte_swapper is Port ( din : in STD_LOGIC_VECTOR (31 downto 0); dout : out STD_LOGIC_VECTOR (31 downto 0)); end byte_swapper; architecture Behavioral of byte_swapper is attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral: architecture is "TRUE"; subtype tWord is std_logic_vector(31 downto 0); function doSwapBytes(d : tWord) return tWord is begin return d(7 downto 0)&d(15 downto 8)&d(23 downto 16)&d(31 downto 24); end; begin dout <= doSwapBytes(din); end Behavioral;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_3/hdl/vhdl/feedforward_fptrunc_64ns_32_1.vhd
4
1982
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity feedforward_fptrunc_64ns_32_1 is generic ( ID : integer := 3; NUM_STAGE : integer := 1; din0_WIDTH : integer := 64; dout_WIDTH : integer := 32 ); port ( din0 : in std_logic_vector(din0_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of feedforward_fptrunc_64ns_32_1 is --------------------- Component --------------------- component feedforward_ap_fptrunc_0_no_dsp_64 is port ( s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); begin --------------------- Instantiation ----------------- feedforward_ap_fptrunc_0_no_dsp_64_u : component feedforward_ap_fptrunc_0_no_dsp_64 port map ( s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0; dout <= r_tdata; end architecture;
gpl-3.0
Rookfighter/aes-ss17
ex03/delay.vhd
1
927
-- delay.vhd -- -- Created on: 08 Jun 2017 -- Author: Fabian Meyer -- -- Component that delays an input signal by -- a given amount of cycles. library ieee; use ieee.std_logic_1164.all; entity delay is generic(RSTDEF: std_logic := '0'; DELAYLEN: natural := 8); port(rst: in std_logic; -- reset, RSTDEF active clk: in std_logic; -- clock, rising edge din: in std_logic; -- data in dout: out std_logic); -- data out end entity; architecture behavioral of delay is -- vector through which signal is chained signal dvec : std_logic_vector (DELAYLEN-1 downto 0) := (others => '0'); begin dout <= dvec(DELAYLEN-1); process (rst, clk) begin if rst = RSTDEF then dvec <= (others => '0'); elsif rising_edge(clk) then dvec <= dvec(DELAYLEN-2 downto 0) & din; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/vhdl/ANN_mux_4to1_sel2_32_1.vhd
7
1606
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity ANN_mux_4to1_sel2_32_1 is generic ( ID :integer := 0; NUM_STAGE :integer := 1; din1_WIDTH :integer := 32; din2_WIDTH :integer := 32; din3_WIDTH :integer := 32; din4_WIDTH :integer := 32; din5_WIDTH :integer := 32; dout_WIDTH :integer := 32); port ( din1 :in std_logic_vector(31 downto 0); din2 :in std_logic_vector(31 downto 0); din3 :in std_logic_vector(31 downto 0); din4 :in std_logic_vector(31 downto 0); din5 :in std_logic_vector(1 downto 0); dout :out std_logic_vector(31 downto 0)); end entity; architecture rtl of ANN_mux_4to1_sel2_32_1 is -- puts internal signals signal sel : std_logic_vector(1 downto 0); -- level 1 signals signal mux_1_0 : std_logic_vector(31 downto 0); signal mux_1_1 : std_logic_vector(31 downto 0); -- level 2 signals signal mux_2_0 : std_logic_vector(31 downto 0); begin sel <= din5; -- Generate level 1 logic mux_1_0 <= din1 when sel(0) = '0' else din2; mux_1_1 <= din3 when sel(0) = '0' else din4; -- Generate level 2 logic mux_2_0 <= mux_1_0 when sel(1) = '0' else mux_1_1; -- output logic dout <= mux_2_0; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_fmul_2_max_dsp_32/axi_utils_v2_0_1/hdl/axi_utils_v2_0_vh_rfs.vhd
24
292074
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kPPWi0fMFUDHx4hSJZXOHx9nvzoK1loLAOMw35vd/HjRjmjDT7gyj1xY+mcTHSLqjBIBfjLlv26d JZ3IU+wu6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/1TuAflQptypMp0+ukYLRB9lHps3Xc/g3Ljc0UTbNJD2zfWqP0m5rcCo11OdSytZsR/LM/hlA+f qpfiQvWX2Z+c8WgfPpsz+M/IaWoEBtRgapHt1MwYKInHrzQM0hrn5gxRHXZtkyHLj2T+Hb9pLyrw a2kv6MRZxll7qiPSaqw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kl/tMWLyXxSk89lagK7+po8vdYsYgAEPfq+ocdrJUI7Au7sNvcjovO7tFIbnjRGMwoh7Wzz8dSId N5inCGFAlFI4KTBb1WNzojq8AMO89J6JAfO5ODcxlHN2T8ros6evWjjgRCvWHLNxBypzeAtxp943 rqSbBjANDdZNBoq9eIqE0x2VojUYyXKC80kdCiYhUMNu8WA9cHlJjbBFEX2PTW3y33Tc0ug416lY k24RRNWYYTQV/Fr7QI1Xm9xpkTeLFcOH2UQDZo6OgP6x0cu1ijxa5YArePRiFX5UkfDuraWX47XS R2bW9vlQ5KrQpiXLBWPHhlTAn6Xfp/NDkvyBEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kevx/7K6us3dccFFQnXHgVz05QfzmOCAuEUoiq4XE52L98NQrfNSAp9SsPmuFVWkSc9v/6JlqV4t 2SIw3lI0g6w+BoIixpCHIgzq+jjQFFAkhVYumIY0+8Rrz2ruRBV2eYZb/OWWNdVS6hcR8HQnCN/U UZ6YHxR1cS2OmvThZ4A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zltaPVBlXfbjfbMl/HV4yzMtJfQeNKqxW3EGACjk9wB1fgYtKhp0WJ4mPob+Geycuyx9KpPBRbCm iEH0vSuX4Uoogpu6pOb4VkwG/AP1p3RaxG1ABbQb5k1BQOn2RgliXiECEyvSt5l6phjL7XJXG3l1 zHP5FjfaKK1/z/ulsMu+mb3ePv+4K78yIpp4suFxfDLGuaKBEbBnblRPAYcrWPvnqmOi6Z3yObRe mmcH169/1db2WuFMXO48rfc+h+H0NVevUSbmruo0T0fSd7KBrnaynVMHly6yrMnaiw7mmTAL/0Ni vPUgcJFMdJLCEuycZsgqHJwSaLRlBRyhDhFuPw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XLAfdHUL8txBP0pX+y9ZjLeZ/GFC2NayMSr+HXr3Bc+UFzNhilq6APzx4Wrfu4AKQvhuXh4Lcc7a ZtjuSFz1YOQuYkCjXKeBAjJKWzV4KxRmjb+e4gnqjKChLnulyWee9JMzW7EI7JxPRPIdjG7XcYB+ +r2B10gnPzr4GQGBYC1jJ1+xSla0XTFwSp5FTXvTnyQ2FIsluC/452NiYjDz7pup965E2MW/6aM8 NvBsCtMyatWrr4Jfz++RaNswWEx0xZT2z4l4H0io7F5FwqGtI9N2zeF2x2Xd9v6hMhxZr6L/OAbT HLX946gJlyaSJ1EdSzifXaoNVaAfpHjp/5GZZw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block uW9LKnbZpIqv3I0BGszDbxaic1+kKwZ9ZwnvAK21lVA4HwFNP8Pp1eOmMW4ysynrsEtMAjswQLOx 9m232eYOp6v1kZtF7EkbPfYV8/pbttKghfZ2pejF6ISDAvvM/4Lnt4AC0EYXKX79Twh8ML4+TSdV 09fs9ei1NDXbTqh3T/vSkvCCcDBZle1Yw/59b9qmxPSxV5RufZ/U3oyaklTa0So77MbtLxw2rtCG q031Lz6Ck93OMMZHkd502eaiKmiohTODqr6IapoXtAkzvvhHxXFma+Odd8OJAc33CAlwRh8qz3bJ zXX3SJZg5po8QnDo15c1mUXyh1euIcMvDN8kjnZZY4IMY+mhZvI9OiQDcg0rQ118FOUPhtGqJafa BJwbf6tOfe8XGc7SDU5aAS7uTL17qh4Km8BkkUyYmxnfNfj5748VprvPdZD8WSa//ffe3PsB8Zy7 Qb1dFP+e2YJzETwXSNgCA7FnmakysgxV+4P6g7qMJRNEp5ejBmkkavZRBNWbMXMYn+vky5j5ghRc j2jo+Jk00KupB7q4dgatI2RimsGfWG3CazSIANphl0U+qgCxeBHhh1d0PZho+jQS6vkzjJxq433L AYOPnP2CRNj3K0DKzrjkSaUDEBO0j4RQzbk19IBaOibPMfY529eG7LY/UtC3gBbx7q9Vbkf/lxmw Peoc1B8P4JA/KtjDmKkz/4a7ry93ilB4zLlxKqH1W2NdbPozKP1D1uxEULu7Sa2GIXbTUb20eVD8 6myTCp2733XU1TTJ9yM+3xn/iTxD8rg95hKpx2ZTC+hKPXzIihXY33FP/M+Q800ioMDjQA10rZDF VOZJKN4qbKofifuW8aKsgh9g1SN8lzJuwEls9W4BIHD+TPqaC72yFtHZSTLjfRdPBcU4rrBIaPqA cIeuk4BVTHbMbJFSGxyKqsnMsK7oTuGXiMH4yJg2XWJ9manS+4HT4/yMnMNa6zWAA6R3WzTWA9hL PFuHggSyIgpbnVpqfPJWySOxDYTblc7K+UIhhR4qpkNiBar0Y9ZHrQDTnNbiUgS3w8J2BfA/KQxK MNfi5fTXeyzrEbyjc8vhcrgt+wiLpD7wDmtRug0IDe+uyepSZRo34i0f14a6/1qKii/x2q6VZy+C x/I+O0fTEQydrPqW36J4QY1n1rHRRrJuFWAMsq22Ac9exzqSf487NXcfkoIeVGPONFEucENqTLW3 yNoVDzD+c5GJ75EREIZc4OwcpPgxCbVTvfPDpIuACX3n9fo+S+8kudoN6GTbNblx4vy/9gZYzA9m 1ISys6uxEj11zxThHiFDrFTe97QCsX5kqnfxvGyp/3BWCmyenrffo8I/8UN8oQIDa13YAd9U2aIg oF9h1tYh92PUf1W1AfHXvYnkPSCmlfwhs55Y1wq2HnGOv2bN/4D9B8Sangxs7nTZLOpcNNXuskGo Jz5NEoE5wPWal1q1LYzfSzTe7lQAWNJPyxPiFIQXrUFKEdNKZc9OWigp4iPLoZLO6khz33J368ao zXNBN1/iiJRLPhjnv0KqJto/ABttNQ+UeqDcpPI4zI4C+ChwCVNRSmJPBpFyrI7784h5W91ZzN3l tc6ZnBFfmGckL9MsJljAl/ebr/xyGUmWhippb3N8+uPojrtrHCCTiQwDGGeIKSS2DO5R2WvlIadx TQ/S0ct36LnlJe55mXaNHNX2/n0iULyK690Fd/YE3J81p8CC0fuY6x+uWEuV66bmo7k9KeLvsRlH GwUGLjmya7SpP8NAzzsL3yK0yJNJS87oNgUz8r9w6VEmoPH/YsAvVIXnQQIPJlFNNCW3ccaOmIRt TrxrzjSd2nzw0dCccdEoanHECkrdyYf9YFJUhUQDKpJqdq98lzSewy/9d4gPl5J2HwXF/38MDigr YDQMEfQK5QQKTuxPHhNz68M+QgQ6j/EvcCU0fPXhtVmvsylhdh4PwM1axTR1ZoPEywDqizf4KZUs RAi6zhvZrk3skdOEfrNPZRnqyeiXn2G10iGRbpzEA5VdsolEpu+h9JrtFbAjNmUh18rOXVYr5QdJ k9v58aC/zpVt+uOdvQWMlmSsZhPHpf5oFytuiwTEYOPoH5Y5DxIXlauadHF49A+og+pmJm0OTTN+ Xl6Ycjbfm5F5fBUsLz/g95ACmOopPLyoo8c1Mm5Ageizgk/3i2R12FlIfmVX+a/a+Exl/LCIJT06 WOEMAzyYP9KoKqfGJpQJvuWPxILVZo+ysJr5QzfilsKsohL2aEiD8cJdoXJyHKmCR3XgEvG4pRof VYKr245SkjSZKn6KxQj01Ag4BNa2W81PTxzp3Vk3NsfPHxX2lpiNl86oQE4nwO6tyGtmAUx9l1S6 JEXgaeGieMz+v0MjhVR7mwhDEs3DQtk7hMZHXXscSz1AX+MCCxcfZXQecdEtXfMpu+uqXoFzj8zi QhDV6WomyoYLe4g0EJjwkVU8zpE5hyGr4kjSsnNeZvubYg4QrFaaOIWyq/Fg178VyNR1I7Hezin+ omgTgsxWGHj+sAvsVMTKjHmBY4nVne5DAFN/wHTb1ZOPWDr8OXOOmXvJTDdmft504pLuTn8zeea7 EiaOQqv2W8qtY9pKB+cTfEHO7bojgK6BnjsC1rKKYBz28EKkluuNd1M0CoOrtD5EUmtrh2/oyDn8 W3pjm0v9SN3Idr/egtL/7PYTaNVnNaA89WIxlymslhIUo16Ar7+sXFkCVy0vCy5LxknsRCxEYzlf YuxkZAAHm8mP8m6koOccECXj3GEHfxAOHZF9uiINSXL9O2zy2G5CnF8nwKStlbLaVQgljQfhjfno IQ7JuVwoRe6MWJWMJTbvoebvPJc2hBTgoLZkXxRuOgmROdfM73tGfUKB5ou8t8HvJ9PxyoW5nRfR 8PqhLkI5JmWjp/YBD3NGz94sCqtl9n6qw+BKPGRQO1qeqI8lgShOo2o5LDNIfG29VKkpv0VThmlr JI7Edphi9P248MjMzTvYoTh6AsGbJRVMOoUw2O2xNqLC92VKqYvylsLJQQyRYnme53SdTHZNxNkl 1xquTEsqCJTRpzOJ4/nFeZi1wF+jRRJLr0i+uBLXhrcy0NBZ35H0PfzfACpQ/elcz/vngr5WxTcx qvV9m0Hw55VttBq1yjawzdpUO6e2+siLvlOpiwbSnoX6Fjr99YJCX69GqBbHodcrAxwJVdlt2UjW gBKsR4yZPL0sRN5/2HUf71tZiA3LwC3QlOfHkzvfi5B1+RekwzMp80CKK71mdTPAqA9WfYN3Dhvg DnHdtzSLo7rT/9RWwmGkjBsrfyDiRpBjAikbwo/eVt3W7yvq+CP0NiWjwALZbb2wLu+JmHqzCnkC j7tsH4x3JwlVcVgpev406Ka/QGZ4dS4ludkpdZat6sCPdkpPXA7ZgKsYPhVAt34soqeajVqI3Ptc 7WXRr3cAC55akQmWaNJsfRthNEkh5HJpdmQFpE998vFskXcf4MkaF4vDHnPaNXpz2Lad6199wzwb ZqsIgXUpNYLuT0p69H8SV7jiAEOw6yfJt/PD2+stk+Fyfl65Zl0Jb0vzPk7Cmmbt4AIjE3rxktF7 QPiRFpZGFnC24mpyLThv7Rv+d0weJgwOIv4luVljWXzk6saTr6p+EprqFnr3T8mB8wt8936lTiNx w899oVPnHYvO6V6jjIFSSPPnUf4X4GaDNpCQRnhMR9LYpP9DB0mQtX70v+zY5wtdNXfrkEEc+ohf uG3/ZiTkHNx+ECFDGa5q/kqOLDFp5t/WhGwUodgkNZDFq7UXfv0OodAh1wFnkKN7EwjssUYlYV1U loeoy5Bedz6R4T8QDUP6vYNQVqUZUAV6EbUKl0u6JcXlVZKCGb0DxDnTmI9TmeNpfMy/EerumebO a0p91PF2T5XDRgjYLvpcToaGkeKOo2tDzzSVX2nVTqqAT4L1UfQrFCsqB+miTP+zXmJXA+LrDb7V MRiildWoKQgo40YQVkqdRxsPgstoTHuWLalt36zlR9lEOZS0iHgvQndFeDvB92iE6UWKJsl1g/vF vay1QQ7vC0T5+3QKk5Ln+AeHD7abeuHMkqAxf3Dq3cCupQYAcZF6fFGTMwldp9i4f5vDY6rYF/UC KtsnrrkJg3Ltvzt3z051+VrOJETnZ/plXVooABJeEl4xu/zd4nVfsNidqQHhEGawBD0BHBFTOk0Y QXrrpLdMkfrqMspYPl9pHlQVf0JzkP2L7AU9ziFyhWeTlVFnt+FF3g0E9lKQ7hMngXI4LCaxQmkl zeLjidXp6zzLm39lrsjwlqBr6KIW0kb/jejf+800xTHbcGbG5kkXYmIE6SL/FKJ2Q7Fx1RQYjLNA 12HEIti57iYvTwB+gnKKpXhFbTrTi1fffFj+eXXBGpfP+xZaIr6EW/QqWBXhCV9RmA3+GbRpfDH6 zPGFz1LRFM5ERIi3NiURqs2h4lkQOF+c6f7BXCH06UUw8wO3iZ0FbDE3RFl+Hz0YA1/u1Ckgnnd9 wi0xCe28UMm9NGy2aLPnuAgCQ6KrZslFPA08iHoZatfA6I1+uW8QBc/iyVbMrQniD2SHk+MGyDml 7r+m1DAej4oEDSYiZqW68VfbKeGg8NOFQIqm/lbmU/md/mXuFttx1PcKEFA944Ws4TMyBPso3HMd YqWb3EpBUv13x91xANoJB6S4dRKm6B9ePrdEbZX7EEzueFYjpWF1/jCKO5Ti/kq8t2VpLTfj0U9+ SpP05WiJvOdDCSs7w+tGEyXcEJ1WJzITOqFdwirdi/aZpMt3xpRGejBYaYbtKe2Rm+jTMVIwttoj o/OA96imm4M30hDhFHtKiV+zhBEZZrj9ByCw4FQY4N2ewelQFjYjmF/Z60/aX7zzG+H40BDayH4v YFbFDzpxZZm/zTxxHjvGM/BuZHJBTORj6/k6wpiOMXU0r0dKaeciqPmpEdFX6vP1PGlM+ET6jHM/ 1DGKBsXMfcjwnl4/Iu4g1cY4qv/bC3CJeEHUq+VC4hrD7DF4UIbIuQimgYu2JFv0gHEMw74iAOn+ IR000RotzEepOLOEoWwwrw+yptxs2v+OVQhS/JDBfaqKM0uzPDodbPTYDIwvOTidXtngZVAfO/Rx K+BYSzDjkYQxiGxrVagUvtslD51poeKou6GZRIJJXZyNFMy06KzPzyxmFjG1lH09rbJ0TD4HqrTN etjbMacMOWUXvj0x6tl3UPl1PAvHldAFXnrOSPq6icpncVUAW1DY5w143Bek8hBzJdcUjzaeMQjI 0Him1kkgk+IczKF0UnwrNlVfW1ClYkxiv2f/cqPvI1VUFH0orI/cyqrFEyfAMTd6cUEFbvCcDpqm tCJ+imG/grmJX8DWnkAPUgSsQyg+G1CxuXRhf2VPfF1oexcn0W9kf9aB+XBMQhs7NBq7bPg4bGn/ BPQ38T+9fgLyMjVrnbK/6nVu5NRHOM4mCra+YSi5fFgGJYDRyUx9uHCHt8ng4DwvfpLWW7xaRzqV NFQAL4pr57bG67mULf6mEu0EKNhbYENzWju6A0sS2fqcoLEHs7Yqpn3tHTSTvqbaUExEUIoxHU1Z wLOvKr7DcVr8ZvA4J8hz5mkBfEEjQmTrS17lJu5HRNcE89jpyF12RObmecGNW5Rggd38rI20TTpW tYsCcm2+VHUv30ypz0ZbF2NYQBOeVa72+pZIZNU1/MP0EwywCBDOAcZmoduSiXCa+9k9r8kqLNjJ KCxFjZbYGw4cj65248BhQIJLX5+DkKQ+VmWsE2L4g21H7y8w1XsNvQd21CVsyMYa+p6uh7w+usg0 M8844+e/FTLntjRfErTku4Fy/AFy+lzDCn9w6JECzqjL7xeBEGLxyXSPZJs58ueZQqjs6zRbs0Ro HY9WeMvUnzC6V4qWOyEJ/i5W6w3xbwPdE1T1eeMjtzMFz32cVG7djpU+KywsoLvRqfHa8W2q1wjp l1JqCGdpXAcJoTmsVGF/N5umiURRfepFFCww/HMVoHfzCD2Aqd7yU470L+67lh84scgH6uiq91Rn cDch+JL9TsPuCJM7bIEGvUVTNctK/bqYkNcR4xohhyWke8d1uo75jOwCNxjB6QOZ1R6+kyR6qk9k LoJiy3pV0AOHRBNbHeA0AQHt50WT0wnJQpjpnycJYtNr6w2dWx0RW3Rvk1Xg3rGPrnz9HjoGQny3 qfrYEbO3bLOYjtS/8fCKJLkoKbEmKKWkB2YJhKh252ASdNpcLsFj6vJOg8owSBqHUdpHyvtxNq4P BAycWzQWK6DJDlmNuqv0koT7oMWVEs3R7G4/vSQHWnpGSAVTMl9R8FMfZtUPC8UEMyljZpZcdUWp meHxYus6nhr7GyA6Oo1gCedo8iBwYV8vwRzlfzMoieyhDft46CQudv7dNP0Y6qXYs/SBWBqkhJx/ 1iusmqN4ehl9HtkWLEnRYtBjGiSJ5abkHW7Nvwilz5bU5FUtCHgt+qI9m0eLsyokrw6heY8JHwDi ai9+yxfGlrQ0KkHxaHriNUTiJIliYNy2p/KYZ+mO9jASX4pA5AV3q1XVG8o6834tTLh2qFyRY39b ysrC0EcqPECbd2stsVZ3LSTNB3ow4i5GBkpWU/lVxLp6uOkk5gySSzSQOsVUwAM4wT1jQv/jR091 qqFhIbXYvJUUGPan3EDMYQaGMo6jF0NS3s+laXwqkNX3gV4GecqS0LYL5AA6o7BigF3e6x8W/Zm5 NBBihumdbNu1LrEWtg2KBIBu3+YnaOLNZGomBWmTsajR9JRM19vJIZT4xeN+qlOWNtqwr3Qgk81G 8LGkiHm5y+SvXJRu7E6OrtnPNqAMBhsTLLdEMqA1GFAKQCcVIavamcJOIQN1rk+IbpjQcrmB8X1D SD+qtsrVZyXQD0mvzi7hUzNgMMa5G+MMfeKE4D+xkg50aoHu9Z2XPU9ikYg2Dd5a05Y/ukVFszAo hblFXi8LCAR+hB4LjAfYFuIp30tY89BMu/rIjnpXAEPGgcyA2SSetnIhi5L0oo71Wxu7NsXarYQi uFPFKhfBCcwMnp8M350pUkVK0fsHAt8DI/Jhe08gonv/uM4hXlJIp9+kV+sVYdOi3oapopMKwdPf XNcHNV3QrOW20dFYjuK1IQEb8706Wj7lRurhZuGHVNHVR/wBPTeKeDa6Zx7zad8x9eVLi6Eijyp6 X6UbCrYkYpCM0t8YaycBgSrjq0+OBB/D/ItJtdl6uTOwL8Mzg0Wt+cMviGFXQ63wcRhLe8uHf8Mo vU3zyWg4bnHKvyEoA1AMklmGs+fPcFp+3cxNpD6DpafCWaeEqIymetKnsno17V5ymo0ACWjr0V5r opF7sAqep/o3YV9qfhu7qENXmNFQ4qfEc3Hmds1xVNw1fojeG2SfRz4L59FUnPJlCIWG9QJEgUj3 wZBRfGoZ9d2aHfSPGV8gDfduWT2E0W7nGmwYt2Yi0ibYRTrwbfCdJh3sRb1CMdDR3dChO+3kYGyE 3j3JDuOavMP3fVZSSY/KlGTcsuoxnxW9zpRqxNiG5LIvi8Od8llvYqGI+EgMAFmTp9NSXjQXHyAj zeEEen1xxCuTjNGm+aruNIW2R/cRBgfqcCV6csnI3T5V9TlQNiPatMHZu+LdHL88Gll5ADNq1IpL kpOijH3puTVVjqSnXVnue93vHCImq1j72mwSlb/Fgbly9cazID8KaJdu1tfENKIrFuJ0QyoVnNns F7/tcKv/6dgPHznPSAQTNdrmjGq0UmsyPFcQnsg4snjljP8yK6aq3ahqY4+SwTMILYq/YqoqfLOo DXHiRninid6f2+Kx1olf7sz5WK92QaxCcR+dUEy4Ai9BXthfhSjEwTKXCIjyLrMvUyrKqgE+kSpN FaBW11AkaTFsRgbzqHz+CEWPEi2foNDu0t8ojuyfVkOfbqcUKmdUF2fhu/xomN4K1NloAdXbU6NL D1oe0Gs8EoxVRX4iR1XvFUn7oNVagk1ZwpnuTn2Pb12il2VX2GnqOme16q9pXsAGjvy/dWtvZmYu ZDoSVqyqdhxZWmhs7yHRGq7kiP9jDra6+KQuIQop4FmdeEqpdQOqrE5Wx6It+DZFP6JT8VgXaiDZ fTDTzagQEzQ40R1Ud5/4u45dy8Ozch7Iqc0ROdNicRn1MjPS2gLljQ/KiwnZZ1HAo35UyYXNQoAB gPSQcbk4WW1p+V5QiPZ+PFvwW6DmBAPX9r2JiCqYkNhwXUkj0rTRDJSPXC2Iwux4MFJ4Wy4Rg7lv pn3xMIEhPnvttp2tMzFj3HUYGswae1k4ElILjYLuZCcxOqCcffyhpO6vm1hsBNNkHbQJZu1eH3JW vXAwZF84da13ElDzwuuFl/fMjKlNSUSy5CNoRI5+Y62RbCbrVpYFs5p/3LJxFHa2hgb5A13iuhoS IV69vg8Fax6hDEtYdh4fxuHjVvtOLXrD1xUB1ll6Q3zchfO7rMzOLHRSfHhH4dq8fEzu5Sdj/lv6 V7qi9KemlOWSzfp+FZGG+FF67weXne8VXYAs5z82spapNzBmX3sbVPGY2qAPWVfsQyVIK7NgnsPZ sgy/X2Tpl8KJLkRl8arAqx80j/W/WmA87h8szBQGpoDVNqpAJiem3iUW3sk+Z/w3dxUUgvHq/uyz uZsQvTMoTYPyWGjvnHC+dRkIhc4HUaHN5mJtagvwCmdadp0FMLNHqWb9phNqTT1nAL1bfZufGCH+ a0M+wr//0W363B0wuEdUd13SBXGrttaMPeqm2baJWuJnnJFdR5nTgd2WEpEiWtZ6K8oWvl8+LGYT 5II13Ul7HpCyUYx+IBsa6zZP7ADssvy3QkOFYWJq91ji2Zca3RYou6UE0T7aZfAyCXyY/yo5TKY1 EdI5QmuC1EqsaRbvQodTotlvdH6f7XVWImLOpQJDw/7Z/R2M3atuzg7dMzH3XVuUs6uLNpHeip+0 IBxN2hN7koSI2r2Sfh3uLdorQdPT2lRMLg2Vybj53+QU1CfjojBpz39YM5VC6H+ZFBe3M85AewTi kYFwyZgcJzuoKjCnqVFUYJvzvN/iE510k8yl364XCnFuM/jYrDtjFqQaXq4SviV6xY7d6V/YPymR KSLQZldsQgToKNu2eM9IlXQTy5PDMg1XwnEhSnp3ZeBuwaZPp8JIWpSh4byw6+pXPjMBoAq8DHIp EfKf/9kpONCQbQ+gdxNAPo0+iH273+TTtUHFySP3fvW4ox2q8CAKufwE1OAJAu5eD2zjoJmAsBvz JiCm6Ue52s7C5wrCLzBqoqO9h4tFQr1jZTYk+YHB8WkvnBLEf6nxGXc+RLQQn+eD0EuY++gBrcIT bTpni52IStbESmXzzDc3dKvz4cPxUSAKJ6wppR4NJrt9xzfu+uSbTclrCM2J+vKcAl9qak7dqbiF So09Ea1+9rAPtOaPwqg1Roi04Lsh4ysOIeua/tM9LsPZnB4baid3gNctNuw90Yhuwe9ENXJG3wa9 qBXclpMBRVasSGBtxI6qamu8/Hla04s9wAqevBtcHDCD+v8n/sAqj0y4QKYTz+M6kly3cgHUDorU sWxbvq3wOqBNpmkxQP3Kw5/gMqghOpYWM5v+U5NNBBUq6RfiXeHWzVNaurb+aPyj6AqC6s6+UCsM kGiqMwI+vgND+73o8xthmSOE4O/5FTx4fimqrPuGzo43PoJ+FIEmMxzg5lwqalEZTOgBmK1+vB0u 7EzBa3c8Ynuq2L/J+3Tnezty+cVCy2cAib3dE1DoDF+Q5YjwaxcuBsQq0Gvkio07p2e+MV8qZHDi Re5ElekZN5t/ffLcSRf6TWtuU9HXpsJJ+6eVfUETnD7QWmr08BfKTO6UjZt4++Fd59/7TohmGaZp L6/hCB/OH7Equ5tmCxi0EgnCbGO6SOcHm060aqw1e8cFty/wxhZ4CitDwEwIMMahhInGxW8SKRmt 6X2oBfxNK+lkYGIypPlcZdI/Qektjiselq3L40cLY6mm9UNErCnmdLFxrQMiu+RyjUw+6F0pgmTq KfpXwrkejQyh/QI9pgTgBdS/iavjU5Ro9uT7Q9CVqflvLxze4FMBgWKG5Kh0A4IHUcUAKyq6xxHy ZOWjIF27KjERIR9/iQtHtNlIHPHcdkYXsluGtUGPOWR8Ctu4LSaQ5Xeh/JNF3juqQX8Y2bKTnwKC 7eVm2NnWAQcRCbhbX5wjIN6RHh8r5QzzmAi4JgSvqumb/ts4MKZRXjLKr13CHqXTvv/fDEdLxo3L 3Lrf1r4Rnn0U88vUsmPKS2ETFlp15uQfaFppO0CuzBQR4nxpY2BTcPu+nEQVh6NkMqPNHAU1sAV7 2oj6yzW5tdfG2MeMaj2eOliDMcfBOpyD2bTfM2X99h5mQMxXX4OvUuT84pyRwRzwnu/Zoee9fS1L 9pJdJepI6gI8Rg+3iAhYw2lgz7Y/LYCwg1bKsSCyGe06nTqFIoXZ6RiXd2EfrgoPIVJEaB8lJvDd TheVl2cOoqhN80jXxGyY2m7n9IUeGtsYQALlVxD+l+wa/ddi13z/vqRW4ollKSotF1aQN1NktNYQ aXdRNZrbYWuSWlW08Zead2WpkvMN4zkFxvpgmTcyGZOh8uK7fqe8PKLeD2wqysZHWPxnLW0BYNde oKXLGf148bPooYnVXo6XNwt0Dvuo7nA4V3juE7zVD89L5UPjN7XRIXI8QowEBavGX5Pacx96xPGl M0brxHFHQ4y57DRFDWsM9+z1RwC/B70gQvO0cjZuwNNVAE0Z7KkDmFm90PwBtz10l1h/hd+8rMQZ LkSQMIpWc+Ftf8xvCs4z/bDaFtCMeY2/YwRt86pAY+8a5gkTlLL5U4jCXhbYnZg3dkJCq1D4VLBy +kzdbmXHjbr1gF01CxkW9vRwTm2WtC5SCaysasblWFxbGm0pyU067GEuYghQtUn64ah+9640UogA we11HzC89ARlgGLOJJ0fQPdNwffeitU3F7D5Ph/bD+ZdBVhS1DSVQg/SCHi95LQIXKoIC//Q4F1N Ye1xxWY2JZroj83riNr5hDGo0MOafYvgcZfILNQXwGBLy1InkkIkNOGzJHnfI9yZ0LO1VfigN65d QS8T9VmOR0K2Klhw3RbBDPbDrDCPaxn4nSJxfRyw9+f8TsLOzXTL9AX3ReH91FYarSa5fVHg8gRo 39ke7wDfGNus88pQEK3cqVwjFdI0GuVLHxm9TDl66qu3ZsvltuLj0UtLvpcu9QstC2ncRNnnfO60 lh5qFJM9DP23z3dyRyLdlsEmvg7xYTRvFVb4epewwSQPhxzpGBq8G5GeoQnLoWkT/FmTGMucZBoV ZR+bx9NE3JGvi1TmVZBNGHpK5i9QrTJOwJWjpLR+OSIAFNFmFC8TSlnB/ufsfC4ZtgA0i2xursEg lS75XpEhwxBTIgNKzDEYzSF2Nrb+1E0Am716lAcX04NF48iATvlPIW4iptspVlDJwrS/dByI3mBL 108ZhshgUzzZpKy75QvATu6g84omhBB930wDi9YDAS4IIF3nzAWaMT7uvXyMnDr/zXzCnHFzsjzw tP/YmCnOZfB1QkCsPSOQ7tInPrH702ZLTi10x5QR0zF7mDeMgF93d5qu32IAxvFqn22vbnZBXbma +KqVCJXWcnkM2RgQKzE5tYUMdZaHS7J27Rkzznjo12UhkkRPSfm3MOA5DOiVYHQ8hs0e+rS0QeaE Mf3i6f8g8Hj4hKMq/jWQLWLSc1AR7dk2CguT+j+UVIp7EfctAuplbbcJ5bbtTIaHdlQB6b901s2o 9KAww7X2G+SQ6+73iF7G3nVBunr1jFDeIy+ekp/EQ9KXCRId64BgU8HBxosVNhnLtgleohIZPRCo 7vNC9hT04N7iS9j/7HvB1HfQ9xRC1Z9ctoI3NGAvv0Fpt1pV8V/RRKklMosdw0muKDBAoW+dVw9g RvXtaZzxpTwqQ8mAMSjcyrqlUVgN8Es0mjJrxVPDF1zolINhIDYPUNRRuL1+ofTBu3ZUMGBagcRt Li/e4yFEeV6IHwBskSLLipB8fRPReVFjJkbo56DYqgbkANwh7ULWFwmVVfjd3B3qOulxi12p4ULr O72k48jwz9xTFkxfU2JHFlgAyQ/ny+9fZiZmYBNgz3Dd2dPkVJ/2sySwjYJZUuiQ8sOjgMmtM8sD cBZmEGKVUSnIiuHy4fXGGQlxKQwneUk+SYmUZprC/5dX0Q51BAjfJWL5I3t+vLr49F+Cp8zDF2mU 2xLwXr1ZUZKk4uCw88Bw6HzcPFveWS3wUpQbIOittfd/FH1nL4pZBrJRmcE4uajhiQacUnBTRmFe hKC2WjxUR4TIrqJIQXeCbnInq35nyb9Dovt1mlX6eVB27OyYRwEyxqWP3dFJq0e/WWLCuehZHGzc f/VgHMmoxvxpSJPiyo6KhKFtIvGa5oiB9ba+gbKFZd2w/SruRaD3lQxKFk3WWsWxLAyPBfP8gnvp Qr8BpRRa1OFwOHQ7SkUW6EtbMuXCLcw8X0OjgHu4vT0jYXClTZyZfIe2GZQXT+Ek0Knn7R01EveP pidae/bP0Hr/iWeq5uSxoaei7/brEupsYcdeUC6cM8ZOXbBqnTunRaBCy9/3JHVUJjIM/YuVOeVz 4iqpDtDJfccggk+gnvgFifwUmdbN8wfHCP0A3K9PKdUVz8X0j+onPAxG5537VYfoAjOkfLWQsre2 GaZS94evNhIBQ4bcuKGawKjPSSq8CiNVn23nwH7TX+1hfhGA7Wu/H9Bw7SrDfXFQ7X7sPbHOO3Ra WpngPCtbNjuIBNCRo+b/2mcsp7umxcF6PRwI9GOiyHm8WsBJkHOOw1Pk/yhQSUOHm4Yy5hTPKjfk pzd4NYQbOmb70U/ln0cYHdp5XhcJ9NMoGFEFTavuGIhXOXKLJyjpKR0ub+F9gRWfQitdSgzj8QPG IfCAbL4SCiWrYFSz+OLHMTXO7gb/WqWKFp6DeBNPmkyHnHN4EGDmvxR3kly2VBiVLMdB8iSTpaik tD2iiLHxTvvvJhajBBu7BQKrJiemAjovyb4qzbIEzl2ZI9EfIZHWFVpod/J1orS47SejoypVQF3i qnxuC4OwFt3LjXbxHO8zyWm9KCHuA8sJHINtpTGvgrxIRmKjZPCoVhUNlFhhb8vcLntkuKd53lV+ n/J+jGbgt28scYRYmMu2khB+tV2R6XTzLYwMw5q0lUQKbzCCPpoZkWt9wHB/iXAaLp8nOV5/AWfs 4l6ySkdxQC8YZF9eO28KusJFozU8f3sDoAdJrj1jxgzl0VizcGKIiTbfW3H76O+/d10fXT4zBL8F HbXCC8Ugrtm25Gjv5YbBki5TLD1fw7d6Cpxj5c1HTUqtvCsMZVeVKXTM6b6xO7JzXyP/XXAYcgXq ZG2KrRFtSFjZH3hUV8NEgnHegO5heSNQAKV0NNk1K7Zjnlf+P6oJp2cQwwppqxuLcRiDG+7bN4C9 ZK4gG64FwrJ6mIqnIvx1vZdiKs5Gjc5EFxFwoHdBL2XcH/wtfEJmkSJwBrDw9S6AvAkIns0P1MfL iQkJ2JkQvjDX8d0zKlPms8NEWOs8r/71zORkcXdJHBh1qjrGPj1xQHICqaSN/836oWRBuk6rSMZG 0GXAc15dCmMJS6wFuXf+4SVNv1knK3VE3LFHLe4Sp54EtlRaH6IFMPmcvdJNrOFj18mdsatULgu1 4o5WPIX6A6oC9EM5zjGKc3dqrI7DdX/BCOpJiOjglH8Z8bGeieA0uRsrrN5zOJPF0k1TVL3VR0kd Dhrvrd5+TBPaibnqBX+zF5eKe/Rj2KJywnK58HNzmdGFNGQhcx8XS9F1DNtnpgSobRbUQOM3TXro DkpY+peeaxEiBbcA+h5yPLNq+3lwaBpYI1eqnekABY48F6I0nGoTwuwUb/zRirYdfcCXEZGRblWM qj6ncdFHbuQoptnAhqto0HUITSfhb4C9riFF4hs/ELH1lp2NYwThn0q+nBxS0ycTbtplH+WaKwmF TqAaAKlLg1xPqOAFoJ2DwRaaU3OJP4UdYHHlr4l1SSGQo7VleRFyvs1uBG42J2x0pOqn1fau2nhP yxROMLAYxHIvLJ8tB34nlvSRNrN24YG8OyKLAVlzVuvqQWMD6NudIgkLpIqsavjaB/w0KKaS5v/l 1hlyfXhRpIeFXXJQwqIi8xNkG7GcAFPbgp8nhoGNsoAbhlq1SqiXVDsGju5Jvd6lXpEIpuXCroPE TIf3qNLtRxssViDHZUkcUrislrzlMmE/US8YodHDx3Mghlj0Ux3fXjj2x4xDj5wFuxqA8TWA1pa7 dRQhHVj/wCKnFGBOYO0DMvAAm6Yjm6DLdfujk5cOqmv9otxef/cbnm8aSI93sp1QcbPHD08Eva1Y SoW7eXE1tcPsUZFO8i6gvxmeEktPvIrOL/wgCijnZ0dOpHAVMcHhFEudp1hdtJyEN3fcytYYixgV zI21fWrQ7ntDKqQbyMBeg7mfyw/Ufa0Vv3MdYBq617Ps5rbtjQ8e1axvajpw+lT3I5M2BQHVjGNz YMLQuYhnbWIc8QC1nwu1lgAXuILzXNc6N5iPKXxM9/eGd/dvXrolryhbH5MC9WpNX8iUGqy5+AWi deMagdL3inGXT4CDZjVmvJjyk7Tn1pq2UAf4r6xIm3qyNqxfZB7DBVl27ItBc5gAGH1S4b5WcWRn WxJNESe7yu7Lx5nRNqlB3EqoVZCxEb0FxhO/xbWUXLyWXlV/25Fm8phBowdxbxfbA8QeSbdZsFGo MDIs6KJVDOva+yctWo7iZ0/uNW1xIWBP2h5PYgdY6PH5XNzdDSXb877zgpHd+J5S6OQ15S3bjNn3 6bsZftYynhVPdAs0SR3x4FO6QZQy/z9e4d03F5jtyzokiz3mDtc5ye43VuUSAkjvZACVY4Qnh5ZT SrHBfSTHlgynp9BCTpGgn56f1JpUkXxELKzAcMWpIsqIEF/huauDWA7hUvqM9EdhzPUfmlzvbMxg oJmdXMIGzzErOGuubkSF4auDwrTlaxHncIMvBp0rxLjG6A9wVKhulFr75N7G10OYdtP6sz9VzTMB VwCS+3/fQrnMrJ/2ASnJzdFWE7wRdjMtpXG0u556ENntCSEkvtoxKqRi4CfDPkScK0neztW2p0TO W3Yq7OKJZWdNITnyKYVFRupnx5WqBo7YUc3/8ImJZV0RYCxI0c25DOfk2+hj7kKlp+dpjxAX+13N 39M24LCxFV5qzvJX0YZqMP7rw/tvVu/HYkkhx4edidTYwm1G+xDCDggkfoudWs+GUCjFKPpJi4Ca 70vnWWcpNxHq0XKAUHeeMBtizBOMv9xX/9DfDAsFp2eCqY+Q3aK+muwOKnlWPTz8GbnifTNHoByf hKis4T0ZU52IMhK8k9DTtzXzCPIgM0e5GJfVzHjA6FpV/X6Pro0gAv3hZrYG4cmIfZ2fXRA7mqP6 Ig3R90uhmrmjNXbV6DVS4tmfg0ajDM+2fg203e1kb5JOs/41aM3AMvIWLo0ryIe87z1IqGJQOaJN 0JZ5nxzwUGvYdLeBcidY9orc4BA+Apq563hdCMyEmcInPYEN6wz5zqTKhfE7XcUXwyOh/bZuSCRZ EdgtB9KuTrLJPH98xM7pueoFCVM+/VT+UqmyxAwt4kyxJEmk4wnFk9A8/eSWxT/9NlLzTUFy/ze3 rmB8FhHdGBdSvzWgMztmuQb/2QDfmCkVtuQruVEpTeQXNOVuVW08MWz55bryTJWNPVMKJbjrZBAW B0qqpUFc7oOOap9yHC9qaS1vbQVsmse1OoILWMzFyY2qMWZfpUHTBD6kabZ6uhoh5fft8C9vJ0Rs /jGSqF8FHy1mo5CwPbwSGtpuC2PV+EEAorZuzOBGiWC9Mk62kW619cYt3lTQe8fxnSIKKlY21pH0 AqWNmSw3end/U0TI04ufHV8mgp51Om/yYmMjhthAfukxJIgH4XqAX0jmH12hZnLEsa9uBYYaj9hi 4TuBYBDl0Y8i/7gHI0THpo+XoPO1/K+e9b1M0g7NF6vCNPABYXp15IRZBxgdTHavMQSx7y85xdf2 aSw9SfgXYGXmqpMI+24JHskFH36WBMfrh6iwIYrQNTj7oi9arEquu2LA6Vgn2eK9Kmes98nhw3sb +krORJn3If/MprbQb5TeSbzY2TttNPEdAayacBfuRpTMemNsdWhTtLYu8ibvgmOA0jsANk3L7EQp 5ESVAKZjOhqq2vTG3u4gEGBSqDwnsBW7Y9dbhSf5+Q18FzHuy6TZJPHYoenap8DQvTnfXvgATUJm 6MuMzsiK6f5o6WZe0XK5v7qOQxgxfigg4z1jy38FzfxUSP4z2sD9oyVlxYgPCNoZGbNrtRDv4raL JeZLqGplloXqk57lEWLJ0Mcb15ozgVeCStMnXcIvxfccOz0wL6458hJbltni9q5MKuhrObhpah23 x8dsyqgbyZjLV/Khu8pcLnwBSFa7j7psgnQ0TB+l2ZapC9/uTxj/IHr2dEmc2oklLiX6zmNskoY/ 5qMfj1VXY4yD/6nE674ePEJgH/sJ50YDDqCR8OtUMzpqAsmAwqdn9YVFyHADBpojqqvPFpQeBZeU 2cJyXgAAVWKV8kUmgFCmgCtpQMetuKgADxd7HLdaJOtEdVvg71NKF92Ok4UjJPtcOJrAz5Ym8MCh aFwg7z3iD4Wu0mPugKh9lj4g3OQIDofo5O7QhNITuC0n50pKlnl1AudQ+SBJe4tmMojNPyw7NKPf zyOmFWCp85SWc66LO5hICDD373xkEoajhsOjk/wBbFWZDMBDQ7saWxeI7eY6SrlDtPwaqI/GdI5Z cOr0xC0kXWJf9lku3pHUiC4bcgdWVO+khyQq3cgrmtA0+1RxeCihXgrT0g4IafwQaE7RsDHfn/qu TJi7ukpPKhNc1i2rZHbovbKp8phFKeYheOY7cfv1uX80VTDr3eEsaA26d/nZEQfa9FPDL2T5SbaB nDwJjpcHYvPal9yJ/pPvttUFVr5RhXh5VRAaCrkzwqt6JS5X82GMCyfnVUd5gSjvgWMrhAVSnuyS le8UPGMJbXNAYKabUSqCvihDNxPIP4epYIOq9S+R8b7je1OrnF3bz7+hnGyUErrblzjM+GbPWPiu yM5h968nE1A1fDJ7g/VNSN2Mr7a4QdLsfOgbk07lLkWkw37XY/p9BF8hVKfeQa2DXBGpKH5rDy53 aesRznyjQhI78cjzKI1us7q89uzKtum6NvgyBNyiJmRDiEYn/H3zxZbvqqVs9Kgr1OcIdtpznXrg lhXaVGEC4Q/ZGHmmqn2ftCZzzPtj1HIJWJmZaj26GJp4Jxd/OHlyb+Y992goI15jtWJDnPud+f8s 65VjSY7cFF+AJPX2I7VXM2b+goY6gl8p65Zp4/JIsqE+0JgWKK4XRz+XVBvnl2pGt1Gdd4ue1YQc UkbLGkz9pkpc78U574NbQFcMn1VrcrThioim9Q9IhHhdbw0CGp2UL3jlz/DPtXrE0KijlpTXW7PK kwGE60XE8RGIu9hrfiLplQ8tgllQwrh2kO/aMMfcqNYBGzAeH6Ow7c98+pIXwTiSONdlw7M+Pnqi m9V96DN6zscWcRw0spGlBcgKt2oV/yEHsOanK3ziitkibNtBJel4+vEYVQonnRm/s3WUyLhw7Shd C6K78zraStpyn/AQmBE3Rg8EZHH1NUsfrthsaRX9WRInWHooQeLSNIn5555pXPYPF9l6mZO5kH5j F+YaVdD0N16b0DwEB/hXjQ5RIa+MqoSPzANtNifLMvprkg0+V7+SdfsVdaFqDx9vJN1TLlyWFn03 mzqIhuer8lM3HPdrNkSWHucLwI0FWpX9pojGokwc4GfebOC3kUcSbuQXH8AkFdyFw9JrkLHWEbkY vrU54r5APVX+Ofw8cD8mZkpKAcdYoPLqwmG9MmAXhhASSXJ4KaOw73YtnUbuF0ihR6MLYRIVfm/g Q55oh8V/LraeeG8w63km/6xtc8bxGYJs2TFBN1S/hO71OV038KylCRTYYM35nxejGo6HbR4kjCSA VzQyfL2l/ZD5fv1/wl/YlbOV7EjbnNkYO+8c4I7fm1VFXuTFtxHnqSet26pGUNHtkoxvHXlPn8LX 29jNzPYZTB7jYl2tmPSxlldI1jwwIAaCND+/np5MmHcvXYBsOSMF7PInw0PVRzX0emhAsT+YmoBQ PKj0smqPyt8Bm7nWgoFQsM/WFagTF3MIaWKCdIfM+JRiCi8S4s3P1W/q7Ur71wE4HNRnK5QLeaCC rsyhKgm6oZ2LSeKiS7FYf+PMWDNmJzmcdJlDIRGhGNUXqlr4TKWrneD+q4VDPTMm9UgbO/6SV8V4 Txl/UXPNexcuJtaOnd1KQfHhYT77S67JqMmJU2MYTcOhGrf7I9KbNZiNsL0FcF6bC9KDF6Rvun4r Y2aeg4AX2IOUsg8thq5IUIf1efgcpReUhuV23t79sPqsIodTpH51BZsF6oRgjHpSWKqyFg4tPSZU Ing04C0g9sorENDQon3uGDVVsBed+tQF7DShUxHrq/2jtdYcuzIw5aWPB07Wrsq+7SRiT9ehRS+k S6gD9iemcbZUFeWmx8eG3xt3CKnB3TIXwz7VHRl3ryr/A/K4blNhY60WV/zgCMrpp+gIEYKVvIh6 m9vDy+KZxSPh8yziXIfh4mOWJVbOmIhrVPmSzVzAwy7OgjO1RwLekTx+qMT33onWfuG/kKUcJOpe nAPQfpTV3XgIWhl71HV9aOgaS70nkXOiOk7IGr946ZTCMkwyiYIGslaDQ3DXxmka4KySZ7Z+cQym xAIR5wECeDCeacT3bKSxI733VzdgoasUFzXS/PYpQe8s2WgwFIte4MgP6e8Ki1cz2R45dGk8jwKq UYQq9+EYT5pjUXzeE4pzBFJ+YiqjyplspGzDuujiS6zvOC4knwZdd75kW0GOuI7cLwuLFjYn//T5 kal1HjekJawp4Yd5ni17FemE7Y6TIUc96eHUWI0dkGDwIYdXU19RhxnaOv74xyuzPv31kTSA1AJP DGYyEOsofLpqBD10QC76Nnz8501DxT6WXW+pLulKZbS53l4mvu2esH4e4Mq47NmGfo3E8WvMfvOd mLWLKBbl+QKVYAzacpUVjyr/LAY3wQKaYwAUsB3FR4BK+kXZFLtkqI3MF/yNqvLhTmz5EyBuAnAM ngpdIsrkWO6/PJu7KVfSajXampj/qExRps7Ceo2lChHZad6Tf9M/G2zzGAip0t2BDxp4cCodvhce rhzuC2c0CGqOzEkqWrY4xKx5O0OQs/iQTvmUGttRmZM6VLzv+tkPvNczByBdwqQdMI5xnOn6EGHc 0vVMbK/+KBxlDsOGzYXKTSUnhleYST0hErlJEAFnvATGRCleQMqh0icjAOBLazpD8Ezt4AyGaX3o mmCLdyA6NFBJLHSpQ6RGiknJJ14yMfg0oEmx3G3v2QWmnL9evEOoqlEH6J5wzggv/pqApClAOM+V mQCKHrCIbbpEt23XnAnUXEn0YQSCIOd9mNB3P1C4TMn1xk19LL4gxSqa6Gfd3F5mvY8ON9iSI0oj 2AVfsTav7GuAZUD1pYvPMHtEuWc3j7DBHcmokXCS5Drig8ENhMW7XWl/INrh+VP2Z7cccAv4w9UE Vmi1Tnihbp6WNxHwaOdPP9q50edyZGXO9QZn9hDVao7Oq3UV0EN5rjZM1nGa12Z2D9x9vNdNjZJZ 1DBuJ7A16/GUmlTFibTj2JXsc9xaNKPemQBfqdz85WNj6L0uq/3Up+7WIx4JKL1ca14Or/7Q9yfJ bb2aszT3LtNwF8MVpF42Q80nFpE3pJmNSDDQjxWw4VmE6xVecFqhYtYABrimRdUk6kRgdMPQZX8W thldsXE4sLCINeFUjDuKVrVVySHDgcw+L4ygKHzBCYhTzEKfEzWnWdjwtbU6v1ApkpvwhjVAScip 9xbEX+tPK85uczzYxLdT6WEvB9tD5n+xhF4zwXB+jHbOU6wNuwFJgz0Lrjw4VQh6wA8r/e4BRWcY /CokYLmPcSdypR/2bUHOauxrJx+tQ5Acl/ztRQ0E2iPnt1v4yv0wTGmYCQ1ydk3AuuzcCvnypIkf l+i1izqg0azM4XKB/UKMqtYJIziQIszCPxrHB96yOpnfzE0dHclbNmqdI9x/zyd8S5UXn+iY4Hbg PS2+rITp9P6Ncn/1D8zmYO6ydTRKtrkfVLlNbZztLGWqbLXcIhRT3jGTQFLXW66Q5vzAlqn1RRrT VRQNIp2IjcEeJMIvbKLQ9n7Fpx0Ux6X9J/5yBVO/7ZMQ/jFdJvxAQstVVEHGYUWrc+/Dj2i4cYfj 9yDLzrKMmjp1XJLXu/fpsnslaPjOIsLgzM/7MW+Se6b0CeyC/QDMbk4IrAj1vhpNn98UCNtenrvw UBnTYy6x5HQRCMNjvEYgkVvp4MfFjK19fJA3OdaWH41dXjXpr4dvsL1jLRXJD9GSnEJkdKL3HI66 D22O7JGzHNvwcspbLKKLo+pA1KcVP8Z1rrLO+u0r8/SIgubw+ByOUgbyMb4exc1Ey2tnaCXUrE1I v0ZfRSnrzL3kmHignExCVF9Z9Pcv+bG+fTcwIRH/fEwIgj5ec2wIjVr2tobcsplVswj+h0SpaARx C59UFtVLgL7d5NW0R4v6JjoR8KKOxQ4VPxuel8U5vpvgM/PQCzi7/+P7Ci0MiLv4/ZEx5sJgNzII E5smSHvQJShG9B9kpXLo5K0f+taSj+Q8MFbrQ7+U1m2sCQ27WIYXSsg29JIO4urBGEyfTO2TkEyg ADNutlojup9HVjqk8Jv6wpPiRpUJoih5lc0hc9TFGIk+63M8q7IjfuLArFVq+8C2L5JfFnB4GyFq zx1pvY6YclsXCJuX3Yd7KHplR44hIfBeuFl3tFyYn+ugjG0zhp47eR15DCFJD2l85NriBbYXqorj LzPotSJ244ngE9zyE7Z54O6Q5q+y6yHrWnVrd6m0zohu6Hw3DuaLrq/yLKv/r/biduxLxFmbz/bz lWBAbu67THfxj8Wg6UUS1zij9Krin/lrA05s3qz/+PcT+nIpxGlCCyhT5E4X4Au83pMtO0LRD8mk JzGe2HXOjzNAOcBwiLeqtj8uns85AxrMQ2WFr79vKnOQlKwBS04YGhECJvCsmHl5sZOx1hNewzfv c+AbX5xxZvlCV8xm6A/A6cZKIupYtb0OYjpKuO/vYA7hPbfEvjm1cLQmvqPEQAgzGt1uqZk4taZN YsJTw4vc+jYmpLze1xdswdlcf+JVBmcTlqmzr5Qd+QJvkkLnQzgbWHakJdOyh5Dq4ZuatGQSyFX9 doEZ4K3b1zWV2W7ucHJP6ZQ9SsmsD6E0UsJ3Exzf225rWs9KmsoxIuQ/2o8L2gSxYTN1WgmSBIdr RkBvzKr2BerSiw6om5jNhwn2mBKV5+ZMksEI9AxIVzc3Fz6Pt6KEhvgaFdofGQsN/CQTdwtsxVM4 a5jtPwOwbgXFh+I6+4WdJppTeunqtJulYq6R9A6xwQjtiRb6jaqbOm4ERfoRQUai1s3o/f0eRRC0 6Q8fY2eNbxlip+CIcFVEaop105LL42MkDI3cFuzSzxPQvK/NqLW9sjLtVPNd/OtAA+aazoVZ5ry0 3Tea4xtxPcGMt5PKpUfTp/Sy9f2BhOi2t6Gz/8bCPYfB+R/WwEKy+D3cSD7uorMHx7QoYsRKAN/a 4401HuUJH/gkDRKyW2lbVwcIwtavJcByYM6530/hZKkZ0JvJlnwl+ebWuSVmsXDK8OE8mtXjO6dE +TulIHE0GgUP+X1ow4plw/uMs4WPELKzFdhyYxx6iYv1GSOnNkY2iHQt+bh2UC5XqUNuRLIvC42N 8/6bGvFhLGTEjJtUwN1rVore2h43hFvvZWbP4sQ0B0+6G49JOXAfsOHIPBghw4GGLA6Lopsi3eq+ Lz697Yq+8bhcowfYf/Jdbo2t2D6u7wp4UXhympUsc7n6wBcOjjFI+KkRZBhfsqTmZMMiuOjmRgRW f1rDb6SLwgM2Zv5b5reZRk7PO2WACDk4Zy75SS8PlN7TTdwv96ay5Oioq9VWmhVNZUbhy8163ASk E873a5TMLlrcU8qAVl6pkDl4idzJuz6VXf8RmCXeIMv/eZd4JaT16fYOYQEInfvCt4IHQUDBsujq LRO/O+13Xp2t/G24L5rjez/CexXrubaPusNnGOViOwMxYvX8nAZts8DGhTCASWIQivZhxCpYiCQb Y9DbAZZHU6u4a35YsGJdjKtMNxgnn33TSGhtBw2CLGYCLd3Jqb6xv1b+7A4vKv5RygSVl/w1ZEs9 bxIaBjJMRTBqlI7MYoRhLWU6gd/SOsSkMAMuMdLKzTpg7l25ntDykn8pUCrgRk/ddPFWysgXZAIy 6mfThdnTkT5nujszjKRg0CSzqooI60LPIFAA6xBOHNdz+D2Xz3Xh7kmGa4st4w+jZEx74ae1sGEu fLY34wNbrS7rn7/h/oNrLTpJpDbp+SLBIGED6cqhSe1hx/7vGixwOLzowo9Aefx0MUQjbdZDy6el WuqQB/EMG480UDzGKr9PVCIut529sssPWfIBPJIRU+jeyjDoyJ++uUSDmRL7UjwYaKlgjBEoyH0p pJLgNx/h59S8+V5Ny3KDPa+KbITzgyjgufU8mpnzpaZAIYKV4T0fq/5A2BWLtRKm+0ej2V8Ry2Pm NtXn1Y4K4oY9F9sHUIKYOQ63MulXvD2e8siQZEFkal3MfrBBxW17hn5wBVe0FO/BPkWuwuR6zkfx iEC5OUo8EaCDkGTH8nQ6lshNLC9hajRWC9dzqfKdjoYMABr3WpV7AsM542kPbHalYXLLCWIGPzzv 3NN7PD9mCpEtib4nBA38tQdnK8A6Q/tWj3LkuhSa5kkUJQySTJ/mIg8qomw4oAoc1c6u+LFXGiGK PINqEwFb3NGzNOrbLZmP17hYcn1SilQVlJaxdK+DV8BW45c2iUdE3I5+LEtgrVlMTO495Mflhj1D 8bUFmBe+lreQuC21mRJs0sCLoA28XPXEZdlnFK54lwxreXjTadj5Yw1/nW2/AO1pUvMWQ16KVNwB mlMz3mld8aPvuaB3qQ47/mnoZT+2yA4ld9MFTGM6zgX99XSZwSf4jdn8h0MAgxLMmG8V6VvzaAPw BxdZvDamdlaknSHOv10o5BvzF9/R7hkLbRhrSL4Iyy+gfR1IL78bm5O15Ug6pQ5Oz+e8EdxsSss5 baNMPjd734+zgCdzMw0inFSfmBAl0aEsgKnO3kome/7J9eQoVktPuYLAGPaf/c2anWSZJm8MblFq zRHKnPZ3o8H4BN5xvbPEliAj0v0v32VSiD6jMIfeTHzLLLRxl+y3thu8xRTDxPX4v371OFfNIA9w tpqTkGgAYrzvkup8p2NHN/Ho4nmWu8zaytbQeGnuT9K83mzsC6gO6I1SypP3Trgt7r96CWC1LdfG 5zoLm/kx7lSu6J7dGav7hVNxVQ3u5K5Or76IQP6+gyCWN60g2Nh3RKnsV3SExYk+QFKbXSDRzJm0 J0vlTpO6jdXuHZ8mGb8eBFFo/Ci2GHaZSsHc8/3XAonx3SJlK3c1dqWotGgFistkkzdMpcZ6k6hS bcfqkcdMkRKhMwp2gqzipg5SRGwb7NbfGLefyk/y71qJxIiv4xjKB1VZ9UkaURm2g6kCf7JLT3tg G2LfO9WY4cG8hZRign5v0PbHC/EeT5gAZeTTVeif6j/8TGOYV4V46LlNZhUcfYBsYcNL7xJuqQEW 10bZKv8BTPJUpdqePtasG+6xauLPgaOfjxwwBOIhhyW6GfhUgb90cSniBx9tAIS12Lb+6Oegu37u 6BDHaZiMX5MgauNcxE3oFIbo8I6j3q9A57vDvQCRZEyZejStxRpPKTnaSCZjI7JJqSZbZt7RRKsA QJup6fT4pV2xomTU915NMt9Xpp54ZIB8i0HmyKEvBhfKCUB9bdA/ybUqjrqjzFQhiyHG7GRC7w2u kNzNxB8a6JIa0/IFI6oBt0YOrpKCS0P167ecsEonrE1j4sMwFcN0+7tvADSm47dv4a3/5vgUI4EX 3/m5QUelh5EjItMBpwcWFWOSvkaWyGgI/75cw1wLKtlv05DzrXoWu0XpbQvJgtVgjj93gLU/yNr2 yrKJmnwD9bkmt6c+XG9nNUp0eV+o54Rkxir423NY2rwZPew3Pb4a+Gs/3v5ncIz9ubkInkoVFCZm 18Ib+ByIEZ6HIg+sqT4DfK8ffqMDe2YRohLxyJXTdDexwv9efFxTz48L++lBeIGgNNN27RZOBCmo hCrpvQvTfrtFNxtElFtHqtHNqVWYvcaappdrLDSA8lLVn28GFJi5Dy8+JHOqpZLzrxbN17LuzVpT OB18MstFZDDDsW0CjIvbh1zPZd8P+VkV6asUiS6jqXn6ENCqSQ+HmbxM/IsDosh0tZ+QrZLDZFQF Fs2UQQkSgkifbG9wurcMFF7J3Z+9BEBM8gH2+nDCjeC3mMZ0rdf8iapRWijysC/TjBrsR1UpH5iZ Cdd0Zl/wCbyH83JyXRLCTPuu8cSdeM0D9X9Uv54LFUL/48JC+4HXqxfNqQuTwaAFI9l5lYVz9JFL k32ihP6yIC8tkeTEFPymMgrXBNlrGALiuxBcwiRrfPwyw0Jnuk/N8KAbPVo0j4IKmJvmb1idphGs d3VdnwwByYWvN5s990ckElbKabDkC+BRpTjuPZAC2p/gSugNl34eR1eXdUVEAdf+YKbPdIDxAAnd jeHZALXb5obTQ3tc0RqtolCd5MAYKPCjeRCHpLh6dq6V8dbZu9uvJ9NYAyWAjwDBILiPz5yNih+4 lQPSqKF+8Xl/v74hCgrOHsFpHNp4CtPuy9EkKQXhdtqdQ9bQ/JpeJTRKvKKqpYUuRLO73++G050J HYHGJzlGvuwQopz1TkLLr3ePJfcy1Qj9BWPpi6SQzGhI7ZohOWH4/AcvXGLSGCEIrrYeHx0j/uTt HpsrqJ3TasCRVhadQBpScIc0MHbQgkw6/kCMsW3ZLOkgABlumM7TvOD4ZPITlbMaNS+46eSeOQcs 311LiQ9REWw7FUK6ofjn+z9LiVNEeDgRsxTdLyRVuKCzPU8PjjxrC7tdc3y4UNBsva96ouaGbsmh vsBT/uIVqMzWGpNhL6EO+OI+2YwdmjqPdl0/UZa0e1MznpO1H2TKeidP+Azs9BisbjvCN9TprSVk UvH53A8+wwlZDIA0TnvjwuPyF9uTKsOtwqjHpuPpahw3NCzhcMlb1o5nADSlCIK4A/7TWFfwAr3F awcyU1ZdGNLBXbFvbyw0yXv06NUsFWr0tYnG0PGPj9RJcsmO8Fd2KhEVhUNhar5ZRvyngbwKbnU8 lpprxtrb7m+wc/UFKC20QqtJOEs5XPZHQEXV77+7pQ+eGQL5UINSD3N7Sk9KxP3chRv+h1S3HSD5 e6BD1uhJ1GZMKCfeIuxbxdK2eWOA5qsPjkCSjrtpryuHKqotvQJtiC9SY2bP8ebpiuv+R4+4p42t o/1LzSefRcoZ3y9GcSJ4uDjU64pC5intN/LJkfAXGell9/vX1mNjJYvXoKUAF7READwPuFA4LJsn gibIYQ5n9j0kwdkgvW8eCA8DNXCiJLtSwOrzpNoNsYukxi68YyGYQUMouXkNes3rVuPDQ7cwtuP7 hZoaBS4NIAYNgCN2chVY1Sk11Xrxb7Uy3hztGHkiAJ3cA7IWHJc1OXFDtzar/zW9fUIvBOAgk2Ej LgLdSYL7PDbTJWUtFwV9OrzILsvsptNtu/Qo2cW9puOEfrD8yeBAkaU/1vHyrxop3DPt+tfoJ0K7 7wAzwKWh2AgPGXkGs2kuDanDxYU7phpRf6QdMLYOICxP+EFUVFpRiT1TOoCPhiWUgJn30MQXHeMu 8Sfh9yzMvQGw6DjDK/FyPHvNKGhIwwCFft+uhseMAwoxK3eILyZd53EFB2i698GNXAMfISyEydlB NBFFOhSLsWqX7nzaj5fvI7hVIlspubowOldBfd3IcfeK7z8zdela+vEsKKGwZAxm6qWEb4b8RE3g Axn6wingXu30F8ZHYlcO/c96pjDR+A+dL8hBBUmHViq+MVUJNV+ypZgQn4jqKfcRflycVmFTyXix 9cu++wJCBFQs4EcOoXIic21s02D3Fb2MWBtN04OsBTkwlu+4XVPY5OL7xpTFZ9R4FzZCsc8r6RTF 81Lgvk16QOmuy6VHuDJXrvtyr+wLDLJbTCP5LBLZkuvXxs+A/LwlffTO54Jk5Tax0ca/qN+elW60 jOaXdVifKMitym1Wdrixz3uMjzlAZzuRZK/sk+RWqtqKqIN1ll1Nz80GXeBLDZD4eORj0yCZuTYX Wq3AdyMlsPeuGwhh6IDxDtV/Q5lrzmqwiGESZhmPyz+/ODKJohWueQWdwGdK56n4DP49zaK/eGUP G8xnKgMNpEQZCw+LXdAROYgvR4JPJs6KxxacqOzXUUZJ/uUlof5OaRXisswnjCjXIFsZv2XzMLde U3tb7jO6zbk92/ZiSo8MjXOYQTqA6IHIpcx05Q3uGPjEfU98/OED5sYVNwSXw//763sgWeAC3vno S0+ytuzXLuItI9Hqisk7AO4mTM9wHycYB9oy5jwOg2fRWiFBy4+k53mV9oWspHbgDhhduYYJwFFH PhafzPw3ZPXreeZjV+5YMhN8Rd1TxNOkZ1r+kE8t1RSvr6/xwtwm1rM/2RPQKw2Km/FlC4WfdNIr dG6GBmvtd/eTe1HgyApSo7nsCNtORvviJEoGmIFIdUeARhC2nJJYn9Yi0lVZAyy8XN+6HLjkY8hW 0Wsx5sUCzkOAhIEGO+Qg6vWGMBZLkSnzEpBIWMlESwW6qXC9TjyJdHXWW7Z2N4jeUtUXBiAsNf0c VUBsxVNePdkMa2RIYlauySz5CKbbJ0C950CJeEzfbxXt6fDfoQx6mLXafBCNogUh8rcPsaRjaRQC JM11RNVyAaoWRd4xZ74SHJZa2QeP8MeJqBEaphC+3oSfmB+YJNkgminnu0FoLyik2qRpy2yVUBTc Re9qr03gg3OTgpy01d2rIm3ata3X34ULqEraVfGvOCUyXXFXCtP6rDBLaAY61Ia27fbEtK3h0jFJ zk5x1SXLuoXX13fuGCRYzGUo5yR+HghetFBm+IgFM8Q5pxsqVq7aSBE7lwgqmfNlod0/JicFXhwj V7VKRUGZF6YhhMz9MUWlV+xiOv1RPJyHpxE7KfSQl3bvATwq7mTR4ezcfAYETR9Uk9Xwp7kxT17v KxQ05yGf+LrdonejdnD1X1NuVfYz3WksXdwF/VFrengazXeTyFeueApR8viG4wPD4OkmZjgoT68u wZ7Jn28rEszqk3A9xXb+SqSZt1tjoZOdnA7Y+s+jiWh0r8eD2V9ZfprC7Sunae3gd2MWjb+JKheD GBkkCNIIfTfqP1tNfzoqDdSWqjPAc8a1TpODCBD9uXB9EcuyOKhNJyRZvu8vTnLkhFYB4Qm3lNJ5 y/KPbrCkBLw2ZVw79VCXofINBkq0mm2h32wTMWOt0qExwJgT2/uU1nr711mwHK+4SgeMbZvaNHBD 6Oejz4zp+tqqTw1dbMvXu2B7R8xvg2tFjXW+COd1SB0JiMBOyfw84zF8nQQk5ZHiTESl0//A9j+z B7ceNqrlE7grRFDXLs51hYWmb6Wn5sx5+qhTCL2Y47frw6ISSsRxbpBCfkd5lRPKQcEY8b5BNM36 w1O2Mz47NFStRY3harLUwIGSqtC4dwbn0qT1E2JJkGtjD/dBplySMU0hj/GniQt+Br2qDddRmjOA WH1tamELjr5MRS5GseFjrNrYQepLo8Qu1AeVeKb6kEQUnlykuonkrowws9Vy6rWpeDUFI4ie9BJm INyfBw3Cic2Sesee4mYdZdfntGTrl5cE/8wIjsHunv6aYp0jx6lXo7NKsZocSrUZXFGFjAK8gxli iQxwLDbvxhe5Bo/ZZsQXAEsYAN0kFOQAyNHhVz2uCw4IaGZI65cB+VtRFjK7m3p2GUv9vi6pq3hC VQ2vvJgy/lPLKLCaBMtF88h7gHFNeqqbuJAf6/cIoD6Qa/wthdaaofwVYpiSdY/CD6dGiVGTEPNS qK1Iufaj6SELvCKWUnFV9MwYouxeN2IhrwUqZPePmSan3az2Ir70NpV6lqddwl1gvIIIxAqyl4Gd qpuzWEzjmw57wPPo8/VPQvxoyszPcQOKxdGWXBN7V63trD5dfCF2NcRJ0HIzwSNBFFgTSSCmEqlg uycPvu6kP3KPfGycLOXS5XGjUjwNQ7VQThlocgTJxFVn0BlL6fOyLRYxb0MNFowGse2BMqre12h9 9bmHacwCPSYMk76BkxjgnX1rCd/vLxI+rIZ9nYd4dPv7mb8Z6wNCnk60vR+N0HBwsMrtPDFxVVUz khia0KVYJ9aMT1sVl5YD2wsiFoPKPLBZsBcZ1gv6/EQrTzPFA2sOqjbiACtVAoii0QeX7qqHwu3m kh/bFW10Sb/MaXRNq8puLkjeD6tA/IL7UW3pd2GuzRJe8xK+oTY0fbIeUQsnMQUYZYAGWnkOn6rV Q3KzFlH3Ks0aobvP3khdUMtiVkbCHWRt7KIvbRq5678Fz0A1TjFOc0fTwtxaYKUWR2O1gdaxWoVf DxYIAa1xVfKWSIT9z9+dOyq6z6Ad0q5DXxiVdpdn+j0UxkRrrXp33A4xijQ2mxn4QvfheXKp59x1 BfQgz4hpfwmCuwrhJTD5si+MnnNG6Ypw1CXtTtixW5hobAGQjgPahZZrdIYEZ1tM9UN/toj9D6/n 25oTx3YxJen850uyw1S+6req2tl+V/AMXhGUsi5k2XeUb0GFue2svx2e1Y0y+DNujICdVIXCK2iP E3caFMtXXlWjAb6Cn2/jaVNg5jzQEzd/BKIAi5360EgIz5EYs2Ux5Eaj0/wIompNm+I4rDbhD4zB nnHogiew6PNnmb4na4zaPsyLQ1qyTZFBqLG7p6LhT69G8++9iNDqL68PG7dO1Y+6D+YyoMlCZNXy L0pRx1LP7T6ecJephJr2rTKGs+7Co1IazGgT3nKdt5XMO7bMU8+JQjKb5pw7kJ3Y6tO/HVWazZ93 KGIJhortQMgKc9DbyW3zEkqtI/urr7n1GBnjA/n6LIVUkM59TeG//u6XJ9bkrJNpfHVAS+PXCxbD 7oUukJ5iX1Y25NIQGWxRKZVJb362+hkUY4UbMoOuaApOF8W114L5G96k28QwIJdyvqjqnl4XhH3R pH1deJRi8FfWidzIRFJAxjuG2xVpVY8z241sG8bjSg5lnR1Zys+Rbn6IAp9gs8N0ZiyxaJPA3nsM jDohk0VhSq6z4BjqdXb64965n8j3Uk3FWgifoQbs+c4LO25m190JZ++vdI/tcthw9skwLvLRhxh0 wE60dgKtu5OJtVxT0ghn9s9y8daL7s7Sdss5I5Zplza3Nbq2SyA79bn9kZvbQ1//rF147vZ6xHfr FDev4qFmxDRPh0SxSfWtXc93fgMzKVWrqscndxlJnyBWrv9uk9jnLOP2NmEUCi+L0uFkQ/vop8OT j1P34zkyqwOK3fVNaj7XNdqhDd4sO7Kf93hxaVnS3DLDJJZG5Svcmo92WQiNZOdp6BIwJ2+ShBG8 4c6ZUU9kt9BU4Tac3Rmh3N58SCJwphOUL98sy+2WHrDDA4W8C8IV8wYyVtb6JL8eOgnkCCLapAcb vlA8zH/uzU9qJZf2tqvJvl/6Ew2YTEBIz8tz+Q5YR6GWpAfHj711E0NHmBh5iWXdZE+cJxeqj/sH aOom/7C4cof7ZS3gcz4dl9whci9gagcGdCBOp0tkomK7Rt6VIq6AwHrcC+tJwTzQZzzdZoduxUyl QWYbHMmx/O9u1FKxcEF+RJnseT8E+PhhSLkr5cSNdi94L0b0+AeoUWbmZnC57qSunWjwO42ASzW/ V0dhSsmBkY7f+ERhnvMVms/ajA/+ZmNRnK/apdcWIdf/A6p5iShRZx2rftwKD6r2/mByRtCgpQAW 5w8yKrwFIltzfnd8FEKsq0KEzjWMhKvXZkbn/FPs+I9OUDhLvHqVAvip9SdTqrhuxPcH8YId9Ezj TpvM/0fH6hRz/rlJmrj4vfF6zvkNiUo1YRFOIjogFRc+vz2hZMxuv3Q4Qs1TAwd1WTcsSFZzitHS t7q+8UW2MSv8avQxOlUqQHkxKfmmJgyit+G0XGf3eK5lKhMyuxHeCTFFX1F1xU37sT31Ah5uM6Oi wqanUBWNOnXL5Q3w1jN6hWe3UljVATnSgT3TvDYu0kVpSSnnVU6ql854pb1HmciwrM0A9knx4i4t Izkgyj9O8Xhnx+4p/KtZr2zqSw1AIdB2/CCWSMPJAgJ709fhM1inrj+yXkt4qOQKlr/J5q137qTe wTConVZdE22aDwh8Ze+IsGzcMqola3C64itfcFC8PJtnei618MPhB9/u8X3REsdHfj2hLrWIKAw7 1kVzNvohcFToiRIRSHmnbpGChLteKrY7NkgFqG3uLj87ycx5luEW5AsbOlLlm2dXvYZV6N79Mi0R kEUBs7bnjpGEDqn1tml4wFM2VJWcai/jvjpS0a+FoYvrGkNO42XBa3Pqw9Lv5CVAsD8ry/5G+OQG g2DFgSdKaN70+qrO0ebwq13WGent6sRNnGuFV1hFX4SLtmDZG1uR33d6jcnNfoDpDB++9v6BPVKG 793iCNzGg6mfOCRYjKoS6NQKMuCgpKZe9mdpCh7DhpU4rX5ElO5N1sIr7z7qRZBwVeEo+rv1XiGu 3mA6tOwWUetH+M41Mcj8bur3NtEcTAGdMqpIGUK6p39E6uJIdilNat0E2y7u+abm8Pr+doTukqE4 PJ1XOgUZlWbxPtVYp2aWy0mUIwoPdSQxpZuNnOvwMsRqLiV8CYKgYHp8S0ArPWKkMojZyaRyymmO ljMUdzuJvtsag5fPIn8hxjLUNjmE1p2szFpThoq1XAIcNQYOU1bOrMygvQI47sohnJzZZDbmwkv3 lBjbbAmq5NpwjiEHwbbRPwR2UGubMct7b6vmHB9/3W52WryoOfzGUQ+bU87vYo2JgOJq6HnADKz7 v+JF57r3gwkbPexy4iO2YrfZVPh0/vVH7Zhn1pHjRVlE26Z6rBAlrVG3sefH1HTilWNV99334VlC fDSkXTwvMDaOcinhLLxlwtygawi8xtYjJPKUuoThk+CGgX3pxEhx/dmsFvs2Y5deLy84Xp9SiJVN wvDuNJzNab0mR6eC41emJF7WDD0qwHf5XjlIDXgyCoW+DYE17MpZEdHjp13rnBtbPCH52lKLMpeg j/gclq+26uCKM1510vBY/maCWwZftThwPnZ05Ah7e4M2pkyU620Gl9Tg1QSDP9ueFvqakFh0Ve6b ymQtLNX011r/JZxPKx9N9vfdOLIJlmweRDH1qN0TC2LciS23QPs8PYhLJZKuafXiwk24HTBZaDkp 1iD+nXzZtyZEPtcTAqRmHttAJoRG8BuOMD7hZVjI2bAhmeAROZYdjME1KZdTSx3xvl8ab0PsewuA XouDpaaRjuiSmbPtEocIlz3ZCmIU0IIXIK0K7GsSY0Zf/+DftTK3KegiPK/KdynTnewFNbLslMmT mZWdZqwaDLTqDfhfjElT9fQgx1Cn+/GMLHO6eSkhdrzhBRj5Q4kkihO/1NgLe4aZx0inf2/wvRNE xIh64id/X2Eez+luBl0IFNMQmFj878HTo0nO8bKUgsUX717aCKKkayvvF+kNOb0GL85oGyWFV9pf ZaXDGgrwcohOgJpuDFB1bv5+hAXZHgYTTb6hmQ7vJrfcx7uhObaLX4khG+QY7sj7h58+3M1+ZuFZ p5/zMbNovaI8yvPD4HA81mFv8//qvkeSn1CXjxLeUCyl8Qc6XwfH2Pvwv1EFU0AyaOGnyNMKn3cX T1GarbnLe3thuSHvIkdQCTi1QXsRL4+i6IH2yGiV/lTMtCtZaM56u2vKXoBkPz7p2jmBezK9+LJ1 JBNZzPC4qhpPeIV9eA4AwnFfDAmVf4cUnO1P9qhd6OHJhB8hFWxuDViJM1QdL4lXZmsNGYD+RrFu 0axQcN0pEK55RoAz16PCBPtEz76kF3FIVKm39oo1dBJDI87S387R7BxooCqbkZ157n8yXvBrRN77 8CEuqkPaGokIUhcq47VdKC2SarRuF+gUWR1AspDFXutzaFd9tB7hI8o1MwbJjlPzuiDYYKci7/Rg QW504YRKRJmBN483LRejbGqDt3Ff7WzYmCfH7vKIwoVIFPZMKe9at7rQ66rjcbuZkUX72X91syF3 DfPWDAA5gNMK0TSexdD9SCEThIIzdw6/xC+ScTICVQi82gJI2zz0meaknE5ciTuEqqlKwdP0eRNu 0nYgNDmNwTjyTQg1EubhviHBl5ObVcvgd9sM/gxXzsRij0ZM2sWaEJ060eBNZzfc60+A45DYVm17 vsjjHNRmeIKIdu7t3W8qI3AxTaSOnDa3RDfI3dsqFb71pR+5kb0mYPNX4L6+5qlBw3RKu1CDnIK5 TnYTkOUh5dqOVrChipnvQ6lfdDq+MAUnIRof82xkb35PXlGNyb9h8uodZXoaZ7sPPRBApJDM/FE1 YPb0O1eAHkyQKyozpkY8TgQ+fOLKUs1kvYeFfRT/E4yec06Dko5cfDNPAq27vzBZBhvz1y9hgOx2 CHmYsJZEx21HZ59oGb9qZcGvUh8tN9d6qSeADvJTkbpOYZUNc/Qa74gj4jEfJWCLYxoNlt1T2Fis bjL/85sueLZnxOW/XEFWtXX3m4RZU5XLR1JtxOCw7pO1l5LElAdRgZrJz5qLDNie5VidaPL1321M /tf5rquVJOXPfnzlna07tjMW2/0Fl7wuHKyjBN9iE0RGKpaFCuUdavupRzIpJGKem7Mxum8iBGrU iKH3yPqjVBuAvPswOFZrw8bNe+MPGNwT7r56LerOAZjoqURhEYyKm8jMyBzmtc/gHeOoDOEhwidF QZIIdD7BYDnEcKxzTo5cQcFKNMuNWnkGM9WCHzTkiNWdcZuXKfUlR4qWOMPFAgMk0Pg5OnGAoKm/ GfUarqxWOBBmq+7a3k6mBcjCy6NATIyFHNf9EufWdEG/MrLJ29CNsQuG2QYVh0LOSoArAUincyB2 MkzruJ57YS7ad6msKEfUmKV7Fm+4XsVlT3RAD37fFB80JMya5CzbaA3nI2+9tj7jzzbPns5bmiB7 anHfx4gxyRG1jQHYrdKPMk0hcxoXqRRWvzCqeIbCQhNDhLR6YEON17VDfRFg+fOEfOcVZgNPn3vs oUPwSVA4dEeQOYXl7B9CPFBUTd5944N4kJycA/37soNFC2dutmTWX1eu4ajLhvN/X29cxbq/kaix CObZnfahMGuLee9orgJ5lExlTSh1upfp4yG58cjEWHKDDkFG1Fl9pauavzrBlfKNcZp/hR0lCZZD Q8i0zjkxtUMMrAg4OI6GgTjOp1PoLtEke/AyJKu+7VVik9bXt4rrz1PTv3CujnIDiGQIoZPRpjvl F9Ts7cRjnU5XQAnPhVy9webaYAiPQY0JB8tWJU39q6z7PICQmiIuHMCWZgpxfWMTVKLOZMQh36AV sfNgSzspI1PIEDu9gdIuuy5lnCyQBmmfjWIBgCGUfGMHBVnsB/gH+QLnhBYW+a+DdyJoO6cYEUY3 LbN3JzKl7qkl617NP/WOBwUS3VaNaTNyCMZFy1IaK8u9w8LxCbRRvB66deCZUQbYZNmQjsjbR43G hDYDvTM5PWKBuduDEEUU065aC31TrM41ZWT3QMEZ8QScZKMR5oG2C13AQfFfqfOS3QG0NmJpRtpc bRdR9I98pp9Q1lEvecHIdzrmGxeAAaFL22cA/yRchxbDs7eGRfqgeKup2WBKBrDmW9CzU9GZ9Aik xMHr+TBkHOkmk8DINni1NH1S5MHfcIC2e5grF1TQ1oMbVEvMylsLXhj/d0PBNA5PCpbYB3uFNT31 E6n0WmLCquuWmQgLJMIkqL47pf+Rv5oPDaqdbr8J8zsFwnViEnLHMF5e5SdkmNE963wSbLEchaAl nj/F9SENhczFC4WsX0tHIq81OfEsUtFk9Z8zR+0qwCgTCqVRab3/p7Zb4blElpYKDefnMf022W+1 jERfPLkVw8eoiC8CKjvUjI6Bgn3lr5fVnMkLDmwsYhmiSDFz58KXUMF/iTxrRW8OLGfiDLLo5WXT FR2M9dAA2UkNC4A3oyyz1IjVoLYhWJClf3bL50QhjbQgh5U7BabhO1jCZeAB6r8yX3b6mStxAIo4 Lh1q8eZqxdgZH2nSUmXtfjzMp64JJXo4ZWLaYWk+ACK+fLZNDpGaJ7yftoJvB3PF2ihi3cVCIQ/l /VTPCvsqwaT1QOm9bUORpR+JJ25zD2rwZk3ubri75Bwe14TYDdvaMQuGHCDikVEXwu5ViqECcXot EkMYECuDCDq6qpdjHw8K9kmNrutmnO3XXwI1T7Qtg3g+CO9P96BdQU2bWtFeZxA+QjR/QRkw9xcm NZzlV6PHComocboA3DMMfl6umiCEyk76eQcaJPv8ETE7Qo9G0swt6OM4Sv34xWS+1lvPNaQ13Mh8 yi0X14oUNNi/SWbPUzw6jexTbmkqXSuEzxArkBezLevcdDyQPoXvO6DEQR5UxXsWp7OUkSEzJwcC 6USkSbPuMye9kq9G/d/68PIZzsBSny1UDpGjwAhNOvXmHX/+fCSTnxgmzw271IHhmaOkmLiyav7w Vr9kHOGcc212S32PhaZusxloEl1IOXNqzq1YpQ1Kc39z5NIUFW2xPv08qv/368HDhr+CuB1HhAA+ VA46vhatMlWLE8UN2NblLHBJynxjn7FWCfQ853RD70RG1JdSAQVhB/d5zz9iihfA2ig22ZrvEi37 AhCVkGmQu1pQPe/DmabGy6CZeb8vCtrnfV4xs+GkXSsQkbdDBMZdoPtdfoeGH6kKnnCb/4u4nFO3 qyi4EXPYD8V0ZmF9T9TZN+tqrzug8vDPLKQm8r0JABXDF0ZK8I3/GDyfyO7O2DGaQxEog14FNO7q qTAiw8w9xtC5sP3eT++EAkQbrGoDx6WhhzsRHlHAIjo49JaffATRbcg5Qz1ekQPYrTbmZWAQ4xBA B2jGM9rku4qtG6TQ8QTEKUA5rw6lRwhJgEOd84BZk3ClrFck/6NakxwQO5LWml6r9gyFojqnQeWR wlIeF3eOQ0Zu2hAGVYcNVGwD6u7aZ50neavuHm8au0G942z46bz2Qkm4dd8LWGIxIC60QVgoG2FL tXOw3zSse3a0PIqCGwCwhg+76mE+gXU64eVqitL9ylXCrobmKHGwh+WmRvT52BesYD+TJ+0CsmDw fBkXtkw7FQ6f5/cOlfI1TAXtngBvDMqdw3YO/OjtC62PEkpFycCNGxWEMn45454zL/abbW1KD06G CUduoY34pwIZVP9mn5TT7Sh0suoQLw8Ya9jPXwdwSHgTZEqK+1wc0Mw9jCwemHbnmOL2YqGzrEaG QQmR7QF4YZwYk/BRVhehqTDyrE64xAdmxOECz1y4bcueuYKFhUzDN3Vu7QMQM3PobC00FO5hOUAh d7+HXS64a+7B8Fe0YTjkyHpxoVIgT6tN4zsZ/doF2vugKnTBs7Qsoe4vrB89MV8uwEYt4a01rOzi wrbomHUoaXzGwmQwI6r4aJLMVo6717GK6V+FyYewMvEmn/8tp637nJoBuFFKqR4RP4K4Rk5GHzVC 15Ei1YZTEC77L7JAh+5DvQdG8gsvOAE6wWBotX/zV3bomR4t4OmWmCcFiIa20QeovUcrTiZrOfzV 3iqWsyoYbC6R4oLDeOn01F4/CAYCw91xfVb7nPuNEVaZXFZdPXIZE+ERN79+Q30/Bz80rDcFvTfT HGSUXoxl/ISMjGOHNmAtgez4SQy7poqhKEg9LYgrqaXfYe9mriquSnWxc6+0VnEcaiVKKUdxPEeo gYR6dvqZzAoXrBKL3FohEn3VIcYErXpcHvfzRkzwvQFsgObiC/H4dJYbCscjhG1jfOWG8A9e1qnY 7fi2dddxrtckYBrzdmq9imzaiXM++s9fa4FxABMHSChwCwJnqoi0WF5UMg4fd6aOJnSuToWrk71g R9RoWrKTOxRMR/9VMAvat/3q8aw2FUYdBBu+kzE4ApBumn6urzhA4+dm2++2JVs69AleQJAIkJj/ IYcon1HsRlr0nj++J38cR3eMKdTJIirizIBLUK3UZVx04PXTb7/QtG23NCIK1h6UXIGuXvpz1zCJ o+P8mIq7B+3C7Hg9ZjWGQxC6NQP80E7MAsDrFlABoMLIm8PkXLjLqKRSEjHz+B7J0LKgppZ3qGy4 uwcgmYjHFusrYfu3SYztwU+VZAyDYK9eBghyiZIUwz2J1rwbbDrsNReGAVm84egdHj28fD2uUipW wmnngjSCTfdb2E8ihAC1cxPw624PQEXEhoAYaixrnbXo9YOFDDIQDwhH0f43StMNXOQjfU2P+6ON XMXER2FVOnDyb7PtVdt1kksLJtQBV2G0y3BaLpOj05rojS78Wi1Bno7eBWg1tzYgettfW99tvfR7 J1gfMiXNJwEYhFMu5JBgwQjv7pu1pUheLr1X/OEMO1jhYd3/jFv7EfuXDOZyu4DWt6bPthA04ms7 4KbsZBY2H5mp6GR86Ya0wB91c+LZ6CBa8ZW5v7kwIrGVLU1w1acoHGHdVONgktCQkpgKduiiSEba xdBWjX2cPrXOAq5AhTLSFVIppZnxk8/do6/gYrmFETXimOIWUQALPz4pThUFxiG4+0d23/Z3Bi06 soWS/CDSvvR2oLE1hTpGfHGHWM8rifEqWJ53p4r7RdzWnsFStNZNI6XXi8O8hTF9l9M73eMNaF7k zjlIKME8E1UsiHgtu1jlmM7+2jqvGa2WUxTIFRS9S7pgmKBi3kWS/4UJ1TttAk2yoCLVwl3leMav lWDVfN9FP6xHRkCVlVmNy1278QknDKNbyAk4ohflurh+qf1jaUlhvmSCIDb2bcxb3UNM7HX5R5Im QudFVmVUk9wNVaOIEQYo1Mi2MxRic5nLTdSgUxJWRZ6WlgvinRhdFNOQC393i4lgLvMJpz1GqO3I vtBazwCkcXfGGnuhvUkhIYbAtUg1gOt+o3l41KWWOeBr1d7gCqjGtVBNj8oGG/vABFB4/Rk3lYW2 RxymNpBM5lnokBs9mO/zGYCEKLOoMmcaRzr8YiTQl4sKR674GuLr/P0PXmFBLVBAz+04xf90GPGa yDWDq9jQZH+12YfOIE3k+3bWj7F/D6vWHkj/FRAH5DIiUcK9hbhy8n4J6dAFO3/42p6053uEp3S1 a4qPV+lj35Uh7WrmB699FcHPiTCeD6xDQYqPtA3BHzpAd5BINVPV3KkGhrHgSiysplh9ZQqVwJ71 wk0Rsu9GBqg/wcvJbFNlqdSyLdpuTNht2yrR/AU9GRJu2gp/z2yxVZQqH5jjM1tF0P5aErqpON+i BDTpKZqdaoXFOwEfeEc8wHyL39dKYOe/sR9LzuTQbeIbyJXCjOWueFhMRVV/P63WHoCisSmcpFmo ZsVLaLZFubpSSyxtsmO+xbyRsQRDCl9OJgRWzRYvAJsGzNcm9qsWQWdoSZzI/cNAGI3OErEQgtkt hJFG1y+9hpFGAqZovWKSWMLRetTm/qx/A1YOyPw0zQWH4cTiMnww8fgJpu4Lu9uS77vsMghlSCue 3vQRH5X73QEs1hvuHlLuI90CUhtK9wNxuRXYj2ETdaRJfq9rbJoK/WCmSntsMeswG/zAPvc36kXN ytoF8EHvcYIDc2invgj/RKTcWj3D0U3gcNDo6fb19PrtmQYs2LOulZ5t69kGeIU+NMP69Itw4nZk GLsPF9o/LVYXLNk6M1fQyYhGwaCjo4soDTYIb5iQ6mtwH9ZyKi50hhbsJlvLQcnWjpBqNIswJUwv xRqv/d+MpC27Pm7biV/3UALpFK6ZoHwedzTIBOtCr/xqcnYNLwysPIIuhELUK9CdxVyETFubCGNK W0hqAkVATCzqk5YlSo3oxtNSZ1U2zy/0PHEfch7bEXsoWQMzMH0yiXL057aFbAhY84OPQb/WVRBe rY3I8ZzWN4Bt6xicaP2nfHkoEd7D5kJVa1eVANNiL4SP8b3SwtWBC8vqwfnW1FF5lfwX8TNw2kKY 4+DzplSbRezgC8ljfAv3S5HYHB39rTa8J2t/kCNFBr7++1UH3wT61IZgeLip9JtJtApw4vXmqpMI ViCAl4nVjj80sAZ9pBxocCY/fZbKlEuXUrGIhAl89/pozTvKe6TaZ6tpxvjtLQ2xICCrJVMQzUBV UVaKYXcy6tjnua1rF5E9ecmcRLrHnrLS6ujcIyE81GG7Uwh7/ODaGpttzb+y0rRmz0Ajhg2WzWiW 5F2Y55w9nQCIfNFyk9/7TPxQHS8y+fEZvejWNMY4k0anM8mQ5kMFwOXeWgBlVBn6H87GoRnoZgom TNGSX9ARVm91qDb7/88q5j0l7XUxRZn3TQ1ccUzzYWXwD5jNVNcordjiiGVz0JHwZyjEcAaJE4gS dAjN0Ph7AHY2Y3tpJj6tA9112L7a2gAMzhdIOsnrJZhvtirn7n+35ICok+gkQS/faxBHZSr6+oJ0 z4Kpq6qSCsPFkZIWH16AA08d+AxA6KqEe7PoIQ4GAN0qBWS5EyhOFyCG9rgywhJzns0urlh+CsfU 1Ng3OxBcd1CJQVa+dFjdSAxLGzqZ/adXorjkhjnBfnk2xT7GnvGmYCYWHGbym/S228vkrdh0xWC3 /xKP+dmcbJys+sY6akgjtvmUzdsdEGXsPpllIeLfs3dw0DsJoyaEIfSUAdWIdxS5ZF2a44tlu0Ap zCq+j1JEDC8aPdLmeNxPwgU6YcUAKGZRCWi26WX2JFaLHnoblq2FThuGmAwffvEgyrskkpG3WnlF mqOBEJ3cet1zxu/4HUSUaHAdLIXiMlsrLTSDfrLuwD/bjLT9h9zIw84NI3l6BBW6vZ19XtKyW3ea 02mZ1HIL4/dOo8PfKdXZ/KPaVkqWWn13gvZVS/hZUl0PXQN4RETSbS1f0pAmurtdUsxUii+03/n4 wc10GOk2RdZatLgHIPg0n7ZvQ6j2sCSwu2mE3Rusv8urDfmvyLp6Q3LFHoBvwXp3hjmqhagufNHl hPPynBvyWAjLfRzs3ReIilG/+9KdgB/OnfHWoQlU2/fvWJq0noWL0KauCt85HGjRWEBBeWqEb05N c8D6twXcPZ79otjMKwaV/kZ6hz8aO3YDBKJn8NAsG1ZBKc7r94N5OA+xVCzyS2E5mMoO2xBC49zQ V1UyjWJXOjxE5k3CBFopJXmKGQHuPdDCtHk0zgm+a11l0IkjpeSbTbI9V8kAjcxw3P+JAiAR1rvN CT5PRFK0iHrou1eKfzXrWLMblrP2IhQ6l6iO1CIhHkJKnroOd1PxsnGZ8rcwZWGMm9Wy2qzsbhR5 8ZFNB5jfbkwaRPHU0xTa4Qht3qOLTmYo9iiv4rWL5yRt6ZUsmhnpL+c5bQGXe29NWkDRS//qZpu7 XCtv8TTMewh37D4MNp9vdMMq7mBTjVbXOI2ifSe2FmIRGPaLl7o5lIn8Kx8qI812vUgFUm5CXftN V9ROZVeud+Qw7z/OsrklLTdW11BZks7V/PKLEpUQsvohniw5O3vhnVyrcWYdra1i0p5KQWgBSAzA 4Pb3lZfVusgxzEhEdtXEoBiGHiCsjIDOp+NHGwHP7IUMmqhC5X/u+Av504n67I4KwZJlKns2ylZH FORSljMpQqACTwczg1opGg7Jd6fGGuUM/9VSW2T/48sFXcx6Tt94fDPtSVR7XMfq9BkRbJiGczyM pUVHcnWYhFfLO2CYOQ+oWqw7XElwamHkTv4bkZ/biG2RzLId3eQi8unFfLj9KSzvv2vRHbR7MG/s OygK3YCRxKI+BOUviJo7Z3w1Kc80iXU/47RlAPuYTJojQNyhwIxwqZ3vX3RK5IsaL6DMVFrRKQ0z gqnIwnp7Sqrk3aP6wtUuwxqU0bBaZYWx9xC2vLVQirXbtxG2vZ+a0mbDatMW5MvdIVAtjpd34WIf G33hIQzrzdRj+s9jD48eEPPdeJAWdXqILAKVoGH5Wpyzl/xz7lp0DtneFA/RXfG/iMXNpoTZsnBY nXz0G/krcZGfneol8GUq5W97aygKO/FLuZNFeql/MJ1eJmyWw3xQd+kGYeBuU1q9mDu+nXSq6gZN vAPDnJ6BAY/CjL0qRQReBco/GNfMbhxBCqCoRQkU6gXdYGKxrrZe5F6oRLvXYt8JYo5GlrgtM74p xh9vT1IMYOR1sbxe04734cWEUS+Hoj9yXiWki3qkmRttjnHPpGHp72MPvZSeRim6l8awyfXb5V1N 0QFU7rpoqKPE9TK+R+Lfk8DysKAWD+JEXP6f11GJnZpQjI9YQQWWFF1LBIDV2vl8zvdWF/27K4Bs LQVXFAw7zdAadGToJ7+KSp5yPk2r+HoTF4OuOpOJIdIWU0996IVBttQJJ21uLircgBwRxof1Fd99 4fDspTIe6cVaFGAaIsc0RTMCFBNGamL0Sz2ZwsienbRRwjWm1/0RRgVbC9pPuFvxEUqNZl7DK0Y7 AqNFuLmF8c/om9gbzGDc9SX/1uYPuQL3tPcNxiV1TTOSvr8d9W65bODFk8E0CZovDGWV8vohWmTG ho/bWWiVOVKAJMPPkhyXw/68fIapX5uwET513W3cDD15l/4tVeNLuZlzK0jaOBgPceXykcWbyL2f Xu0xyESl6N/X/kLSsobyjxhaQq6cuhluHI7R71NwRtopqSyHzJlO1/GOI2Emhk1RiD+HP5nGY2n6 f4oJLg5f9CBb6pS0EAklqPmDwrEHUXShURLHo6LXVn03aTgBCufMKGDvs1oxbyXvVS+uFABoGohW SALJn+f44X8z6gQGAIoLtSFVf8HxdwIf+Fmblvnd9FpcA2CtebIQQy51ZLpIlzbCIjeIS5pDd2O0 JPMa3zhuRewHcuCKRoCh6dn7/gZ86G/jrE/w3tpaXVGZJQPc0W+NTg/5vqoksAOQCUQEcEyeVu1r xwzjUPwuRzWgfchLxkVmcZikOJZHDRparoyjfvrfTGKQfOtNNn+BexA9FA1zN1rNRoBhp9Le4orG efub9tl10n7UcZ1txsc9jSphQ9hftjXp2X0uP5hLo9zIbYq42sdm01m2BOevI5tTqhvaobtaKnuo gCb9wfVp2NGhb7NJjTsMIzyxofgQnVNqeG92H0+I9ofGdTcPDg6EKIgkbLiAfH5fQbZYq+3MdzZK XoSrW1BWQXInVmZdOfhLKZEgOJKspYGj4M8FIjhBkQaj7zdyx9bCObanlMp7G0JzMCzXwgLMN2Lt uRkXOU6VDzd2WeyO9zdWhgWHTrj0j+S6HAabP5Wbwg7X1ONrnkcAi/okvUHjvq4xgJQ5atg6EXRE TbBIDTirXzGuxqY+PaH7clD6eXXZnZEYxVOYIq6qGnP8aTl+6279SVPoADGJBujSkAQI+1wXLvMl Be3MriT6cwkjlz1ByDTIDEZhGiiJRPGIwBQmV59Q5ZZr1VzQIDtmSPLPCbpzyt+a1v3OOVGOyUXp 97dk5QH/pHXxVYqULE2YdoRLntuTqz3BA24vKe+i2tT6UQSbV9pNuct7ho8qD+whON0cfYKYNSPj 2zHJZaFolAIuhKA3ZjVQCDQw4N6Ehe7Vcpf3z52MRu9Ln8EDIApmId3fIw9O7XCiKkEP3z8PJRpD yG1JwOD4Mlp1tRQ+bhQxLcap5ih3vUPYd0suQ8HThPhzOCzDAsa7fTyiO/9A2EF5E1YYjKZ0BlqZ T21FYjE+9ZqjHrm5pQwbD87UC+fb19dhiWpAtKDVBvzVR3drtzWOXDrkDHbv8MPq7W1enkhC9kKi zY5d1g4y+jubvGxVgcvyShVzng65qT6sP77scEekTtFw8bTS2ue61jZrbtD5JXgAepsXjyxeFgme 97slRPRdCYOjmmFGhmZ8AVMvGF6pX/Xrrxsmu6riuP7jwMt40xyPbg+VRVTJQkrSLdtxN54pRSaC NFavjXPm7tIHVXxaSLJX/43nnJ5nkDngZFttAR2Plprn23AJH3YCqp55PmJ/zLjJ8kX4D3f1hJ9s NXzTBSd2DeHpWX7p+vywuRDqlnr8SkjOwz+PlzH5gfLezh7XTng8ZJt0z7D7inwLybwFfAZox6Tg P7tFBObMJ4WrhPi+MeVUjaVeQsL+ONBwADuLWSe6jvtNYj0rN8B8vpEvAOUF+tYzV57j+WGVWI2c NVZYzQKa+eiWq+IhBC5qge/CaePg6Xw+VKK4tQWTkwwb9RFqVvd1fMyTlHe6rNCmccN8HOgxf1AB R9ukcCf1chJBqzePostW5OgcI5j/zUzxTpuikj6JbF9MnTg06TQCEqttD8L/tLwvbsOK5/0XO9ea Vn9dMI/DJY54jpM7AP+fPhLc5XD8YOttugxmQ9gPppeEYG6IuMvNKNJ7QiKzpHGmNMpyIdRe/4UJ f5cbcP3nzbqQI7G+Xga2yOidnoYCWkzqNfjtWSGUJRTzraDpFuNUO0v3eJ/3dwR2Rd7CNlKoswJq 31KMHyQhRk2YuWchvI20NwlGaPdGtCuZDZgND8fNODVtTl7rXnqiydJgNeI20ULa8x5yx9ym1D27 OVYC2A+FEwduHcL0jtjD6d1Haf5RoRE2SNoXbwx3dyKAGr8xZdxO6GF5UcTMGXlhR2JPdOEO00NK VFqj35xOg8idIGLXAyzVyqx771sJya69zFLoGzBiV0U25kpDo//WETwFTG5KR18jQH2OT34p1RY0 CmatubFkvZpGhABlfX05vMlpVAZNwmMKGuXNxtyGeeQr/KRo2zlAfW5It3KNY6hB2A55VhktR0VZ TtPlz/wTnIEjY28cR4fw4PUJ02lWJcsJGuToXlI2fst73fBrDQg8bLOy0yqgZg4vCdPEu89UEByl AfZGl8GaWOEJT1TDrGwBqU/na8TVZ0xvTbZhukd/OwDDesDFC9XKoqZeo6eE7TLlf77cB3iHwKxv RM9qWAU6e2FRCruPNNKO4GST27Z+ngv/SShNkyzbFU5xDv8+IXAYO/f5jXWnNxeoZEPvSxVyd6Ol aR12M+XJUCv+b0eZlbZwN7FVfTDGzGnVMf8oCchmFWQO84tlk5mm0En+6/FSI6FjB1O5aG0j3fIb V37jc9mjDpATy5DU0RCxKWfsUjcZOUpW/uh77IJUa/GuLK4BPTe6narMGCWC1bXqRe2ooPWit4Do oPJPvY+XwpXOsbHiPCkrF0vKKESVA2cQJ4mJOiD5ASadFnQFEYiv7t3g/5oft77s0rHOWbiLxADI qWjKWHTiajfCwTyzeEHIKk24pxUIcSTiwFshmzSG7dKd6OMlOQH8wVqVdf1C79wnf91f8X+xxNDC SH6UMZr8F5zrtKTe+221Npzm/QyZximaKzSATSVdO3E8t2X8ioIk89z3q8JGWjAYNaOlvcgoRx0u 9g5mj4LEGvXH3PLIRvClM/ZIq7DT3+qxIrrA2du2bwG4Kz1MkjtkcXggwnhEfE2UgxxmSsfa++rs BM18agIj0N9U0OL6NQuq6VgVgyOsX6iecZMq6XGlAH6A9KxuCAuGiund6lajhx00osYoQ4PMKsPi S4cNEdtPYqeySGxaffIYfe41y25DBxtZyTFEVRNM7VyiuqWDain2rIuJr8uue4yccnUtepwTtqto pSRGLp0t7ayBul4iypJcDdq/tZsAH4nMKFHUbTeQXWdI5sr0GE6RMWg5QP6JDuHomtuXffJlieXV RHCrsb0QERig20FXXT4jYmBx8v4ihA8SE2kFscybLeKE05qdlj4Q0ZIE0kelFaBPoA96WZgSTfgB oM22maosHKnhUcMh5Abxc7+3pIjlCgbAzsXFpurZWC7AL6x8Uf4JFovvCmI/dt2uE39BqhCsIhZ7 s5mLjwby9WTtp3OV1VqD3sGDgoZR6Mxbr7Ey1yEdxQ5XBvfqC/qLkuiRTlzQY9L+bggIyfDJjujA /Sr+dkxa2qTj8Oy+4CPCSvDgO9/4D37YJtAVNCqdB/U1NXyDfbdAQQQVekmSIuYei4/eOn59hwA5 oZynrH3zC9+NHYHxucau2vq/TBwpYCXjd9Fc1lDIpRyhERPeRdsY7vM9TVcxKylT6fb0qN+fW8Z7 2k/o7cE/+clsbdxcbfOtrIkqx9Z340AjNVwC34TQOwiT2mcP+0bYF5Ll5S9umfhU8QWhqvyTilkw FbXCK9/ih83OuYd/saPkz4SuxEemZZCl+mSjEzbqzqHEouXh4Jz99n5hNeIfeTA5qcrbVAwICMyl WPBcccG/5OHw21Xo/1Wr5S/B7UrevNBP+/ZtRlMHf6laC+j3h8r7uWGJlaXDRjG5/dY5TNIMHPy7 ojJjHnMb3eHYg67A1jbk1iikmWQuKnhbnTYDDNLx6XX0NJOmnZfGxq+PJQ/Cw1d85WfOsnFuAw96 hsJmHBhPmpjYFk9wKfd6QQEeoB8mAOJDvlwLD6pzhKXVKBLtTdZb7bXc4Z/U2XnpiidMhVVx9/p0 yRi4WZ56FXZ1O9znTM25JyKW6KtKs4Mdv9pnU6wj/BcdVSf3bPmsnwbbaF7mXIVMdadjztgXVpqM /fnHQlSl0wGQZ15lfxi1sso2JEE89NktSEhhxGs8/nrkwo3lKgbvirDn8Y3Uv04p5Phrbfi/0pXX Z24F0xZlgUaSkcd/awHURqYG+yK9nDYfiWbhplRF0FUku93xQEVsYz3hVrOsIg/MeVSlFLHus2md SuQ3GYli0sKlcJcJ9AJFPbXVQNhkb+DIVmBraQr+3kGLQek5EOtKr4w1ZvriaovF/JJ+ubxSOIZ9 /o5DC5JmcoiqmzLk8KREagjdvS7XnrIkv3mGtESWMcn9YC5ln+H0qU+V5ynTnfCCDkSt4PsI4pp2 jb8Xbpv4I7XjwxvM5B/pHVWIjKrMEF57SCHYI0VeA5U/5iEF0hp4aFOBWOtapLmhaOVu7bagyC0V fVhJYUqzsTmTP7CG4LEjnRSKWOTY8/tg1J1y9dNiklyH0glkegEk3MuA1hcwMJl8L7za3saVipPF zBJgnuoCYLX7kBDh9LZ3BDr+3ZGpuibqtxgKpcw+3JtjfZ/1WauKIAtcfURJ0Rkq0jCyy4qpuPsS 1TVL3JcU+Ik7ri2ZP1y3LQnBd32FgShlXjX947ySFYUG6+MECCcJ5cpKLTb3/dmWdMAF9GBKoK1s EXRWo54/ExYHD+8yXAyOGc9WPcaAVNKZCC4PwS2uLqcj6Y9I5rUgJcn5dVKo+oA1V0yjSEZeqda1 J01XZ79ejWkkb0afPWxnbWqC0WIUeAtKzWU5BTFVH8S5G85IobVkTrnTO8EFy9dT/PfEs3ZU/amg OWXNx1wqoEekWYlwqyVt7qxZGMjffi4OezY8ylbcXrw4Y3ZQS4Xc36eDKemiREM8f92aFCLQNUGQ H/LHEYugfzkyy6nrMWCb5+plF9oB6PTTmPVDLGlDcEXp5qDOnYJheVJhsjEMbZ5YooxkcbzcmqY0 mqvrKQL7A/V0b4mzpKiveWs/fv1AYedxHTbXaytWuVdNq8BusTZHmT/ZPlGsneos6XUBr0HV3GiW rO3jSG0FPX7lOolxMBL/FclcaEyVDv+ntaOnp9t8gLxz13e3b08k1lHJHK+sGXbVwEl8cs1M9U+r thyX9/GPtWFV1xzVw776aCYN93QpI7GF525lyp6zqWJ+FYNtPVIbq1EVwdV+qguh5qylxu3DUkp6 M+Rp9x1ZJ+73sqXqm1L+VlRYxS1wwIx4Za9IQkqmllS2N/H+Vfh167/RNAzQEl63mj4z5UkHWslL jDJljbO0CVRVYUaKUARlTrQiERymJrHYJ6VJ9ikr8+D26aVMflEVS7HC9DK2I+RDzqK3ccP4mGdT CoLClHlj1EQuElYsmB1cApe/VkHyw+zyRGwG67q+TFFMkpxB98ATmSmDtSeVbNbrrMyvpBrPaSma /qFiWSPLHrQX8NHco8PCSLtTwOmtz+rr19u5kSGEpT4LaQQkIRilZLgk1mV5hVUF6AJYTbg8ILcr ptSo9WpZ2fHQnU+ygdkOkjmIv1HGhYmORcZjW+iT8GiEaF1ELIEv0XSwadeTJvTw9LeRkKx6026X F3K9Cph8CDPr/v/xjicsFHcZ48bxNzEi3cdu+w3Ow/7tpwlSs1w0kNbx8xivJSaICyyNoY2/obwj PVv6qgO4cw1bWkyey0DzuxVoK8p7DDgsswrXbJ8bX1mkklsEOOd/PFu0LetcgRS/eQLzc6eZygyf hJ1QZkIHhj4LouhRSnOTWDwdFCu3Qh0/8+1h2QGOb5JjcFfEVQhrrXeKPERCiF8SdhvD6HJMtgCl jDjEEzE0rqinl5FSYRX4f03xffU3CwNB3OIopYYMNJffXU2QeitPGA1H1qqDdavWnj/MPVyPBQJK iawtCKvIq8ckVlTR3088uWQHMMj8NWXJHyscbdEueXeFFBfwXU0tmSD3C1kGLOljsR95SK5TKQU6 dwXB73fiDUDE0i3Vg89KVHdRax8KIvcbFcVzwe4U7dCixctEITzjOFmeKLyay5R2plJUsuNAzbCD rHKxNDMYYdUFdO6vfh2OUUGi27jhXQgMgQGxezo2oovZOt5yTl57lBNeO7hkjpCDmNMANkIlqXWB 9+Flb9NjxgFK1K62tXm4z1Brsd2MQJMc3iACvSejBg701ddkRDA84rMSlmnl7wKb2jCW0ZtE9xh6 w0T6GxZbJFHN+v/ttTz5nbDP3vthsYk3+xTACdIU+tSNeyVoH8IRSf+WBGkhReLzWWdvi6RKAm0N h3ff88tLy/VVfKUVV1j1/eNudWglxNTLO3YHa3XiwlNdp5afmK+5dSUACtaqyqIbZttLaO3wiuy3 Q+qUxEugTZzy4L4+divw95ybWzKxV3Z/ulNsE6cebQRJz/+2MT5E8idHyAt9/iqZX6wDP81ZKWx/ fW5m+xQZLMQf0tcjOGG05J8s46p3356SMqwbXSg6cfrwtlNxRptEQ5Dr67u1PiuH6rcNBZxwU4Z+ yTfYv7isJ/TsZO5I6iHBUIcEQKKXgq0cHSjlxP/BtKIwy2w5VtslBSpJD2BFybkFytWudgYSa5ri e/MjRe2f0CgIDkHVQP7Yr8Ugi6GNEojRZwgBOo9eqK3ntcI/RlTsKfKfO/LqX+g1Hp4dIrJjwqxv ed5mFWTbL6CQV0FF54xCMB6eYhPlAmHxYnNRMyFBATgPY9kca+eiKYtFpk1U9HrSpVXh57ZFKPeX WckEF3/pPUBSNPTcwgX1bzalyXc4GyYTMpsvi6/qwbzyCcUidWTtOZv+/ybExx8yr1hsrKkLyqv1 7iJUhrk3WVGGYc7hxCSqOl9WovczdlllW7SAcAXoeskO42TCA0hw9nqjFSA4dK26ZXrbuuGp23Pr wIbJF+ew14LbwLjYTL2j8dTueV4SxmhqlzMDuYDNGDqnx2M1i3Of+LCvSaYxX0a3D3m4+riYCyS+ FBShaOIfz+kw3JHnBsrcrv2MIxsl0rV5pS3CM8L72WNCrBRzhbmEI5w1VmQYEsyWti/93VoXlDo9 FBlZcUZhxrCWs1inx2jVUPviY+itFiocCTjSKjFBzGdMELlEIlbMC6h5zaMcD/pL6R+U4PIkIuNZ Rd4Xfh8dsWVYNwPvdDGq2nQCrfOEG13em1D8/nO12RVr5EbYW7bpGKokMn463LIHh78/+0iL+dX2 AbYH6ZZ3RK9HP2BwdEFAlsskM1qgSUx0PX1iOAxRe0fzO+s4C1vUtTeE56b5+Y0LdX0z+yR0IwPE PkQwstFprbdpX04yoVIDeljYKtjV5D/T3IbYs4NgvsD3shwAq8mm4M/hRr4RkSmTfTWDvjg3avz7 bJ5Vj6vtbn92ojC4nHF1MnDvVmCMLuy8i6gM7jWIv8PQQ56PrbzNMcPn1TroNMOlxj10ihOFL+ge kSfSkJ9JqG2rwTWLXDD83h27aakS9HXjE4zs1r/T+gDca74rP0yFMGtM/+F7vox6D2An/N76zh81 EW6iixmcwqaVMu/TeaQURACD76wanSQyqDlphz3+JdqD3moe0RDhVZycpv7cgdV4VnFKiZBRwekX dQP8qGQI/+qJndN+0amBGrfTGDah0gbufiUoDHdDpXgT4KuG0MSD/Mx1WWJgQ8rje83GIzn0jx+G nbjFstUXObd99r2MqWPH9F3CVCAMWeQzCQtbfPdqyXWSoeg6KKm3bIZ0kSc4TVILRFjnke7fJ5Oq JtXowrOjNW2yuXrD2KKoI8njW2KJJth5nBO9CAjqHhHrEDX5nWFaVplCYge8JNGx7c69DcDnrMHx xSzlfNWa5vaH44TvIkuU+4nYa0nhBd0h5+LpLatdgBOqHMGzgixHu0DCDfYaIXdYBKEFGdo7urGY G96B5In1hoZ9CE7vY+31Cj0YJ3k1gDLAkRLB01TK5x00Hv9jMQf7abXOlaWwp3bvUEN14zW3CYNk gjxhLaj9YC4oDi+NbvRcXb5RpToB1FqKxGOYo8ZfncJE+Kmn8cNurC3i6II9JgenIp+3zoHXgXHY vKLTiUeh/IsVb8HDnhHFKyuYQ8g3u8CS6LshXctSdG7hNSjNsd2xRpkzGEx0AtFgqXbCzPl1QI8E 4QtQtzuBHf1YGPCKFlZjqRP/OlPNo1Q6jWWOrAtL17srCkL6amiRkvrNE1+DZ8PtrAShEUr8muA5 rhsRp8VL5ARI4/gDZwX0koELc1U8Lx7dzrHOwb9z1XDGi9k7j+H2H5SI+r4ce4xEE9o+vZKy5vjs M0bNRDbCmUdZMNQJLXYVpEKt2OmQwdT1mDsBo7oohwwGxU674ucm97BDvD5OeX03nz3YI1c1E/lE IcwUmLgmfCPmMdkg72XWKRT5HnvZqiWRaTlnjWVTmCcxLHqZgcrl8I354F9ld9i/2KsYRMqnX2Ov JxsITWmmPlj/uP0tU40WLAU9hY8BMFiqiqoire3jHpGzam3Ncx4kXZ4F3IeK+lymhaj50hJt1nlf aMKXrhXHG3G2SV/2Pqr/gs6JdM8REW65WclEazkKB+DAZA2Bi750z6WsjKh47uQXFycicxFPPBAU nD24O5jkZHZQsLXcG61qgJ8xdQGM33YSqPhHbAHXdLIdMZFAu8gHi6oZPIQ+5FIu40FG4cvP6cpS 2Paohf6LSTD66E44fy8JB7HIcGSN+jTtknkwC0QPuD40HYMHMWj9pLRe62uDWhOAAsDvrZI5YWBp +Ajg5QkxdJdCF4qZWqT3sEjl/bAf1dCC2F6wLwxlbU/mGTpIp1XB5G9Gkkz4pCH2LAKi7/Qd8HGb raQ5WqedXrmXZzAyHCWfaE565RQ1QvuyBn8emyHnZdYgr6MM38NdOB1iKLwa44nkK4GUQ4iFVukH eiTvGehl9H/uSfHgVUG567UjM0uAZdIusqbykhIUNXu0GMpyaDjK0V8Z7p/W3kHT1wislmnYSQh5 SIG1pgYXRIfsdLnl/Qi0jDwMHzBXhaU9PdckWEC4XFJEsMOZspaLbj4k0EqP+mwK8Z+iGzSorj6I J+EwqbqHUcWitAGgrIjQ8W5/a+vvlDxvkafsiIOiNslCI6+GqUt5Lr1FDl0feXsCQS3w0XmqYNNB ky3QK7gfnRmEole6eAu8+Fgmvt8+4R8x7CtVw/iEmyJNxiQZm28TLOrLe6/RPfruxp6J2CDJejLf YBDwAWqxIv9rrH99NqOJgbjRACyJ8hC+VVFbNL86Ixaqegqd9lyUlxh23HnvoWv29qhQLqcSz6N4 PCMZ4OOsXF9FwM6Uetyrp1JVo6MEnBXPUnvRkrcl4cb9ZV5BnW1TqwNwK2ubqslVLhJoEIXxzoIi lRY6O8BuMP+8+9g/HOIRlTZvxuVW9cLsuLyxvnyv87Eg9O6yCQRcJQtRoBxGbXsYBFu7tKmrtxMP VpJWqV8p/50OctxLR+Ks01Ecf9MlFFja8EvnXwqnOdzJ4mjA/bNuVZ9+2HmiSvMtUkkFs0QXDtlB j+PJZgajbpNwh20ePVFhgdKgUYR03EZwaqjaWXo8enKEC0HOOkg/68x10jE/2IuCPgGIThyjbMM0 20IEvl8h+dePs2z6oI0h52jGLangLN3XaeUk8NOcV0sktOGA7MSnAfqxK6ZTIP5XU97IUhRO3Ne3 D5ueMfX7TFMmCpAjja9GlaxNE1vzpE7kyGONP+O1hL4KO389AMfnHnimnFirqw6vJSSg8xx4L9hW If0LMqTSxRmONidwoVfEJ26aAQEexJVtfvkfzWNPe/2DSeGuK47PVH/sc5s5wOHaEnlSihCLm1C1 pND/WrVrvQclHoe8FL2KqwjYuOsIfmHB04MJd8uw/4Gaen7gN8DjWjYksyLGs48vuH5A+BWqZkqO DQCaHK0mxRX8UPK2eIHrG5o7PfvT9zS/XuyXmkZnGz5bjrwTNj8XkZyn6GvsggNzIpyWzpjE+rdO aPvt7ZkgVocjjI5x5bpwDsSd892Sc9wZVnbhiuHYc1KblCUKqZEGanCe8KSuLu69N8dl4uZGYk+k T3weDCW/3U4HdYKv3WnODCQUcAnUkJCLNhMw0B6iGtgbZZOesCGWEd6Vf3YH8GYq64UEyIs4F+hF Yg+nluwprpHqMHZ8bnxXW68gNk4KPCBj3WCVA7eGCqcSEUSGabp23KV6fjGYNFmZUQqdif4VSL7n 1rP/BVkz/Tu13ru6XKeqOsRePn8/a08rrMKOIHvXFujKyGtokqL1qffIhxB2fNzPsDr3xd2Z37Ls bl0XWPmF5EWKKPZfLJUwIrfcqfiZTkH29EE8Ops5l/zXA+Uh0CvvCEKB/3GYpBflwKQAzD+kZ3Nz 0VSgYVU8GLzOs2xM04j1vPhibVuMVsqjyp/skUl3ttcOEKcxakVrWdikM8yo3dWrXh28JzxWA5K5 qTl312tNBAIlrIyRw/Ulwr0dj4uFFYHokp0Qvg3tsYDA+vFAmN1AJUyndC4P0QIiX88reyzaGuTv 2JDPUw15Fi59Z6Ybnd1JmVoWOxR4qfx4qpzyIYRgpx6fxr3HkU5H8T+l11NdacbRKJ8yCyWmy1VA i8eQIObAEaEntHm+944puXC+G370UVFkNZwroquVGTVCaPUnBj71QF7vB3MKiRqr4P6QeIn+8q6Q 8cFeMjwahwfZSB4WSuueq2+sn4zhOH7ZD4F0tF54/mSQf4+JKKJgk7a2lxvx78q3Wq7frgkY6ejD Gyt6fZhlPkslw5oMi3Sa3BVlKK5w0gfvR+WJdjPFkJX9oaXR8QQPc4IU/PU4zLL27aJg4HsU+27w KDPZ0CQTdlizsKGxtPzxns/lCrJ2r7iXdScy81+2qcGlAYvTm5fTOBt/unVMvqXazx8bY+c8O1uU OicoAkG6BMffqzyBHNOdTxOeVp0VujaDtUidoxwpDVfi/3Z3ZMuECg+060UO0iy0NG3P2tT+zBCu BrryOpW04k7p2KRe/tlN8HMD9YhVQFYFQLaM1YcZ4olirdxJbYVekCd3XymqTBv+vzto+QsI/e7t vIvsK/b59tp6p0iTyoalek1+q+kQCXTXbQayXpwaNbRVZ5BuXUeddtPDaqFoMvSZjqowX9+RCrOY K+H2djaI5tK+KjJin92XJtO7sXJhCFV8D9HALZoBD8m3W+m/NjMNW6SiuCsGrFiXqCZBt2O8rjjD DyjAeQQyRZJ3Uk+0Vzb38yCnzjw9y1at6QEKYHXt33VcxsENoAD3dpC1A5YhFZKpoGSCXgf8dnIC on2Sm0aUNftNB/DXk/t9asQrJXOqhkbaxilr/S9fbDvfO2Jr3OXyjUpVqVBBQTDPgCIXqyz16Reg 0UvrQNm3Pxr/2LlyzXWZDTZRUi0TxvhhSbSkCtQ3NcH2472iVNzm54F8jZNq7pcVU59Kc5R2yP+z mj3bsd3Xx0jMWGYeZ/06MiLnN0uykTNdREjVyZO0/doyMBuLrYrtHdhhhy1g5bZspTpqhrY7SdLg 0DQWpa37FTsQfAOEFHuitYQ7YSDduosRS7OhDriwRL7fFje6eKR3LPDSSDkkg4jGxh0FL7slTl15 Qr9MSY4eaNeRZZn5N5PRIoKj7OkPV67pnYJXpZTUOkooJjiSu6toMbTzX/EGV50oxuxtefQ64PNG HP0TQ2e4LdyVonIiV5tJlkzjAxqGvcMkU2xvCAVhC+I4dUT0fpHI2Ud7GL6nwJy2vIgQSlC5Z/Mp zNXBdsUSVVuhk7VhNN5dksqOcdAzi9ax//V6noU5q9Q0Ap/1Sn0P2GQuHWNDpsNko0DHXMXBWQ2X wGvygnQIbczFnVDXXYaRVcNw4pFBZnhQg6yc2fOxJFYI8NdfXC/264eEHXrNlv3x5AFdnjd+D1Yx jX2rkCc9wmes+IttFU0WfG3xxcSBp8mHSZlJVpnvnPkp0cv44ryqHbAFPJ/9EUXEgukfjhLL/DgU mC35e5YHEQ1q2PH6XJ9dmmnb+T/G1xMmswDzjURD/oaUHgWuaBv2EtlmdWMhix4RUe/RxSsHCN32 YWvvIjLP99aINpJa8Z1LWPEIac0Z4FAdq95FSINnZZb/+OJFfw55iKUnkysnfwGYfvlyDeAv+7WP sR0RTZYh3LwGcgY5L8+YIwsdSEOVFh/8Akd3uiygWzW5TiZYTRMgBcbX/DUc0SgltqNdN0VobOir EardeaZmNYoVy32Jlkj3RQ8ZhJJZxsvY+0GnRjIUwGZmtO0cTpAGfDknDJMmZVLwdN071vj+QpOP LQyzgPYYLx4Rf+bt3gNQHZ0hqd6bWQY65mjHmKFplDGZ9s579d9xdmAtLo58wWQxid4jllY6T5yG qZyUPbX+OnGFVf4DYq262V3ISrLxEaq3/4yB+v1c9bxE4j9rfewhOWyJHkRHXDlcPx74K9zn+91F CFfL4n6ekH29kN9Nncfs4ryahD0l1qT2ughx1Y0JDN18rBYMHuNeZtFW38lM0JcuTVoqHIFHxgh2 bJMx3Qv1k5z2KwLG7xitsXbHrTYmrP5lOWqQbd3ggLujPEd9kW9EqssxcX6YnLW4quH19kgOanh9 jSV8m6+2l0jPRPw1sgP0uxi/cItQzegn34n3QuZOdpdv04PR6HA/+g4W0+wD922dfn01Rt/pHHQR j9a2JeNNUCwTv8C3xFf9sBTOA9QHq5MlVfo0s788OHhGnT7+5ROCALO+mCIdYIQjo1Wwg2a243XS r3/SUQq0baOE7h2xipRL1RrvXpy3MdSPnDoolrbSKsdPo/5ZccQf0gUBH4J/rXYnPB5G6JMcaBxc Br3HHYrjFAaJv5nbSk7adsUAbtU/Y6RWgzg4Ma5XK1Q6BoKUYTHHmXmaPVV6qOg0HZYN6mYlGO5d BK4CzVHnXoXvAwfoxnKfmfwVTivmjbfvAlAtCb9pfBB/uL4ST27gzXwi9HDH1+TQ5XKlL1/PlnVJ LN8/2uuccXbDvSLP3iJ27t4WzfObkwiQ6gBHyT00zwEYhM4ULOe+Smi60rz5nMPXFoWNfNjeS2Pb p5jz5X9UO3/v6gNRFCpTY12UGvomoSmaPrZ4VBfOmvPo6Hnb0Cl0+CQZvPohn0SpNIj53wF/F3gc VGjnXqrJCfmp5SKjp42/3kBimBqUeEHnSOBCByntMa3NxGtj7HUwByBsVECz/HGSU6V6KWcPhAfo qmLx5s/Qh+1wTwnLYaFIryXzjQ0I6DsbbP6wCHR7zwZEaaBVpTFrlT/3Xapp8ZgrYak3srV1uXeU Hwn4uyqSQPDud9QYeelrWMZZSFQ9N/iltYhNCJwB/xDERIYsuMcftWeH+E6PtBjf1KNyjwBq7KBb Mj8AwH+6ZAAdMO+UO/SSFVBfRsmyiBBYhrQve1eRD7AU6Q3furYJWjd0p8sRmXcK5cpqxDSqby9m KQ0olP9Tai/jRBr/HsIw7Ulr0fxvhJH+ScNmTIJBaFlQ4IUp6OshCxoyrtlFUN8EcuQnPqXB9QuM JwxVtgjdbRfOs8ZaO7pYQ+4mz+hQJE/8J/45hXLpxwQYoxMaaBb25IlkoW3Uip7GNd7q0IVuAllq FdfZ0rwbHCgeI3vrrWUr/HCFQtVBimEgyY0zKHRMZu6ddAved08cddzsyBrnUReSfIoWSXqqTx24 GnKq4wPtUWZfD5/BzQK8423zAYbXIFl72x95m7vs04oIbW5Y6c+//Wz2DzaXnTF7aN+O1Xawdloj /CSiNjNnGAYu/OKHCcllfQtVW10bSEjvDOk+7tPIdsZaevEkz7Wp1G4tbgIj12tuwGc8nMUQs1K3 fBbfvNqor34J5KgqxcMyKMa7hWSViE8Cc2kHkenJM68Ou0sHSFeNl9Y69T/ubxdRmvbPiW4wxnFi VxmT8lOyF0/OyECg0cGANOF7HlSMuPNpm9yqRktoA5/ZuWGkBEWzuYzhxfecvYXW8ad7XD4zXWtU ZOY52DkuSUZeO80tOZdYcRE6Sx3dygF+SGahKPVA0snRIne8OmRAk5KccPPxiPGg9BYjY+1L8Gva za6DulhzV4xFV/HzCPbuQdslyrXZFuRZ+0Ipvr7VnYiJGp3AQkQ4OsjKWIDckof2wvG9xDG027Vj fU3Irj649VgeRCfFar4XDh/xSOoXNq1icFnW7u0TW7dYPEURLawb1yMklQB8QjHhS3dswf1QH5gN EJkcIz5B+XmXfcgFkJoJnsMvPgBrVKP40YYisbn72JY6GB3JYI83Id0TJHu+dHe/xrZ0wNHPPCCt uW/INZFLCIDZVrAzt8hB6gaRLRV46a59SvyEXHVobHpNNcPlrwtCXW6w0nzzHDP37LQwBzzYhjiB 39wMO1y6SL9wlYurkHNXa/c5+kivf+IXHRZoHGukrSta8iACVOJdwJsWForn37plnZfMHvBr41nv QBkVTec9G+knC0LMZU3nbLqhsXuZiuKeU9C4F/FfTHVNLq+iSVR+3kJ/7A1m8A7GQ92iDUi6Uolo wuRMerkX/jHvw2mOhzvXyAjYlyG840z+sfIJ1I+MXUECxbkjktdpURUElUEZOiWvSGV8q2O5l1TZ 94YpUfKee927COpqv5ZCPthIFfJxOGz/9muwFjJv0gW2Uiy98cZ/rzwVZXqKFtnovyaYn1Cc5eqE 1k4zWIXmIcmqIUr8TYozPbI2amw4U1fA7cy6lAG8iCncuGg5bEYmUYJyRidtHDESBmCftZVBZAQ/ eZdceUbMdUTXoVnC17xY0GMGjx9Q7WGR+WbEcYNRBqhq51Md6Bs7eHJxNCtbavCJH5gVXLr/1u4I 3TCttwi2kZcjWN80eTLWHBeiDf9vtqe6utaIwMBssEV4QyjREku10LY9A+6dEu92HUH2bsEfogTQ MqWCnRdvpkHpN7Mt6xRPed9pldZjF4mUB4BYj4wddeDAzDamlgY6ckNQq4WxLZHebbQqO7sUFo3/ crVXX4jQjqO8DDrVG4zAKZmt4ksYcR8PC/j4Ar5i1prVCeaNP3HwK67l8pO9vEg9EIeszeUdP+uz h3bh5+J5dLatm7HsAbE2YSFV1HP6UHT+f2mHzmJMgCRTAzmtKMN7ewR32hNWm/fQoXXFGuKOpigF atq19Lpp3oOOgJoJFnVmFpBQitnp8Lm/e+3SrUKsqCKak+OGbEGbdCXnwx2l79kp/FR1zCUJn+q8 eHObE4nxAPScXJtWuKqQLSM0w2G53AVj+pBsWExajUI9w6s/9FzyBXpc8qElU1CTCx2sET+oSF9N smSi+9FgZCsNgQnOrN9ahTh18Y8vkxmCqJHqJa+4dGQxY9HNZEgBYvQsrt63o/U0TeVo7QPnixd2 ItmlD17GRZtEI6nAwGa/Z79nEtYdsgpDBKXO4Y9s34BDsuLGqfb3k34QeU4DqoFnAWos2I/e3ljP VzFe2yFO8oeIBdkT6dIPIm7oVVtlGhsnMW8yfzLD2RMkoTRNf/uVJnpcxSaWUQhgFfSok8ukKyXN 0VX22KL2ziIb4fFMaU9yPZas9MHCbeVDOfmUPXXVrJE0aTxRsF0AiVwmd5gPLfKo2aC+I7AYv5Ll jnfExT6ZeOdT/mmdJweIfzV77px9L1lqF27yvjZC+E+5mpbi2L0pVhmrBqlpYjioSaj+VwbiaXJ9 SZZ3XvnyTJmOLfQQqDWA7TAH4Z77MH45F3aeBC9E2eK7w3+OE2OMQVn+1+iJ6zcH6uEebLw6kCCo paFUQsRwL4EqrFEvonHa7PADOCpe2Io7cghUV2hTmLwCMlEFhw6tV0PT8bIeyZ5raSLOv8neKj+9 WrWVBv+asAO7OH5+g3qW2uzQdBN6q2Tf+pLK1UBNQd6GfyTKVzX8Qauvogn/p4BBEBqa+Z3esqT+ DuCLYhyilS26vFK34tV5hsHvCZ/8rEqFMzS4999fgPVBIZe4sR6N9d+B9fJ+fSmcI8mZWW3Y7qHK kvsYwmSg6h/FmPaW7cnhQSL1mqMQj8nNzl67dtulZ0vD9pZ3N6uzYoW24tBddssgwKzdKDfbq562 ELT4RTr7jup5I7DRb5XIVS66SCWKbhyqS694igi/L45PcPP9p2/nbD9Rdxc12+g2Wtd6fe4y2HP2 mJMB6h84btFEdaN/fEH+aHw+azT19egYyTbvezQa8uhcMgRLypdfE+lxqA1/J6XrhxQig8+ePDh6 /fNwPTfNCgLrhWzkPAUn7TUiNiQ21bTSBo9bJPlfAsAx1o/QCuBJvw9yFulv1maPCmXJOBG6tqfj XjoQhvcRysIcW2ItLAT75qQscoEBjMsHPuJIP5ZO3H8oSn95KQhLNc70JBVyQJf8oQ9wcARNkn+q QRaOOLWDJBez+vi1BG31W8FUvh6Ja6qkqvCca/o+e1HI2U0CQLjwXeoDJACWT3ohVLIlbIf6l6lr 2n5LGitXJJM9+8NsWob+CkxbDyUJGWr07yDfQhUrqZkfZqzq9x3aVSF51Vvz5m6PewSIUejp3BO6 HwaX9V7pp46jiLdn8OtO3rixhVklhDZMo9rXNyhYTzRhW8QbpekcaJoTc+jfQBtZsIcsvpofS4gU zOKdSWz6QrJ8la3JY3ZCJCxjm2GdCVbJONNRrjGirh+AKtx6oE5Xpj+26aNf1p7toWHkUO1Ik9cb qrxBgPnube9OT0/cQEcCcz/2X8du7uTL1NHt7ViV/YvAphfHz9I71msnKkmsb2eX97LRokv6LUj6 T//tMV6rsG9GamlIYOEq5BuEPnOzizahTPc2ZABav/6Q04zUtwRdax43sKzEMizYvG0lM4vW4QvG wFnNcWM4MBB0uraTsGqSCXl5kOU/D7zrKxKfbXJZK+YRQ/y6EmSFukJs8ESByYPvRZUAq+GMt8WJ O+WsrG9Tw0MH2uP4+YgLzcCObGtrDT0wug8HYahEKBxzu7XzDqRdwzntTBfT44yPP+ndjSKoe9g2 w6CJQyW/gkrUjG8TtvKuEsyj+tQoUffWPdSjHBpynJskHslXcm8PDUuWDAqPNJ713IRlBPldRZ0I IqGInVF29rzhbxRubAdTJ5H+OxILUzadpWac891BQnFASeLy5JVuweNTuD2s8NeOX9C7+GlnJX+I CMzyYAFbJnhY9+kOuV0/FdshUHC24gjMIocA5Cg1UQ/BuKQFcGJhpYkDvj8Sk0xIU7lWvYa1FYvs jyt/M9Zyu0HKWfJw5owGyMc7WA/FQDXKf478o0llI9dcwMbToCH+csQAR09iA0KpcX56m57WlQcn c3qXrv1oy6pKgF4RuG/Iecdo/haeBhYm8AnXrISAC1q4OF6DpUK5hYZhBkbOXey0U0OAb+MEwJXe fzg+3RssmhEoCli/0kSwTs5Q0ZMI+D0TTsMAwo1ORPuKEO0264uRLd6fFUWgcGZGwFblIT0mn82y ac5058FbRki6pNjf3GrdtBQpgClT9lm1IptIr6jUZNhE+xFA62zZ/XVUwuW5ZDLq02fUOm6QuX5s sx/WmZomLexuZjpIEmuJkTCzuRZ/igEL1tmfC5PKZQ771ILY8BoZyIuA8YT+QmX4P7AjdzxwjhOz CrwNKtzXaZ95A3JrPjeD9BG2hfTigKDxYH5JEvqRpCWYtzI/6LQ0oC+aHBsCrxn4c/28kOfC5mC3 4XcwEWA5x/ZczKXJOB2z3Jfr3qkL1+315bYyEgBt7/SjcF3e0tXqYH42R+oeIj+jkoG1uwkOTdzv w8DSkD0C5c+Z7ucCkKc5p5YkZMo7m9JKnI0dsN26dlhSJkbrdmBxfDOUGdATmURgcM8ookK4Qb2C 4fXDiMqHlz35zl/vTelNnRcuPv9oTYXa101YUosmO62zHJJM0vCurvx8236nBht3630G8XwAnS0t P6v8uU/dGJUg1j4jKb0BtL2FT3HrwPCz6RoAgHIOXkLTtI0vL16XmEVJHOdzDH7jXcv6DgzLyqSY /l/xPlfBKh5ecs2eBdLaR0b+ObF3Ie5T9kryJCEVn/jwGRtlQLMqhzzVb0xtK/Nn8nQ4Gr43XrFg WMRfPfxt76J9jkkWqOxEUEsH1jaeSeedqwvWsByu6ONGQXI/FvGatDtI19TOjY8oeOVzN3fnfqwg 9SfanqVY24M1H2M9NuGjcBS1jKu50NqgTxeDrJKgnpJ0F4u8yzsqfU1xe2eQSX2bayNXCy9B8Gi4 sPYRWR4dSq0EBEiUd3BD26XpgxoctskXrKtMIf6O1zny9OamWSP+9cBH27hicCvlIv9iTic2X/qE 6caH7qKzggC0YBtT+kfxeVpbrNSmuXOfsweEEcxyfqdltgW0tFLUIX8mITa8pasxdN/oAnC4nhhE 614JLNvGZNfSTwAw1weOJHfAR+FgSM+FLDge9Iy8MPWdKACtRK/19EhKbrsCda68VGrqUegidbVf Zwl8iprBm5/0OU6RhzG9myl0LsRafmLSA8BEJ3mEDThSsuYfbkHAoen97BkFbxCUjuhUL+7nQwYf 6hDcfz0/euU7w7+UFsTmb3zLKIU/+VAulI+96yehBnBhFytUZ/Kbelw3r9P7T8G/zOnHX4o+Fpgy RV9w0ACEPYEehBEyM6gmWdUuYJKdGi9/1P7rnhm9Ier278ml4JgAnClJEs/HxMhHmOmQRLzTZ65E 8PAIlF80NbYjjfTYXN/zDQgI+NXeAmVL8tt4+JA3wcYerHSrzBAnDi66UyoWVF+8Pc5GyVvcjAo2 ijJKaddX5mKKcz+aHDi4pZS8Fogmb8MJL6flFt/eLr9Zwr1AW3i6qsg7B2PgWkk03Kh2lzbxRYZS UPsGHLhxPu6TFmRJoJMLPLxBg7cjrQbPqumEHe5v8c2FuQpH8QvkLIYVvd+BW0L5EFn4ie0yion0 VnSEWz/yyc08vjH3kSiiDZFl9N8Kx+ewSXbpKf0BMTv2GZFmvBC4Npm4ruwvr1URODOwdQWBuMno XQS8bWaTTdO1+Wa2cU4kIt9jmg8M+2COUf9ripVTa1T0eMiK4gmBgMyUFQdoy1EYBsNHMJiQ2rDo 6z5iezbOfXHVNKCWNB27AJXFXPtlwotn5PB2LvNMo2ALBKNPfMO12M20PwOzNK/5RpmpGfEK3xGU m6iPeGn5F8Z3Y1eG0TzOv3OiJPddtwREiJVHqqnHt7z3rs9gfutirapTzKQt2o/IQpDD2Vy1kLT9 wXZvhAoMEYFqI8I8LUVMI0+DsQT6Js2defgFGBoS08BS7l/3Sy/t22tNH3U09Oam4Nsr/XKMdGfJ ecnun4ucf/AOfJPoEsFwPvPIikqF5DzFWsw6h/R8dFQK3Hw5mH/ox/V7bB5tYrFQKjynZUfYWQD6 3kzpkX2dmeBLRDrAZxWuf6xGAxUNFVRCGyRUS7eCRdD3dy5/IJ3EA2Vhz+/SyQ6Ygh/bxUVXxaW7 cf5KR6gWcaHZZAWbeiSaYJRs0stFJNEdxtCyaWCyRjEaXIr8eCIJDta7X2DF55Bcs4Hxt9J0mBqt t9WRi1qIxVOrubFkc0DtMUCqkGSWH+mrn8LrBnRvSwaN9C8HV/z9pHxwPYDVsb7vjn7G8/2dwwHr 5v4cHfD8HqvpDi1UOVPIzT+xFU7UMY2m2IY8g4x4f9ThzCaSb6EwPhrJGmmCzWCjXE3edDOF4nqZ oJUQZDByBWqLmyHwGKKaIYF7WSJS+OPONpctOCfugT+v4kO2GGIBrjEHwGh9r3qMdDE8rozhHNOB J7iwwL+qr8TF1W74CnFMY2g/GIS+QnTfsOkMwOgqW5ulRJvd873sTzoO2nsZ7tg+8mHJCHbljwby dh9gc880qH8tsjKPgIKP22UdOXO4JIiPwfofFLFhPU5lC4Xjv3dPWfcrQbXEdZ2TrfKClFK5Odde gwRVmB47zoZyx4FyC2yX64puoejzhXF61D+PytPH3kN32KV2UqQJiRkwfydT5pnWRdRIMwQkQTD7 jNpTu24zsY1JRjgJ6pJ0MyqkB0Bg2gfu9E1WurZaR/5dm2K4yoD+Po9fMJpfR/W3vlTHswoI3MJ3 +LD8HpjLVDbFcKFHXUejzMvMWJ1qIp73QG5DxNDDk+DqcRxwFxyPx/pVwTayFXUErB46EO4uV1MZ Bd42eFW26VQITvFDjyKqTRZYo+kV0kRxc9QCz1XFvaBGWFh9FdFd91OHFEw+F+7CN0vLBQHyGQAv YoC0EdpBIy1T5rm5Lh6pK+qlS/TV9BsTTy0V6wBMShgI2CXLRs9ePaj24R5ZivO54hb298tk13s0 3jfy3FgvD7nO+U55tO3cjM2Izjb4psdBxE6JmQTF5CL6fbPSSVZFpUwlIi2u4ASzlo8DjgEQCe1E eAh3EIeL9bBaAehQ4cDt150V2kETzAXfBNYPAjupvIc/pKCec8EZphiKLXRJ3vZwvP6O9d4sogIg LPpkf6clYeHLPX9vm6VQLm15te3JgA8V8gcvzKTlDcVrl7BgXenKzLlcF8QmbRU0BXXEMa+SqAQI Dp8Uyl7VcAK+gPeT5VcmirRmibS+D1e4bX9/rx//xr8Y/iE5SwnBIe3BtDD4XvSHEZdvYq7quNKb 5X5a8Ae06bmTOzyNDxcSLeOEFA5//dr8TFWx9Lxs59mShZ/Wm0s71j9YxnUsGTzj/YSgrNbi7H3f bjCqzyeruxEzHRB6KFzctjava96VD9OJrfiyTxcOdviGrlQxik6tQxZvefUPWwyNn1MZyya2BRaE F4ApyDBxG1yQ8LLRwTBhDaU4bvY3GXb5eKi/ZVdiiTZmrH22cJdWaZqw/C+akgAZNN4D/ltPyDiI UMtPAF9HiM0CMdlxWYONzy75CaHocGkME9kKnLaFohdXUkjjMeGyi153APAdzcAh5foxhfrjr9vy x68L0QTL+7FRC35MAJhTLuIVTg3qT1Btw3RHhHc+I2/xSzbq//spxnVuZnraNHc3b+iy1+mn2xun RytsBYdsWOG6sYD9CFhKMA8z+rQa1H2AW2CTn8R2Jq2MzDXP85fthZgf8m0fw7NveVS8jPD+R0vd 4xqDJYWQJ+LSbiyiIq/TMQoC8r8R9Hj/+TIYChbtgRG1J8xfW34AzS9o3gsTvCzAHB9aGRKMvYYU S5f5EicWBU04ZsO1kAMYm2WXN6Q+fzVWGkMFFXxB3q9igk8Rd9Ksep+CYSNAYcH6RXsiO1xvgYJP FWwsm+gFdSjTdDvH5Cb8awSWPYVi6ypw6qvOYRQZ91bD4Ir3QGt6w7EtCzU7y/+UBpx2tpxqMR/P fnKGIzD9LRq/gWmQ9nvo5v7mquZcERAOt2dkVuaG4mtiJLmJWwtUxfpGY7R5PCsoIPBeJDURBpp1 1OXf6KDw6wAfrYW8kUnL4kEBnzO8mn0d6ul3fYtZRkaW9XCGxRMcAf1XF4sBrTgkCumygFGX8pz6 eHd4991iwBI4FsHnydA8KCQp80o3yra6VdwcJ9YdAIN72TH+bXkAkpKIbRpDHN31haowZEoRLuyu NI64XPWPDM0XHbQFApXlQIQK6w4eUowMtUyrtfNOkBOZahXu6CQCcWD3aaAQVvAZReWYJsgUd6CC Ym0qolbYgY2jrEMvT1bBq0k9lAeclsgOppiZAGB7pf/rCsFwc6UE8zpXFaFklgpHqGnuETVfhPL6 wwRFRY8ELwwlo0pxTTYAlC/suZbSihTPSw1ZHP2kMUDwLJgi32vMtwkjzYOorCMKZ50FHTfkWpvs 1VwaIOWgCXFcjGmAoIV29j29Qn8VWVvkpS4xJGQjHbj6qwK3XIT7Im4fQb4niz+EKZ4OWjOf/ESp WTdsTr5A5uLjlREnmVgwxBWCMXnAO+A7ftrjeI4PQS4BFXMLuicZDysqfvvvVGPYnDZLdHlYYrfT Xfy1ITQZKjiUHkrZzKB1UqLQ9D+lnYcdHYQrYV8YC34yfh3GCttUm9fQA+5eIimZKX6Q1rhWb4gV /KHDc37KDp/mF3doeZB4y7wheqnFRHBiz7xAyvHajDNKuHFqHJ8Wk+2u8Wc1/bbRW10wDEpvutdL rdzpaps9u/wARWKFwJfCoBiU53N1EOcN+4CzWcLRrSWh8f9PuUBSPMwM9WTLqHIo61VRz9TrRf+c 9WeyufOaIqnDie/SABFbcrFJrEkAwroFSdxP4F6vHxBFkKtScigRW3rLvCu+ca1zTEf+Y+MfCtk/ YxfZCySag2M1cMyup5OUX+ODYgIVgNvf6gCc/hZRl0aPu+RKEngKuqTnWMlbYHeDXMwpFuXHJ4qV EiCl7NrBbGYQEZpZt808XkQNLC64Sep4MVoQK0R4ahsEYDKuP/YWte+ndf/SZ58peh5oQ9BPf8q6 3IR3SbukbcBzgfMaZ+K5Jd59rdtufApOmhPcAerQT0hP3QYr4DWFyF9Ktop54BAlvrbCpx9mI42a I8gZkPkIu0Iw/hekHUr8XVvi6PVUUZK/yNDdNKDPl8yyj7hdZnBDCkNjMmNFZp+3qZ3W3qILraRH OUbTkFwYJ25zQdIdZomi1Gz7LsFNAZVQiChZsjXGpjhZr6fpL986QpSs05vSo6a2xAUKKEtmJA6y fe+E50PB5N7o0PQJzxiBCvVfb0LoQAFRoFNZe+60mZ/qPhodveVyaCwqR9mRe/yY052bpsF1MbIL 19iDIHrGra2Zg0BOzTxPGQzr7vRnQpY5ZtKmJD+CyN2ixlH/Vp+O91naQAhKcX32h6XEv4Mnp8UY uRq5MCGOM2bRTIiivgQUSqDl0tEqrrxLuMUiSRd7PDuGstS3/49DbTw8M2kl7alWmyoWg9ITqHJ4 ssxqCWMCXvCH1Do17Bu+vGqWCtniTmjoSX83n3TvI7qPzJghenzB0uqzH0+ZcwwxmXGtMoVLkMmu BhxWMG88Zp70EHJLcpc+lNo/rZ+oWBEWUSY5oa4DmIvhbT51WxW8ZdzT5xL0ImEkaPJN4itQRWKY VabLe8WU7tlKgJ+mq+1CtiueLBfX3raAd3aj2IwTAf7r9rcwJBXxFnWN3qyycbW5ulHHs1OMOvxI yDOh+3wiQu8EjfJ87CwG3ZEGkd4FR32cj4pGLfaGP/GV0opnGrITtHJRCqYQ+wW6k5/nJUSOj0Zt JqFnyepQEJQLYtyOYPDNNZ6N4W3l1cniaGNsiEIFvXIsK/C7Ty5IoVm0o+8NdY6tVNaPAwl3KwjZ Fyj0fZYCZaRJCke8Y/s60HzixEmrICGBMjVzhbKTyi1zBcbXGqpurYKHIoRial0V6GJz59mojvs3 vGu7JCrsvS0m5exrGTWhn+UTTj6ViKeVS05PtQOv34OG8WKd8DyEetSDvOr9JBEsIYLtM5T2WoUn +mM/JBPXIaE7lLwddhI3ipnbi6ds2qkLqXGT8YnnsDoR+0PEfBX5XCxk4ABRtJIfQQp8e5txpdtb RS6TExrkrlvFM02Icw7GLPotsciqt/7jyI0eYAl5Uvk5xJjQs9zAwi8JZ/M8wS+gvnSp9ARSCQDc FKdo1h+eGYKczLTQgwzc3G7Cr3GoXy8vZo1HNJKAYGZCLRhcLGnRGS2D+LpLDpXxDhhdKhMTnJmn JEqdCvCviYhK7Rq18jZHdKeBM3GUQiJUyKMr/Gj9fMHEBrXpXY9G/gnC1Mj5jvLzo215mVfbVNzJ I8l37EHzLoG5jD/3Yjhd2a9W1ASTMIzNAnsqmuePIuqZZZP/o77Uh8nBr0n8cD2TuBZW0fW4AShd 1tZy4Zf50Icv8dwurqpnY4rZMSoi6WcZAu0SmYeLVv6CrHxJrsQlrSBPLc7vbaL5wUDIZX0Bgh/s GZ5LmkQW5vJcJa0QF5P+2M4haWQNfqVzmQ1UZVLrFoCwuJzRjPiXdOJbOaBDaX/2pwGG74xQITkP Gk11i0kbTkec6/y9PpHk1mJ+7ml0BO3mbVUbgNgPa3UNC6hko5ADLKJQJxFIkNffY4f1LGrVb5hX QeS9dv02M/0val7ZVXBwrx+b94i1DTymEXczomPGI4Y76+Bkk3SEKBAoNDlpEsfGWo5GxrRSx+jH L5O7KyBxR3JkrcG7kMZ8ooZI6fZscZOQCZJRkduxUIw0LdFGvwn5NhRVN+WWOchrLklqCifuTVeR mSDL0GNNtStZOAkXDKh/ndzVPgRsMBJvr6JBP2qvQ3m7ZZjhF3xlhNBh37+AITwuVoPfQaQgZQHX VWy1BzceOkG90h1c1dfC0p3/8prMUTMsO42Iq2bTNPmEShxCmpGLW1ow6xAMHgM2xqlI1OmBDfsN gVPdWgvTwf8UZSKVpcNyb/112kThRRA4vKxJY+K8AbIqH/RMdrZ25l5l+HQA9JvHWnu95OljjeFh 4D2XXh3TyZlp5mJwaL/+M6cnrlQXKnJBbzFAjS8CukadR0NJwcbLBk61kmX4rEMqNTH0jrvMrSRq 8huZChCv6M5QhsF5O6Fm1yMNvusYxQC0afOGOmxgLrWNSgNVAzUjfwPQKMeRtZINntPmJK9yBEIw RCBbND7v0bW+8AMCBFSUZCpm0WllGzYQo1VMV1YsVQXAVMFxjBHMNjMRmpRqa/VgUxmuRpsP9C0p 2p1nzr2hkYG6AeBHomrfkGzT6+3qQvcgD4tfmhxwsq8i5z6kuzQLHIp352drMTHEypXR562TnFL8 RLcfL4pwZJK5zTdTAmODGzrDXzl8bbWui9qFcTWSe67sdL2QhFMfC5KPU7kHCwT0Wx3aKvLAyxk2 Zu/r1AxNV428DxgWa/KCReKoMasMic7Oe6vuKfhlf7VUheIFcvVUQi4D3eheCYR5cEcEUzbQANKP 5bXx5D6sVol/zXmG9kZMP640LKjNycDVs6TXiK9LR3zGWAQXrrNVclmjGanqRat+YZVjfFYbA0v7 gbx15S+Y0++z/FoGcfkUuvLsgHeQFRCkCNAKsl60mij0j0H71sT2VKymxM0OQ5Nq0zU6SMPF2x2P uUtxc0dfXODaWLHHESrh7gZYu9c1zyOwFmeUQmE1FmYkLvvenYgFKCB9ra1wSRYnhTXTeDAZI7xe zwUx/vw6Xg1GN1kUytk37y22rmu6xhUrEKjF+0Jp+pLzNyYnxR4QpXGOPcGd7EP9sUUnMaVyIW+q 8BpnqGsiRI+GU2nvMvQzpF8VVQ/bL1m8l2l9cLTutHIsNc6lrVsaCenL01Yb1DPS+TJ8vkdrUETW NM4qB+NaqMXZ9XIkc3nCInoKAfyT03SPS7FiBh8vSCsxOmi6VGtQJV++SEinisqzz81HgsamE4O8 3PGLN6QPA9P+QvplTMOv5jqKfO5BivDymmlNH654Yuz/CF8Y8mDPibXFf7yuTVh6BYSI2JM/xUAh ORz/RMYmnA4PRbE/77hcw4Jgk8SPTKzXrmofBOIuKg3EnjOyb61akgb7UkcQeA/wWp+6cuBTlDC6 pFRB3BLqQvEcjSg1YZGJ8vJNcAIrP6xelyVl9zFZl/imC0lyCZvP3dR53Qx4Um5JznYUSVYr12dM zd6j4FGg4q3HZ4UJ3LGv5S8rNYHtF6k3KkP7kKJmteV/rryrcPWvPWuk4hTgHHBIiYJ0m7x7uS8N 586A2Kj2Zl1MwlQma9K7w/A/2SOEKkt+4vFAlr/N8vFVqa2T3dkl3Pfc8UfuhTrCiazSFpqg5SgG ZyZJACg9nLBqJ+ZQgTdAlp2HZQZd4FbvMhpAG+/hKYn0Y+zD1IJJ3Utf7Wo+6gFkPvLzWJdrUd8c W3JupD+J2ACZxOCpKhSPUGRA2G0/yRx+UVgBuuT/3yK9L4VQET/UzaH2laCdTuNf2i+ZQNKsqzAE MmUJ9it7QmEwFIDOBmseIICcj5wCsoLQoCSnQ1nx4qEh1Sx2OlvJGyuKa/2ceNRnHbAPlg2SqAnX w0Wf/wDwy0dUnKyzpHX3qv0dUHC8hsE/RjGUZbKpsAWPfiSPeIAiuIsDH9rl0xZMpqEi9vCapsCf 8b05HVIVM6ZOZJHdTkn5XmLOgiABpa+Xrq93w/XNxczU+K1a8+yKTkONPzLI5S3Sx8tSAeRobeim V+TRwIOS3+0nUu7J3L4GO0M9Qu5/hbUVp/e5tRA0GYlpgq/4B1L3I/JCoKzdyKp9oiymRXf6jUtX VBzWrF+XaoBb0eqItL0h5inBOzyOyyAfaX+CLcX5xE9/q/QehoOdJO49JB6e8BVLBp1YzZ+AYURq uzlQjWedlGtxBQFaRr+SWt1eIHgv8MSmf5BYCuddxhZwf6/vZdpRld+mejLgvzRrbpif6TTBgNQk 89WBrCZRDwOWhs8uTEpiqDTKx2kjnd6xSUznrWRg5IEtFDXZFFnUreeTACzm7KMk9yMlQTRdrxzj DYBCaydF8FlnlzGuiVsE13GoxbfB7nmSFBQ2p7h5WDq8d3EeyJaFaRtu/yvex+vzhyEwjB/fVjKp uMKz7L7n1CW1bMckoj7kaFKg4nytFGj5qXIE2ZFmsfDig15EB8oR9hlW5u+qA+V0Tr909BiVStSG CZOolbB7ckw89gRa50v6x7HNXNVp3jCYVgoxgqYZiWkr8DxpGDjWW8FaSZcXqjtDjeoUfDCq445g 6MzRngUJ97FQDCd3cDbRdcNkKgKwvWksE4pK6Wme6r4sh/lDbcy/EAZOUYIiIb9K2DQhfG7Lko2c 6EM5TxpVdDuWbfPtdO8xjFLsTFq2CsIufeTJunSRw1U2+UQ9yd288UMAjealeD0t6TdhnprdffBj 4RaQcz1IE3n9Aif1pYgsjdABRNHC8HYm7CazcENGJVL/XhGBcr4KV48lvUy8pIWDTJkNHCiP6K/B ioXX88QwHs08UACvUqXQUrJ1NMVQ9fWOjZhmnCHKSfXJvmXETjHE8+VjL74iAdv0Pwe8M6L49MKJ +FV7vkFfr+kO3+/ShySwGv7e4KLhxS3y9m8jznQTnG0oRryn3y6BMRQtcWW/q3wCpckyljfa6idh Xld9gqCMQUGFzt7sE4DWYQFuG69ukD71QqHuxP0Q7dQ97wYATA3KHcNHx6rKvBTPOcpWUltQ0rbr x6g7BsJ1H/F+CeUC4H+CoMyAKrLng8qUx+l+/ruKocnXFDUCUBxMuBvUWcb6SMjfYdNzrDIa/h6B /D8INQ4nbbtDHPhG17CLoSwf5h22Gx+G+C2mjNfTcj/hBWcvS9tQyOJZpl6vCbiUB6ENiY1cmqwL iKLtajBVM1zg9jEhT2JrUByqdk/Dc3roLE0BqiLFIRKZqgfr6FiAxtOhCjWGjOjVXJsAwNgEMnjL 8KcdWaXM/t8P1wvQrDogf8+1Zk0ap4sOzVXdvC4XVc3gC5kBzyBBN/xhweypdZh6/2oibBIfk1lr BoqCcBFTP+qWim/qg6qVjL9rMpPyZ0NgyQwTLSzFd4EMLaxGglN3zsa6S6oPFFQEDak7UfcAc0b0 ABT80jgO4SaboRO8780r910Mn23s6hq5Y9sSI1DyDJALpOHD5FyNCjLMMNzeR7ZYQwkvkzpqoTD6 mM3zOZE+mSrMiXxYz9T2sGZoy2QO1xhur92FCOOt1wATeZbJ2jkLnkjWTVer22+/GUTvljT3orPr Sm1Mb5b1kOWFavkeG6DHElNycRgIPrzJNTp1ZfGAbL+BAFlxYdX+Va3hoL0tDwVWvmSlVJlU5gGV zpSwusus0i5hgri/7Whup3w5ax41Lu/o37QuWT6TLirp48rORmC/J0j7LmzVsQWrEBpKvGcDv4ns GcdVWl+swZG/TLYqtDz90Hwgi0hyPA+oxheql+tEhvQUKkBhVJOp5KY4HhjlpX69ANM3S1CSZqsZ uJ2GwZHSQVt314azbG8l0egrWXQxNbsiBzZ9jlL5C+w4xPunlwWkI4nWPvTEsZXuUPoqgt5s9oAl luQw6tD8nbSIPYpzbm6N2Dyq5IEOzAFKPvs+ly/A7verZbN7xEORqL6rrWBDZZlDCtj8VURmioJZ MMXTCcvsRlV/rqCND14hNNI1oBvvC1GrOjUi5VXsBrhaqHrBb5dzo+YJpM+mu6tVF34h/B+40Ulk OEGNIgKi0J5NfzXwwe5CqThYst7lGe/53KtehT9rUjVp1gVGmQBoH2GL5eMJdpWSgFaWP7WRWZEU SkhCp5gBFhkgtiP1ZsSYwMP+A7IhfP7d+GwnLC6BD4iMsnOJicn4U/tBpfBZB9hAdHwKebzL1b7P /4mHPiDYBt7C91w21LQN8cEEme2bc2V/C17JLya5D+KUTriEBd8pHVBTHPECsS7iWcUqqoiXIzK+ J610DyRXuqFzZvpqy5jeYjLUKB8hnGc1+Ec/YFE2B8dd57IXp90988XfNMjcyi9gX6EhL21j6Jm5 kACINvVGam7mdIXvyX+cO5nmWP+Fff3m3bligIBOaWGZuxrjtmjznroBHBxGu4z1Oa9zI05DHd9r Vzl06Z2KtYf3mw7g6whBSSx09M8JqYUSIk/7TpoYbBiUzswBZG94H65vNin7MJNXIm+ItO+SQw/I 6gA+IurIPBlXoF37nyLs+jIdJVMVy/AMX8j43LbKlyOYR0RClqPS8NDbRPorHvfxYxGu4TmxgCO3 aunQexxlp8VXlgcdUd2sKK/S1pmXTyxod+8pp8RnLMgSS+Kyz66WlpXOyQQgmVH5lSclo0d5Jqk9 TF915I7nTxz6JWLHfTPBRu5BZRmD194Li9pVLa0AqwujuuIuDaRkn/Wct2pH8pYcPiUNMHWvb/5h +B7d7w1guNSgmaIMIn2bIZKsrYAW6nY60R4YGbjP2bCKpmjEx0uJqe2SvA6iBpuWFMZGhgJ+fnKD 75KfPSN65k0f1z7soa4WCBMkTTysu4eSQxvTJi6P2239loV0sWWh6FXWwG3o+3SlkrLLVdavjW/1 iUhPJ71qFLz6a0SfdonnUlgzlVqX/aoERXxfAYCi/oZxErc+6mQNObw7ChT5oHsEZq5BNzSsJpe0 6zJj3veO+kHngyWFEycBtXbqUoFWrnh7XgaMaVexflP9/kG0fwqwEzBahzZBiYlaFdVNt7sQKJ+0 6C+uzdKzi0Wz5bnxsoYogwthKm6irJ2hngPqIBLXpQQz2ZHdWabI7FW8jd4wa6mBxREk217dNapq +qNmmi4NbnWnXV3HA31nEWq8NnKDrHH94YAlW7CT3B06ESlRaPwwnfvnqpz0evi1IQNkNGVwm2IO glPA34JoQjxXhIWqOThqMF6UQkdZlpDjkskJMHXHW17fmsKr+MENwF5ruQPOoeYgDHoRsgKHjZa6 fqigSDc9N3XVJ/v0eEdq+UxXE34REh+HBuTBYf7vzkQHl2ycD+m9jzK1I6wfWw00BmjDoGsBX8An 25EDUHYZa9SVaYrws5wjg4uibF+1wFcLwRbhJtc2K11Q/4WqT37KjK5k2g9jpQOZ2928IEhjCRA2 eqV8O9pRu6AO6Sum7QwLRu6vFnQQdJULNjqlQgeRFJGpIf6i+gaJCxagDvZDuUgCDFU6Fz99hlda Dg6J5M+8JnUxfN4fee1jKj+SFYu6mI6LGn51GKM3QByJTkrYPS2tnZ+PijlYmA/VbtIZpYGcfUe2 wj4tclQ/mAzTyXTFs1/oqCIgQtRef1ntEC7GDMrXitwOl3T6GwoVNrIJJde45XZ9J2YIBYvYokzF 8cmnBXAcgbDoTiRG3uqEVn9aWI7bNzQhN4hVGUksXweHobEbQfSt+Z4BnIxOsx/4NQVrpIs2syBr BH0LugMaxhz41TqW0INi9VG+3YKu0Y5tAfBlrTGJUx7aGgJrg2LJPu+RvDigoHFObdl/Sgg7Almr AAHF/e0IAIBvxO6Z++1KJiES5bnNXoXy3H+P6wf3qK9UonuoXTPMK57bLyDzUXUAJmUol7G12aBH tQ+0IWA2EKp9pOeNv+mtqxzSjzhC454+nDncIYEyj5qu7mfPMUTpIEmq+/4RXqbh6UdmuLXxIEfo fD/pd0fsRGe8GWOHT69n7dh9zqc1gTdm4fn4mjBMwWMagwh/9UMIUUw/Oe+HArr41Xr12+ib4aBn Lk/Bc4SQpLJ5eHV3NgA2A6dzNFhLCFA6GTlRGDpsrgsykZrrZ8b2sCG6yyFOSl5iDl8Mxhfx8PFA 0JyH3mOCohth/4YpE7dfq9M3tctHiHqb9nkMnfmyf4b7jEduigiJX2rhYls3LiDKgmRUIS67Qf4x dvNK+v7MgVvBp2Kv32EbY83Ey7+Jho57rnI+xwQAWRsBj5/CgPdB4C+ncmQZS/jQvSdBqJ6DoiTy SKeYvnxkbk/Jvb6xzVIG5UcCO5c/RlvKT7buSFcVTokpjE+kghzrF6FZljCmZo7JHskdfZj2P9rK 6iqbqbjwLsZL/lfcFrJt2DXgVKvQdQucRZOXeM/JegZ2uJCeoesN+yqrCIvsTGyURaBXDlc/UhEE DBxj1YMqBQIA+IK+VwuuSg/AYC7A/J9V1RZ9WIJh/6U9LFR54qaqB+32FPTKDhC4fUwncWfl/os+ /HBFY9jlm1V3Gi4zH8gbw40eoWp8YHMOBEt1wvGbjTtHkQC8Nm6d5EKi0fBe5wUFKwOVDkD1MiK+ j8makjyo4dWmwV8vXaS4eX0mU8sXD6zIX+3sMSbTZmpcjMvyolvcR6PUmFqvz4zPeNXmy4gqXerc 1Jlw5hj+Asov/POQwdt/YvJ6H9knILU1S7TDJ7JQhan0zenQkVUag2lglrK3Y46IgfA8Gur1DrUu RDM9TXwYqR4FggpdC8Hy3OTYQaYv408QOayVK0OHHNvG1mqTNFNHvOXOdgkwK/lIu9O338wVzS4v 74qwTmYbb1SYAoRFVyJ6qyqEptZvRp1RKWBm4O0G7yX0mU+kiP6fRUmApOSf4sodUihTbcdSC+xj ToIaYTIN5mbKII13CfWrZI6QAf/uK3/hXbqY+Rim4vEHTQo8MQKN6TihlxpBlpG+tC+qegjA+1tX qUuWvGQ/3z7hNGF+3hLksW64IO7ln89AYciuix4dsfzF7cny4iLNsE4c19tDILdC5It98E3eRtVY sBXsP7WH95HOnWKXiKTRiuXtJoI5AnZcBCcZ4K5BC+0QUy+/0ohUyZl2edQIaXS0q8zaz+3NgOeY dOoHBJYgLeNApFyhxpTpAuUcIi9/Gj/sNYqYWtpib59bd6CImmPVSTr5I2l9jEOQYMAzkuQwC2Th rn1WNhp5U3PmkUgd9fbLIFij4YzL/BGfyZksPWYxx2lyl/9pA42a2KXHE5Tz2iSRgDcATiFDqyhr zbKm3VLSeKMsNK7h36YpY4wqpmQAmNAXCkDr44h71nSw5MpnP5Jr5k7c7KwvNun8L/ngPEFFG4Gy kpEb1607Gs8R/Z86f4/JtYIO0255I9D1McmSNpbwzCugJcIW1ZFKHSxP235hPUt7DPrvdo5uu+po QicFiFWg5O/+ZVs+8nYHHls8Tzva2O+gkf0nFnEozcyjHmNg9JHBrsCGY0AbV3nHJxjqGKAf5Nus db/yirriVmLlovD/orJ4s1aqdjnDu86MtsaDrvQXvPb37Tacv0Eeomkhlj/njsnnDmXihnLx6QVu P+/prqxqeTlkxUf8jcyHfAahMQG3/9o6NZcdB1FdJ1y7Ghpu8hyyQn+mFOLt/ZW1dI4ByqPuf7uQ 21QmhhUlk91riUGBkMSJgHjER/8k5LBre2gA7K/L+zvH5pqtGIgbSDNB2z4aStmaDbWkVPij1KU1 +y6nf780eD92Ps8wYcMcvexWYD4nDcsepWUszw/tgkYvppSu7OW6b/MHhsjkAg7CDmiNvTUy27F6 LH59O9U7Fs/+W3hW45fQEUWRqszzL6LB8syKflXPg0vQbxUpL3TqHwbWJfyORkq2e5Zeh4wMfVhb zuQSY5Tw9L1EkJDnKkz81K4kTXeBfKGAr9l7xPCWA3AliJy0ZhjMCm3WSGjg9mqHiTArpEifdevg YmmWg0pFXAd/uBQ8vMC7ju0NgBVbYrUlHcal8XnxoqBmO/qiR7orbI3KdfUd0/hsu3clh2UDYq/M pXtuQrvpOhGy+cniinY7gKmXCWW2HfFS0e4fn7dpiKw+NfuETVdGE+Nwp6UM7G7Do1T4RUErJt7z ribHwDgqGQEbdGLI2dY+GvPjOYeIIvThmF37SEFQEJraFbaYj0IKL1k0KtTIVXecERTyasMzJquZ Wk4g0RvNIZdZAF3q66B4Qf+Unjx8omBrCVyZTzM+7jqbIQVRo+N3v678OL112donAc2W/2JLngDq KBtu40g2s3SRpDQb591Dxld69wfMdxuX9JLE0D6cLrV+3w9sgeME22a1LlcGo4qA8eVkgQi+XicR iO1LZ1Vt9msU4lJUB+N63PU3qd7tAjwXO/pXV5zHASB68GEQ6AoDIvOMhRWzHxlsf+bXkrUXeq94 KnjTPwYPWwkBl9Dy/CB1WdS1JYQUg5BlqvbBzEK6aZc10/rx6ZhV27hCkjlZrZkpcW6g4sZnTsyL Wp5QBOq0/to/KSV5wfWaXuIPscfEezRbwvcR4jlCjAWL648laBAYLhpEWaV7SYIhjZ98eHoyZj0e hCmsiADLbrNbTUhFsiOYMC493QqY7bI34PWuMzScJIAIzKZoh4jg9AN0VDtMQMfZPmDioUK8VLPl f3ri1Nj9pdQAo9jP2afeGKk5YJ3/5lK4XE/9iTF0w9EJe+w4RnxrF/+/dZHduOzjq7gplsql9nCw Lxhiy6HOejFP7w9lWGe/dZmlHY+9RBvhMS7r8Q3CnMc41szO+J1BMyHOOzqacjWOtBONVs81jDxM mAax9gwgt67Z/hCGzDz6aZzP5l6tQlksDURvckH8Fo9BxsP4GNJxY5bA6xfIJXqQ0PqccuksuXoC PCw/DE0LOoRdYpFx8uX1RCNfQQCwbRVvINuYK8g/UtOB6F8hhBW6fNGxa/BVqsvMx9tmwh+aV88S 6bZ432SKgM4wwgNvWj37nSFFQfBwL5PgyUdTF2ApNIfU/ZfY2ecX5jSFaz4jZlmS2mka9YI8s6V9 H0cuwdG9IfbCJxUjKRwRVCOF9U0X1vvcEhXZ+33Ef3+41DpNpH+7Xqna6kzkzmaao5nQvIf+LzNg 55DAhrcNJ96o1Tg2vMsfJvXpYDVeB8N2PwGBA/aLLfMA7XCw4c0/gJj3oFTSF/funeOrAYf2vdEt tL9vTwGqvzL3qmrYi8HSfUPTReYDunI7UrTjqgbCUssFvXEjzsog/Px4bpa1zCha1YPvPw2Zw9S7 /0kmt3dO3lx35iNdfrBNSn7qC8TYd+++Vo1XP6q7QBRtRBJNgtfafsQrxZYgr8IvUzgovolRJLHA qUGqq/oCUJSzBdjHDJ+htg1DNZ7qkJSWiNUDbz7AkTZLBpPeVcsZ23rUQdFdCFC6AN8ywXYNbxrj iaIA0kg143annj4XP/A//xhdt/X4+lKejJFY2U+udjurgsEbYwX6M8HQKvkrDadLQpjRVCWx0tyY ceb+SAex1Uv/VouQDf5yNYelS6go2MnmfWfXVUb9wOpAdWWQ61OUFhRsDCcSdwpLynGl7e33cEdT hZijHrSA5j5BIYqLQbT4apSfTT8vE58QBAKqeDvO6p5zkrw77TPFHM2pGOIaabK3QFSKq/cwVJEU fbNsJvNh/mVuxjZi7GQ510lica0DkMdTFx7WNtQreHohHluuUiMUT86IWCAaPSJfFonENDTICckb T56Cffs6eXK7+1ty9yQdfEa+F8IxI7jG1MiQRtiGVc2DPisPrGOLg7eTIQTH7rOiFUfQDBIquq7r TtirQ6xo/uN/j/Ab96Y2wwqu84cmBPWhHdcwPPBo/yioPyRLBZH4+Gva9uJs2/Aa6K4579mPN+n4 4l0FD0IrurNavROF9uy7AMm05epo7Y6Mf6nrOaFuWhGC1X1YkHvKq0BTJ9n6zHVn2ooAXgnLHDsm gOGgcg4UyzJcCYFZs85dKiAaxYP2P2JFx9lxWbOEgW8y3RP6Zo03NepMWb3vU+X+Cr6BmyW+Jg27 /WSKCRHMsA5ojWGVvLvUpxdqnVHbcFajlX+eQyykW3PaQ3/3Vwa23XJkX+LRvzzuwrU3tjntUx7+ 7PW6JUtvGerFXyCt1JJ0PY3C/FA9nJZve7xnnWVYEu4/lSSpi3Xu/cJiLXvgq8uUuqELqMhllwOb P5AQ1eJwi8Ic5XDAtpLdI0imS8uxSBxT9M7zoZ4hmTQc4kNV6vnoBRqnVS1/fRVLYRm4BiyRwNOE JKaNz8Os02/Ol9NJgJM3M8TuAL169arShUA1UHtDZ191EKimarTJZycwaQvKtkWeJErEiJHfySV9 ExWTkZYh5GAVvIDvEt4hsnWwUfS+lnVYgQwfEC/uEsM3O0br0ZxmRYgDj6mwHH3+45sm8daTU8mj soBQ0dXTwcJo7UdXMWMRDFhOGl5TDZRM0+ZpQvMbbWTEHdO+kPVltmQ/HgUkiYo3tDZIITaSl8iP TLEwR8tnNQSog2gCJetSIv7MprQSEzi68pRgcEn/2t+4RdcWtHpaGwaFmfltO6Gwmcj+BfxSNNOt aTUpwuQswJL/sHA608SX7MshPMh46DBrXm3vsocj/pKWmsf8+7cT2q9JQq3Z5rIMCZ7Wqq13TR7q zfpDJ1oV9dNVGSlGZf7mtSo4ltr9gUlcGZJW93jmOcpqN9xRop1if65xG8e8YRBURNAmdEumL96V oj5sgxmrx9vgiOoYQ81IjgqGnGvSgM4+zA24RpY/Rq8Y5shOvloWN6zh6Mi/IuWza2VXAOlrQJV7 Fjv8U/PIX9TfCdBEpq33D0XgwF5OOqifvQ5TAeMGlzZKwUeVWI/k2vUkO3QKaBgRE1WxJICFUtgM 2dl6pg5TQr2LwQc1bi9ti2mwgRYGe+Rym2A9kT/oRNwGhmi+2TrDp7lXFeQoqZQmsiFarU2sYZf7 gcx+7br5o184BSVTK59G9eHyxKp/EARIJ7ovbYrZ8UmOl47elZ1Nfmjz0UB3gOp3+cF/hztm2Z9W JaPZhrRjd1ZdlhJoNtQ2iwwWQve1y4HZi5YUqWHO8yuwn6dU7GDr0FKfU86cPpGn9BHN3IhCKmLJ UwI9u9y6kC9Kr5iEw9y54F1QxyOW2EZcqTnFOmMz1G9oHbph1sg0R3NtT6gqbCXCRDkFmhDZtEiR adx0xEbiErUoYNfYNsO+nHS0KGNgXGIn+Y5B+TaZvEnWWEWBNTg9vWDdt28OQMGMW7LxJgM5xLjY SWWyRr4e6ojA4rkFPW9pzcWMnMsTb7tvzxtvxlG4skpIcgZbrtr2gI69BKzvwRWETFwsdhy92D5R SXklFmINkqZ3xGZcQ+Xh6kyzNBYnPXZRE1WCNc5rzyV3BNQ91d8F3MjF7ndeKZJOt1z1WP2yApU8 QUBuf3dxewdjzSvv1/BqTBX2LGC7J6wJCcBQ7E3O6Fry7pszLGr9PkrEucgmf8H/gjbkU9sYngmh soH/Q+phL+au7jzowTmWR33dbFXWJTJletUDnSEutbZv37UexGKoshos3I4PZ+p9ieTkUQfvomHi C0yS3yZ5WutoRMCZXWLbMW/8EbHserGB9hpqTzWxABCQD1fkzS0GdOIrmwB6ITkh4V4IYAPyZocM DY2G3aOQ9C+CvL8gqOJu/CojSmmZxyxYUTEEfOqbgKd4/RnsNARX9q5vPFmNq1Zw+IaOntCnMPwE 4KBWRvRqQJNtwMDQ+FaUK+4cAj/GN4XIlnxlqGZOKVxlysbzAQA5U7o5jNce1d8+EF4Pt1fa4TP4 7srB/FR64Ktf4zaE/vlk+rKsewLxNMafTgssr6h8VfZLvlnIQDWvln1/t9QMa/gieFtyAbvj+Zq5 cxcc8eI+Rh7ApTs9fjbnB/xNwyNo55OPopErsJqSl/gvObI7Vq+8iw/gVGTQkpVidPogoe7of0qh 2n4ANrh30jonpLP1Q/MbT2qJaSAZctcKUYfyUHcMyC38Tfv4v1Kn7QyHhO+PzamhrItl/90SsGLQ Ejrfb87s6X5MtVmtAaoriJ9u0hCf58pFga24PhL9ueW+SN0Qm2j5/NIminFlB1AK/oQK6o/kdgLr otgKWSci4BYvJg/FkFGUBlBADS4T4EHPGBwKG1WzcJHwTPDh475zGNWA/KhZu3wjmnixA5K0IdbS 4tBK3AXFBOcRXtA3Cwj0IphmJ2guO6kT5Lyq5YX4YPJRRxRqQmLJ20+6sUL4p26LS9XZnxlXl2bQ DX7nmUxxQb00GcQf7DDkbyxzLUp+XB2VnnXDvv2qsJY8z94S+cvGWuvR2IpImQ/7XI9h+tD1CXyg jXqw+iUXc6j31ohRNZz1GfUJH7i3jh8Ybij92r2MreUyp64iBWvKCAGm5XWHbl4QCcP//0oblDgj xaHh4mdtal2UCz6O8FD52sVYgB7XM1EDC62XyBZAEO3xBxR7axOgfWQAgIPphkGbXog1g4Nuc8zC cXdkuB6kjF2vhzn3nhPcpjxiV0KFC2v7+HFqHKnmdccwnRYMd1wIc8wQcLOT0Oe0k5ofEx+WoTwl rDy8WEzMLJ7rWEzYdg0px0teblKdmL0204Rydq0SZuWpSgZpqrW8hjqKYRyfcEfFmN7OaMJ1rViO ihSOoyEtQORoZMSU0RNNvBcHCT6isrVW44CReE7PoRvSrn065vZ1AzcHMyzY0fhXlnlKFc2jCJ7W X0Mv/Ejowezon18b5V2stadYvUsZpq8o5Qo/7kpcpOQZzMadpz2is1mE6p6rtwuqP66jJz//LuaC g44MB61we4bivA7pw9ROeEclguW94zkn9x1jNNDijlViOJ2ijBhKrWOrSBY7n3EZ3buqqUPKdcYV Syjs96UNBFYKz/RV+Ilvp7HAzp199lbuFpJvmaHMTaX8MeJDBs7TYcswtJj4mdWMrDiVwnKIaJQQ d/qzB+SCjkG99e+/iOU5Vk15kTi2nkUWPKmogXMosNNFEheZFaDyz+/2305asdy0tyDflvMaK3FS iiEsy0ienh0Wb8RQw5fnYThjM3q4q6jWf+hoyjS2WDiINLYJExNLPXHp8vEgj3xLmecckTs+G6oP KPlCA5Jh4z/SFQzdCC+/khWNaISqU/kbymzXq+q2q5WkrB95mnuxdBobXqP+jq4WMbJoOzhG/pJW bLX0kzbYjLChi/IvZw4sLH6TgC0HQwMHEd/EepjSxtVAYJpzEqJB9rvu8msDUMzrNTIivo8f2z/W ULHuhCZ9iIJYQ9s1ViqIW0boyQH12GKqXMDGIjdUTPjdlvZFKF1VLERSNYaKM9CGICtfh7f4oBka O+lDMEy6NKe/M4jNBn4VWw7q46igg/LKrl6VS9nXLK325YAMwNj75ytAJ8AMbv13m1fzaThin+Mb tQ9oS1OOp92v1W1Alq4TI2RpsztcnxyOYeSmKrR/m2yUtmovml4/ORV7PcnrqBrUw6+bmioq6k7D CN1l/z7P1oIPF6hAGmHYEPzOEvKwo5Fn2HlEWbRpMCTXBjKF+gtq3qvghKSYJcQnk318DjSCYFWa G8NganXetMB7jrhg/D7vuEW29XnrTD45QURhHbXlXQvY4pzzgWhNrsd443pMd1G7ZFkX93+0RUsr ZJs67SoeBMTOlndANd3fShazr2Ng2DLdKoOU+PkbPOEdYi49GHcVcZ9OVNloZZkoQTT4ZMLbIpXg 7PajP+VbYfFOaGkXM8oNukSzYbcEoCZiStJofX8wwApThLxXD3fq7QgFoUY4PqZqkSkjIbzN96Hu +ag/JgXpiwl28qlCdwQlZeen3fEvTbDQyFqeE6fQns6NuBGIEs+LphoiVNDrxZASoc/AKGRNGA2k 4Hvoj4s2xqt5kSTUMtn2uC+SM7cWJ7KKL8JHsW61oAHYJvAp5APHzQmndB9nSsI7QZUnhPVli+jr VufjF2hZrr8bNyqo/8YJS5q9JdPdxyQ1SaB3LvKrF0PBT3Msgyd5XYK8ChUjmjgIhd/FmNM66BTC OYHaqk8TdrbdqEm89Zd1sDSyivUBz+PbXJ0NBXTejhgLBfFEyEFHpfAK2TnbKkL7CxW8KMtaToEP dk01ngJstayFUuiNuNdDhfRg5qUwkVtgeq+PKxJN70FPYawpST+DjT6X8IKl8iy6RSC+Jkg8tRo8 oIwuTHe4YoD3Zg62IWzGrT751xsUOik5QVpY1+CLwWX7j/L7Y5WUeQzws45s7r7n26pJOFipztvc i12KHMP1nDEBw6jZ1pA+a0x1nx+ytUcqFIC74/BKxLm/CSOLGyfayIFteUHIdFIwpxp5zysLBfiI 96HzKgZtDAskFfrE+sxDtRhMl/iHRDNs7LEPi0RmyMfCe5s34158JUBB6drswmYkH6hq4LjS5Wk6 xa1GPrbL6+BxsDm7YVP4QQt0rpPE48keNzj9en9MOECXdWr6bfLri3GTmMfTJ419zkzRNSUYGEvn nOFvFXjgj/tSZj8sRqzTflgp5ogUC2i5l0ogc0X8wrYjcV4BtG5x6OJtPSKXRiHQyWhOkTSzp/9h 0Axidb9sbMtK5DND0RDk6rpaOyt3O7Bz8lsVT3VGzbm+2xTHbQgzBA4Csf/HyB284mqxsTLD6R4Q vwlN7pe47Txo2bXRbngQbrvAyOVM2zH4gAaOZC023cizxObnjoaIX0692zo6MHMqRhVAjj9gb3+b kDrRkdH5UEzunshVv9ZF/YAJhYPaZ1On3ZyxNBvylNxR4GuXHvB5/nZHEhGkS+kElJInVg/7OkWW 5qP2jgDruptlH68XLHNJeLJ+HLK6mnx2/dZrrLjdfmADq4nH2VFXvE3Ao8Uk/09jYNKUMvNl46Be qb4TluIdLa16IACHz5ClGgWyC+aj1IvQJKd7kcKi1k2bMj6atZTFbB4hsdJRbC5omFlHpvENB7f+ idycZPxNK/807lhT6GwE6GlL9sB0D2lPDoIjTGsOVTKjDunJWuPHRABaulOWPERcQbBiM4tDEJR7 Cb7yOOcDuDbSzsyc10CrtmzFjVqtgsNROMHOZTCWZcSCZXkIhA5hwUuamLPuGuPHykH51EQb39gN 4LMH1u6d4GFsNF2/qT4fmjwLinqnAnTvqi2ALKd91tG28k6DcblHGIqVP2AmWaCYxzVjd2BlaMR5 evK2zRNH+Li7OXFdarsCK+X+vDsxmHWbdhO3B/ClwN20wAKvJSG8Y0I/1XQWq+bsRIwNUmX0jWpT ZlEBADIiWFfrUqdkl9H2rtTo9Y4fzHBaLcu7HRitUXZcDEFcNL+JrwxOAOiHmWG0TzJ89F6/zqA3 I6Prn82kqfEDg8F19OHQKgwGjb9CfgUuz+Ay3S5TvP2ua1HCKBm+Q3r28Y2ra8XZ8ENXo8YWeZ1h kkrazyvx0vsz+l9brtYox+4FutGuSXRyrg9DDQa7l5KTBiBYg5TPmHsSyF20QhKSVPV5SJvB6Ag6 KmrMGOPwzujpk6lxbBRdFvYBwJnGl/XyNWvSIQyxB+OHag38BqjCrL/pqzQaFEe5g7n39EzwXAY+ uDpnoz8a/InnaEqwalTVCtTVcjZZ/YSKqah+mVxkiGFL3HjHHltx0/jAJbIJcEvRQR1rOqnfZl1x fW3sI3ctWn59Po1vHV43lXLHGZAaIdeHFhuOWvA3wgQav6rItoluekoeQIlcsfU1DbmbLhR78UMV AOrq5pv4l+tIRXlghDNnsPgGls2SdPw19uJkdXjQGoJ1xprmQglxjD6EEZT+2HvQm/aFs3XLNgL5 0daMr4dIXNZC3PENzITdvp7LGvYJCz3/UYQZZuT3F2KUSe2Wm7M37FXLxFPhIrkoQJA6fcagJ5Z7 xY9/5hsuxEmzPJAwUnWkCp+dFu+jiuYTKt/CuMCx4tAF10c931DyvGZtlUZFS+IvwI3GNfQC3nU1 aRaforLigrNnw4kfw4pGtO0/1y4nRS+JsrzLyCX3Pk0R+Vxt893VKa9wZSOm1Ry8XD+WtKMv1c3l f21Nd0Bm40+yKS+m8RdlNXAU2Z7NDML4yUNSNM7WoyKS0ppas/NCAi1M3wlQm5B0YkZk0eYr6/Uu g5NYcRDhl6kwe7cB8WXpwArlqcGT1cJgSvUCHPg1Xa33ZXW8Xv1ka956x1GeKZL/V4siu8WWG4XS GAF7rWFtHVzSZHy+ojS02IDyN77vB9kDWNoi1VgXCj8Wf/gyRlnDjnW7/nXPtyrDO+frmKmbIlmn bUcMdRep58L4mlz/s9pk6KH4f55ijHS9TluldU1mGRLa+SmuVkSrwnjpgKDS/kbqC0MIJPk9aJKN 0LtZsrEcBhKD2k2my1hZB9l4q/7qdz5alP7yj8eB7f7nQoz7lsdnVBZ9MucZK21Ey2ER0/qG9P4A Wv03JUGkLLnO1Ittcc8dY6WWu7KLPFlPTmmUUL7YED98KMj0Y+L4lD0lpiFKCdHyWNULzWKox4Nw sRT3Erz5ZfTt1+/HBHXGo9TE0jEMdXEBWsizqqBc90OXA5MsDPOFUfGqY7LEijKNLsKj4+xwDHXp HkPdQlth9QKP6iPMRpKuMoC1TwgmaQGDboy+ZkcseeTwqjYf4PeEqAunKRjCb+N5B3SXMUF4Cv01 TtaflUUNrA18kCR04mXqHWyfD6lep837I3fiFHKNkeerNc+KVTFuW7n12NNSCdk7gCgTHQcnD9rM JZ4i/IaGOMa1vcEL1/bwfxsENVE/bOlBcgxaLdgLR/LN4oeiIOD0aWV9GW7WCvz/iSiCaYbDapHJ pJtRq5FU5AEvZC9Fxc3VBsizGKcABxAxtZx/uuDipkAe+8IufC6+xcTl9GQCGEUB3V3QfndxUwah fCMQl/Y/PvQAUZ8dmcVQIbP91EOTisOYHOvmiod0VtKaEgmOjmldzg93zpyHDmpmC6flJJ+CdXkb BB6VF9APIX8eRxRtopuNcmMRGfhOFbQhFCBV2DpwNkIKB00hYz8P6DiI5DKST91O7w5HsGkK+8rM wY9OaeZJWZqV6LXBBiyuOVaxgZOHpcXAG/hvwiwr9XP7xx/9pvdKpXk67diwGLbMO9SfahJvv7k8 eZeQI2xr/xr4NE7MTJ7swepbDzGcnDKEGLlcRftTIyZHjM9sZSbFI05oSLw5x7fSepCBmA0yKCqS BxIu4J7xjgyIG8KD87wFtU/IeFZg+eY5hZJaInOC/emYFzyAU1TLd2NTZocGwwj0vjRCJPff3Rjm XEnG90KD/0pZsUKliPjNSQCAzq6f4hgwogYdU4LcrbAwrJTRFDv2Dniv6TubCdf2YRWQaScJUEpD Kv40AxMUo/1D9hoRinuVSvG2XB8Skwykhtm5rAXYP4EKsOH2vLavwxX3JbQNlpXenqq14d9JT4Qk FJ/K81vymENaDZPaVkPsV0khAfXfC28YuJb9DpSjiAzbgfP2U1IANo2aQ8bpgFjbHzuPcOM+GIVx z2sv24EFHvEIaemdh/o7eRm58VRl83m6ocEBbW7jfzq2VL7RR1mhDgsfG9tBkl1B1qPwLc1v/a3T HOSLqAmonlro3N5M4Vzt1Y22u6HVmeAnZvYogeV8TVxlyAnpNleRAj8YgWcyCaSnELKP/ynSjYx/ CITE6qttdt3NxlHC3j6fRki6Yi0jlH8dec69ibd9gLf78uruuLcrEL+g9Yce80gyuocZ5YqNgfVh HzaNDUfJWrirGPWQ1le6743XkYjkLAzjEmfCCElZ0zujxD4SYQcxMiF5vHDvIp2aW7MAJ5lE3rGH 1Qmw1fU85Z+eXJF3uOS9FanGXGVLEchhVels5G5FZdtAe7DEQ20ekSGZiBgqpBM938cCvgPbeYp5 QAKoN95UEwoQu8xwQM+LsPwcT3NmqLtp2jhhl/B3bowiDKHko1eE2vCF8gmLleq53YZ/MqqbFBoA Glux4uT5dcMAMVusYDIibuUDnYdCQ3VS/c6TWicP6xto6SwmGkLayeElNRO+s6osWm+1jQs9ci2T ELm2pS3Z0xdzJd7d99ZZFBbOznVgEEU9YxQCPCWNjABXli8TxDzcMsOWzUknfQxLHwHnRhiwmRJC gop8gLIKP5hbhNF05GPnP4WVxMTyq3rC8zHGWLbEPXLc9mssP/fIr+hySN8t4ww+suOvR84GRLhi v/DSFG3eWCpZtNmgzxDv7teA5uLKMSvm92JiA24lc0AdbVCxEu1PkPMaJzPbM3f4rTVt23p43HTP KG+vIH/Lt+0MwnrbY8WJHerBOkb59Xv9z3N7b7XTClULVnaQHwpHa5F+/jYeYySkAd7JIKDKKbEb inRF5KWUKjXpG3vhWvQ5lDh0LAbsyauLh9cljlYdgJqXXF91KT1lrZfM7yKpExtIyWAj7Jn9Rdvq JCSoFNi97vOgNGjaSdwUYnQQjw1aDuk6hg5F71gnvjig+hYd5IfdVzIELsv1+h4yzoA8PYr3Ptu4 y4mZjfyzFL/v75Rl+Qb0/wM3rrm/c6wswMnbsumFqTgixh3x3SfixNm8pHztmV45aCvsDK8Jl2pa HW1XckbaL6Tp7ktxCeKE6I/6q24OvX1P9TYn2qgvyGYsxFPcjzsKyoDVN+fVCLp1Rq8qyq0TYITw ObrrXCEx77w6TixKU2h3qQusF8ShDCsNDJnswBTc+TtMPuWF1H3KiPtYnfUkEeiARjSY8zC9UVTk 08qTxFaZnLRoimwp2DTUptvJ6kfS2f0vwUwk8CySKEofJbcOOn7greBn0/eBq+sDN9Qbj6xoq4fo /E4/askOArauIpxwaHXesJlHFC/jwODVcsPT+pZugbhBJudHf6V4kiPZnNpnO1WaT3e0cTUMAuW0 Ge1Xt+nTbY5aX7tZuk1ywLw6TkbL4CV/4bxXNG2m1bQHkm1Hr9ohdLvHvpTVBV5CVvKO408KJtyk ythHBdxvHOqUYkaAU0G+Qiy/eI6GBRunIiCO1RzOqYlNb1CDghJmqBxs/i0aZ/jeKCxyQdsK26pC 4CYewFfEjzbsynWN7nlD+O17uX3XMcdWGWFYi/DVaeKKgALbwqYVEQeX2mPvZx1i5uqDCUL9GM/S hQVtFpgvOaMpgvlnMl9tpNWK1yB2dC+FkcbsDh0T3NvmayGyvehfnbHDJFEL0kMlWszny16bWSyO GzxibjTiRD4PKQxhbCG21NQJz+DirTtHg2JS4wYm9dSlVobrmXdghhZTudIzFqL7bJvtmNeUaVLF mKPlgq4ePX6gfVCxBT/cyXGjgrABWNVykiiPa5Bosne8y9zsOLy1x+CcOv50BJM5GA+VRcZdkNgt si8whKefOT2cu9OyCVLbjQiQBbW5HYJrj/KUKeJNyA+MIfElF2xKW1JZPQ2N6CCUWeWL1aT0cnz0 eenxoPxYDsz15DGMaEgK7wBXx/5bxKlWRwT9CHzeejyh2u4rbg+5ToiCxZnTvBzSkj2DnfUmE5fK EmiZek0N4FiZsRA+dC9hU2U6Dm1w35jl73WwCIwNOLLUn6/ldySk2Ht9OjnqP9NMQaxnOiEdLdC8 2Fj/Wmq3qpwmXWBE9Pppyja5+gi1njqKokqeX8DqEZeo1vKvDo1Xy/ewYIoxV3kuPEZFMXWIMdVY KfSLGCp6Ar4xkcHXGQTOV5wgDwCXBTHzu5Se9Gb6Vtcr9RXj8dnub0Kv+Fd9Cj1JEWm2CCawzDA1 qVO30idXfhmKkdZKsIUYHo903eqn49dAopPz5RfKVod1Siv3A/aWpJM34KegtBqXh6qLDCp5fgXh 3A32PXB1eEz1vvzeAdOScu39UMHNGGJQhKm58TKk8Kz1Vvr2+0zUfJ+7B3zRAyyh/lXirSehE4Gz 3l/02qRKDJyqHjwr6B2JpNRoLb29IKa/CY9q1UjP+Li4IuyIqvAgK65Zzx0KXpv7+7iGHFMXQIJu YZRmxFsm3pRE8NC8EmYgaRkCJjkkiW4Ue9U9EarlguDZd264yBLB0S3x1s/XLtJwJ1QqLjV+QZQH 5IPHT8pbbV2OFbNFT8xXfhH+QRe1PR1G1IltlH9XnM6H3x0UyJgAtW4xBPPI42FJHPKmM/3WBTrr cedGGvOvZQmoyrweCaJvBTeXT4OzAwBixTEoed71lD1pztozRT4pvkCkziYW+jAIPliyx5+G4Pw3 9D19e9jYRve2b04HOy4OfOpE+T/9kVtJHUR4AXLNDhq67mrLVotKMb/I/p5e/k3xRTgP7Gx1vGv+ 3ol/HphUxRHfRHQk1uKiSL1d4Homrb7VAMupgVsyuxvnTCiFfTLCkBq37rv61f+FCy54GbXVK4Tp 2dZ5QwI2EVxkkgCrpF7nQklAlrT0GtSuKahPQciWTVF45RlsAAnwRq3BIOEc76vliylL0wTEAB2I XIH9gtFKIXNV7C9iqtXjreDPjrw20lpRgTtcJ7nozdorIU4UPfpMdxWxaWUbgeyX0QxDDyPfo7g0 +fLilkImNElyuq7uOH9Rcab80tdGKfwYy0WcW4x4yIwKhxGWH1VMWMNUQZmXcnjP6xNZyL0xCVKE ew9rsKUiqjfy9FF/OCTrO4eQXxCmyFmqVD4gTCJzqPPcYHGWWfAcRztVpUQCMr7mcc7ISMg970D6 H884UJMAHz07KGtKyA1b4AxnHDYVfixtLqWrytltH/YpWEMoY1/Pq1rEYdlbXwOxOFU4TS+bUwxx lOVBlG4DZhn3MlsT13eOduDQ2EF8DXqyn8ZHhPlcdqeblb2fZUTVr38pqXzQL8AU+Tm5oT/O+tfX +1t6aij/rMW3l+pJEkwrw3sZhe+h4p9Dm4k1zrs9F+q1sYZq667QN1hlBjA/wdTZ+Aevo+9SEyDa z1mk+sc/02S3Y+12hBBzeoWXztNqCAaye+MkDRMubD5PYmSgNfnDHUIEsxwEkEVn3+oOp/o0b2/T Mc7ezpHzpPmajFX+z5c9/CrJNVa1So5E72FLjX44bCWX4Ppc2MSbGCBbwuNQc2mQ3OZXDbsUtmMz sbtIRt4zYLJCNeKksdpHLHQ5l3PGVmi2PaG3elE5/RJM99eibsd81/vM87BbQcg+WUCjWcZgXFGW Qv7nR+4RkWjd8Rz5dluJlc+99UQBKH1kW7mDPXrm5CadXafAfBaHY4BZzrYXePCM6uTbNrz+c1KP P0CDNizptpCNWt3iqlQJOwwp3hpfT1ZSc5WMuT8INo7mic8n6uK8e1bo4qb5alNyCBnwYTVN6JC9 AZLqo4V9rrPJUHbvaqp46sFolrEcdOM+0BjbqH7CJopYMfQB7eQ8SPoQVW/n2K35c5QDUOxTkVIj G7smzOBdoojQLeH4D9ktyBs737DcyfEMK8SF0WBhwOP2JVwxQRKpxJ3ce+2uTLUBm0QIQXvg9oN0 VkU6R0QTVGqA1aH0syfx09FfypdwYdYfucc8SkYmeBXva+bATKf9A60oPFPJnPWM9+LB0JxTAxdq Wf9mwh3p+IDXRkpPpjj6Hpje9+VeRuIg5IV5j0mUlw9fqNGfCCK0OpC2xmagQtMbQWk+6gW6qtqG aFeQjk350AExzl2T0Q86iF2wt2PvXj/qsrlHAqIQsN8zXNxQggGQ8R39fAJqv7lpXva25ZxStH/O fcJ4/ZVAD/QJVQe5NodPMo/rB3hFyoqliBxeXd66ULJ/l0IpavYUxLaHwzWIFj6GxBLZgQTCr7rV OXkE6Vq2nwFJ+qSJbBmrbHfbHfT5Fzq0yy2yHO80HzzFL4MGxnVESaCYwTSJg1vaq4Kik1L6RBNd dCx5tcYf8zzQLYebpa39RIbAe/3BuVsDU4Sw1iikGgKyuRBmtpjQfejdBr9rJ4+ZT2UVpOWULTVK jqRRv8GcEHTPuU808/sC8hUtKYPEZfRR2SaP5WtVaW7slcGiV3yG8Y2TxLZ6mowejGtYPqAM9b4r 2NGgnBGLlfhprwe56Tx/qS0ijvHNptC+FXBkwHFV+mBCyCIsNDDj26/IcGBZxeXWYNQPwJqCA9JC 7f09TQdmPvfnvK1WAF6Ose4ldWETWtsX0IFFO6mOt9Feuw4+rsG8TvLno/MAGWUTalIkGqjZOYog jfBX6MeORZjBftVVWiiDNa/ZdJfMH+MVLQ/GrlsPePiVY8fg1sGNZ3m7EeCkrFjYaVW79uR1Ock5 4Me4mGcZJhgDOx+e1mpMMbQ6EmSuy6uwzbHIj2eUTPSBbtgIwItRztdkhe2hlj0kIZd/BgrCVv5v 7Yt4KgtIrxTvh2erbyWSArTtQAI6+xOwszH3GiiCQgu21+ii5L0RxyIweOlblbWYPsORe6wYqrgn KGe5h0Aqwsmjpd8KkgjHgvrM/2vwe5iQGmaFmqF9p4p4X9LjMmc+wBbzdVs2mmwwNVBbQuqW7wvl QFvrasUUkq5UFwptZDf1WzZu8LXy3hG7522UN1WG0lgTjf2nMHA3S04Sg7heex8gNjunnM4q85sD tiyZBe0kjL/fyKlXtIxJZzqvamlYXgvYaBwX7fJHvvbmYuDEYz2kheaWgH/VrS3t7Z7ihkMXSit7 HwjeFIsCcZWvDfYfpK9Zyvrj4lj4eTPAZB7Ik3shKEFO0NWk1xcjFJV05UesPl5OgQQAkekI+JMO 3M8aeFSn/rUmhNh7Kj/EmovsgJGpz2C9rP+bPyU/rAiBigHwwAT2ZKkWF33ruoK0LEfwUUHu5lZV oYlAXPUucY/63PzLtbWEhf48XrZQUrrIAzRLxh0hSFN4xkr2LTbD8EzdV7QnEJL+rkiY749LFozJ Xo+QjpErSM3LZkqV1iUedsfjLQHvfg1BbrfNvUgeK/Ok703Lv+s32tPPtNvLV1ZeCNb8Fq/xRWBn exBu7T5ZJ3nzvvHtbzocKUKVN93QM3Few8EUCHnmIztsH732KyN3YCH/n717nczpyrSyNc0d1oEI 3QYrO0Du0rJXg4Febg4FXJ/7KKVWrRDMV+L4tp2wWPndcPqcpZrAxFNrCYFhjoqvS4rC8Pd9NAOF VrW3h9PijFBPhZxpweMtmH+TunvuGLBcT9PGR27tYUsFxJ+3zuT9y3qWdHVY+yaw9/c6I546brbv qLfP36Ti45xRM0WDcAmwCsJPEVkSs6iPxrchZNVmcBS1gkIXOrLnkpehNn2shhpRFzyyOB1VdW/G kXB8U2BtMQF98QrWKL2MG3betExUqM3EiLPxa2g6N9oedbz7sFfSX7EfpWEAzAeSBAwJFLoCuNhm W5FRpWTaW8M4/dzYXopCCeEYTQ1zf+K3hWhSWn+NHGraRx3CWjeM/7vD8Op8e25UsnxyKCQ60gYp 4+RwFGpvd1e6T8eMFvCM39neReyet+EAvbppUBUFvSHjg1JcJk09iMduW+n5u26JZAxb5X0Fqi5s 8DNfwuV/DR4CqsNV/3vZyqNI0k/VhTvM5glktt2nsj/cH5HnJySII5Qnm+U/5v/LwruQwOdun9un SoHyqBZsJ63GND/c64tp6tyCkTRhJ6JGbMdu5PIPOvH/pFWOpXR2PwTr2LiUiFeLC+9VLC6Cou4H OsWIsysZ/GDDwPGAUtSYqNsG4ZzDKlzL6J2O9Gpblm7VG6MqTb54IHBdWrhqfe4C5jhcyKEILthg ZeLlRN2zXZ943gugLT23G2+t9Hd4UL0flBye4KbDb6v3m+reVrip6XtHmzgyVI50X0irJE6TXRPJ K+xNL32IQQDI2qPP+a52qNiUzC73cumYmcZX6dKBy2l6s9dJFl0Z6Rn4AvAm6Dd16QvSsb7tY3vH P0UIQ1lm3v+hVZL8DSMw2etp8CfD/q5lElCx6H3VXGAtUKm7UWBq/0TysPHzj67chYsfx1ABeKX7 710DntoXtnF1tI0lr2RznCVOlxHTeHW4tKeVAsLXCSeKguj2exkplCfVL7cUSDt9O4DgYYdCqUyF pnoXZmYQu5Vw9rwhbxqCGAjAgDf19pnfDSbIyJxJEupYFfgho2P0uqUNibNmXG5gWTktDZ37JeKZ HUdAX8gCbeV/iWoNEmlNRbkqJIrlO2uzFtOO0L+3+lUOI+oH44hDzFsaXZ/nbERDY97kwSaUYC9E CQcomdRbK7qXTTDRorQlQs9MM8UZYsucnz1zVfIGInH94Ts/xbwmf/3qneA7Z9W5Xq8KLa0TEaDZ mz7Ht9EJCmDwT49U2VBIHvNYISwSZ4N4MPkhGt01n0Kf/5ow8OOu6OJQUvD7s6bLrJsuPvJMpVCQ L5lgk0gdu6cv/a4KNS9mdKDX0NQLrVgRV8c0tHXznr6gWFWf0XzfEH6LA602uOhv5ayznugh12/9 UYfbxffZNZ6W1l1cGFtitLn62tRptW8FIgWPvEox9NLDV8El1YBAQHkpDgayHQyZNkhJb/8yxG1U OZ9AsUzdRUZ1uxVI8vXzCY8ewutkk/JK6fvK8vwTNFBLJ3GGGhe5BXOFt0mdICPH1r0+fQd0VLWd dPgqYOhXbQVIhXkoZsn/JQICCVLkK8ul3ei22lQwZCNT8vbUcXxEuJvapoCuMpAOQ5SnETinuev+ qyYAFvdN9eZ8JGOIGFPD8GylXuN6Ugg8whKWuEVtRTzvX6C5ILZet4IT6apQllFz1b7pbphplAJB EA61SZzvUUl/BN4fpr+PRpi07bZOUs7PS/5hk7xdedRxLLm/P2qsL/+evqgVJJx+C1cMkhh+IIym l3C6GwkWuvzUdmPgDQxresBOK62k01ybgLDjN8BGv2XhWjxuSYFhilctyP6KGIHYr8gG9aKpwWhe fzAtIA1Le+911sdDTaAq3kw8bbi5Wp4I/DB4YMGunvAcnZRVYiVdpORWh0f08uGjRHYTk7J/pCwl kq5WItgeyon0gYE6nrWd8LM3fqWapOAygrOaCFUtiFMDXs3n4Hxff3QMhEhJagny0/n7Yp0LmviO VkOn3UAtVlfeWtGnxLb6DFUe/jhPKXZFMdFzFVVlgCpfaP2cB68IyPtyOT5paYtRJYHEVb15y538 HzFAXJs+z1BP+6SAEUfcjBnf3lRizLvzaz4fOGitLPV4+2kD1MevmpcrZya9vqJ/5wyLZyhh1AdQ 0r5dvbc3tjCKnZlxAV93NTFWlB7FV3Kg7nXVedkFL4dKHzmZcZt7u4Nr1YRqxkK5lAimAViTNj+l 7VS5XcIybnL663/N8utFM1RtpwiOq6n9wwRDw97dLr70f7xUFE8aZIYAUxoHf0gngnf7m2+TlFCG RoAa+vEze7VzH/FAhFP0bulY3S/zsS4CDPo/4B7hC1aQ8yuiAt/k6SoQNVhL2L4sQrZ7U9I5a/8x YcUqVqQ0dhyBRKnNGnpVnQvelmyMskYMhrfeT63jhf+Qrv/l7fYcHOsdUU8HgxpBZjoRxU2Ibs1k 5Cn8Dt9CNjlNZZg+8VGFc8b/kZWh1LVj5zLzdvDv2v4AmMXLTxr1ZSUzza1fIq042VX76vpZC36B 5QZ+rQZ0ManqSW8gkUclWJrdoPn2L4HlWTynF7LdHAC7JriZdLjXqY4TBVte0qJv/npEutDwL3SD DCHpOVcCi5brZF/RXCGb+/qNAx9lJN08hyEg1XcjbXePCXk0Os9ihJoPPeuY+eWeIZrLBSsF9TNe jsu8s+fwK7dNIpG58uBj5Ym7zKX4LR8hcRvuyU5RDTaDdQp2bWokz0ia4IpkcwKX5GUXwWeqna+T k40VXrkterJdFCidnZ/ZiQ28n9fLpTQmLYMGn2pFmwbco6vsgfjLvxK/eyOA17moF7CIzo7xplPz yH4+ssQDdZlk/0jkOuh2xJ56wGTj0s4mJLc47QoSPgnQJJZqtreesJqhVEAKFGVHbuOVqBYe6MpV g5dOBGyTOWBGnE0nbNVeZ5g+Q2HN76Mx6e40pX3lpT+QtmqhACOr9RaI2VIv9FSbsTfSktcYUrcc JffaUwylqmRG9XJfFS1khA2FuP1jRoL0BqHPDW9I1mM8ik5mLbwSt9lSXgugKfRF1boJO5dakHKA 4ZZ7y5xxSRctYaHN9duWv0UPISVg8GndAMeQ4tluppGv7wW232OhkpYvKKP2Nt5H1pjKLTLov7nj na+V3PhtXY80WQs49z+hkzIxYj8MJdbKIbwwxJlhootTethPJBimxoclhpJQO3p3gjvixfupxWbI znPhCnu+HkNBTkycYcC8fP2KyiHo6QLx4LfBKqoGRAb1p5F8Xk9WoqjMOAsFiNm4JVmn5ZFzBsFj P9kHiIerw/cqmSMUZFi19/vCnJSt7mNY6OKJmRTILoDEvojvxqwa+FEpMV1c1hFo93/k+QChlllf W8NjuHDlpj4to95nH9glrPAPXqFGnTUEptOaaDDaD8yvUt0vkffEmiWahy6CPmzVJ+q5hnFWh/gn QiTTekIheylfkzA9+yiBq2/VEf1Dxbzt78HXU3nhRq09VAZfcyj6b2jT5mT0k+EuOv3eWLRiviiQ nmoPzhLfHcYPE3j6RpvsYk0jK+iStN7Bf8sUjASSK779+tcml3jQHhRVWXy0cstw93dJaUyhfcRQ 8UJan2b6ZZPwm/POgfq7WdFN6/771GSC+5w084SARIhXWpFzFFz/8c6bW3hURFcsYmC3hQP+JTdf fjfS1NEUQYIUY1hbok/5jaxu3xR3Ih3xCXtCutuS8h08ZbEslXcSZURGvR/LBpQw6qQljfJioBlL ZeRmr5uYt80qCjmNiw9xUIYSNXKymRyESx4Y9F3GJrR+438OoyTDbqZ4JHR6zM9Eh6PLZYuAv//d ew5MGLUSP1Gl32i1B/WUS21waj3UqqP4urKCb99LudzFqDd9o9OxDd35oFUxzk48CUamMCzRAnbg 3eLygiINgLoUdO4PVLwxEeXrIMKc5uoo6WymtXhWKPKatCbEHwJ8lU5bWc7iSDkTKTCLy3mKbe4w ZhOOXIwN2zID988QR2ZxzjJpTaXTMVsOD0TZY5sCdue7OC9wDErUlyQZmE+Uagkyy8WsIcJy1CI2 DfbS6NdnqHmEDc+0KsySwQloog55vHIAq+uvKrrtzXuK6Jibau7Bqy1Po2eq69Jsg6iHXnB3ciMy pLZmbDE4g6KFTfNy5D37uCTF7xskGm5gjp5xqSbx3zixH/DWskjY9FUudP3EytnSsdSYwhKy3drv H7RsjDaO2Ntm4B/O38zKyKftaS+RXm9rsA3WjTKeJ+MyAHWlS3UYI4SJ/LtoT8t8C/JUwWtlFwQa PPY6il9bZXs8Aw5L1GYM1f0kqhgLKrjq+rYIVu8xxaa4qtKVgV8e7WJgMYA0EV/f7xO5kEirbUhX 9E6OpQV1D1nsuVMQAnquDXlhUHfMoHAMm2io+O++GFESciHGoJPwlRNsyYn+7uTj53mTMwHmC+Cl 1my3+68ybatlJ9xPjLByQnJn+DKhQ8pwqml/gLOb+AkzxEW69azMbm2LcbjGotaC/5rPAAxMH2Gq qNlCPoWmZ97NmkSOjBPCkVLs75SByhVjmCsowGmHaIbYTQz9RMPUjZjFZ0x3zVgJ1OcPs7YpGWhS kCo3/JdHzzqc7yg7YhhXC6kKi+siPAIDNg7SLr02IlCjriSQLLjYHbAtOeYgj/I2vk4eXCZvhTzL sRTdTsMn9U19gcnP6W0ldzC3P3KVqOx7UcHY671tOMZxJPZvBGQIoH8thQeHNT3Ux8WCSOMWIOC6 Jf27sMRyMimN8eiRlIAzqtNLksDBH0YeP49iUeCDNnmdtRgSqbChZvhbULsJbOmePd3qUqXHHGYI ZeZrEfMLYqA4Q74FB2/YC8oBp3CPAPHn2BoCJnjioAI6onGReITzC6wvgEssfuQ0yQBXrUUQRKin qSWWHegOvQK5VZpTLIVEvHv6HKGfzGO8XzzwCE1Ud1m/VluD34P/3bpgUMbbKVZkDTuXxmFzSEGd myFVtqtZ6iES2Nm4rw/LnCANvfQWxh4/SMpjlSGw8IZ55BCrXH63ebar2BCH+2Uz6wZfrkJklv/u uXGjkfkL+tO5xChBpYIZcOnlDyFlkbunVr670wi718oqr8XCB2sMfpzoSuMXXbsONYyX+iI30MIz FHPCfWQJ/j8vStOphqgRRMUJbjoGDC6sktZrDXDIl3bNY69TlouPdzvnqt6VCNsjXuhsWiw844po 4gEeQ72LnKbV2I5CN5JRVaQ/9iMZqeF+V2F57kDj43/kys2HDPLlfF4VNe4EBeTnjlEZ/YMpqtEM 0PS8BjHbbFi23SLfgUz4X69XPfLDNVpN+UEY6nTXr4jg8083egx5myHzVAHNDdgd4l3U68A20D69 EU2UxEAsgoSZRgnr1Wn/ihBVu5Yp0bfpASTirhVxP0jMfBHA140mOGxfb+q/eVIRLJgh4Pk9i+/z yvF4asksHgqdjB2hp4hnYQQY31dVyFl4cg5rVGX+X8BZYO/VLnTTJtEcB+EGILkI7jv7uyfnQlqK LV9hHQuziOnOj+KO4qPdjCfPhDd5JnNzM9WLiM8MWmWjPzsPANG1PJD7wgbo0gA81AhQKPoh8vxH 1dj4DairdIpPzCz90i4zarzLLa4Fq58XBe3cxr8UpNMsU+KRjyxpKaKNYqMnEliti/vHx2Z6WogA Z1kIXqUkrDF+lsvXBpTyZhRs1+Bwo6EXNLgH1y6znMCySbUOW0q/uDHzLVeFABuiknJY7urAkrT2 xfJnht37bVbKe5ZVqmQuyNQ9crxgN0eUoLaJxabx3oF2OFrcU92OD2TCwx3sZIOaQP4riuZT8KQJ zG2jN22RrheBl/TOVQCV/ezdy4s8ecSrVJpQsWmw+vGx0Ek9t/uyHKqA2QtYJK2DBj7RGGm5A7xy E76BAGUwAv6+f+AzB0qipuTk4jzcOfGCHlZb5Uq143PsuFb0z1bLTM7BBObnPk+fTJ7o79Qgidcw cnCKgbl2UCCPUQ7HyalgusX3+AaktueLdKubXLWTvJk9Y5OVQhIG5ELGBAb31rKoSRdCgY341rYb ysbH9d//MX2QvcymSMleRG3icqWqAlubBZdvW7drDgUzjGSHDNGSfZMyU6eS8RalhVV7FL8y3RvN SwRtWlabX9BiKS+GpPOu6k+6abE8RWpu6LDgPJ7VFO4rKM+rhfW1DpOm93DqDJA/DvwyUHWEsdwB bE6eSMMfEOXOhY5otf4uSb08WcFdCpN4Sm+ohJlHkkqs9C+WTHQXqoQUYjLYNrufeHQg/EE52p18 dcrx0rrIswRUJuOIrtvvRTH0Kmx9635hg7wiz7+dfCOyIGMXwcr5sWPM2h7V1OIhneVldgLCMLoM RX4ubAjePU6XaK203TBcrVzmh+4m0x6ClCFyMevyksyjlvKxi2NiurisdHa5uonLaMCchrSnTRfS 8Pyl16ACzW/YpKUFJvyAZM/391hObwA3U7UVeKR20bVQg7JZEGijBvat+7ly1O7OV3IOJTcZWmjK eTdFofzZ7eYgb2cJ5ZElKA8GSqWIaQjVK2pQbHj0j1WV4O6JXqETbhyWJnSQBwVBu4YZiOh1ryVn kl9wsCdQX31ghD5GmZ9FZzAUYYwHq9tybYHwG1b7VnWNKaT74LIJdcJ9EhDx6mVX/8fJyA+c+6sA UTFk8AlHaksuHPInUE6/MIQCiOYW2uqkkTt9xt5dVav32x8JIe1W+hbyMi2tgxRD2EHFGMP7gWye ByeOcuB2+xyq2veGU49PUHqmHyF2/f+sFIeP9grgYJ4AArT8wpXuhQI9fTa3pzucMwXXoxPpGQlY /pXAQKePWP5G7DVyrQeZrEgTn59B/Gja+BOA2iECvVZrV8XEiJr/HwdYj7Aucu1fkrmKHmc28Ysh L5k/RrJGpN8gDaAUc8ChuY5jP+1MqRmOAGgohXNn6EWP5KGdzUGBtAzCKTPqUguNsGPA4O5GBgFn 3dY+/5NBC5Lz8syZ8hn33jDqL2uP9Fx10Hsnhzs0xQlkytpy7lfV5QRzJBvZRTIUgrPa8PAjCnsi SyOdC1ZTAwLoN9RRfAUwjz/zOsdxEvar+0/PuHja6ta5HcPzb/EwrR0d2DT0Rh9TCOy3EBkKnuC/ zAoeaTNWUr5NO+vetIWlV8THVw8WijWL37SXigkNIq4aNHhACrOoQmLMG2R+qgo83kfqSMadPfZR JTwMw47Kjcz4Tqqo5liwwx8xQ2rmyvL96sifmYaak3GaI8+ger5PXZAI51xLu3DTe99T8kb6isSG DOZqA+53gKYRzswqhw80b0ONWuWmkKLpRCLQ0WTE4+0clF/dC/CafKC1xxvXgA2UeQ5wGxNz89ji y8zB7DEnDbDee+1tZlpUDp15kx8U+eBDVvH9a8M2yuvosyaZbo+6u3dABmW0UX6XWETYROoupUOC WwRgqM6GoY6w8Lv1Ki7FSkytSUDhY+EINBdDS1AFIlodX5wdHePGt6MSEoJrXJfTnrj8TrJsREdj Zi8xNanp/GHsJeqnz5sffYYA2k/OpGdI3NZ+WUGB4saTMky3siWc49F475jxJsbXGKUbmcbrztMP EtYEiXqXTGHlyIQsIT0kjRo8emma24uaKdtJm9RRJ55GyHFU6ZLZ+rNefAJwZmdZQqAAyrsSNYWY 1TV77kWGXYHeUoUm+RfeiFmG8MXHtrfmfwqAjpmlE8LHGvk8NLQbdVJHel0uOp5bQBcZa8cWJrP5 HhNjcRCAyDRHVy3BSdaDXNaykyNzu1kutDBs48BvF60Y75IiDmElVplKdYymfg5dB+CqQXiR9Z3D 1bvlj6muxOrdZAzGxv9ParCuupSbuSmMG7F5v0zKfgKeZx/SQGMQadXZsYY0O6o1VUj1CK52FhL3 DWoY88UAroEE9NgFGGpVuA5ALA5NnD7oMmtzcWYemr5jjiEflbYYItxxkfDtN5uFjOY2ssN9gUYV LI04raekh8lFDdSydM6Y6fqSnUO7eYKAmdhcOIY15IlFFoBh0KUQAh/VeBt+eDxLM/8gnVTkntQR ujo/xpt7ccXBiaoghQnZz/lp2V4wW1XwUAerFyyZIT6vdxdO6f6trOt2mc+wzW3LpXzdZ2HCaFZY ncZwhxX2HaVSQUUPRRDxy6GNGt/s4hR98/umk0acfKEVel2lgIgMONTeoJ3+n8WexI5mx0dcvf69 2FsSqcyP+U9VMw+K7d2bnMHJ504hgyqraka5TXrt4KSuMNsykItI0QIMDccHKze2b12Ze40s8JBR ZFKI9ezq6bYWVFAfrEdg71/LlGYbp5OlCFow9NU4fFd+lKYXOMDOt5+voq1B0pnwzwB9vKnerqxz C+TC+eMhdWXu2LiebX4JX009+pfKRF+lkwaGGh+chwb8EpEf2Ch0dxlyuG2ZhMeXCAT+CvFgNHZ5 Izs1cMaSjSLW8cnD76p9SIfyD6U3+9bVgRqGxXGfRPv2oWLUoJgWDfpeZod2N84tmJDdnP+fZtlK i3SKFZGpzXjYEqJqjJegSRnnmgOPJk3W2hE69Iwjy44IUuF3zN+Is6vi7KDMZuJv9537hmgIGcME 9ZwNQ535tVU9yk1AXFk2x352TgEBNezrXZMFnFGeWGFKKux+oNAftYsUg/m/3//u6Ekum5xQT2z6 UP891W6Ven6ealHM5/noDErA7Q2zkEEpRsMJpyOCLTEkDqgbIHL+gVnuNWZByhYiLgvU8YeKy7Sk s2YKNXVynHnzx7t3KulfIKfoJD0olQxJtQ0QkAb61moNTq2eMckE4Hpw0y2OXTdAGRMdWgaVTXzu 2wHCOghfQg4hgLth1l8WgWXF4cw2Bk1QhYc25sFXPUMsFBwpsC1lY12LU1l972uCa/hoh6MUfJoS kmflKeu50leMteVR6+QJ0tvQYFZSOwDGGnoXSwURCWicRImU4Ci0vonLvwgPdwOYaCDsYFo0PoFQ cRlG1YauyAVSNGA5heCjBXUgUDZ+6WZgI2PvH4hhelZRqkSgiiFjf4mtf5wc8pcgwsKlHODuRGLP HwzykcxvEeMhOifC3CRPQmPDHnGz+vHGXcIVxHbVdlBenGCZxW8Y/Uiqd7H7RLNfLtKK1ZIU7VXR qKEMMjgoCc+mLL4+BF0dqt90yUM62ptJGunaj1NCFTP9sXRG/8sgaNEwBVR9QcGLBkof+oBb5dEA XaeZ8hUZn2wlCtMU/uxNeHDepGOQavp6TdKgGwhcd995LhCO7Mg1+97/MCgGCRY5R+Tr5BUKdkOh QKDOJBOdScVaw71fHwDB7E6Ido35ZiEKxazfKonFIVPZ6G66+z4SAAsFMZBsSf1XCx+6LNJ+OVnD hVUwOvAAJzEOz2uoPYOeEiqSgayB4F/QG+y4BKM6vbSy5y7LPCTuXlXXkfsBFyDDcWHddoMopITe 2vlwbFrUmuA27u6I43HtvIbZ8wk6QpWDeqzytY6k2IvLnQx+JNdPLosShhPdU+k1DKnm7t3xJ1PN nzV2l2Iat9wrSQ8vHQypyapKXEoEvXHtf9kkbUu3adn44O2Iqw5+Heit6/QdbpbJqRPkHKXqre6X UnN52T+U1Tll3jmja5Flxwiuf796I4ekd2UlNGVoSHH7JtbanI6SoUKrkAuMxFgORJB+Rf9JNuBi ZWVUjRxzESypbqq3W2TOuPtyRxt38G8Wzk9d/bOCuOecLcAym36afe+XjCwhx9U2tejacl2wx7gQ dSvLmuexjGkwrdl90aoS+uUmF7e1rBJFa5CXxN+hpRSOcWbrCvzATavUb+1AwJqwn+lPTOD5/iMB WqL7ewzpH+i7s3wzQu4LSspnqY0PPjCakW9UE5ll0sSTybqfICaSGVIAXvBGvmGy1/ydUlE5X05T PpbBRu3Luj2qZPTXnuKOR0ihA2xcjVQu4nn3QFVPW56x0de8N1yHSmzG0ZJnZkHaihpBNUZLgVhT PPqKWUz+CbJHhIXIc8n11LvM1yOkJ4m+VyfM81pexjWNIoVTuq1Aztgq74VUecmYd/Vc5HpICOhJ QiXISycTraKtqSWuELPlnWuJ9tAxZvMjHZLJP8mQt69ro0dbV63fJKWegZaJI+AE/4eNheKyyamW hl1y1id+2izHoL6KnUI0uCOELwIvICZsmwbT/Exa8LAVjhKTN6/gbOtE7bRcS02CldDoPD+dEpoy 1H49BtGe2JsRm6yWaWejrHy1/zc6LNdSbLJ7jy2V6GuX4cN+geF9IeKzplKiHGovpKkhQ4RqwzZ8 g2jPM/UUO/wL3Rqa8DRMlM2oYpmfEXzo3gM/BIVgaOcblcZ2iYikbIDfZOJmwUHW8X1yFckpt0r/ Bn5tJaKoNW6o/ifkSloiuxl0fIxgL8d4LXchtsvLWIv2sM4PkMTGfO+GzL2W9XKS/r2RCxO3HDOi 8KfW1ghTpdNuC1PEXaJM4f6ktvkxZci2OmNEVtVBBGTgmPNlcw8v1BXqUEZmkkxjGbFKv39nIZMQ /kulXxOnBdA4g6NqJ+76y2Ad147ewGtqG2dcG2QipY297e0vN4FntWz0lUj6GuFt5JCXGRBStBPa yF9ndEe1aIuDNrqi3HGU/IPTUCcZ0bc8p6H1t9mapBL8VWqn0ayhgbRvQ6xH48WA7qJILY2HPjos J6klu2oewP3Sd/HhXSDGiuAtXpxaVi8jvPHjqyo7sPiddhwYQKNEPT4SxHRjgLhjTcTgwebrD5sN HGzPt7+QDh17bpvL/Slq8VHKURmpXkrMSVnkyBbl/0vh5ck2H/NvidllZxx9GhGUMV69E2rM10tS aqWH5hfVpK5Cht9Wusn4W/SBS65LH6KoGRvwTk0tTv3mXJYrvdwm8QVvKNWpswPpGHy5UMK5D157 YkAsOshS98tEASiPRTHkr5PQEJ/rPA2dx2gScIFX2PZwLOGuVK5V31+v2BRhnFlNG8ZMCr/ciLIN S+9dLt90ipx4n/IM8TqpMs0F7GORmnrzV33yRhrJYrK2Ug4QZa5h9ZXbiCfAeac9MrwQlxv1dwnU Uk12PKEjeaYxdd2OGB6Dijw3CKAwUZvOvixE24Tp8cVtqD197/Iu/qPPCuPyjrQDo08RoboImvnr 8mil2jZY/mhwL3E3N66RURBVUkW0YpXc4L826Rv+HVUn9b6xJ8PRhwkpIXol5BtyaSBdyaLD8gdu o6ypF1Cd60/lV16dFAv7DzBFLSnhVQQqUi1uqw+9P+0Q19Kl722/qyhCj//Xu+lg1H/SIRSz3NWX p6/JQNUMYCLjizYWMWhdY5Hg9mZi95elyma3RY3QsCYXjlxVp74PWzUhB2NX+7ZNBzCLV/VNl1Fs PhnghtOCb+Iu6c+q6KjS7pk7Qczy4XHMKcvpsbU/4epSDknupcYupuX2ouNFGY8lvVPNyM0xxsXy krioJzQ6/1KPZBXaoLnmzZvC7IXlthHCDkWfK6i46lq/tTMT93JW1kJyRMkcKoQQjUtENWlMt1IB EwVu2KCEA3Ot1lnqZoeAgbZGrnXvNMOsZWVUtMqGjuJhFY1E82JBuK3VlnCfQbnmtfyof9xVKJu/ 10RGpe4hqGlbSIwSUtsMtsXNYkB1mnFspMw0WJrTYJDNONBG1kXLgrJY4NRfhWgM/fDYSNHiKGca mSS9WmMM2Qp54zz17Ak9afz+138SU+dEMp1gyfiT/PNskWyV3ezvGaYD0Q4bbhOslplMAYmSHYjT U0+1sEn0FszEEkSacSsrTX5hjHEKCmxQfNqDUqzMfM61ylp43Fwu2IFBDZ1PWIhB4tNUxWFv6XEh T0VqYQyEFHeK7QOBpTZD/0EasZiyF9Xr0d2BFyejXfBP3En5p0HWAVc9/RoGugJ2Gwx8QHCHosyK tvcCwFJMRY4CI+8aZPd9dnKuA/cweRV63BzduPOjSgfQYP+1AVB9SWbPRUhv9nC2cV7nrIZgRh2I NnkFb36G2puHzaVQdCwNtWSoCjFrJirUSRtkKYdO3DappiHiCxpkl94bq3NfUipwQLpLPvZE6AD1 DIGjuvN3m+aTVKpyfC+Hx2BObPAy44R2cuFK2xnzmdOBMO991HBPPCrX8rQ5s98yRp51Fi0KFpfN J6rmnsRKPU3RToDbfHVZZGUrZ071PbimJYfIxMVyt/h8Nz0X7rDaRa1qjrp6VcXpu34rJqjPEdX5 ORku7f1jLsLTxvhG+1whN5rKHLBMtq50U7CmmSPBDKzUsx1mEo7MO9wStC4fBkQ29judr1HbBHTs Ycdb+EAAQGfP8+ScX0hsUCTuDy++y8NDvTIAL0LzMCWF+cl6xwltKhlkzYkW8ItveAi4na51l3YG PLcQ4W4s4cQ+IC0TJ17HQ88yKKJ0v0t+03F4f9aGJonWs7Caz8OIe31GXtaahc4Srwz/gWOjMZjH YB/gk9EnoDk0dZWOm+jzU3NPNVyCeK8n7Nb/8vWzygkHLkL0JEQx5juLwAKJZZ1H3YmEbSGWS8Lg 6na8Fg2T+XK8XTlhJUwx7qYh7qePkg955L4iNKf86/pcGQhhFhdiWjnP9lGVei0LBt7K3Dini5Wk T2XvIm9vGQYswK1I6htJ1wUbLGSWC17Y/B+8Fol27hbz2xLSBmOms4Q/OcQ7nb4BOn1Pugjpdxhs ZftHh9vIpMOw/d256AVECoYzwOQbN0NlYSSbZ2UoKdKn2Ijjz9I1m/YenxKtbkozIwyq/W7A0AxS rdOAJWmMLEW4rb5IEKjLrbtwLfghpr7RL181/QJgeN23YBrrvifAx3ffCvX3iZ0M2bL9EYDR2+Ne b3riP0VmJCgQJsUjF3LcV9wPy4pgNARgrLaZuPLoG3CaYNJ1BVmv6t8GatRD8i5M/5tfG4Qq2Vep 0Tu9/1NXP3m4QbvPXf9Kp4o203EYAzGPh3dIV8x1jekKtM33izwVZrYHq9b36mEGHz4KLQCB+kpk xVUyy3RywwvsVd4kfcE39c5zevYWrslJ9N+lHmei7O1FIclwsyX+Z1mRxKaklkE18h5Yp5SJXu4l c5vM1rUmesRb1jn/KRbYnU7UdR7GDH660plRdxcO71ckaRjftR329IGxwUuORZqeVWNtMulKnfbF 5KQuXQpF5PzoPm0w4j+fifvs5yAiOfKweRVj05d7zrFjNJu/NZ/6WW+c1+YB6CxevGvhgh3doZZk rwDvt6SSATLFDoXLqwCxvLRyb95G7Xg5yDkrLz6HgBJVAlooGw9na4/LxRP8GgrVq0SU/Wf8Ypqu oL/2ww6DqMnqnpMiUaTKYj6YgT6IC9O+xuyJlfYb2T0g1I3LNw2n8xogyOL3lVVrUbpEUWFO5X2D LelzvOvJoH9yRrogiRYdwGXQ4v2UrTEPW7JaiiMGwMrU2ygCqj8ojpMKLitJ+8ONdzO5/VC+ETxW VU4cwQMtIlnxmfo9ZnJAJkkUkspWIcDzdu55s+GScCLFRMdf93W8KpmYsp9izS2uoCh8O8xcbhe5 /VIAG9e6hTy4HRLBNNY409ONqHxoSfbxfAQgVQiYxa0EI7SxoYtPdCy0fEIyfNe17vx/4PMkadYU VOEtU6OFSwE0NWFoQThOhFs8AHR6j0KHY7Icbguu4hoIa/TiTgnl7/KYOKOkegWARJ612GvL2C86 Sems0RKPEqHiLRGevM34oFiDvWCfovpzaxrZ5e/LsEeF1GtCd4q0PB1yY+0UUaeiP5k6r0UdpQnX TTOi3CjsRyhOYzlbguE+mL63fa8smrSkl7434t6ZYWjXnJ6HuKr0zoBn/uGtwVsR+sCbVL4mIgQ/ fzwzFTBhunmm1zzXzrRFSRFNDhxANbETjYynGJBP8XZH/O0Wo4NZ+oFFHnDL5XpbVNImNrXhX3Iv Jnv+/cEvwxJP/FILzSE1txv4Y8vVJYKYrCvd0wY9QX97uA6IEd5cqzckIdUDrSBAtzOsNjONICP+ AvKbC+WM8iQMdH1qiVhlB0cDvwJT9OY4f7iL4jO1UmMq3dTObjS95egjRXEnX/lYfsi1KhyVD/Fh U9O1jG3Ktj4I9rDb936JUoFaNkLWlLj8Ft9k4b+M/JVZdJC1dBt5PHzCAyRdgB5YI9C6IEgJb6DZ OE8ewQaaxPLpS5Sxl40tUYdtLh3a4i805eJMbq7LgpByZeh5kmPbEVUWxI7mprrg6qpuUeR5JhAN qB8JdMrbF6qi9WWqCXd3hRIn4xFaCpQ9myFF7kyWb9o/ZsOWLqeaox7jHdyT8qVRtF20nLaPEV8+ Wl0kEmdgAJPuVkc0fpRLZMk+qMwXusFNBRHVbO7JDuozKX8LIN4fzYzgsr/GqTeH5Jzk9Z9tz9h7 e7DcVeeXZjEMbfUlKN4RqFqac/iR9aroHfmkqz7JWVVqf6xDo6VMdseepVGLUOC4CV9knM6+gTVf HauhApfFi+AnllP0O3ax6SYhHHB3xeOD4o2V8GboiYIopo7cKboZGgVtM9s5puacxuBgTfkpyOk5 bEMstG8WcCkgcx6hvUmlHypVWuk9yN0WVYCKnvmGQhpDBr2QgZ12J8P/Kn1KkJ7Qu09U9NL4J7LU knfnRG2lspfydhvrtK2/oy/qrQad0R8p/Eivdl9GD95Mm3ScEU7W2uvNYRdkz55KYyC4KFx2WM9g IFInc0Qi8dAaIgbDOcEyUxSgEwEMOB/hgXp7p9GlGaFoNCHjuUCavCxVK77mKyzHgHpJkirAnHim RQLOFt1TpUcc59/+lvIgPnBILJVGQmggKD7XscSVcRNK2+5qj8zy4cJkqEJqByh08Z95Zh3Uo1+p kvce91kNbHJ3OEw8fANACfTICJNlSwR9mCHSKgY7CIhz9O6KOIojvmPP07vobUMNTQYNpeO9SnMy vwLVGWYfJKACQjGdFS+CS5RMRlTNJXrO7pO+w/ZvFIoIHBs3Q1V5sgTL8mprCSrFCluiPg+KvCBU T55C2h0YLmmS07i9etE397dQNvTHWVYFj90+QFd/ODbcrivBeyqEgAItHgl4lN+TkpvTSRTkTQ7a bfb7/8KtMy/f5cwzg5wAwfJAgP7TADCN/044ggp8WxR5UpuNP3fMuGqApezwQZrWliz6c5MJa7T/ YspFIwJMnsQXCed27Apd8u1qw92BHtN8p1EUlvl7wWQrhV1AR/BWhYZl06WoWiP6e4aTuM5ADGO6 Jtj1df5+fgpBYsGOFhGcUVaadckE5fd1rwbV96xrCxa21S6bNJtfsN94Pv5BsiBwWb9Ew+JkhL4F rDZhf+1g61SVsnES+eCofN6C5DYwewfU8vSGRbVUwhu0WdU8T+M9VxWpVN3LpJqDqTVPtnAheCuQ RaZwUWf8m1uRO7ISH/tbVnjBZyu/2SJJsOQNx4Neyyl0pZhKKA2feeC+Bd5aseXtZH1FVH5FCjqK aWaNZ7aH1MJagUFnVO/hmDvH/MwMr0Gd4Aw6ezLCKLfOCTKJtEIMng3TUhkG1njSDSFM8IpKGHw2 BVTkqWhmA3jvNURY1pUeXNa2CT/RFlGu67ke1a5QzEt6pGuVhgnOWqrgkZcJJzd/MLOKmWgBFE+Q u6+mCHip50Hzfmouw21m4ucOuUM85FjjVJ8Ir5BjdjZnC3245QxhcRVRQORrYoPMm3F32GKYF4TP tIDfxCUJcgb5y7UoqY0/HsDlsoW4YrDo7smWkVkP6IvSoqJoAQpojNsPGQWblQas3qB85TuodAJT ve75XqLHi4IQoSDMYZ6MnW9w0Vvc6LPcTBfPxW5rVkJw07FMqXEdEpVfv5CTsS2qm3B/qO73cgln Cwewx6aCPgkmR/I0pG2IuvELsVO/GUDyiQe+hi8RVn67aVPR0X5X32Y+mdQ3u1GP35rvjwyMm+Hj JH2eIaURA2lEGh0+XXds43zTG7IIQGw2tMLHcVAwBF0HhJ5u7f/ky+KIfoVl967JadFq8oT2623i 2OPGpR2Ot4kgVrS0dYT7VH5To9JjOLWg1AdmSP+M7kJUFqulCcGORslQmcdjeCcVmEsy1uOTphW6 t0hlDsjId+RMn636MibYGOoRYXZ5T5Viq34atAtlxzx17ADIhYhIy0PXOp1D3LSIXjD3IOQgZXs6 SGwN/zp3xplmMzKZmw1R5btM2b1W9aP/rSEQAx5RUi0fJH5BrtnpGpHfrRhyP7Ub9865ahGZ8AY6 ULRZaatdFxC1wp+oACWPDK442mZ3R9iuafEys3o5NaqWkFdt9v2keZcFTZ8DF4pGLpm9hjRBiIrC OTZ0tG0crJ6BmilxLvpIFFOX4FoFjzV+bTZHbv9XcT+8vsEqPurS7ev4HsvXCoHJl6FKcSTn1u0S f3T8pOEXEPblyYrFwhhpPtOP1mndaNFKeCYw5EfbuDxqe5XEaxrAi2y/D//S0Q71cnqXpJgQXJ9Q M8d+YHaG2FAIl24w9oK0vBL124ntisetd9ErdrCYFuAICYQe+ozIBPjoePj+dA1n2BnYOrkjnU0h 3MsxjPd2zAIMvOj1mVlJ1NJJgukQ1CyvEBEgUb4SaAmri5jgX4tWsgyIewpXOxn6kAVpR68iGlCX u33183CS7spL2Ns7wOVr2nnu/BCGvpkobY5KZgQeQPMdRjlFzfAfNqpqVwDPwS0hYPLCQzLtE3SY 3InNeZTbM62TGfvjd6NuwRLqTsJGyMFZR0LKich+ojxdDG1u66vEgJvgSjDoFJ1i0F4tMaXndBEx h/mPj1d2AvzHqjbRQgGKS3BZGP3BMi3cE+x1ZA6SjlklIgVN/mB+ODv5/uvmbfSuYPsHayLAZ/pb tg5YNtfRX22K7wndS9FZpcZrDjk4RnbGnLzFXt6Z245HVZ312tbyL77vxSLHO5x2y+zy5fC60FZT POk+MexVYyIvw8WRohkU6k7H3XdIXjdWvywlT6TsG7YHN4CZCDMfWUN/iTJyZIl1ORXwpM4tXlpE 7qviR0b8tCg0Aw6Cbku0ccOpCtiVSOTeVsvCtdOZyfraUW5EnTox7zIy+zC31nGOHO15YMi24rIb rMIo+FRcl09grVNZHlrlZXZhd8rm7cRJ4QArDrZ3r6pi/zxQDV6vQSr7puMP63xXAzpk7NVELr3x 2ZFoB803R/M3XMWYCzd5e4KlIO8ol+7VvbzTB3FFACFPkeiySCNG9jkC1MCnvGB3JOf2PBl+Feo3 hwaBd9eMVIFI57vNBDeW26RVQrklTePJJkUuapoQKsG2bty3dP2mWVARrooPyyPuzbb+UdZy0eoe 2GQi03WBnnWrgBnHZeG8Br7bZNTb7N9qNHx+Tj102yMdc5U8d8fp3GXfERMPWs3t2Sc8dfCdeP86 JSMXTopAApHgqbE808anlFS5qe40HvCglxQNKVhi6wCtPpwVokJh7oNxoGakUC6fZXLDnkSK/KG9 eZlsydXHIwfySBnzwKhgOFt/PMcVzGiJA8yEuZivfwLMXuYpy1IFBCXxly2g147kgGOPm4WXNihk ir4PbtrQuqT3CDIaeHqWM5vMa50e5zhfUJMXK+joKXCHJEMdSNFxLul7ZAughRmX/0KhUNwa9dEt 0kGumg/4rjWJUnhFvjN7pdlh26j0ut4Fejn9L/BP6C1sW8vu45/UG4GyrLoWtlp5N7ua/3psp0AE RMdxYlibAa2kVnZFUefTbQRUWUdWfqmnCx8O55tW8ZiUa7ulz1955WpCa2D4Zb4pmhTneqW+OXta drpFSUk6xZBgGLuhFm8cI7rzrehDrgY4yTwegVb9g7xgal1XHZU6onE2ium/DikemA96BvjQ11xs /Qs4H22gJEaSnu6Q9oebWQCzSbpNM1B2Q5kgNaxH0fhPlnPPAPWOQEdP9zv3AazfhvFyjNoKtab2 bGWjalzrAGDBCBANWeMaBVz4hrXwZCnrLqygGM1PWgkh03EaPTqrY+AuLdeUNNlxvjdH2g3eQedV 7HQNhlL3JyYkrjSYPQ6BsYDVU8V9ui4inYo7fQZ3rLK0kljd0WDiYKMmjXXbdXClDkiO5NQltm08 +zRAhqZmF00Xtj3AAClVhTrW+lkyoLQRJInWomAv8q3/4SFwSqlxtFJo5jXc/1WOUuD5MsbabSm9 IDsfdPUYJ8zcWlRRXpWQZqL/GSl4qEotygmv0ILlDh8kfDcAySmMWchktOD34UyCtpFjqqCtTRb4 plv8hx5T9Vbx1bNk0fKzKPaFmSwPYXztrZpJheRDt2bmSJeYl1BFUz7H6giQ0grsUzHV05tbBZoM NlGbzxOL0ZUg1lrVbiCZ2/TkOnvs0h2xR7JotFL2hoWTmweHEYhDFvSqwUJunDnCbkiPKbbV+FqL XHgMvNiTLQeuF6ddOsjXnvL8gojTuyQVtEWt8szPnrWk++p2BPMr+my5rdq5AMNYMzJoun51D4A9 joKZN67RoQfaBpzJz6ntAeROlQRDHAZXP2KyQShLJ4RBsb/Uipd7ZUGczEJrCujwLxI2hjIwMFme jNAi6/YYkrrrtg+xIcGYRZHD5w6DUviSLVthynOl2VqecVOr9SW75xV37bAf9LiEpqs/ALdsPaUJ h6W2xD1fw3FTJ+MWPEptC3IQ1poLrCOb+gYZgIHtccz68eE5xun2o5M5p4gxOtU0dJ5xYxttGEPu Mj0euCsftz/3b6sgQ0av5YBMHzQfityXUtuw3qUiysEf/6vPy29SE2NeLaF569w/X6Xs3+mI9FA0 WKyhtvOyJUrV6GCOde57Tm8674hG9TWdT79DNSxXANZlupmrrc8Gp1QxcRvpxzwUQplbXsDs2SgZ +rIPhMwjEVXfsSRcpcOqpUm6ZZl/+e8zmc5Uug0EkV9tfArMybV8KJFbHTOmAXqv9LFRO0oEuBAc 0vFzRdu5BeLMpNbqCAquAX84pC3tSMQFxwpinIb1NUBk1DhlTdX6gFQ06XAEcJcmov/NA6xZS3Kr 3nLBzfNSmy0i2bHsvuQqUVoHC5T6Lo9mbKOtCVJg0sPyCcDSao+45wgL4sGpic5GSRBoPI51/8Ge xaDHUUCsJq8zZVgKMD15GAXwOa8rf35goR/YRuLDMOzmVM/R/9lykyuj2Ye0GF966r7WXfPfFiDI wejVIA9JA/f9aLuUTnau/7ZMl1mYyQzx/INSb164WwxPBEFWHBLa5DbqCKKNfl6z6WjGjLCRyYlF FKz8P5oDzgVx5kC10LjwCZ+QoTExGbvwoiI+t/p//QQNc0uTEvcimqQjE6jRnb7XmXyvsHz0VAmE jzXBDavD85h7rWiTQwq2PxTZ0ocMVQCZuOpu8z1CUp9Od85cfPGMLo9EJqftUmJaPfTCUkm/NPXJ d0ayRb7mNimZX/Avq8HRZ8FgOUOr1M6y/cTf+IWUZqMHTEN8gDAKDJqnakRvDDlSiK1IcrneA2nI j5LIt4L1z8MgxgsxFYNhL/0gQXYYb7iynX2D4Y+aAG+H4VJsaWIVPCFAlXTGfhehYiiXV1ZS+1+j OsnDEDNSPNCvKO2JlKzN/C0aKS72J2fdOG7W70+WsBuuvzgT5q6ZWlvPi6qmv9rlpB1BvYbyYavr IXc4bKAQ6zZTtA5eQKvWAFczFMP2bX3fjxHCmsEx8IDbOBgFEEBm5IZiRXJD46NRdcefAHpT8zW9 K8p2OnDZGqspwR5cCbnCoWkj6pT2o/7PtaIotMdmmwukhnI1GR0W9XUKB+62ayNxK2fjKFeRuyTP Z/N+x2vVjYLc/h21zMeiPHnRZlfY/E7iE9+0dvU5vhboPxqzvfMp5KPADneP2tcJVCyESO0SxiPF o/Vk0ujFiTkofC4CULij3J2qyhYWxd4f6xcLS8OTASav4j0u1Cnz9qNcqU35EGodjMDphiCFz+HU 9pOMfkKhKl4weuycJROzvf9KcGQnnoZR39+dMaseG61+uaXzIRl0YJVCMZrh3av1DV+pD2W0gsz0 LJNFDPGrzvzsn6wSGnJHoxyNjbI9H5Wff2gDvf3Ha094LqRKUZKYuTRdO8wkyK5WFYICTjSz6AwD bLWjld23LKFdRatfIcZieozD35HAFWRMqNx5dKhUIQRw/EurQWN6HP4taFobjxLc7s5pkwI19PB5 ROxQX8U/qjEC09Yc3iYzB7msTF5sMpER/wsD/j5FZAIJngt3Sw0kDyYsKAMdL/4OpQlM5iDRifn4 BJFyQxqW18CoBKSnq/yCXGJWs7zy3pi7MAi8+fWRECZxYWolEPM/e7sOqnDVXIJ+qWf3bE7Ux+kw 9Z2vIIt5F8J4KzgylS0X3GHQBrSIYiocDgdxZ5vMHjQWRHRd5nGaQ0M3cUTKWfVK/9JdmbS2kJqY s0L5VN3EuEiKE4ipbTC6ccEW42HwuCHWOzTqNXLiNaIZJchiGdJjOw9s0RUNKOswEKEoBKo948wl utvX9e//cJ0ArVWOm0qiepReMbVeiu/VBGnouFXm5Yt2353FkI+iY8ulJ7EAexvuv+MmZWLjbxMU 99k9mr9+dadztFdsokCb8fV0ftu48wQUOD/Sn90+nV7X5a9SU3FYXuJcx8dVCBOX0T2IPjF+rKqh 6CphfryyGPf078xaSaHpGJMZEyFGtwE+sXKK/pexIoCjdeEW8zFPAM7kYXnXN4h4B2+xlHsr8nKD jn1EDAxiIgI95SMJV05hHXtSKNmNXN98Qk6ff4dM32xKtdjmX28Y2tpgM0H930g7ZpnucOKXV1rs 5CHx+GGz3GsEgrGp4uvNGE2e8gzzFkXEtquOaIpP8gotOqgZtzSZX8ZZfjanBPzf1RYYIeoiU0MH De32D75kh5g1xjt0iBPF2yUml9FErcDnJgE/uHyD/Eh/fjZqzNGdCknuBbxV60Wi23ZqbufGlMen EdaYe9PNLVSAydoSZPOn3MsGL6GEAOgTQQN+CrJjF2VT7LM7IbDC5ToX4yGr7BHlLXcrdysEVq5x RxjLMzAtMIxMuCMC/umr/dYSwWEGkZFUtaOIFY/gMZur0VTmWh05vRsn6TZcFAA88tBLYiz9o14O t2vutu1BIkdpMdFXFshcTetJu6oWnOKVGuEhwdJa84dASjeaYI/5gS/sxuSGZ5AbZX/hLMAZ7EAO 88W7BXwl5RY0eZsjdOl8uWqB3bVQDUq3k0de651EWloQlA4PwQGyqepfojyw7pXJp0qFkKaUjPVD YLqyZRsqweBv50X4DKVnaS3woSjjFGHEjwlDpRIz8mveFmcGzWqQ9BkcJPpNR/TAg18Q0ZuwN3k/ J9wEOVNy389vgMiffs8wKTU0nY7JJDSrzI+8CWqd618jIaOS5uV1Zdqq+I47Q2rOb8IZM68gxPuW 7Jzic6Mfq/VZm1CEIzpmVJlwcUtL5zy0/lhyhyF03CRuHspPTcBr2YuK6lSlLpWXlaHG6GbiCPBO 8wdPvEINzv9uYXWuyWdqvmy7ybi90ZcRBjXd0mUiq+Lu6babHT64tU0ZFn7pG6j42FGivQ6zvjcz PhPq320hZ6IxRUnNenrOxuZYm8aaVH7WJgkVcL9IVXsuzcOX4CUEPsxlWGFuLsaY8dGX6lhRlEpj K/+KIS5eVR+frfqQClBb9abAJRJjbPZTNOi4AqcijpTm81tQm6CRN/O5RAIf/XEXfKbEli/LCqWd 2VbxtDuEe6ZQln6KB7slUXwsEsBEZUHYt+1UUotF7SugrRaJ3hRNxVKS0oyrH6wLOIdApOyEZbmR ayfbmnXiQCqWaDFOWrSQE5AG6rtg/mZ3wxPbfS9B+F5qsStcxAQjCYGIKBmcJOUd2YJUWZpMiw6g CxeAO8eNVsWMsf/Tfoxbt59xlUF0ofSP5qQhwm924hcbMkZFyOv4z3aO/CJElUPJwiTcEAy1TBK1 6iTtPQdEC5cQ21tToD4kVk8f7ZuN9O2h/iPhozJBK1H72QFVTdqreBjvRe7QWMYVti8iJpuZ/Bpp RLeUnNnP68sZMNx2w1/+TpgFexGgu6Ml3sMEKtOBcAHkSU+D+d2pkxnh4lp43K4a7QmPDRs0bXNb OC+tboKKeXKyVzZfW6wT5vtRHTFj42LbbtIZR+bFDITkEOFXTcrnMKjM3hkUWkCwTW+031jfgHNA mmSC0AaLRA+cV3TxKddorx24HvvPBgoANAev0YDk+bu8Qo0Xots/rbB+CdgzIB6xNZ2dYDTbbf+h eVweYEvCb74+Te8JHSdks+GbkTJyH49sKn9VDLqFj08RFKX6VpmOrUtTbxB3nfGIJFAWWqEBKwzQ JOJmk/bs2Ve4hwORoSXR9sESwqiQv+lCP5nvlf06bXu3Flvk3sEAu5QNVeLXiagA+R8W/dA+R1a4 n24cXT+76wuaBFCTGxp2F7NP3rd8e8r7mwgAT/Vinq3h6G1+A9ZywqKJMCf+zO+TtCgSRG4E8ESR HHvrWuWaoCUgr2LaK4f8zwlKbrqRzP2SdccBr5npXirb5ClXiTnkeJBetx33FD6j7cDFStnMu/sd c9vDYBK26rDvFwyJnkhbGZ9S0F2j6Ii8arBC14l05znAKdgkaP2uAtzW+wa3ETlCvBkIkrNfJgL1 Xa8LuYntc1ouy9zwntXqq/nSLbiWHPfRSELHBeiFU1O6hlNMuuas06p23cQO0UsnKf+SIINXEycN Fal4dOTN2mOmaGYvZqzO4GlkdJSStG++m/fVa2t1enGrNVx+5ffKUK0WTqfOOBvbbo0V7KK7vPd9 MK4WMvSiTDzBbkQoPQT+4r3eDqxBnbtswNc1fUtqh9HbehYWKPTQB+acgtTLWkJ/OITTcFxcK0IX E7m2sx24QL0FijEZlHLzdur7RVnz4gKlVT05wlsjmo/mBzWFdjt737++iQS72nl7RxDQMFXpNGVH OX2o7jnuAopjN5bvnep2JlFHJPdqVjDsxjCyQWLtoy27q89PaFbyk1ujqe64zke90hGHXjObgPDP HIo+78VXwiBLEqQCq0PHm1oqqQuTqAuHjXAQPQ/+EGSIZOxGMETtswedXvqVjdslNpUjfEFCTu07 L6NimjqJzwLoOvu0480pe8RPvgGh2mFlJkNSzQbPbveiihGDYxeeOT/C1dN7joTfEh8a1brg8x7J XDQvICHUe8pFqM3eQxx5/k70QvQb6LkyuA26C+iBL2tYDyS+V9xqmCQRxNleRRLat4PPtGDa7hpF uqtqNJsOQvFUJY4E3ou5deGcF7DClg/fg/hMfd3PDn1FmyG6jTi6P/0Si+pNOvt3cbuMG+nwOUwW ezCjzwjLGAnREqlRKyCDa2xjlJZuy6TkSe4wJaZJ+Yvdf0pFPzyzwTyDHVoSsQd1+nyZpbMvOhKK Sj2ZviHVvsixPyJQLWSw2lB09eJZ+GI8CZNhsKtryrSU0sF/EIW2yc3FHYivdGZIv7ZazjeSay5h 8QFhSHG+JTe7v2qwd51sgRtjrJ5xP2+I7Tw3QZaAdOF+cfEy6xiz/kDmE/gXnQAXKdiSgK1jc2Lz FLAjg4W732eT+i84kl5ahx9MyDUrSYUVDu3zOsrmCL4XmSxp5/WJk0LfCTobKvPYGyTx61l4IIJg hH8MZkOm3wIRLYW6+ne2cthkC6lEgnYpqHGybC579G1KbZCRWxKCS/lPIgw9ru16bnC/CHuPirnx sXCpU12XQxmDAtHQo/RQiC+zIbatg5cN0Mg/mjdTA6TBNKGsHcfU4soThfxpD/d8RGpDJKHeT7qw MGlh7fibGegQw6WYNZYM5URMnW7Mj2HzcKISadxS33U++9NvwXz0+USbZB7DikUCLeLyYZSYnbzJ 6B0M5DBFwIT0yhVVK6+5AMNfaJXfQvwS8jtvHJbCKL3koZISp5WqBfU2yPAUVk3Ccv7dU4dJYRkz JgXnO0Xw3BqCbSdEOE42sit8aR1DJMywp4wUAZ5FMfNTn6Uyxll4rfuQNj4AhE8aDybwWpuUylCd vNkA2HpMzKIc4QQUo2hwUrvTWC4HLcAjMyRcYs2iqAD6l2rhgRtmeR0OomNqe3TtYdsbwHJGj+Ia zaSvbm4IFAXb8bkppAl0gBrWWZ/Oeps0C3BUccuo2MTZxGlzYAIRWd1IFf24z+nPalkxqRCKdf8j QOmqtRMWwt5dcwTLDha+HdV4LuYEmNgLgL4NMV4Mzp6CkSeRMx7KG9UguNU0zZ6+CQdIaERwGaWM nU5ILT++hEni1yqjDWqwtEyanRkOZbMdiPn+lAFQ6614XUzHhdP6pksXZo7oj1mq2wFk+7moFAyS 22v0h6i3uvajQyLwBnLgCRDanaSB5v6RnE0k6hBZUaPcJSTevm3p4Mh01HvpTD/nZZGb6H/TthGm OazdCMXZF29x+zzeN6ZedUFkkWzdaNPqLaopKKh8/dW2FdOwfvD/QmcKhlhzfKvBBFkE5AzU6/s8 mpfa1LekQH0LsTmnEtqTfnqNAP8wFa1sgkcNHCTcQrpBzCvwTTPCxxuBwFyGcuqf5UpjPhPaCP0a HAsdpp0rxMHhHgmWtnpJivY6IKwTm/nrRUP/2UArTq7hv/9KRAdqsM6Bls3cmU5bN5nYEnzxw3Ra xhZWpxmnUe6hMptU8uZKtm1VIN5EW97QXLf1+wH7yOVpX7AbtKa8MimBWfc3zrvSe9LnxdXFHYIw lJsWr/TUjvUs+6I0bAEQL3ncEU+2HUeB6bProM+X0eIqn7l1swzBsyMLbrhJHvzPixKFWOp0+vd9 I8mQF8VkSqHvPpd4Wvx/epCBS8vaRZw0rG5oKzO7XSNR8Cuh9+umwVhoFHM0VlUegaYUXb8y0L4u UrIzoyBaz8A7E26p3nthSji/0B2+Grv/oN9pPVC3n6ZhTJkcwUcgl0wKle57wdzjqZQUJ+eXwIu6 6VfZeZNe7EB/l8ikwbc1J9fet1Zgl+HSK1uhCOpc8OndSINPrOwrbLWRP9TATZPjQ9v/re4D2f2W uhbSy53+36g2r6PehRxsW7co8w47oDAeNOr3HmcIxb2Rsg+dh2ZdP6SKpRpRCI22XqAN4LCBRJUg XjXgVHUoAv6vSwBp7i26NbY5c7MlaDi47UCa30utRUKpzsxoCpLcza5J14e0x5pHDnVkv3r64iF5 BSkjW0TdEJIF/F/nykkjb4Jc+RZfUrjFaocjABG3X7cAckhrlDeFPuPmEl1G+4wKqkDrVibv88A0 qPzyk1whwQEOy4T2DhVcF0s1p4SxLaHHJ0U6xlYqmEev7y/t2hfaJO0rgXf2aYPs4BymOrNxcV/S Xsh+pQTI7PtW1GhOQp2RbqDb6oazayz8RoFrjOt+FVM7DcyTbC6fXFVqT4KY9ZkILzfBS27xprPC O5jxftDZvn3tljms+fbJhlu7fVZwONbthdF7J9HDvKZw0DocHbFWpupDGE6XE55l1TTbIbZTbiVV Ne86fKb3cibQFvWBxr+CRJoGIonL+i8tvdHQ0wWSznlekjOZVm79PlgeFgxII5ySTGZp6ibcBm6O EoBEhlAxbi0VORtLhExKSw0djzcmmiyUfHOL8NWEU4mlv3G+n4fd76mv/XCvyMFyAuPpLeKaGDf/ mdlefZH+UKDzywgfJibdUo25E77BmdLOpAp7XPVS0fRIaFdQNi458qpVPzqlvcxQzCse/0ekk8mO Vn0k2/W5M8p8HeKEaKKonypKZX3sbf3kfXc+0qVY9ZLkib57SYK8xVUX72URnc7Aiif5gHFruVMn N8AqI5r+qzkD2ugNTZDV0/RU9YWDqxhp+0sl2NenXtPuuNBYHI6YcsG7ZCJVqAXPuJVrasvj51lh MVZ9vcg5JE4NfOd4q6pjID7wMstcKTCeFdYl5Dfro3wUw4uV6hQGdD+bhV0j8KA3izFQZvc3lgvL gQD5CSmlHgw1M3rh3MblcPMTpDMQ09HwdoRY4lC5uJqWl0C2VnCq0dy1uWJ1pboC6mWOc58iHhxp 7dLf5j+R16bDRqXY6n1+1aaYS4NJ41Q6BBkV23wGMf6m08eWG7REp//l1Jf/bJTfsGN1N3pYEiNC BrTQjTR6Thu7x7Ns9JbxqopriQP/NvgVgElB704Qt0vtDj5ySINu7PfPXr8s6btzOgenDi5np4dI W71i4hmG8PZV/XLnae26znHhGIOg5Xgvp4Pcaa1HOXR2FdajBZZ78RylledPRbOaDloa/R2RxQYN Itr46pUEE74gkm8gYnFXHFbIg2K3TOXhpkc4x/XTmppXqFdP6eh2BqWm/rUXl8FEqZTvWFCD73sT VC7/MJaKkjstfTWW04hTcdbTzP7JONs2q9cVFqF2jzsrGOQ2pXj36y88xbgkz1YUeShIpbNI/OaB O61QdxdnX87YgazDjuexpR8dmKPIAubkOa4Y1r6VPXktXL2dyNK6OsYtN+6BtaTpzylZ3+lQbBuZ CGREDf2xjQeioInDzNX19vo5CXljzmwjpKcIwigIKnc3x2wSODeJJMjMVRj9cR6c3pCBromtWTmR Dy4CqggAR5g5ibjjsZjtA/QVMJN5QdQ2Y6GgZf8CFoD4lavb+ByghU20FrpET5Nj35k+g9DoV0b8 ETH+VxwX85/kYYRJivIAPEefez8Og2SOO9CbuOjXWEOh4mQDY/+KrRCw2jpYswqHe8H6bVu0ibAp wdSbuqTMzbb5zSUxqBn+hdqo30apGj+wfzWL5lFcPMFLpA0IczRtd1YhD5nddVGwi/vPGUvCM3xJ oHQty6gb4WE3vuVvSDjmYzEvLGxR+di87mHNszX5HN5qFN1TVjLebHvJ/HTWVXpG+qeZzLCdy6CK YAnxIB/uvkvJHWZj6m6/Eb+r+aq5IW+0vBrow4HFPTjrgfVCvYN927H9xKRloJl4iuIqgYBYgBfs fOZJTRjxRflxaLWusPflEjimUZyKgoAuJ0DC5QMTv2DqpJlTDnuPhsriEf1uuC9uazfmPksgxVWC AL1abu2uHNpcwhUfFozoIM0/Ssn5lbv0gSZ6c9utnW7XwkliLNzzX1GuMtv7s8c6Z2H1iyqOASrQ nmnxw6I2XLL6B//1mCfY/FTop97DwHAJFO5dpPXgxUUVdQKMq42JF3qC9TcCkhjVDGcvuDxwbEUQ 1ueZTER1PMXGEBzNYH/8rqDkUB7VI7a/5KF9aaC5kcoU8eUiiAMFqZz+VQ80q2qvcNuBts9sgXoc KnfZNSwVeEyZAV1wYuDSmVjez46hx4/HCFUD7icVN0a4Okocm+X01Jj2VRgEUCxhS0StOlIoLtCM eaqyeS818pOgq6RtbpcHDLtVnvt6Hpa8Q52/RaQjBt4c3TUCMT7VzIO0ZpWbCf1lpzLIY930SlhE VjqKe48U8WMSblOxmawIOfwYoDJitIvZC+295Wz9pTI8xuD5k9TS7TZBHNTjA+UYYt4/a/JexLvj LHH9IxQ1EbeLC3DRsjNAOtB/CJuiBoPfF3oByEx7L7cCRVUAfJNRrcKqhuoT0MvP3rgI+NuasCpu goXhBUVmWgYFVD3R1Pm07gmGyNTsJrWHiL4zgqiVCceu3FFEzZxvIOR+EWLP3o2elQAlUeC8Vuuc S3nZRq0Y/u+M6cPjFnHfHNbna+j4EFr9CWgRTN3f9RbB9xJH7ph77EubDW3V7Zl8hcf+bGQc7a9d EBdo63rZoktinfS17eBANY7L4VKd8Hqfz60XYZcx6lTlQrhq82e3I5b8JYVra2rbFBTCuWlrHIEk HDm8q2S8n8mzIqnFTaqTYvJLG05ly9l+MEzZX47dqcUqTgUrt8vhNpgJCfdhhN718mmJTKqB8/P1 oRYTINlyYUfgpPfIDisAMeX774failIdmcWPajmzRgS7bY9JwG3eBanJCo1vno1LXSH9MNlgpSg2 mLNGr1x9x4Ru+pPX7qHIDDBjdDNyaZwaFiec+lgzdCCoQlxTpmslsG7ik7bQNp85bNRgm400Fwme +8BT77R5fMkM82w0kXdd9pGh7U5bpDiAHrhZIUxvyCwc852YqWOdrYKlYo+/W57+/P0i9KpHySEG URrfEOMBEYgT2Cc66siVR6X8WlP3KbKrL+hyzh+XiXEIRDIDVGnOZvHAsglf/ErSURH/u4/gSGEL 3PNXwZnE7nIdXThwShRqHHH8B3RQOK/SvAHV4pEXarZAwnyC8x03Tbp5pH4pOnOEF9dgwAmDz8Nc WstgJcNcZHH0S/V3WMOrybMMG5ArBFcgDWe0ThLHM1vRuDG6WdKjwXTuDrdOrIXVXxTCi/oRU4F4 JKczpGdJrhojVyZX5we7MbGdL7f+hHBEUfGtNO6l6yWNWj3AGQZQ+Fv66WZNaqz2Q4Vpp1IXLE/0 mxPqsdikxqazRPViu7gSttK+CoT+83hXsAIam92sHVTt++YPlh8RrhN4VMGvRGckosLxJl8TzDgj uFaRYHNYFDJBSYlbzF80kRcXqpJsumNOJgrZn7b56+N1R++Zhdc4ld0dGcJUYWzY8ryf0XoS5zcY w0u9KTX6F3zGc/6O05B3oHtBzdZErB1rD13+bWJJqhV3g5nDI0leRheno9gyR1sYh5KxjGArniKb phM3N3ENhA6rO1WhWOktPGY7BMXzTZEHTyxPiysPJNeM44fXU7YkdZd9wFAqk+aomRWoYE48aRn4 XoiJW4lKvWOabDU0g0QgOAjMb2Nf1+Cpi5QSSGYrtSZYN5CkTesbKOifDmTKXL8W98BwWm6abQUm t0eXGuNTNH9y7qIyAFHkn7xcEz53/Dx/x7gmYS7UPoyNEBwCplFQUjfQVrFncy12g5JB+6GpOoyk GeHVBwvHb8k4Axq3J3i7vDk/+0Ti4HFgr4m3nvjIEWVvOobNvMNnOyhU+KxCxLGSclsAbGdqHc0P yzDBgL7/0G0rz+8OQckzBL8+5Ntp4ZHNeSsIOIYxroxeVeA3Ir39WvU2rvmsY6rfl3GKJ+3Mr94K ICGFpGJAg3/QINJQRaz8c4vPfNzXObkS/xQxB3MMW/XHA5NMiQXyflS17hhJL8D88Ze/h6ZhT7fZ 9ZcnhtyCiuKctLF8f7kc6iyHzTS6FtsqZayIMW30hJ2apVm3Yz2mDYND9nSPXJm4Sm0mNZMo4Fxa qSRlD5HAtaBucNgugkqmJKlXvlGHinamnGH3fVfDxRL4gGYmxTZTPPtPbBb99D483BffKRQ8WXVS BhD5ZCwflJD+WK8OZEAiMBsdW1+UQECnCvxZAy6tFw39hAdvgyC/d0Bz2xTzTJx4O1v9xmzTI/or pHMcb94oQJIBPktDBrEmFgcV871J5n60qGCGLo6EgNlntZlpD9J5MxX56ZwS8W0JuGFBGeJ4KJGX 6EWXqMnxecxi2TthG7AFA7Mg/WOAMYiN+ZdqX7MwVT/RTch0i7c7P9i8ZCI6X39443kTI6kCfrnC kbUBAgP9umCGJgV6J0ec7cBJX3w0NM70wEcNXF/Hhd83Q+HnLyVfLR3pIxBBOWzBi4GSBx0zVaDI qkZ6bLQ1O7C4Mt75tFo7x+WRYa7ib760pFXvFTGNpUyOw3deOBOINrtF1+PZpZRsLZAP43EVQTnc tGB2nnkQNpxHG4mg20FzKVDZoO41S03+zczfLFp2Nzpkla8YJoBdWSJ7c/v9NsholqTzAIhRnDdm xibCecHz+bnMJUvWMn7PkXRECXEGkLo7Gu7DMgDgi5yfiOWI+H2IYrcKJXqwlE6FnUYlw67Df/x0 yzwyQWgObPvCCvh2Cnz8mSxJnV/SzJhWnR/dbR7m68/anvudaaJH6VtHSY9/arheKCvmD5Sc1XAU XzkGs9X8dxyhglGcBP1LPcG9R6MNlmjcOZhBP/eALdy+f/+bzyth8Es0qEjx8nbYLdXzcUtQr02y A+2PFC8xbAIDz15c/ht/EVu+6m1Ui5MqOyKQ1j99epUORE9GhMSD90odAOjS0LEDP1ajWuxxRHUY 5+AqidSIFjCQdNnDZ+IG8EJpUUmIbOighvDTgBmGbnuy17gSNGki8sAkbQkbNjYqCs2dgZuSzAJP drKyUIUi/VgUtCJgAw8J04fnGq/KhMznuH76qTzPSVM/kLgbDi8I6vOfrYqZ3iSH427WMQ0EyyB6 7AikSs7+V9HUpLyTYAynDLpbatLudpG9QQNSEO2qRkgPcl36Y7wWLU46Y1GXSP2uNljuEFtRSXPr n7tItkd33BSxVhge8f52OLLdSftsITQEoxNlm42XTXeJ9fz7Dm/JqkwGsbAOX/M/IrpfNQVF05hH iyiFPAHhMnE8je7tnnJkCdxI6GpXW4WrSzdOX0WUX6VJ80lw4sOfbZCjFG/2NhsaalmT9JTrfLpO pPVGaVkQ6apGC4UknMitpGOIc6f4a8YQy0O2Us9VAd6A9FgU18RIUA/AHyFgM1qfFZ5vF4a4sj1H ooK6djzCM4PgwGx2GFjWPJhEXuZ8t1+KNtekxcGcoAXzTpjNakVUzSDwYDwXHirQY2awAIj3aiA0 RzAkCCCeTpVfJL+mf1mHMbX61KJdB9jCjQElnTxg7VYqBuPAt/R00nZ+EaXFHAZNDM8jUkS+DIlH 6uARcc4tLFthvKxCD4oUtTpUdj1BvHzv52l8IXqWS50eooFcxJN8NLGKrqgPEF3DJ6vgiS24PKtU bP1dgReTO+4XsF/guFobDmfRyiPycEm4WegYjg4TOAki76jPCBNUsuGR88SNWOsZTEK2hAa11+3O kZW4lLmO5jWHnOYByQY8FYsSYRw+wk4NKg7B4njise8+LC3ru+FN/b27ZzWZJxSnKTy/pCwX2iE7 zkzQ5hap8RGsddvwU3fRSSzlQuZsSk/CCntVFwbTBWjkWC+lEKyuQrd+YvBwvBnMCC7yKyEa5qOu P2f8NwKer9v4dOK4BZlLwnXGYVZXjnLm2UjGl8n1vlTSq9E/5txudOKp+aOuEaK8kxhn/XoDga0q 27JVH1kbBZhqQWGUXFCaCxUHz4BFOxAR7CqbkA+iNrWKk0xuoLg1wXDJ97N02L7wJkAIDD7u8mKO kIgyxTVVaKqPzwFiya2DcHXL9kCfr7FrYFm4SCB8xfgyQm+pT1RhJHmUOUQw0GEGMw3QsJmokNs3 CStxMmUDdHP6stZalBNsAvYSkQNjjuPB6PaBgHdWU9ySeCuSgCeR8Nrtfx1gsJhM/6upJNZsprTa Ffb064i/kzlxURfY21/ioaYRPWoejMj+rtGdiX6fmpzgC1LQ9lm1OBfTu5tcBA5v8paO4agMr+vg ByEl5aD3OjBoEXxbDqsRa0tyFsBJI7kVY1dUCE/2BL9asILmp3SPZuE178N849qqFrd/rRJEdskU jZMavBVkfBTn99cKr9oWiOcxD5J/K/EuEKL4Clmgn3AAE0F7ql/R4HifStQptnj07FVE0FSFHzJl dtOkuT1xbOZmBNRhr3JFK+KKxjT8CJyt48ZAlnICpoS02rnBswbyj6aWVzJ1oYvCmx5qgHUkH2co qhurxKDT4I1GJMkLaXX5OvVc9Blee5oJ4BY8FJPEOX66yXBLwPLTtu0fvuineUB9ZGNE9xaI0riV 8uVZrUCwX8axLoTaIK+RRylQXbIwu+Twjb/tWRkVBinzqn4xw7kUG1RmavSlxq2pvV8qM0UfjgS+ nt0M2XLJkEcGOJyc6gvDU18dlH2pYCIoUL7ZyFJccy3KMMt/XsNSzM56UAbi8IDigTI5zkscgH8l XUVLJAqHOA/AMpig30kFjnIlCLbY0QbOuZpXsumrXtz/0mJCnkU0TbMORpVRZpToryQ148lnvDzx lyUFfMMuOWCbAw1aHedbC8s7SNI1Kd+rfOv4q6EAnAAZ4LZQ4WXcXcDtDx8QAsr75EXvDcNVaysW mFxFkvbV7Ys2M3c2IjTGRpHdijbDy6iWIzJLrYDf0L6/WIU2Vv3WBNXbqFXcwsdJ+0yNL0947rvu ipE9kaOyFEfNnfTDDdTxR+qr/F7gnFBqQzW0dL7ansvMXrRuNT+oEfa3937t1KAPcVeMXKkXWxqx G40U2CfUfqWKbHeTAmVCBT5g1vbZrCmr10F4EX4kE168Y/FvVEhU1YIgbrEH59HAFtpaN66OWtvp 4krGcuqfFK05rqouKmYbOMxck1Xa3kr+2hUNaMULq7T3m2tMj09oQR8EYMV0U1y4G8eFwr3jpkN/ 2yAFPrLC6O0bsKL0aq9R3KUtqMrWYpNZiPDWWzawxy18n0HePqtuyIKAD2NTLfYQ4zr5Vqlb5zEl jveYM4GLC7phYJ4+y5b6kFJJgzBT2DZWM1RFumYs3YWVj8BU6CTSySZiiKr0D3PYUO8DjWq/bvtz VBDShKLH7w6sRrN4fmnpvqjdAByIpdZV6oLnpolwF4evooOdIVaUn8v0f/48V4EL36ZnL/PhpQdk 5P8BGw6XLn4s810a8q/g+aQU/Qd9HPKZ5QfcXkiYY02WXmsD4NnSpkr1To/ylmKiOrvIpOuqD2fF +d17ZHy6oo6gUyt8xZl6STM+oBl7LxhM2SlNhdBLn5jK2FmnN4ECQCeocV0gQLLa8Au5ahwXC4nz kLQJb6DrjnfMEmxy8Aj6hiO2m9q4x3PZJTiW8Q+HTpuY1quSW5Drw1xhfsuWtU6PTOGFTcMng6DT Ff9WLIKOvMn8z/RPOvQAlnBSoq38aRLNJoyzscUADCU14cH6EYDLbZzb9gCCLXgITgSexdheFcRw /h9BQfuLhY23aWiti734JLdvG44LRhato9iGr8eHVo1QjSpus3hi6xUNV7g2Tmkm7T5OQIaNcn+H 9DXsp59cdgz94fh7jlAhqpe+MUZ/FrYVKP+c9qhdrRmYGAMIuY3iIpgpKddSPSUnVUprUeYuqSJd 1QoL9ZVTyEu0h30+7lHp16gpT4TqKYVqawf+R4fWlZ4TQoA+GTGXuICgv4HbXNmcf14uNByhbjzY nGCNXAbiVEWxu8qAsN/og8jI380FC1heZhR0+N0abzvLLM9tP3sj164/VR/5IUCE1i+VtdxqREWK IzH4qpVdflNjlne3Nlod7x5dfBB99qCMS+zRPFLB2I+lzkBiKLfOeUDxLWKpyza2VtnhO6/bNyjb vV8LuOHVdNd8OYyBXvWrmKJZ7mOPWCVXnxI6t/L+5DnmoHzzL7IOv0PSD9957WBcZWhfcRfiAUkJ x6S6+9ZgQB+rVssI9WMCZHlfdEjt2VlMo0trDsMya56R1BO2lLQ64dLL961OGlEAJclWGKuDbA2W KSWGYlbzGR5DjYvPYAKK9sOwBQnxZBOvhM5tia2EyRp4qc0YiYzAY9Tb+R551Qi5GDcbUriHM2OH 6t8VD7sbrjFD23/IekhLx6a+JFa+JGIqbdVJNt6Kr6nccX/vtqssiBg6eiks1xBR53Z5Tr4DxukZ wt7VE6TdWGypqYspeAjGCe3w2Oh5QIWrMzJ3K/zyaT5/kYRDqxXv7iGmp/lEYtLF+DB/v/ie+yz2 GbJasAkBntlZu21E4twfoLcazCk1GVyGUeNiM0zPTVSnm0jhwDVLtqg7Pxl8+YYWZ1rAbILau6bc pSkcALazyPIeDn3URim9kHapn8bR4qJCpEcOlTclDgTDuFJZ3GNLffPyg2ThOla+sytmYWCqb+/h aQrsAetFGEOsgRA6f5ZMfQQp7UouWAf9JPwoSoSnQAsHejHpQyPEHoVUG/EvYvU4HC6vHS3XN7bX wzZRtbdcsbRUMHzYRGSFjAWTcsPAkx9yA8pLZqqnJptB1q2b7OSaP4UoiyXj5S2ao15mDvUFL9Bs Tn3Gf6aj7xNvAjbM9lPjgahpxFHRWGFiIZDYfdv7JmFiE1kjWQow0CwAq+Zwmi6FR2mj44EFDnod KkNJqfGDxYoRg7IbGFvSr+1JfZ3xrVOiYU1XnuFYa6X880qL7GgC+WM8872pi+G/1bzo0H/2CdNG dwOpsGpyoGJafJPpIndmjcEobblp+ZNABRMhzwmJSvb3q4v/elVmEkiFuDlKRClf4VRj3OhVDY8X dMxPGFcRLAJZV7cTjpI3S6uMwP15mr9rbwkVQk5cYFBmYND/LL8/MckABs2wPpMiUKwLL0S0CrO2 x7DKR1qR/nmHKUuBRU/G+r8Wd7pc0nz4YIvld16zpbMlprJboqoxD8q81cPJrchO9QJaphiKwRDT 4eAkM2N+utFNqOfrXwBwevD7sFI5UO6F9JkkyogNHzs/KwEZ47ABq2Pol7cq23omSAcgKtNrrcKD dsRkjU4/DZ3TinsUenOeOMAOMUu5OEPJ95OufIqkSLL+wc+JPSDJS2jkzQU3I0+C2T7JmE4aCkB9 pDp8v3PBnNFTNEwW7eDv+anLEZJVJIrwQJbk7AFJuqInyjFNVNy4UBHfCtg7ej5QEB/98vfV+mfk libioXDlSKwc+L9anQeqx/P225MADPeLBvxHOQHeUDzgnmuuAZmyDzqHO7kjivtm1v4pBzqgV4nY Dt5N1qeQNQXdOR93y79DYoO34sLA1L7jVh9w2ddu5D5ECohyPi1B2J5QQV7FUX3JJ9tg6qbWIX+Y Zy+iiNnaRHaOQZwJFJ7ec431A0YSWR4ApU2C1HZ1Q3HjxpbPJ2Oj6m0UsUua15Xe/2JkoMgLy88A p2kamD+9SwXU3ah22FWCsZQniAAPPG10+n9LztOmzTYGgszCS7NIiiaYxXbiRJLHlz1JCsjiez0r NjWH5sLhfwiY/C7RJWh57rb4RrTd5YJ16/CGyzpKwABFdzzxEkIcAQQc8tzWorbs+hiBEjXDEZLt FpYqdr+/P6K6Yj21tM7ZhV1glX7uYKLO8W3VjSFNHUJxHB/YSvi4q5lUhMPN5EOQ4ISfowogJPYB UubxKBOeoXwRo0P+LQeWsDQp9bNTXP2Cp/gCjXwka7y1iocYhIdU2yxNxudlfmPQdOYXhXCG0XCa 3fgbyOpyFZMkFMPuH0iuV+OvCawkqTs2tlfEoJSNe8bBKdLGEdGJyy07QU2YDwHQ+gktue3a3pvC XTN6c9gr3Yq2PJ9v6ikB9yN1WL3LKALwptRApB9k28zlXTVVP19Ch2ObMKw7EfdTwaPuGsbz7Ooz Gv1cd8WoWotpu8yAVx2ofQA9vi4tk9u10z7aUg6b61jlo+psOpcgf4uakeONUgRS4zmL+cRvWJ0D 9afH9kdFhwf8xJ/3xppxkgOcms/MGiSSHIOXhfdaqNgfPK4HCizKlucsEopO4K2rVqvff2AUA2DF Gl2j5iYHjR6xnGSqLQKXwLqzx2NCoC+SIQQ4ht5weaVhiXTb0j/GmHvifLr3yOhrEIyr+F/udMJ0 4xTM/j1Y3+wkpXZjB+iH6ezemFM3rIHZZO/2OjGTTY+zzD3Z21DwfXKe62wN5vEhdGXOBryvCQjJ K1zrBtAeHmqyGfVJpLa/bVVk7GQqwsvRRXnFPPGNAnBtPpNpbQ00KI2WDtIXLn7PjRIoeIaIXRpb 4u9RYFL7zbE+4cXGF0h1paNHS9YcCbJezEdg8/KscRv5x0hdD80yRIa3FQLIZ8PnXpMt040uF6an Jyvw/2WWrQMNpvxThBNJGUstfd+qYDZuZZplw/ShZjgj9cVsTeZG/0S7LjgahfMtaKbHBD1YvS1E kRhKPHlWQG+neqEdteDApVx0tideqeoIZqcDw7chgV4+9ZDUz8x8E3/YnNqC9ODWu8w7zyET+3b6 PXK28+Z0CFlxeOAs7Lx/Nj7tefAD7RBNENDVW36zlhu1FMMB9EiLCfjLm0LlELzLGH4I0fv+7Bkv KcCbZqR91sZGZ+xyDjZiNFJmoBVkbpV29/Tv3jDoL0ESPYqdtxQbnEMC1fhkmN+nOsR4ug4ng5QZ n6sV9439l46ktYYm4lBcLHOVZzrhBWY0nFsNGpQYsdkZre00ThHPET7xvEpEBGu83MlHe13u+dW3 qF/t6mUKJLGXFBNlUZyyCt/64kNpU6UOxtwvvk25HPdF6qBXTTqCfuppOZP8TN1karuWIPJ7daUX kr3UFbsBv73xswIvwj6AU6awyrYCzMFJ1SorhU+IvzI/9zIs50dUd9/SzX1DSL9L/rYLQHVLlqvU bN+tBeFapbDTVkYK6gLwYCnbhKuDr7y2j0h3N2AWsZI0EMNDUmR5MOk9rTxNHUnhChygRJ/jtxTW pr7zTacOF1Oi0jLBE3s0LwlQXc4P+TzVlSr1WAASjwlVER6suSXf/4vRHOZMYoc9YFSQrXl5oGmt pahkQI2goOA245a/gvOFofp/NMu04ZZE81w5s/j0cfsv/c057B9LakeVsWMTTURT5UJLUHZ+3gZw ZxfJ1ZbAwIo35oTH8bUmPITmk4pc0+J13Xgq6OzNGfQFKKg7sIOPz/iPjtDvMCmojHzyJ+UIQbBR 9eT/Pgw9NEKfjL31s1Jjtr/a12EtkxXY5Lz9g1ma+9uEiVosEAkIQu+KJJK+HihHNdHouJo8Ccu0 sjEqvxAYJpaMyq7I1tYpULQTpM46f7IkpuXI5Rr1tVmMDPBj8rnHTQUoqbR5ZaAKuqI8TMcWp5hK ZpqtjeJIpEASqRtYyK4NFtd9AW7/4zB4KBDVd+GOg5IrGedMdzGhG1BzXBppb09JfEbgPh2WgIFX L7OcUMPufpNUtU2/FXXiHuqfvbWE4frW1CAmjDTe9Z4MsCLa6WAyrvqRzXYj+j6YL/Pp88QRaFH3 07asy3aUltJJauNYDdK0xoa/TIxnzpxEGzPjwPLllxrnAb7fCv0pCd0BSh1ZXLE62KwZyoGCfUeN GK36gdh4rzdRg2wtB0YFa1r5hBh99YyWSmDnmp0MG4YKxqJxDv12b8C/uH3tEB1TGhyABKp3a/un iLfHO7cVzA/rFGFSAXWeC9b1T7T6Gq+mAqNcicUmzeO9vbs38xnTyVNojq8pG++e4d/a0PmOz13h YPHIPOPDEvvbzxA/WZdCwLMBw3oZ7QTxe6tgLkxof+ryWppfATyzyqQ5WG5YWk0Pl71D7BVthKPQ 9S+UiyDCOTI2bQMXanYJksc9dBxBTuo9HMRcWk6j+rrLuYN31iOO/yKZRYPmRKyz+DcpO9hxn3dW Z/OVQwdLIfnZBo1pWJnE/sHbPTfMNi9yfMG2VN7+uACPNMYDqr7XsBUkMhfEJ8wX7k+sSbc8DzbX pRVz/PPv7Fqspif9Nf7dYk0sJLL4adcZAGPcBv9G99MqEo/YjMfm9DicCcxl7VmKSc07K56/MRzK np9xENcXt1IjvdZ93yyC0mdGeKcqJHNwvbaf+f43EmkoywS0LeHMl8TEFSuUcH8WkVnxxoNa9ON/ 048ysSUInQ7Khf4EEBdke9zQjQod0IfHL8d0CQsrLTw6Tt4mMLU/KVKGKvhPM5gu3XRwIGJ0fpTc aYFDVTdbfMSOQoBEMwBZye0FEN1PP+mRpazLt5X/L4RoZumisG21GFuiE/ubCORhyZpMnvMK0lcD h7iwfVOJ6yqhbMq2+Fy0VvRMmf22Z+JqaRzbD+frMjjylLKo958NKewDExxgkHIwu4FoO8DQnhzk y45V/o5BB+ktWcWA6a9VUhBt3SfenjIX9lktvyN+EqqR4LvJCW+xz7EFMjAlbbAyiNrVIdTRC5Zc KzCVkT3tbC8LhF12exsv5KyQrm1jKJU0VngHpA2g6i+LEDagXF9IaFUALCUAdEAeM91YmZojy2TG eNG3beg/IQHKaT6kXxCCpPhoyl/EccBiLvZ81OdNVWbiWvT+h/QC7r01BNtuGihxfeEZ3MGk6NyK +f8oK55gY3KaRKHLkdF84/HuJnPgU98LjQoQfAPw/vxyD8vgHSivDMVSY0AkmgWSlIZRchPZKEEi vLkVxtb4kSuK7QwuK26mSjRehv4KGBTysS4yfkTTcUvfxTh2td2N4kaio8zc+ITGTejbEsWO2xcc SRjRIeGa6Gzn05nmTnICfAxN5d4hfspAtbRe4FNFQqhTPSIWHoB+xFPd3uN8jbNqFftULCaktn03 yS45Op6M46exzc9XsDP9JM7uQaVYP63m5er1YzA5w4Pg+MlgoBACCrmcJDE2mJn8nOaNjigGtEUD QM8pxICQI2yj3/PSBA90+XHqnzIoeyiH5AlARBGSqvwA0zbNr3Y5FXs0FwnF6nkeyhhP+kPhtAyw to7ZQNM3UIKLPEBijACs22cvF0l0QVy4MK3tCDcEqvJfXbgKjN91/e6AKzvxmT3Pd7M6dyUM57tk EebL5TnN7U35nAYOcWukXMsT5vdAYO5enLAwZGWSeqILcHoIkANTbjYFWEMRBx/qkwrY93VRdwn8 fub2TFqEDTKEZanlzMajJHgyYw1UAPXkeh1cpfOLQk+sRDgn1X45IdCu62XpIhYEMtaXSUjHOxkA TVhweO+IoP1FzLJGRpeqAbyzClgAr3kT4gaXwbIrZiw7HJ0AjJjhQzvh0jm+fVJSSLLk6sz52YeW WKOlXDCde8atmGPKKzvfyzkceCPu02OGq4wFBcF5BXFoD6w+LXIwFuwbhfHGiZgCIUwC0Fn9389d USoT8T59owl8uDjNJQ2BVGdJs9cyBdQVON2dXo4EkI1D1SM0bCIBBZyciQenCuAa2jTOjqcXNeVR ZluLC1yBSjC6FpT7jLKRMInJnqkk7xOHNdDvrkthSh2Ut0prsr0I0VaOqFPGXNBIe1XNugjjhJ/r prfobchEbWc9uv8BKM4v/IROsEl7g3AhzfPxKpj3AJxw3xwgSo23SYNKFID0qy4f2/0o3Ykni001 DSgw279sZWPjYjTsGCx2+tch53YLNg9sRnpy7U7+nt2cZqkIvgxtczKbEAJPcMODCw9CILTfgo/B u/cpokyvGyJaH7jsa7fPe4Y6A6LnlDBlCcddmwQ/wwEzlCk5N1rsGf82Gyz3idSE+v5wNpDsAdiN XBJo4wTYOH8ta63Lku9FV+RBo/AiCdvRyVwaILhRnJskV/V6Z9DutLijsKY+/sdl3lIqKSlwabuC 3AHuwTZIkQ9mxHcQ6hdqYh4S+teK5AuHU4fyh60wwy7TSqxUKamNWkSkZsBRcM4+Zoj6I158X96J au01axxaBcDwi7tEcONw3bkGMA6rPL4ZvjsXj50ckDP/yVdSz1aqdKIKyEjbQ/LuACxH2MqB3qQX t6mDU92ozaOudoG0xN8c9Lrz1z9EfbTQc5Kq3Es++g9z8/o7L6hZygbwPEAzw31ZjGhk6nJ6bmzc ejvMPjMbB87Sml2Kcdh0xY3fb8MZ6APXz937wjsU050KiW8qSzLw4mcsOnJSGKGLc6MLkt5tTKb5 W5CD4i4FfkY03XljNmkMCferGmU/pkZ66dGc2ywIiImRwLkg0R0WLjfpVSvQvy5LpQ6rd7UdZvoL 2oRsA9EDVLDWOCjtCFIVDzFg5e09MKc2xCopEpfC1YmPM859g8cIltgGoXbmvb9LgP8NHyzT7Nyh 6b/U/KKNXrHXbSiD5rSGsm2CIQ2/VgquFJ9V4BG9p6RyPPRxR5h6i3LlDe2TcPNMh5dsc/QJr3f6 2gztFhbNTVrHUefew8ej/ZuAKIgyDdTQN8iSS0X2l6k3u7nzKftLVG4Q/Kyp9sGx2z6qxC+FwEJs lscrcqDHAuHaKiscrGpIaalX8JhIngPjZSNScAUiaoT6+emUoEPnkFcaGsSg4P528lr2WU0IM8nf uAhRxZnIZvrN9z2pOYtlH8JKDCL+u1z9IMxzg4r0hXd5a5AJGPzyOgb+576pMA7DwZv44G2l6M/9 qcif5khNbfxFS5kv41hqfDflwJiaW4Vrg3wJLR9yqyqLwryiUp42nDqVo6f6uzl9nnXFPakJwxlX gVfv73uCFqeXnn+mZY01oV8HKskBV7c3mmhXMDSPnHx5PL5TuNiHcolKBKTW2oRtIF7uklEin4A+ Hxb9KYbwzN8WTr4QrCO64B+YkbIfLinE87Kz2m8EiWYOoGbh0A9RGBrMx1k7qLyET7FSMC5a8xx+ EXNOUK29z9stJ6EhEnNK2EnrUtfw3OU3/qJQi8ukFt56dHTZvPrc4pqEc1ms2yOuBX4nWsPkYm/f 4fCYPv/d7G34XEFudkqVlIKhUKsKUn2dg5huNpJCjXlQYz4ejg9maewF1k3gAVZLGkf4oK82lLnY cJFwcwMEv3dtcxKiMKNnp8SJ9E0QD6FdVrHjH9+s5TGpKf2E1B4al0zmu4xgjvQoY3V4SyILeoiC VGYjW3K1l6O/dPdpSQjEryfI4RarwbdIVfNdWFqmwM0JxjUEg+g6WqYtumH/xuj+sVHowkzmJzIH rpM+TbRedCA3UuCInAnUTSWnwoQOeqGdwW3srgM322Y1LK3YC1AbzwqMHSS/E9hZjKE3J8wWt8ic MlRCgMpo0jbVaJXFm9z7QRGfDLCSlyYiSNw9754/iUec5lSpIWp/C7EkybBw7saRcCBAFj821U1p LohiRxVgwKN71oClIrL4wU8oE8GGRK9E2xDLiv7KpYvr0q4lHD8bWUZyBKOJjs8goUfLcAY2EX4y 6GPKg/Rzih833dxLefP/4sWK1uu8pfH4E6e+M4MzBPVGpw+wTDtdUjVW/nieqCoMA53gCcenD1vR quiidrXK76h5a3zpfVFo7oV5RaMSXcCieGhbP8kfidbWiiGaniPrSMgCc+ffd1yRkDUVQxLrnEUc Pa6qOQ8KeG28oBUdL5FnYDvlTsKnVrGBqxJY9vBqPFBAvhJaWNMNo8vhQsESqigutA/6iiKk322M 6LXY4u71ruBO+VwH/JVXWb0yMN9OcE8zWYR8b4tPqaqCEKphOQu09EUxrFoNyWVasm4Vm6mG3Jkg dZ0tGyVUlKub+3DqWn0hpmkwnfug+ix7bAyeExW/OgJZwYWmbyBy4rwkx8KoJaqleCjUP+m8e/f4 rtG0C0dxFy+r9D3CjG/RDMOKIGaYpolXEDCt48+J4bA3plHWOItgOTyAU6RqAjhMR+pp0pQwxCO4 OTrpL5b3XTQgL5e+Vwq2MEWn9diGf3V6nXn724I7RsXa6ciklCF4772gbsKyl83MjJSr+liaBnTs F+0S3Zvv6r4fwImUs2TucPlyGXEw3oNH0fmo8LwVg4P4Jga5XTK/Rrb1VoQ7aX/xiTx8h/07h6ao 3CMYfJKBjG+54XAA97SMY2Xu6jm8wIIPhZPBG8ZH403kKNeOXxhTHYvENKC+iMx4MOYLUHI/cG1E 7pXjqu7PXJMeZ7egyBq96AyjEBo5ZCQX3Qrpba8OgpFrYaQZlUqb1GxA7UTIsMjzFf9HFyqyabAp GpOmgHoVmfrJmoxlHk5OiP+mwiS4PHTukN29SxHYG5JgkCHjz5D608aP7KuaCMCkwiQEHqioLsrG gwHTmHd3OZloasSosph93Y36NDrQVlk97c5LrfeddWnCHCl7rfSleVuTWVpSAMqSENRXRsQX8ozK XGHIfsUGJWt8f/Tbqi/guFFxLypwTz7ktYMN99YG9YfDUvztc9vB2bQgTjuxvKt553OiSPIKdaBa Ovx+Y3ZT+xEDbm2lsKl5Jg0l1S4QJWkjyC1rR/4Z6yytKbJDDVMRxmZQtXGPrh46IOaokWg283B2 IMto/4WNxbMQ8BK5hz+kECYPfSWZEepC0sosYtEElrl6aME3/rH1e+/UYhWAsRPgGY8EKGtbv9Lc 55o4dzGSvP5aZhtB8fAsAziaWxgUVPUC354kPa2zgu3Bi1730ymSCP0Jm+sk/FcDbHRPWmzMRT4D qdRMaRP+qpxZnN2Ez5AY/9YtDWNFYsqTA5yDljRFl7+nQiHgz9RdVScyAYjONfoLsKpwPXPeyeYK SxxSK6W032iFd0dq4NSMBCaZ5g4pq/xFvIJiYPdL03sSu6/huwTbvNischoNTqxsa4LC8DvYzYsQ b58ZEpZIbkofQM1vFXyUCgMO8FOrIf0EkSYg62IVJKK9267AajIgUW0Jc3agFBOyGEMQCDaUT1d5 KF+DLQUn2ZN6hVE3B6lFi/U4Y0+HP6aLgVXGzPUu7RDlV+XO0QJG4wKWfrGZ96ODbh2Ya3yYX6x1 VYoWjcLLmPrnVSPOgWmNikUfxZVV7T+Et+5AuMp/4HExsoXazsi+0t1WypgD/DVMzZR/axpcO5kO HhJBFfcquc1Vd4G5DAfUFEHLZdT+bhpAybYzz3iyZOb4uZZy2ZmGFwpHNkxTPNlYnu+AU8gBlgwh XBUdYVKZyuCVUtA2YEy6j+2DIYJf9KLtqNyP7IlnxjZzQDVCjdGW1oCqseISigCtlHecH44Pf44e Fx1SBWqKTqnzI5dpEC9WOygT7rb8A2X+yPdCcaUWcbetcmYz+QPrQNBwdIDpk2pd8zfioUjL98DN X/37vL7tAnjEGqzpllTliwrFaxOy8sYYY0R55CdrDC/+8tW+7rp78jIm1tTi2xZLMcPKW6dfQTBv AFDLiyCGTeq+hU9ZZzigCGzmWmewa6hVsTaN5aK0Sn+W/QzZoQfLj4QW9x+B7QSUQji/HSvq0DQN thIrpqgX3gTn10lNC6J0i5v6z8s1Ifd0e6iUHIQNtkGbVcGUY4w3WXwJCa8RSn7pCNIOpVppxlzi MI2n1XlIckZYijW30rSBiRS4mBmZRDuvZmriMozBsW2qkSCrj1diIRaJIt1N7stNSIeS1g6OtqjH 5+rj0pBPJUN7Rlk8zr1dyJPyKDjQ8ZrPa1GTz/uwlSX+aMqQvQr3WKNc6XU5uGwgF7RybKcLWl8W O76unDn96QBGa5trIvVWLJKFMdFSwkTkTWQzlq0z62u+UtVYX4g0juIPxBY+cDbktFh3WyXwwcD6 4dFR2CIDeE4JRq7Jra43WT2nparqx3nqVHEZkqiiiS6P7beQUUwwunn9o77YEYWrwBbJG/mnHmgc +9YGCiexnXnQokSXOD7caEBRM/h01+oVIKfGvdPxoRNxsGJO5f9fAD/qybQaXC5qHtxVHiDVFp3k +SGJvazPcGovL6LVZVIrC3Kpke9XyRuL4/c7erR10evSd3GofyjEq9UrpbymbBdLDTeZuEYufV91 AZU/BTMP7jdfMMcWEPg0jrI+s1pwVBgRRvik5dTOCIQCefKuyhrMGdJTVNm6KDE/wxOqpVrZnYSS nhMg68xWnSxAPU7xcgjxh9qI4Qt6IW/FbGSd6Hc/K656exf1VvOKGm5ZjP3O59YSeOKClMhHlaEq SIFvJTuJUgWpNvWXck3ZI5EcTqK186PjtmyTCUfpm4SKEvRm+f8+p04mr1d/dNg9+Rpxgcab6N5n u1zlVTKkKupJNaHYB83gsY4T25BSsECy5I1CFJW82EpP3r5noPk5cK7D2mUfOra422spF47Xps8j bCNJ7l/gP6nvAgnlb6GnUFsKSabluk475SI6qVLiKUWHrOAyDc6MH0QgX0hsXuNVAimYXb+JUn7v dqdEL51zKfAk9eMw32dnQs6yBkP/lxAYsKJXN1Y4AEgMeR86JvxAR9JpVrRtQEsb7X33gfDZaIG0 TdF2sWukUjFzkPK4E+L6ix//a4kI08Ed+FFpVt4cvMvMT9dJVwKcf1ydjaQ9HrmXL69OJtMV/pop 0eeXxe8ZfFtwO8sRV190cDqDmIZBQvfJ3puaNk0mHAA6683/sJ+sw5qDSrNNjZSOeqH6Ro5I1bMo JVoeAsf6+mAzcoXKKpouG1cY7erApu2aAj0ZKRPIRJxeaC+qS/aLWO52QCR01mZX8ZI8v6LK5icw 3CUwTMQusturjyqASvTylr0ta92X4C+QIF+14aUTNClTj4DcLZrfuhAqjEgmlz3FC7dn66cEAu4e ECTlShGjwb6dMUJpdZ8us0W+u8lHw4PT1B5zBQKbEDzHR1Hnvqqt0D6vWU/3KKwy076s6JUKAF9/ P8vJFTVhJ443yRqf9NmvlJiGcw0qne8HmCSW3935fLChUsYhdtyCTNWaaRxbvj36wadtqqp9MPUg WKzd3FL9zFIvuF5jKYAzIHkE/ujKNq5Muwjev+QF21wL+189DT4ObNhS0SjgWUn5qu3XYencGCM/ +HBJUDUagpgKbfK/UIe5rPtGKcJ5rzrI5SSFESoNwep9I4NLiyCoxS2BeJYYtyelYn13oSkbeGyw UexQJ/4xkSjvkhr2cBBsuwRiYQqQdkjUdTxxeyB0Wpqzh57Xe8TC7DuZ8y2dI++jXT9qNO6QzUmy 4v8LSRkTx05Z+BHVos8LmY8X+hqpEO4dDHoTEoUodoY7SRY1wAdiMglS43ecYMm+oFTrvIuyz8t6 UCQF+dJzfULrehDhV0/EjjHN20Y7EtsU6a3D8BWPuueNkJY2iZ51i9gQTVNLjkifsKQbQvhiksCE krv1YSuJ6lOPvBh7R1kgKfS2AJpvE8bMrso9jYr1AOFVrSZu4Auyg7GDcLduyVpXlGQuuyeGibN9 QsNyqUmmkE2fRY3UDf8zowjfO5fRCwt2kDW5VkBdtyoMDAQE9dWeYhSbvMSmziWJoGIZ/fmxc65b mAXTl3sQ8Hjb7379ai+8DYJmTKWqHWsQadKZzSHN8aPdQkmmhNAgw5cr+kNAI1UtwxAuzr9ZOEvB 5lzrD/6Pz+GVz41YopbZ5Rg6oTbd9lsIGf11eSCMmKZDNU272+mZXzPCqYmhTHUp+X0GXPb+rOC8 VTHwODvVDI4AAm1hgFN/Pq8rOhUJ6OHMMNQ7r00jmaR3fZTBH4/VlG/wLW0Uz1/F5jVL2RinkltX dsZow3zr/k2hcr4FOVND5/KkpmUBW5FKMzSbDw9aeKRZoLQ0XWX3TiXMYzjxHEgltAoaSEt9xnJl QavHeQaLNl2L5HbuEq569wzyxMS6+3EbuDbEDrcOOFDGKQPYm6WhwljjYXy+kFZxlf/XAvOHuGz6 M5y/bl9UuBeL1tUhZ+8fmtC7ngdNCRbya9a5kWtB8Uq8tgPu2SM1qpj2EDh1W7oY0QqCj3ClnWPJ OcARJ5e8AMX4Z91dlALgUDAoAOMgzh2HqK277U3UoP3iz0TLB9JatEZJDAd/WJORehMwWd6NPFm1 yA/dbC5ESIqVOW0uA4bFwJyUnFCorQK/7eYf/wu2iezCm+i10Zce/hok48Wk7ejLGsKqO5kT1zgz 7zyHu5fiT3gQwcAGGkClE3SPFwPDmrbG817MIqXTEfSV3hotop10gLvlftT3eUQJM7RJOtdu1IZ6 6EiOq3IeYzKIbF7AsvAATZFGFStCimCjIVHNZvmBD5z7szBsawuSKQijXi/YNeuZHKQgAV5CQTA4 VCCISjL3hc6WxVFUcyczw8TUUlJiP0ZM0FNq6PZFyIyyH+sTGH5l2hmLTJlg8X6xCaYiLfok3flA agNjQU05VBwY280Lafn9PWFAOPeDncGaF0l1jEYvSF4CxRBEeWOe3khMgpZwbuOV/mhLSkjr+uVq 9pwhSAknZ6WynRSpQfsyPt8DNlbGJ8bHe8XKqA46aZZk+N6dZPPyM6J4rQ4FFxcFZ1FIcWWa8kOC CzeFxYV5GuZGbpJLGG2BZskFzTk/9BKcQcqf9Xlc3lEaG4khfSyptaAObNoqNYT+IVQTrpjPdBqr Rzl0M5dRBhfEIQN6+jcvGczBFU3NWbAmt4C77jrAeBV0dqr9ky4bRGq6UwGhZPxeOT/N584X3m4x W+PUtE4o/LE0crEfzH10Uol8/aIDxGLeT8vlyvN20Ev5LTxZ+LSJDM6xcx9b1wl47/l70le/bQCi EpCWv3s1SZTcQ7NMjlzrEU04fQ7mmLJ2OrtHk7j8lfqQzqZwptBwRFIcZjczDIEFXZkfNDbWOxz9 O82musEdEynQgUMKThu+sY4Wx/9TAgDvwKIF8Qo0lZpE60Gdao+rLWQUd75N7rWNZXGuEeP9bPW5 GTUE05Ut5gP6ZPWCx2YVVokCsucvpxrMFfNq2uviqANlktq5FDyqYo2GapGhin3Al8BMtYsvkr2w OMtdAyZnsiksa8rI6pENAQfcfmZG2xAA4nzk3PcazwSlOfUhI5oWW2bz4+rzhsi0vRsTGVbpV3r+ NrsrKqhaLcBOe6p3aJADr1NT6zSqDfwfGPxCK8//vaOpk6hiy1i/u+W67hOg6/i0UURmTwdH2vvq 3c8FA6x0S3Pi2fJ0m3SC3TlWp2o8KogQG4Bh1cp6ohhtQ3gx3gU6EIRemW7UOZa/2sZfAKkGUjeU BSVb6wb2lx3N1ddNb1gnB4yre/HWfOOLlkblEjETkTsi4qt4T1Z4dJ4cBgU7SV1tyfxOm+vkG1YP SZvpxqYrJcb0B+tGqHinMGmjemdqF7BhOcHE8Ry+lqVLhMnujgxrjZxQSG2Kh6wkallN9JeA1WsP AFyhhHPgS7lD0TbwliIzd1wKSrMqZcGzUKqCnrkzzlCwOI5GGdroGtWfpDepCuzkPEsa0Sq5NyE9 42UfK/4c9+6Dw2IO2zdIyZwK17baaw0KGCyRtUYpPPkIEsGWnhYs8QqKWPiyINNd7mrNx/bEV4Rl /p4g3URMCE+AyiYUF8wZt3TpS9bqM6+Els55gAfumZRS13MFAKi6T35aDf109GF0W2nfSKkxe6GJ foG2Nu1OsP27pRTqnBEHtCLlisiBDo5hz7wMMS0alzgD2Fr5YG7bNHhVVYMr+cR5pfnUq937SBwQ MxUlihkK/F7n6imyQKNuj1d4iQMknQvrShvqHGUu6mcCgGlMAx/KCnkU4J1fvDZc6pJCRorvv5NR vyhUb5Z8qnbghIHhCTc+D26iQpoSzP2gFBhpVBbS1A5lDIuFHjFKGNuha9ShJDMg7z75zpfhANDB mn8jP2IMjvcnrQezlRT14ppAKgdewp2K5JUQYCndVFBMxW4NSB2S4A3TKHMKmJJquicAILQ0wygL XuuDuH4M//qGITK7qL8xv8vLbEbY8kVX4sjkMMm+PjCsblXzxWTkDxnXihpYkHHhSSeaRJxYaVnM GPxM2bguhFYmZCdFA/Yllzg5OYhOEHShUQIY3+jonXwubjzOYlgLed68umSFr72nr6rM4MQUHin0 dlP2iMahaX6VCGb4hUEZHkKe3wu6GCKXLGzUEz7SeFHz1pgzp14Q6wVEI+RjwAPIuHIg0QQg4p+1 Gn9Q2qLt6A5F/EPLyL3HlVAjI4ZBWhEqxr/gTzF6Biye1eNWsGgP9OOEe4s77AdiAPAENed0vzvw QdEvXHFM+DpTkfmqtp+Fz0t8jknXjBRWD/zv/0KKjnC5OcKpUY0AXMd4WE8Spqg3YJhggisZbaKd YKaN8ZqvkI7efsjiuIxOjnCb8G5mRzGMojZ/hXhDWr5eP+U+d45orFdykSuSUYURyH1p/xJzwy5O V6R1c9Gw6UPPpR5gxc85kSkuHkdJu85q00C32ctwGsEpylMVO6tv5FtuIPDSTE99Ul6eyrMjyFf0 bQsTfKRzaKVQ1p825tQQbDw62/HdZ5JOFRfo9PYm4AxQAw0bdlWKK5n/WFTvhPjDi9PoHFGdc8mh YkjbUJhWX5wcQaeGB6tQvprfTEdmtIb23zG+d3+otwN3u7Uvi4KwJXgRirxEXPeWBSRDgA+atxtC 86OToDgiZfib6XpF0aS8+OVMta7JVZFP8yrnhR7YRaJcCjlr15jut9EUVLr18wYzm7MYuh7QnvDz PG+oluakKuuQ7O7sVeFwZJVdTqLOz+kVMOK2bIctI3IYikNix9ZEz6kAwJwg9YBOTB0z93pvagT/ Q0ZZScAtaABykODtj/GfVe8AL1zo0VxNHZlVidAJT05c1i8J2WT0+zyRdS6oc5NEjxwh7okEcBkz RRfM6BqL2PJeXkwA2K8rpttY0TD/qnkOoVxdK/BFilqNANiyJiuGQYm3igEWszKPI8h5Kpc9r6vr 5cauUMqOYUpi4/QgrmMxx6nmNMM6HIwIqdjzPqNK/S+muzFj9jSEQdBoU4NHtzyMJp4oYIFzeuLO /nsv1Qq/DVL9ADgnLjbgkkVQXLwLktWtaaqNe9XNxPdhXFxpcb2xLKqxmd+nTAE9rxr4HC42dgv3 Nv7T7ik5WzlEJBJjml0IqoN7GGfs1CJgnWb8dwHZCMKmUVxyYkbJMsBwWTC+g1EC9TJHnbvhTWcH HCKJ7Pq9QzVI5bYaL4UujErVOrnmtzRu2/cTQcbTnOLFwjYZVBvw1fx+X5kwUOsHm6AquUyAvT9O TmSXoNNMdTWRNPj2ld81c2QL3IX6nfqcbpyMR5nyu3gtAKjD7Z2xYIRSMRjxVkvKvPIE9TKLrACa ZPCAWOsJ/3WUTPMEKcmXc5RAaM9sJq3Nls473mHU23zD1mRmqHIgA2ExVlvCveyH7iI467n8ymTh dqVszR3hhZKSrd7XWnFBReLjN3U0Tz8znocxG0X87yAjwG5xDAv2HSeWySFy6Z3jJfLFDVNwLL8m H3FxRdXiVa0WMbMC0TTcud0sOEB65RYoi/JtZKKQIvq7akquEwIZ/LudxPG7b9dZqd3+pZU/hxRv /+jGQQBPYuQ+ZPkO2tOHxNy0vBaMkpMBaZsCiA2m7W6IeoLHWq7VNTGStjND+Do9zI2extlfKwvR x6v8KRrwjSXnP7WYdFWZ2IvzueQXEYwnEndvncpKeTr9SdVPec/dXuH7i9QqUXc4ynwij80jDCH8 x7WY2LAD8k2QyJia5i1MXeYUXaDinblQytasYDm7kQZGoXAeytiPC9E5MCB1K57s165vEzlmnq9q WtR1eu3b7rkMPhM9koIIbXsoCSLuoD/XEj3ytVjEZNHqwJfPi9JgYL2aFbjYjMhbttjo9yrkNvxq 46epuU5AMN0ZblP6vowAMnc9IkBZJfoG6jmUV7i67cWxLQH6QTugSu2hJaXYnN7hH+5uw1k7u8TU purc+FcnmlWWYzIc+yJtOSFgasxee/knWqcg69zhst1l/Vd4h/LUOrSiGI2oYrOXljq1ZUAGI/UQ k4GDsADr0l+IMmWq2jFftnsKk8ktzW1JUIakTo31ALA4Gc+YTXG0k1KJdeqgxMBNI71HxTAfrVMP xAQ5ChmP49e4caa3ecoS/TyBgWQuyS4ybMOepo2BIwe1CY8ojXUQ/lDRjUNDsPGfYjUzm0EhHDlo JTCX64TVibj5GyZfj8EAEEUlUccEos5TETx2cO6PUFZcAZdsq6G5TvvMHfi7tbbm1Y7LzxIBcdNx 1Atl9g1tOaB7JqPvIfEXbeEybg9gCf582wcB0w2dmLj9q336yyu8I9+79FKOdq0Uif9pVRejUnhg n0xMI1ZYBCsN5Ssl/PY9zhGQbvOIROFgPuegdgjYQPUhvDibJanGpVZYjY3Hs9qWR6FQZYMydjSt ckwUmPiWqv7k13odxZT4RPLILFYz+0CnrCycDGrYOgM6XPQ4ByHEpOW9Mn9QgW3DsCOPyWdjKHJU iG73umXVvbSqQ5oapQA129iXvGLf2LYhbr3JpgQhZ369t0q6x29fWM33QXObqDIZfOlKlECkUgoh kDcvGc2C2qlzY8xsDVs9CkbYR+TTlS28kivDQjyubJFOxDXVuXn40U/BsJxblkJqfc6foYVdlyKO DFpfO4ZLCzajlunWyJ2Aatt7Ynv95LzhbJSGE38Q2X/Re+W/GyNjIQk+VxeD2kWmPuBEnvym1r3o LfXpP1y+b0aHJOPqnyDNjzUF7Oha2TSRmhpv8cfYZH1+NOsERB5ds8Q0rAhv1JbZhDaRLqWuSQOT q6kL1YdBbRO+3/OPFHqZs9nHjGPXJ0t/daY+3qMDcQ0JDzyvXNRflmd08H1CR9H4js7UQ50YKfHG bVK3mg5Hwtk+a3BKoyZHhBSHNBpGFIvoYh4TUiaXvfu601LH8n/eU10wg03Kt2Sda+v6YxTjd3NJ BtIdYstE2EmfIiTLGHke6Pw8ItMYC3cBLC4YHM/AysZnYJJhB8GnNNGgHuSWC+TEgEXyO01rPckb oETSC7a2hWkd4OMarBupn8P3MY9vDlsuoaKAW2hLGNJmTRgg5x2RzlFPCvJrDIzBuzITCsbzflyn S4L9gmCL1PnayqULER6I9Ew1q47Jx9oaoYBDbh4IJdoF4y9JDO1dEPNZ0/qoKgdTNc3PyydqZ4cQ A6hS8HumYmtDrCEwB//AWFyIgS48en0jCBEC4oYy7W8TKoPnY/OBIN/ybeIZS9L+tcSefAJdCp/W AXWCSuFGS8vqPKFGXPI8rYAS3Ucwj9OQeSwAje92NN2ZvVxxCeqTxY+LA9EkYEltDB3bugoCPOCi nkxDWrnfxzl9WRae38tmxp6XGNMkud1vsWzmR0SLRSpr2BuJD1q+k8fb5rXAJ/LP6PyuSO/SDXZz zOyUgrVUPYwi7mj6j5URyyXxhzQr+lw3VfQWmAor7Sj1eyfmmBvau9y0YqrY/c7hn0frGzU1Mgz+ a7oPDtmn6/L17+VPs2tWSuCZq1YSRyR4eNtFF/BpVEXtMVzMzTp+5GCPKjAOgBJZeyQnRnetjsc/ 3tAZq331ou1OGO6fl+LLNnOZebWPUeGtjkJfa/MDQrX0b20yD0m1koHHX8sodzLo0q0W0sZC62c3 t2TmgVaNh3OZDNoxGNiOfB3YGGOim1UX1OP0hi5k/sNmuARftTgESIZYfYbNFwk9l7bEc/Gug781 tCBJzUsewC4h1hLH2qwrUZRLKqbtJ0LDtPSQuojlLXeks+Z15naG/mcWvRCefV5xJ9xWxWILP2a5 n/lyMW6hcTB9XrUWkbVCekBokq6uGSZ7GW+fzNxOlbYoXw2GNqUYXEnpWLE7j6BmJgMqgiMPF45l 8iKUso13B3hdaWUNg0TMAbL/WqBbt06aRFV7g8y0PCcFCYgWz8OgjstRTH4dOeakQogpiMYBlUu9 PEUxmxrlDq8RcmCxDL1CTfljP+1JXG4k4VtWJiut9V9cema32GW7DHO/twF5QHqtm50reBDoeG6P CC6bZNXHxbK0E/iHR7hN1AFhWIbuZsFxHb0N3/OvfKTymVhI+BLN+bHvHkQxW6goBtfz5RIOm+F4 VERrysuXYRnimywp9WlsrHIAhlNKCtAQhBzHQLqJPfRHkmf64wVRxYMe1rA/fVYsroC2aeLG7OHG 5oMS5DLxxxiJN/MRIEjPH1Fd2LVCuD0ktMXN8tuxBmPFvhIJTSoNPZ4P00Q/Z+zWgazOr72HCWCD Tz3PljU3xPGY7OHcOv6uS2mHBioVpmEbn9vVaeE3Szi+gpBjH7wdcsJyW8ySOO8DgOm/nMMeMKqh VK5jwFV4fsrkIUwHBXp7BW2sjY5zhYuxueStmf3NoveMKBOQdtk5ru4t1OLekLwTvFTM9LpKnU3P HxSfBjVlTYEtQLcFvfqOF/WSb3VvQnoYZxoKejjWydXYpDUDa34SJjg3L0dO5XaAcqZXhWFvURcx hWNqth61ylmVw46c2+IdnY3RrQDV98MBN/nhDE2oONpCh3xOh+mhhrIfElsn46pVja+bIWUGj6gJ OhLWo8QUJjuJ6pQwalaVNICHyzT8hPpx7S1a6UQYZVDZTh+I1T7tqn2zsMGnQuNjWtH1c0cCI3+Y YoEAEcwLMgDCi9jcSPpztrNNq30xFobBcSi+Y4F3VdRdrlszrto/4LanITwDB35sILFxGMaWqyDC UnUdi2xNDotzWP0KnyqSzdZRb3PTxiPNoK4HRIiDgtcSNkXTfa8nQ1zO3miLyaOdBpQSo6rKojA3 wfGt4cjhJFI4qGPjQOmvUrvisGtri2JjtiXAYnpvf4b5lIPeqQ4voA+lQhsGSf8s4+n9TuIBVJTF aw8xp/MZij47cE+mUy6WevQzddZcTMJSWxli3z0yZ/+09GWJQk6CqqnNo1ekJjAyvZtg/zqi7aAs niWqzcXITDKq6Z96/bX+fran/rvc5a7+FGfNNW/+aPDt/b4Uklcgatlke3N9QVQZdOkBwTUrgjk5 kNRLUIfjwS6ObFtP+CuMAZ+63QtIjfzoUJickTUZR7bJGBx6Mphb6qT4GNpfswo6Og71alD6EIxW vaqgwHyyB0FrttR6gV7nAafR0Ol3cBPrXxijI7rNQRWF/pod485lB+wvIz42FDr29orGQ+w8xUSh P8Ylzqp6MXViNr0aSo+Gd+mdOy50mdq+9Smd3/8r1tII2bTIA/B7aBRNb7D8CkZg0Li9SQwnr9qc Zh6vP/7ADiUGgfWSkgspps4eTRa/Z7Mvtk784Tju6DTGUcPh9CmDUbfkahNHU5pcNt/276UBq7wd uyAqYcs4ytajVU4trrtqzCoKz6uGqlx021SrHwci8ZRrcTJe3r7Pu1wTwySkTif/07oRtGHndSzt pgCnvF++H4SpxPn8Tedq4ks4ybnbJjRaoxj6K6sNHhlSqwgGPUb1jym/7mXY4WtNGnvya6nAiC8a XOF40BE4sajeDFbJWkuHw92yA+XneZXLzPMd60+rVrgbfoZGBThjR+S5tv60qquz4rCi0SS7DMDs 63j8bwA1QuWWSn6Yq2duANutCyouB/hRU/X1AcLx1ytHH3qbS/30Sb4nQSKeeFkzOiuNNlaB8+aC znGrODN0A4KHUjRWJF+h4e9Vf/Oc1mU6GIB59G/T5NFQhKTG+ljLqHymoml1xaod5ZfCGh4k3CXg ViuFg0GSa9HHxMkos8IQC3AiHdBvkSAr7DAjs+vt3k2IIMljFe7UO4zeKU+AWlt62U6WnQU0AmsD cBxJFwqVOw+761jsNxGFAtAAQ+LMMf/paSs2SKQlbOXkCtu04twABpw3fRG4XawvWnAmHsOiLzLE 5yee4XEWs3KEjTo7y8zMADOmhWSo871NtqVDjw5dJ9d15XalBu/k5slvyK8jkloKdc1IO3+uR87P +Lmv+VfYgpv3285h1vPbkLB42uBiW0d6THGJ3bnEuO8cckhJJXClf9RrFj/F7+V7ACR8jk59dufq /7NIyehRg5LUb7GN336Mhe2RW7G3JO3WZtWGSgK62iQjedF265F2LR/hGEXxPJ7OYwEXvPpI/nSe XKELcRAW7bI6YnrDaecG9SyHQ0g6xjr+/plp53/1llNRvLu+maMxZIsvstRZdW1yJw6O86bnpBDX 02R110rpKoRFp9jEsSnQNomQIpLzWXO/fmaWFh74TuSoDWTkl6x0wJwoSf1rbwPvVBDsO/EKiG1D RWJAaW9nKt8dOgND6/rjVbsVD0OsrzlztDqBneJl4mTeSEgUJwRSPn8E45eQtwpl641hTH3+2BPd NBJjxNouQKJ7p6vvQmAebcVCHoBcBKMDtfmyYuddR3J5KFXaEWSPwh+Y3PczGHdoVA7iv4bGRJ2L CEkZf/V7avaQ2e1mon3P8hC9BIjfVyeDfb6l9anHV2WHHvaMI06efeT1cpXvIL5r9DOpc9BLEqtr bkqGIGbR1godkuS52FgyhvZVDN9pNLJisM9AYdqOy+s1/oQzSkPERWySsJVAJmc1brcoiN889LUf DsYOfxuGez+OtZIyrdtqGW4m6qoIru0sFmFuy+axWnZNQKdIFPHijyR2I+6WlCItmVVjG4/La6+k 2xi2YdMOqfv5LU1tr1R1yF6fYD3BW+x0+n5Kp2I5PTBBoM0jWyIk/yRjJLpe2w8L+fKGw44QCMDm SsvJqfVzStM7YrLz0IqZRrYX5N6Zg9V/UJLWdjhZu2Bihm5iaKZk/p6arMBJ8/AOyNIj1lIgQ+ol Wqrq/dbYdeCrSKp7etRhx6WWRQLJesNYVkRCWw9B4M04xaVL5gE6myaVa5qXHlP3Zn7XlrQLcAip uRJelEEdXpDvCyN+3VJjwU1GCz58CLZlSQ8ZNodS8ncg8iMmci+O7/AF0MAwsSUr3UICBAiJIO9y GoDISE4BDBmFBSg4sdcWIwNDC5ofxSPsa/lZ+LWSsrQBQqI+I36q3VcPlH7RTj7tuPXcugDiXnQV MIq8ciqishJml/iH+YWNm+3Y0WwdHUrFPZssw5rOgC+E7N41Wbm/TepZayEz9DJIammgORj1LlQh ilSZV8XoQPv2c6wKk9ukTu8Y2kZeUspMx6Qd5ifgSWyJIh9wnU+C3lgl3IbLtEygcQuU9jZVokU6 +BCCjKV/AuPBEbAZC8B9zizlkTNJjtmpMc4GhXxks7pdgiin/Ikk71uTOUMyf1aI86Q26+qUdXGZ T1lHlotJDEuV3vSb1i2XKAAevMWm9SOW09a3e3qwwEZb7fwmTRH9FHWi/p8AVPZCTYCuUup0c13j ugowgDKGk+cK4qhpKGKmSSWmyWwJx161jHyJWlKmwEukjI+/GMKR7R6SnTc6ZaiVC5PmfeEB32tw fu1+/oC5W5RP5FuH7wqRmyZmktwO5RctfI89R60BwUWnI/U10Ku2nRBJ4kb2BvMe4EctePG01RNd ulAVLMynCfK66Z0iEddyCTMQMgbP508wjjSj3RWcuS9tCjK3X9XOQ0OU7viDpdEsQizUTUhqKpqB KtndVkm/TmqU7kNuUHptO7PD0f0TSkHV4NrEIMqP15BBfwLsS1r7UOi0Y6TTPVSt0W4flwo1IR2e RblFAvDlaNyROhOfhsoUmbsf2NqyIz+XhaeQLTBW1Stobmd6pN07bRJJyQ6doGQk1SmxsSfHyRNy CbkBPAVRLoBvRTa7QBNm6UA/h3CQAUtA8nUuH6vxpZxdW3O5gwL9qbVPf31VtpE2XdK12d8lqmcY Wde1YXE32ybu71uf7zB4C/rVM4DL/UOeuWFdgJ4Cvr35eYeGxQEufUEXcipVFs9ck5VqkT8l069I LQgBuPeGs4XlH6MtftsIL9WKnC6LD40sHvkhRsruQ5fC3V6bUF88u4fMU09FyTs4H54kqu4F/0xT aCOH6r++/iVn4u+AI36z3CJl5d07ZBMefGaIUFIG3kCwQ8IYM8XJugYmFXhr+fk9jx9IU8h6ivPb euhXTenIlYxvLGZN2dd7jjKyYtYiTQmv/G1WHvpfrWzuN9OyyjOpyWYSNZIxJ7LAytFhve7sYfJH ENBLtnoBNMHiuRcZo6/k/4j/IiiYbxOuXMklsVvgQPqUd7xew6K2bMzSd/9fuh+ibiBTLjmnwGNP 6UBCq5elX+BcM/pK6rOnqE13IUFBq0UhstJBFI6YG+ri+bZk3YLK1k5ohM2N2zd26cu+2bYIvkE0 FmV11k/fSQS3jibQ3+c2+eoH1zBSLSn8vGgHvFmQvHKw6vkyAfFMgPdKGoUctdZvsCkgCEKEHZYx 2sYLeJE418Z5HPr0ROA/f/0FIMRsN4pUotTkUX2WztK7fg9pAsc+g6qKmEDTvInBLu37alhgdQkN HE+xeZGU5fsXQ6K3045iyTAVW69TlV4vdp+7b6A4wDw6/69Jlw/YYXG4YYUTy22d6dPeovNKTi1m iIyiksGjOqq7qWyuiQGIBDmRaB90oRAPmYFOo7/QDPiezkH6od4vMZlt4mGE3wW/c7y35eyKQKC+ FaNKprq/hOyWSM3AHI+KgxgZ9d4YYDUPb8uSXdvACgpOiaevsDpCKunbQ0UhG9byettd0ef1gmGi 63fk0074TKa+zxgBA3QcWiSLAxhGLSsLTlzEaCJoN3Ut6daOe2KGhFSRXgDnZt5rsIpfXrqtkPb7 knmfN6PZAxawNY/AyxDxf+hRyS/L9mhGCR5sa/vH4Y0Y/MGWJU7zQNpKLXiFzENvAhSWsJKqgPCl Jr3BFVMwm1C2yZ7m3l7pfVZFkqTFEJFs6kmBTlNZy0WxYgdqTuG/u87+pfo4xzMCTJtd6yIPcEb6 /BdSBZkPYM8QE2vM42uYoSPlxXWXGaQiZa/weAu2OajdBstuTvBWZBkReqiwSNFQNR3O+e7IH+Xk aUj6vYmFVfAyH+7NAf8msj4Ok0QePiYmYB6upbgPjhuzlXiO4piIqhg14/ViDj2noMhUOBsIbS6w DzyG91v32EVreZSSt0Ki8a2jhWU02pStrgX5Nnk8HwAxOiGlwiR59mKwaGP5bhiZtYiJhL4L/qOa cEF7rRgjvS5Z5N67qEbOyeQ5yVemXxVVORuMB7Nqd5QO8OFM0OU2cgFCtO5quqto61QUWpKZZKCV RB5qu0EXLAV1KfMrYJE0Z/s2cDPVxByXCwiHIEHPLO5MDdGvF3OwXhTZY8wjYjT7pEZJU/YUyV/y xCpSudHSzZGWGLI+bq9/Wv07Ed0GQ+arhJdjeKR1wsF+TSgZGarR9Gsgb/+ndekEMBt3lKfvqVx8 r8bx8+SoIRgKZru64+NcB5Gy6j8Wf264IT9WdRgQUZsDQv1ONVY/JtPMH434tCmIgv3Zp+qUbese vo3+jazCZK2u3sDghMhkoXmSQnnJNDxxvVtoLp9lopy+7A/SGwPw//xc+/k3EaD34aCxt3tGUFuL YjRy6oo6/Vcy40pgiwipkEAkyOMJi9/lUqIn+JniuMyqg3GRXJIKoxSd6xJc2qcXlA9I8UsTrD0p nvHr3ZcESb2qQJkLzgegM1asczrlpOZoPmsHqBAWDSPziEVciTJwvS3Shq/wv4eUZzi3Xd20USx8 Nk5hfV3dlj8onKrSaWTfXf3Kt60oYWaYUPzYscLbNCfrFsVFcwC0SxKJxrcr2RoJIl6cMtZZF1Gj 2a18Becjlg2PgJNfb0vFHkA8otzOpzuXhKxkceQDugS/qaO5nfA+mrs7bpPyLJ+3IgDj4UHRYO+R SC1fMvz045taJzen3JIdxOrdSbQLIXeeZntnG2Pzuw3S3chQtIkfmtgf7e8FYxgC2T0LXKelD4Ey CbvIwc/72qqgrqdESCZRQTP0mOpTheH9YRMBhtjNW7irm1eR9E73eYrJ+2TemcxSecZs67VVkGDX GpHOww0w9PVYsdG/E228aN43NO19irB7Lfn4yfhV/FPGOc/grtioxowTLrKKp6umdBtAShfGmBlk 2/W4zuVM+hvu5D3GGFIBnsT8SUmZ29JbewG36wldXxoeWa/MbJa1jXfnzBAsCmT1nx/VQttSjpew fDB+E7AgIZMFHWmr/f0rpZYGuPAwS2JQsCYKtCF3qxe9ITV3W6Z3/+VmxutKz/5gbQn3BZoF/Q1I XBsojEev313qo7uyrFai4QRMXodnwdWX2YZG3tcyMGBJScQySqL1cqK9IifRIv3NSH8KePZ9XIjt BJRr1l1UM4G/6gbhzvI941ynGF7XBTv4bc5DdA6QeWXt/oeUO8UGm9zemXyLAO/dYMDtWUIMxOUp 6bC+1VyF5QdVKTPN5FEP/9oPOic3qay/T43LCDiJym8JrHwPHoA0qHzHxNOdp6J24EeLhXGZ3gEW n+b+cLV8F2wx4XRE5KeEuoyBki9MC3ds3deA23dIzbP3UBviW+AxiKAyXi0oNBnijNDLMFvS1934 h8rsDM2avdZPkl6Jo62UvG0DU34YfmQUyWyK0a3BzqzQizJLScg3xrBA4b4hEdLia/MzJUjUyRxQ cqtE1xsaRq2oN2aJnew4cAmuOeCCve6v3cpgvMYYyrKFXFiIHFowKeRQEOeWPoiut+/8kaAiBUe4 qhViVQnM+fm59AcWBD0lxncsyuqChlzlHrZfTzCnIxmJAc3Afctw8glJumTLyNoLoyh+rswl9x0m 2mkiheNu557YDCVIft/x0L6y/FKqXYKRJC3pmc1b2hSHuZt6by9ZlOWgtCKbTqYGwvI2I/nilcOV 0w6AMoMkt93i2AqhygYmiGQpGbaPMbxXKkb/Sw6DUn8w++THpBMTiYqnCQHdg/amjwgfiW8yIRi8 jcutMmHBbwbk0bfCOKaLByZtFqnkZ2rx2n4mtY9YCmkHS//AfQq6p8iFSbi/most6rbC0bPepTzD UoEGbkr4ia5p1tEInav3oBH7/aJRxML0trV3uuKsCjfPbgkkZRo3AMErNbmlHlwNVXjxOrCN3ts5 QY3ac0wCeiwULlCR08f/Rq7s2OUi/rkEfT8rcfmz9btVIjfUwDSKLYRZ65iFYK3MvZkTna7ayi90 Z3FXMqMPPChaEBPunhautbH+YgSBpnYSn+SdJhN8G+jHUGfCH9WQwv1/oJsX49Rc4imjP6JE4K8V A+Nj4ZQC2eZXlMr5GHv6IrXkIgaDH1x+HvxpQIqFbUXIr1NRtgNvzbs5OQTvGFu2Dfz3Git5fOSY +CLgzqPyD+VcSPJm4vltjfvnVy5f6Vvmj8yyAELcxyo8gQ6A02t44xensOuvrdoqBxdeHlISZAbZ wLliF9OWtPASZJYhX23p15Bdwr0CRMl38GGyFvzWqWk7qJbRI5FMlD4YDy9dx6CMwJJHGgis2aDJ AJl8efL2OQgVQ38WhuknY6UwpnxK1tYfMpAryaBomkTih9yukRNb1wStrl98nDW4IqGO4Pe2xAO5 AERE2Ccex7UXtgeUfzTgxaTuxvRlpvYfrJR7MtpS3JQ0boCh0zuph/bn7js1/6bgH3Av47G3UtcI dHDblNNyoQ9iMjjDQvFrU8oKQaiZZ4HEHNqjULHfDo5Ij3cjTZlxnMS+VGF0FnU9mn8B4qm3Ld8m 95Uyz3mmYP349pMIfb03Q5KXgnFJs9sceCUzg/McLS4zeYMUMVvBu78CkR/t+6fkVn7WDYZsxmhY mKYUJgkWNkGENBvDUk0dkN75bcHfHLnAXTGB0kKSvyajPCnhEEEVWngp2xOUZf0qork5cCAr+JzQ /a7z/S8itz46pciVQ/LFUBeE8stlhwSE6grgd+a4+A2E6zjKQ3qhTcsUpSR/Vvh+d8XtHcJgSwVW qv3GPrjZ9Um7giMhvSlpLQs6sGrhBRLahhv8tlGMk8a/aLNstLA9GVQAkdXljcUnkaVEb1Jw5+b4 bdYne3w+BKOw9NUfqHqf6XLJHQrlTWIl6dGx1dAAxCROqa21uSe76BlFZ+YmTyLPXh891alzcRWR ubZ/fwRHxXouMiOQ0T6NKFt/npYIH4rYbRD8iJdyripew3WWnjAq+7x7PxyWiGjhBIVhgNyQiWA/ HJnphBS0qKe8Nu0TTmBYUeY2F2q1znMl0CAkamsotwkI7svhpjEUbXzWo6WbzKe7fPgnCrYHEz9G OfeZr2X8swgbW7IvbM9N+qtEWgKcGj2m//CGQWKzlj5ufgzUCTERJUh2pfI/Nf8d64hyy9lmdQHA bTY78gL4cjr2gw8Hj+wGpkAsS5IYgbRCe63PcSqE6AuWI8Zjsx2+zJHa6LCOUoLCui4GbAqv83Xu Rsq2ATB7JG3Cd1oyheh9P2diKq0fSl/flrN+Iz0xxC4frXnqExIVvAJZ0xv8ZjuZmAW+cY9DoEhQ Gtzmfch1z6Kid6j/qYz20mwPmjzIWVHvjV0UeJIvesngL5+wFhuXGHun13ajyr5ltFXc2YhosBZS 8dNXv9yjnb8e9Bdyfc4i8eOKdJJV368eWC3T+TLq6flJqngF9iIh1FGX4d5JTyBBposfXE/oRThS Uk2qcp0RBep14so1jEJ0SRTGCEc3xlRzbvtclrGCL02QExeIIxSf84GAN7HYp6knNMkviMeXVykg XVvia1mQiXqQVfVk45yKavOaDMaRonWiaj8Xejqav88wKtm4VEpkuLiQ+mgN3/OpEr/8hyJXRbZ3 Jii3fr8thdlOdjs6O3B6bEBkN+VRDtQ59QX0I6+8zMxOWcKsB/zAiZ4aYP8dj9fTiHkj3Cfcbujt bDeWLz3Cw+YDZ1gan0lPaVz5PdIfR4GrThWLrUUiKKebGvVM/LkicpxasYsOgQx3Q1aSz7QqjkMh uKxyEoDOtKqjqIQRHyMUlHSbcmaSZYHUBJljzN9GDWBdta1r2sB6csldQWhX8/75SizccaiamPa9 VzBRl5R1YCMjmJlRRKLys6DZlPVmCgWZ1OJ439mH/3sCb2wFgfOVskZBDPbVsdQyvDt5VY5h3HHN qt7VrhI4hX/Zwv+Tqg8peZmPnYjUUZX4/AlsN2htKOuh1ts//jDq/cVx+JKjw8S6uM70c2C4S18Z PoXaiaGQr2IOLTA7KF0wpoBGtqHi4VoLO4f1Ca9nxKqlN18de0NqyqrE4KykAhNMB0AAnUGxdgfC rlIw9SAgz1mDrkz3JjyY5l5uEiG39XZBbrReDa+9GyNtYmpW6MSgS5MnjNdblgAK9RtAtc16qqvD UYuVAP5Sgvom9NO4ytxaX8Eu8dc5Ehy8BaKIE3ZreYzpZ3v3XaoNPTXyqg7r2pJ7TcUjapIHmNlQ 140KANUOUdAZp5ohFDY7yAERvEoda0K+tOzq2SxFwfxj5UVic9yOEDzUiVM2IoMBZwS6QPjdeDi2 bhs0tY+2Q4kQYfwslWcDwD9gCSCXSYR2+A7tpLa0+Z100ISHWTHTtkAqvMRfNp2iR6ia01ADtznE X9hHvhM+mO3nCz7RVJ0JlZQdyxZ1WauWv7MY1f76MfGgZ0os0OQLmZF0xdjMWAEvfJBSxPXDaeDd YQwuzxNC0LpazFBFEtw80gRmxR8uyxVKow9fX/8Y1L1hF82GtyHOmngJOWyZ8D7BTNJfUkaJh/ON wK4QhnOFn9jzkACb/RBb3K0QiKbswHVaq6WQLhK/LeL4UNDCozeb0K0u/kViGXjSvDuvaEr9lLSp 8XQWpgVP5s50UbRpShpAfBCqFSoHxd4zmwxTe7S6UGKEZbjk5rujd/gzvYik4f75MrMfD/xJbt+T 7L7QqvOzx+aDaBuIRdWCVRpH42pwl4m0h0ykBvziSdSNj73ZJn3oAAG45CvqxQDJh6FoOXrF6/6/ 5pIyZ+Z62NJc2HlbcDFEh5HNcTbMdVBuPJJDGvNPbjpDcjQ/tVP3YSLcxh4YqqqMc3WHktm6EfMU UFOXfdVvtKT/+EZ7/zmICxQOzwqQhfRv2FVhO6gpT2B7t58AV1nQqJ5s7djSO393UO+kj/NfpCdQ cwSlurQsR25qDxoNYzSFyMbLnXs3MY+33G+GkO755FBM/nCbAsUbWjENHNyOI/ZcceSjO0yKpopA CGgEGP1S7FG765yIb1rZM43Elog7QNk10K8TAkNj0fUKP3WFDMOYHxl9/1+u7Z6BCRL7iANCxolg 3dnMHNh7D2ige2e2GVydGMIYkX5ZRzapI4DfGoSFsbG6MO9so9Ac3kIxscLDtDcY+dvfKVijzyxL SwijkVZ9lxRixnkktpMAFmtwHyRS6vwW/n+a+mQhEHH6k9Ni0Ur7W0mJ0O99OHL0gBjmM4WZVv+4 I1tvow7LoJJBKyul/7lQjZXunnFlhmF7C8xVnag1fndAfrbkhVbvR9Ejqi3j3DooDpWEKAJ9nDvl 1NT06aYuWNoScdYujwoH8XkgXcVzddhyy3wGLPuqOAKCX3ZAeh6xh2Z8Y1ShW7ZEQ+jLNFnBySKS FFWDc3uaQJ9XVxZCe+v8L9KtCPh6ZdkfJHNaPZ7y/0qnEBOpyP3lxJl8DH+F/21aYTJULoH/g0uG 9qsDFKBFDYU2OPhqoyroAeR3k2ot0IYH/ICWbi8NP2tYmmUdldZQdRLQtxaFA8nVEL0dGVUKaCGW xF/ueJe+uMuYvapsphdoVjAGRnmSF4zpBGN/bl4MklNEQZBMGBECOywaytadZB5KAfgv8ExO7FGM VxD7mVYxf0D2D9uTHKOYKpXgMXnGW+XtDHXsmNXEWp9n57XJPFw7mcNZnyuoRQU/7ECtioqHFNHH rNKHqMsWIfdoa4x+3JVnuyatmRxcwCB/TAC2yZmxw0EFJ51UkGWwW4yJP59eepeAZEwZvNXp1F6f 5Qjqxpqlcxnkbr/9Lm1mndP7Q8Q1t9zUsZqkP9JkJjhlqZAyDDZbN2GVTL3gszw6koI1pxSaNVHG I/Py8FalPX4janENDP6Sg/vI+FQ0GruIIFC9BvWiJi7utEQQDAL42z05d+xTXbZ4vEJBf1HMNL5A Ce73qZfLItIDlfehUnuQPyFkufnRX2dzEkMZh2JjEdiqh0DmPdheg+VDrFhLoN4SfHjFulnGHal9 fVf6M9kW3VwhwDRW10ockLmCNUTt6B9/j75j6TMaaIqgz6XtXTlF2oC5XJmKxhdvLhJbuXjkD2uX cd7wVRyhyESh87Uj5fpxl5e1rsn4Xkee8eMlIhP10AIEFi5SH/+sG/0IGAk9KMHztfaUJJiWcHhV rI1oQCrLOlZnc+EAc8GOSALRk3T3coinpmvvOTPDCe0oNlKpXhYN8WQGhsADf+HWWgT+b+DI/if7 3xHzAgLtTxGAJ0duZy8ikZR94VgxnTlxbVr8zMYnEhVzxf4P+ZPnVNhpjsQ+45YfytH7vYHIBVus HJKgAl0EpRdlej2kL8ly5B/SyYq1ZhEbqr3O/4eMcfVP8MMqPhjfFCfRRJoi5HXcsDRWglkBQDkG ryK3SnpY+wik1yYCsJgWAUzUCWCzUWPAHI6L0jSVwDtJiyFMqdg3KEIZE/Hz3NvVw++BtRb76ji3 yKbGyVLP3SwEsJRn1D06XRY1C5wRbe2r1hOcRfSRF1bx5E3NFAa6MurG76oDauGDCN2jZgNzeQk4 Aqh9DElwnuVBlyXpp88+tCMTpgsbjYKD78LCtP0swwYk6QYLisbMi2QHXf3qVE2XRksxHZ2fcX5I I8jFImQkEJMzZPLmTJs3uO3X5s1TLTmTcVam4WdoIxq0/qaw+w6KVqRtBWzUWizEoqAXub83SGLs d0Uz8LfP4f0kExZQygdEgt2/AQ4nQx0Esih+f6ZT7oN2YwI/mhZgrUyqws04BVUbnoTFrlsffJr9 vQeu2/y6Sw8d0lrJUa/rQhVmVu0OWsr4bJ9K9WL/ybnV7ZSvJ0xRTei+FLKjEmWhVd0sZb6MfRms abYtop5RD0N1X8TwrjEnIqNVHUlHUZPnPNRSvFtwl3aLN9U3R1E5IiyyLpT0NkaO5i2Vhq68enwJ Qg22qQcy1CY3uGY8VAaUpH2y8GeWker7lNjmP5yGacSROmyEXUcz1uri8N76xwz7sL1BIk2IKnZa 9hbCkihtFKBKKpwJyYiOV0MRmy95jSkO3w/KA6/amy0cI7Ma1H+ie2vR0PMqgC3qoHRnJ2iK1Izd knp1rAOh1Pj9dQtTT4Cj97uStX3k6uSffxHr5fqh2myhdrUuM4ix970B/HoQj10/Ld5RWk07Dp/2 944oMD425Doi4RSXg/WEP89KGqmeHpKG8VdmcwAgjwCkFMke/ybbJxSTby9En+PXIAg5BUShAP/S ri8vTFtX0O4rEnVG4FUiES8dUonSNIcNNipj1gnjgM1CXtuCZkf4R/eMg2P5mAC4yyRfZHY45mqV OJhKR0Z5SknDl0aONeOk+gfB7fSyXkHxBqK8iDcs7zskvopIcQqRiOGIPEHX+O8dKkl/RqR/35xt t5VUPdEYZKLDf2cdkialE4fL5B2dqa3XSEL7wAEXqgIP8hNgd+KpDa7A1duvFqpWAbG/5vPPnxh0 Z+N1K7chh3UoS7Kr/lnmZXAzMH9b7Iva7JZBMXp9Y1xARQQYcd0XqakweSHmZ3XdhRt8gp2FTfEy 5lv9icxHQVQ53soaFhmCCLqPiSNvVHVqTcNg+SdGPvXZ03twQdmAMKYrGjPuWIbnxsZz8FqDNGC4 pGhc8Pm6htq/AxbHNOBdVAhU0uTMjHJXjCIDVvisIj5QJLY0OwCIOb20+h2k+ZVuf6gnWk8KkSMK efXxzmlohblXGGeQ0fIK5pY3FRI1kQjOazLbuYuAbJh1o32nRrMDYKLaqXfun4H6HBf77bQ1Se0Z +aO4AbjchzoirPRutae3Mx7jZ2dyHYYg8nf6mPNrOcH7BwlF+J/fBvmfRto9gxZNOzk4hO/+fNjs i9TKIYbsu39THMNpIW6g1RkDkgEL/JUumRI7nvs/je/oBLPccLFCfQ9EM6KmPD8jpgX9gUO8cnb6 4UnIiMNotBXhLNsdefP1n52xyeewrKikwJExipiwyHBby2u4W9oV7J4qPJlz71n5e/7TElnA7CzW YeNZgQj2vMPBhksZorjGJ1Lz5cq0wzbTLE0yD5ecP75kgaDuV8CU4TbxTaiMva6salZYgNnMtGAy lgcYDqgQFdERrCn9F9ji8rHR6s/r8yliJt5ggHsCGzQVAX7rxGhjZ9Q4MkhGcX1zcity4TYyu1Fa XUPCiYqr+e4kIpk+9df3yT4O9Ns8pt2ixsayHIuAxTm/Uwt3xsrxvpmjYHO/HCpAZojqwT7uFUyP C0CioL9qyqlbt0901L0zBqbgpkC+9tpYTcQmbrpNUW2rSTxr7+pV1yb1RWDaMJ1PcvfhLYbft14W TdWI0ysARSXdyJFyATMT6omBAjgMw08r/GAS993HcEIBBHUL5PON8SGJ6P7nek3Gmsl9dAXVJQJx gvUahDBCmmyIwPDqJV/WlMF0em5Hmz4Id5Q5uWkKKYHF0CCc7FKkCYoPWxjmDiVTj0zT3/YkIRAB rj5YW8fQkYEGBKfqQIBU5H8t5YoPiBFGgvWW8NxXlCq/ZKYupb1J1YvdMYweHfk/1KXAAw3Niu1h 4DYtcJ6CIbNY4bpMol3sxF8HOhISmHTGDGnrSD64hkh4D5ZuKg3SizH3uuPvCVCuHiJXnRpMOb/q dU/QnDFa/15huqZmBFDsFlo3zWaSHk8CtNheMYaj/UYIIRCST9S5CBE2wJepebTMydjLjAmnlDoF 063VK8RBYPLiAgJcW0/smtqFRmEg8zKLYQreQbugcZ5kqGNIsf2pWhYc1wRn8upTW4GlI2G6BGxK I0uOEsp/BtBUnev5caKSqvW8zmSry/esCjCPmCb4kJ7PpKmEnfSZiUiwWynd60gPh+Vw/x8nxSwT ymv8nQuN/kcR281Y31+SUdXkzKxOyIyswQ9+aOn2eAHIvcsc5xQ6zy9IsOrYEeucH0tWxGqNLRWn pZrNe4KQ9ahe1Iv8GcBPZYUK/EL5TKkDoEYCcilhFbq+K5uzFedoA1BsyzeWgY1NzzqC9XmSlq0T XfQSfocg8RG3EN2c+xLCMvIUz7uFtKp0pmZI7erq4HCxlvVMqUESbY04Sy7FvdF+bXx1rxeKjX19 u/D2FpGXHNLeh8dbNkEet87PPcozzSV8OZuNXf8qEb4N8axjhbVVcZG57q8RWZ4pITOdCUrQL7k8 0X7OByfjJQ6a5QOysbE2x8l+3ZbTxHvd2IQT5cGJ4lw/S9pub5nQtgrt3/JrwA2I1ahBo6pITqgy khkFCwaFXDkGqv9rJEYVmL00F6sFYi9+iwe3YxSDhsQysVaCl9FRKbNWf+qfnExfh4wqPra35KNy I0i+4JwDqNXCiJ8XFzdzDiIVE/m378tjAsQM0hAR6JdCQ/EI2Ysx3cZOpPY8BMQ860kB8Uxst6Xv Jo5VbFdPneUsVltczxJPhKHVxg2sim7b8/2wmMXwIk5IJE06wGm3yQSqaBfOYlP4Zk7f05EqFNGd PWw3/pUXN/DBCoi+RqQ1Zrk/nq8eJiNzNIYkbvkclXzGqCQcuW3ub4dS6bHgssOd7bXJeFCDgg6R ap3v/PvkpjX9RZS6X/KTC3y50NMoOPjt925so8iA6zPbQywHlHA5a9iuh6UsU8p/TKJKx2IgdJMF ez6ZWjigP8wfXyInRHeqiNb9EHH1AEbJqi5zmzVouA2NxPnNmvOgAD5nadMro59UoS8tdU7MzL28 ylnZOrqxYYN1sa1Gxkq+Ez/mkX4qseXwHES4Es8zMPDdmYBmSJeWV9dxheULUCYGrWVuz+yD/8ox 0A0+nIlKCk/ryb+TaNZ0twEwBvH6zAyiUhOu6Fq2xf5PvW4baDjUJbZOTsXhrDWJAQJQifd0JsbL FskwXDUrUMOFWmSlmCxrdb2sjU9YDL9RBfmbov6/ddvaxqF5z0WkeAo8fHHpZNj51L4ItKY+ibP7 p6aOaxo0PfYy54+//dn6g1zcz9NMWTTKf1dYlFHrjx2XOC/J9IVKiMxWTb3yVRdiTvqQbH4jnLMG nNEoduej5yI7MAydH5/tQ1tytvXbDOOXSha0c4rtW2mds/rr56fESfyJvxUYGj9gCCEeJ3HQQt1K h412cEuWl143xbYSAM3NLAJGSYLR0UrQExOAU/UEEBh/lsGY/5anbg6qjqJ/7X0DhIb2Dv/9iT04 R4uWpJgMzzLoBE1PC6eok//7ok8QszO+plUfH20DitVel7itiGQVTqAFQlsSFMFEU9dehVen1FOH +Ctf1EJNYRjO3TtrGOi/3200M7TfKF2SDAnsXCo7kDrQKQrFiJGTHPRB3Emhrddy+m0f+nx0h5mk uJWAhl/sKZkYIfZckW+aWBDaDKxumFW4eQXVxuVnFKnhfe86GZQLJQAz2lufqsi6YkPcY+8tij3b jDLtZbT/Ccv7Jr+3XNBusH0BW3llSkJ9tH3v2gIco39GGHggs+qgGc0zqLHsoIUOlOm04N4vdK2V dugCW6LBrZGrJxYUn8UbmrcmTu7NV7oM9zCt4fqpifcn16dm3XGxy7H2WpEdhY1htPa/rQnCHXUP hgfUklIaZ2PJIcGfcgqtPYkxgc20EsdcGCepIGwR5qFnw6q7jBhDUcLC6SiOIRdwT/9t/7gdV83+ SOVP4SUGzfSaCKewi+1B/YT8AcLsHBeZFE0gv7Zq+qn6QaknltNK1/T+tOy0PIAddF/QwpUQQQRk qdKjuISvNqRJVQWMaijDNhn7IjPu3b1EUink8AKKji2eK/YSnylklZjLtMe3gjDuexqb90fesC+I xOnpEf15krOJYgE31YMrXjCglW14ETIzxRYrIn8/ciAywIjCkEZBxZjEKlgFB6WYcWq4hkwhJcVT lM/AehuAqr5cUVQeQ+A+QybjH2WFxhomd0QuzMJRAKZlRhIvheblIDltYTpsO8Xvtg3bzS4LqoGI /YWvXJzns2qLxcvv1nO/Vz+AjPT4O+D2ZkpwfriN2QegBixLKO5r0ZqQJ05JhOcpn1WM/gplAO5h q9zhGK9FQ+23e07R3m5yhqUwHZ/ltfZh7hEXhcv/ncXHwf7I4JytQYLE16dDrt6gEg7Pg0+R1mrV D4w3tyHwtAnIbqAsBq8561dVwA1pXoh83ZH22mYrebBmXlLwDbWGLvqTqL9Q7IFNcxgGA61iyTRB hTjyc/fbUoa1FWRnOE/Ho9viU9x0r0a8iQxfJ3pVjP30aTH8rrY6GrFoHGZLB/fTZ0I/no9Six6R tmWrFRRGY9+/NSURd6QJIyU4QHWVqQctUGT6STcb5JUKZ+XHxR5aEZeWkKqVoP231sjQTzs/p8Dq qNxLsBqAd4mA4x816ut8B0z2QIyTdzosL1lgmoTqhAWjEYxdhAquU4pxgmqYCjsmTz0g+AnDnhUg yEP7w8GV1q7B+osddrH/mGqot5ODiiKYVdsBiZr12npLk3myJpd+6ruAWCrxARhuARav3473CLMS kITtdtScoXhy59Nw4oHsDCNcio4fcepgyaLndKxH8v7XUU1XG05/EXQgTverm8LuSxzAJajzE+19 R8LFAuiz7vr+wsl2bqSWztHv6G+q6ygpKPWZtJCIvZSGQl7lNSK8LMWako8dICwEY+VslkNc9N84 MCmArCB79Mw0NrOtYfZ/vdTqXgIgS3NJiL/WyuLQ5zSnUTP38XvlCwTtHH1lRWXU5r4UduTjkiSi hF/ymwSUZFCayB9A/9AewzsHZakZU0HaFIksnktbQ8yR+ePGnd/HI5PIgtv5A6WOjW7HMUmWNSiB MKdiNzJ5VIpbn0RR0ogMNlLPXhOmcv3/MVibW62ANcRYwcjhhP2jS5Ize53CIbQZd7UgQfz8/1Xq jIk6IIKHYDUloYFgR0ykEKT1/PQF/2Jyz0jZygzqIUXJOA99Ph5DwR3CqlntHhquf8Kb3ea4vfy9 HhJXaA6T5nRXyE7CWG0NkjSzxbWiwkiwNIJ4Ndd3zO6glsdPOip3KXEdb0BxjPAqFf7Ccz7eLXih eGZdqGPaXEqmqz+UsHS6PuIoffOLbm5zsYc1enewVzE46+YNxwFtTDMSQ1jWapdM2h3H9wTLtrDV k/2ypsLoGzpU6fAiC6Ff8frf9Wg1z8HuWIgMf0w8lSWXYYKa8H/oOm+8v1utQaczPKhWIKHp9D+6 9JxPC8cdn+3wvbkTvA0EkYVdqMQgcv49emBUl3aDoTC2mMpYHdaoQayNoVaKjzMGYPtJslqhAmSJ gdAotw3D70mqMO2FkFf3VrEhamx+15aZPFH+oJU9UbQRzR6DZSJUlF/XM9UHO2oosraLAhZqi4kZ nlxRcQj5auP0mWRB6nIXw75fFOllYLJ9w9A46aLWAQNoH8N/5eMvFRU5fGcdl7Zi+tyeA7JKWyKv 5Jqr4goWm3HAbwJabvjRX+9xZJ+LRSgA5CeWQuKnWFQ38jjyoECdJbuFibwBaO0C0CMKBU6Dn4am uwXCTVQgpwmEnUixCR/p/dvT/oSDVG1tNwXZcBDgxZenijNRsZdwT6u/izUtQ643ZE7c/dCxHH+l L5m0sA8LQhYychJHZErJcLD3SHPoALs9bPbn+I8jAKYkszOFuTRvAbrU+mcwhGfdAn0K293xW1Ix LeF9Wb83Id9GnBzGa/rJlHWAcqXrAKgoDXf0eWdknmYRuPUIHaZnJnwstjdIDchdB/ZZRUM8LUop hh0sG4Bhsb6c5WJmqleVS2OcjVWDYKNYV8DhBGoeCMwRGO5oXMBWjFIRaOghTboko6UX+bQFS/Kd MV3hEFDs4Anbx9rSQf9nIJAiREDdNKru85YReTavj+y15m2s9EdvCvx1Wxg0dllJx9gq4JgIck8A 5EiFpSu52ZqdhAtCHAbiJC6JxDbpf0X/7x3B2Vjr8RwQM6825izr9t7z4BXszdkNQl4wlucV95ix aodd0d/TIxceuTVa9VOxU6NsRbhWxQJ919Vk1jUo7z+yU3KPLpY1VcxoLDnyAFEkc3zrO9uiIyb6 +vY3KwgZUu/zXpFKlaquo4unPlST4f6x/zOtcjoJzByBE6tatYNswhZVWitsRepIOWilJZBW2wLk Jbjf306C0cvcyRbKvBbElTXoQBdx+/DSmr5eKrIHo99PfAKO1yfBzoAfNDadam9dfD3JyG/8v9u6 8YYRwJlEsPYcyJzAEzzRhYaCWq/VVljMLKloOrFQUK1bvl1DLiGTFbWdpm68vkBAOD15YN+qcTlR iarrghk3ods2/fdtezuoiNT1Ym0rug8r5203oYwhZMOUErdFotzySSBMPWCEU3uyggoYh0GqSTbz 9BxuYiqZqHsQLB9MnFXA9mjoDcuv96wtP5tLj2GPnbDn6d/XmeS2ZNhGA8q1rxmZZ94zqDFjblE0 njCU4Iytu5y8w/IrU10amL7V2xG1ORGlunXIws11AKps7KyOR7qO6s4FvFk6DMHbD1IAje2YYxXb bsYQoIok7dnD/3qLgTeg334KuDNLH0FqFzbc+AfRoLMdPipEHLzwya6yt4JuerWMgJHsDXZfJBFo RWoY0GGeBTjAuSlx5w/JUP7hb5tVP85Nnrmv4nbBXjy2D39ilG8ceS3rX1H62NX8pQuV4TCmXx33 sOb4YU/1XP8Sxl0ezqDNDR5HCSDYHMjzDBajgCALlxCxf4l1BNvl+OltmsT6n7B8Ksw9RQDxjsDF Sf3VpjRekdhZ2ACcLUQkdW8adPw/gXXPus982I4FHycCt8RkEsdFAic50bgNLSUZfbhpwri83TZr VugtzTfuim6CnSCqnKbyD9tHOOE6fTloYoNnapN3yCEwhCdWTAWOJ0Tygjrl80bCTrrirDeXR7yi 9xDV4tr65nF5fe6JdNBL9d1KN36F3rs713OJJtVK5ksJymk4Q93Op755DLdjQapgRSqzvfEpiWKr 9bsQ1d6udLu6bO1IJ76ixdaYxG6cMHP9UFu88HajR6zH1Ja9+s2GMlT2Xf33+OiwqAnIyuIuZt42 3+vbgJ7xuuHoINzjyw7ETstowBvDQZVPOj/gnsCaq2/JQfC7+g/bSGlj3sjqienRGdiAuOQcdo0V CMBXEVIcE1uEpWqfKkj4UqbAz8axJlCPqKoIwu1YDrEEjzYm5RnHA4s6A7X50pfG2idiFHH6FArY j8IUIIC5v71MJAAHIRPkPRGKnLdGuX9PTaymrKdM1Q+91lJ3Y5plx05L0o7mwHqy5awfQyOjpv9h BnvjSpZT/V2NwgQTjYDpIyZCyM8Q5xWdiyVpMxLNa/WOX22EUeOEyf3pCSgqMgDlVqbGwtQ4fpeH WsmXYeHJhN8KYhzxzUQ5gynn9QP7gtH4A2n3/rNV/HGoJoOMnNxDEk/cikxnlJRvOvKGI2umWTSX 1JECtArQcO/E/+p3NwE00GLcosu9Ek/HEMnqSNZtZyjznxm2x4hVi6x9VOjyiW+6myg4Mf92c7IZ vSf9UHRTeKahGyZVCO8E3CVDF4AwOEFo/cUd4JhgUeJcgM0+VdB7zcdiN9S/HaUmUhBJ0blSfy/F bcb5JdOIXfdnjIK/J3/DTh8Is92wfJ9rBQBn8VH/b7/jF0Tl/PBs7jcohrjdNJzUD8dXiysaXW5n WJJqU6rH0AsvTSOXffwJ9RV2lLyJf81+U/4BsCqYM3jsP8uHAQdMLTAXnV6Nf2ng1ap8zhILoOiW 3ZcZT4BvU0BIzAA0hxdgP7GrJ/JcqNL2HGG/PzBJvtEDq9Rf/bnv7T9pNi5zFoz5JKQDry+BzRdU yuAgkNxY5MdKbREg+OY/4I+2qep3DeN2SJC+Wc2tymZ/ItBnCJaaD0gfbIEksFBS25WdZfrKwoDo 8AShpOx4sJQHIoyzllCHZyR+CVOvJNTlPMSAtUpAbW6RKDIEyYNjc9fhLc61GFjvOJhQ+AkvqiCd dN5Jc5fAfXp8e3OUMe96QzcFfxFUHeYhfDjhEeEdFW9/k93a7xAyKcI+//TSljplJ/b2mBqqKDmL AIUVCIKKZoqz6xjPR/dOSGLz+5YvmI6zweoPOk5e2Gq3PzWEUm1WJYzhLb2sLPm5N2tr8+CsH1gh YUj8j4czOc++T0uvioIqWTHacHC0i2YWtrK1e3JRLzjkRpRi5xdRb76CNxyZIXH5nOFOq1LLnvgt 1T0kVW5W8taSDoXqQQ9ZFGHocZkwkRCtBxR/2Uz04AQSbgqVwmhGt+h3fhUgz5By5O0+h87O6qEl a+usCyLN5EmBjzbrGsZ4P8Pl5D28AeN1R9XH59hYV2aKi5+w3r/O3NmmL5dcBF2E65/xdBNV66b+ p14jdKqgl1c1xvW/Ca2/9hnbwp2oDIGOiH+XyLkcjfO3EnJTpaeeUpEnf7oq4lGlPXvldeeqE3y8 U6itwGT2Ixdqojy0AmwmoW8HTG81Vs/NaK5Of4jb3CpAx6BsFAIqaCtCTuErCc2SvywEs3NPehTV JIRSg0Ftu4aHGleGfYBUWJEycovEOwZuexdR1CtICrxuBkwDRUHSt0mX3bYSvmBpTVXGW3f+zy1j tqf88Q6dLwehaF0CfpE27IfCVhOi6+AOxtGKmpDZt4mQlY1cDkKi4voDqXAoJP1jB/ci+MmBEx4g gteSMMl9MnUbBbOwTEHaye95xdqaMPp9w3JiuRUZlk+dFMRwCF9CS8uO5AcxMYV0YePJdKsxEqai 4Gb51+wTJIuQPDDq55J7INt/DDCHkxhCUwjQN2t1E1F5iVF/K55V0fHg8z5YILiQApLva6Ck7bAY gza1VXqnVgq9qyicqu0tLV2tzKE+E79l58OXB/AC04G+gD8pdeYWURjnio1TJaCOS8zxPp+m8ohN dNXOIeYzWF2oSAwr+jJbhzqWso/oFsa4MJ33v2KO1h2ybyDui9SgekY2sio9yCpNVSTHHqmqbLXn wrEvbSMmPzDd5Ut3VFZ45JEzqwfrW2hoWO1l90dIIcJOZ0L/YhEardfJViGFklkd+xzjgENinpZS rlBIYvSlQtpD7PEt9In+r2QIccnSogvrdM8joQ/hEiELhPnp3CTV9fmtxCzCo7I1N4RSIZUHQuBc rQn44zG5OyUFRGbOFdGFoNiH/7MGGT0xl24arJBojhX2PaFSKbk0kmjFjWmh+3T81j//A1I8i0SE cVRtB7lWDt4PMyMhALTts/hNoqYymVq9EKvnkZLioGMO2tGPlrVDsEaAfwf32PzMotibmxDpzFAF Kqzpjxr2HyXYPfXYPOrVbL1gM7h7Wgkx32t0WSvIJPyyhwtcKN1AntbcgiR0nXgtMH2AWUfJmtLT oz39LhqNmWrBDjYnjflAucG6eSZrob0PwliTc5Uv8BvCO1M/V30S2+qdLDkvMDmL4cq92P8jQIeS LnamTvZ5s1WTQAq+YsxUhw3lTqnD0HaMeu6R44cBakWQLN98boAdIqMYQifCR49zTXocGdurJ+zj nLdwh/oMKr2kWJ+KOhQSkBVZ+AxjYJBZvOwnn5ZbvukjPO4NIh0m2z1X6xmZKKapD+VXzMJ4ve0R EGwUHhrBuSS4aHQvYPncBYmSOBoMX0IzFnRdMxEsW8Q3EV8k2J8gukWoZL2XqK7DUemDYD/TIOqY wxQyNwaPzFP41JMrg3INIk50aaR9S/fbKCQMhGP2efGPGsCqSJRvPwP2jMFfirHZEx0Nue6w/bbC P9/Nny9wRPnaJJLPbxPKwOoix6CXQcvJj8bLbGHKysADERK1rfFHzw+wq9dpKnFSQ+PGzIxFP6uy rijzaaTQVk0XWrKq1q0TA6F2gWhN9k5tvIKtUjjAVf3iyptRE6ch1Hj01ttFNVjWdioezIyBaVyI GZ3eB+9Tv3aW3Mu+7Vz1462RznQ+dy2TibgDVdTgsfUm/+WiPLJ9p6VKfphmdVmhP6+GieluleG5 paB5/9/DYrl860vMore2BzoE+snEr75cmBlKnOv5wqWnLnh28UZGBpitwQ5XqA0TM2lO+R0Ofs4I 6/LzNfIsuSvoyuitzHHaINsna6l9sqyUI6yVRFg6h8wPcEm/tAyLizGkcrXsWrymUMKiUeGMKxx5 BlWOZOyHFzB5TrF9J0asyeo6GDhtM6rz4bobcKibnwxwXohJ0CgPXgSKhKpxtaljxXyf8HXXyH1P n9jJF75S+m5S+aiN2hQbk2+o9ugb3Ws1pIf1tXUZIBHoA8ebhYgL+MiPkWSMytWweqvvG8l5JcZa uUXcvnZRQGLYk4qQQNU9egUPtgXkZG+UNiLm/rJL5bh9DOYkE+IUPydPkMND/QQ8yXwtiXN2uefX EM6b6qPzEgR5yqaAAGliiz5ECs1FB/mcro1RT3EcSxv4RJGXXZuB/Ku8tx2gPXBw8Le7enfs8FyQ 2DHibAyEpCM3/9grns9v5OsIbrnhuY9emxqLsIqHX2NF1lOKIzL7ZA6qTJacQTfYutu7oHjJp8yd iuLUM0C33r41MepVPpSv4JNjMcYa9TqlMH1fHCO4kWywtITPiL7AkMncJjyKv/FJNlSc6MK9hv1v MGgkvHkxGjE8iPdcuTid0JHttvXSNMHvobXyOJ7SkVBtThOhq9vSHTKVVF5tksK68S9Pzej56Pai RrEwxcBdKjUJt/Z3LrRfoWPmlpCo2VXwZ0RHz4VlNdZrqXdpzzk70fEuMrd8QeH2AizX6Yo6SKET IHynHdK/vXCb89Hwb6qSNw/rDvJKnJ11K3W2VVdJRu/NW1vg4DRdiVvuwwEz5jLsS5gbazXTOHdI An3uy39SU1Mt/Rr8w1L8KmmQqKFUDTBHv7wPtPMdbNAjOYX5WBh2+h1BxswrtjpY+7wivMrJm5Kh YKh/l3F3AE/Cqw1D7GUek+jO54ydRsfDTB3/FYW+UhDYUGJBTbc9PVxvLb+J2WEUom0XEwYoEG82 KsvKTU8R3su8FzQGkgTgp9IIpk2Bq9gP9YdmbcWo2PmYoQIiRy2zBwxiRKnYuVZkMPMLzKA0iK7q u7zEkayyveWx48IwQU2Agu+e/BUqZ2n8q+7//1BlLx/dwxvBaAQp5UTWnAeLZFW2MW3uBHS9UpBn sjZj8Da9mJXxqo2YdojU4mMbHrW53ue94tK7qjvAXFZ1yOPNrP70aVl9uJqEHlX4O5i4WMt5idH3 ftpg0yvuTLvoP+4aywDY7/gq62OW3zEILoUrulieD9bOKSX7XJUZ4iZNKFn7AEdZQLHM8MpqWwOk NssiNhSk3+eH8d0K8zOQj24GFLQvUVj/7pNH5pP3RtQy8fdYpGUYyZhfKGY3g9Sre4LCCoZKggOk fmEGI6dmO16n3gEMVGE+BSsrMT6NlZikdaQlHOFTK7z1Z7Ubx7s5S1aqaAmThujrrWeKdghW47aa 0co9Hu3Udw10VsRrZGJOM2OSU3AMwC9j/gVVn9CdsA8zOO97JcOcwfqoT4J2ra70KWLtSofxB6d1 E9hsIjloAVvUs2qbzfnpiUCfDJgdy2A/1IFCGuPhN1068EqI9mUvR+n2baQSw2hnqcSiIVUCQtny oHj2cYAQsDVJy3L1zL6tiwZgdWmH/oE08azYI6OydSttcGWlpSfayLPXNYo1+0RopjHENntACTwq HK7FxWRVMoFc4hbmAh5DEKuotmHp6oYnjGCbXX9izIy91pr3mwN/rcfkeMdroyep5dUWP5k5kjkT Q/S/g6sBZFo/CHdb4HrAzhX88J/AS0O4C91BWiZ7iNED3U7HRhZqTghLno6OVL2no7QJlEckkqJs Su6z9WDflrf3ngbD2sdNde9SInGABQPZITT5ruO3GkMEcW72kaj/EMPhZVClMM5ZBxYESoBuVK+K 2HrD3tu349Idn+zRKLMofG38Tq9bt6pwNoAfLjXcSwwQH+5ORQb+y1S3L0H4XHcdJcJJjCjD6r6F 21NMukcr94n4+d+75X+ozmbyUgt6oDJGK4ldK4KjTXR8ZkmCDOUBIEURawJF10YOqijtAQC+FcDJ BMC8LZjrmhhgRLs7FFQv4GO10NFNXJrch8XP8CFn0m0moALfHqaGGJo3jHVI7Pk3JX+NOPFlAZNp bEjgV3c64spS+hMhP4OFq2sDH7wlbtApQnsxHtX/p7YmOchmkM6oC2MKvZ/NACxvl4re+r2F4g// W76ZqmXgXV5d1352CXKvQ5ZGJO4FAuQIK1b+5D1JnZNQXgDhT8B/K9hwYHiwjRgLZ6JXf2FWj4kv Shggk4uOoNdguZOBQN74wNJEkGkyQSh28BJklw3TUuMPfX9ukkCPK/+3CFrPSai0ojHlBjEO6Lu0 kRUj0StEyNySKZcXLciSWI+u4W62hhmvIXRMkfRWVPDCs0HY9Z9+m8aBD9E1fhFDnZ/OH2pnBsDO bmrRWN6+VZ3Rbas+M22tmV1lV7k7spxs5rk0o+OTYO1NUSvukc3rwdUVURIlIzmgwvIt8qAB+1jm shmQg3H73RGzGxDiAOsc9/7aEqMvPI3jAlDX1PgPTdhlUlub4ZZZdqgQByZoKSa8UaNyu66FTNMK UjEzVmTDeNlDHe3U/EwARFDlY/OWtGmzp2uMwuuZe7YHFArHgxfvpr2LKKqJQuK1GHT5PPX1/55x sYS1xOT1bvDOxFivYhJFyXS5D6ByczBPXaKP7QriRw0KfkNUDNbltmy+djAnsq2Zk41BdRrnK72X zCWURrhBRx0CZHuATaJ3jBatwfp9+5Ao1usSSS+3mQQ8TE6C+mWk+fF7oydx4fYDIpeOmFkxLimi FK3FBlUUnDEdkO40LjJAXQ4cqW3dmZUHgCE6SEnQg4JA1htIAh3kG7EdGNMJQRZdTXS7YElYQEeZ XteVnetRVjjItfJkRoCsI83DMjtV+S6VOaQs42t1cOqI72WfK0mksgE9ZCwWdNgTf/3whyC59J1b OsZLU1c+NhAOjbUfLxXv/g/5sDKyS/faOQtuo/qCFO0qDPycwbldy5dTnCKlD5KzmA2kUwvWDwEl kYkML/FuqTvQ8lcghfSgA1Zr+JhIhSJsIG+tIUNpmHRCMVd2CV6jlBYXjKtjGgEXeMmsBL22rN+T FmArr7LwTkXulKPKzfmcqQkgbjPh/qxQ8I1qmuvg17NDttikhsaH49MimOcJHUPB8mjCsy+YqRHD 69OtGf8CPiWRhL7BlND23+qaIvrYCoqDjwpfGmFRJl3VcWcGsTkSFbSH9O5SxsZj674iUtjcXLAy hRCixn54MHUH+5ZL62ke+u0Mxbf5asifW4qZ9Kk909kEQaDVQGDfPh6QboFqHI6/THDKrtecpAlj GP+6mbyuXraG+xgurJDG6DV3xlcda8ULk0HL7g7zu3gtIxh9CvDWm+iVyT9nkSyjlpMs6pW1kCfE neU022BxOUtxzvM4SBXxN8Hyv3aOWF+li9BNSHTCHDQtr/5oYkXKj+gRIppKxYNIDw5y4qld/+hD IvQXiasJ1Q3lqWNBHnVGirWq/a+7+MLa5zRF/OMlfmB5icKiYghAR+C29BfZpWfF+lgjgLgnpVKz bTM/i9lizAm2RoG8zyQ138lo65fEAuotPgKw6+dUMHXSiS7V1X8RCIPBs+5hZg+BOHvie3f2ggNa Xb9YQIXZGTORKXPqp+IDIULJZzLFjT21VOzllgYHWCCfrZDKI+YAWUKs+J3sy65vf5juHo17VP9l N5dTYTizFwsArsEO95Scd2mSHAOajgSFPPjwKwbiH9UiPAx1UWK/mYifa+EOfmP1j8J67W0tPHHa hLVRAQ7fUDj1arUdZnHJf7UuCriyQ5D1QYpKPV+3z4RQYhO9az3bp7tOl6ipmI31wSQHWWajQE26 TzeuXFoAk5tjPWF45NJUeQWaDCeFWF4v9hyIcGX8LGJ3gPakPNhLok7qNjHa81vQx6zZT8UtrV2R peMhYkL4IMamvkydwkbyJNeHr/Eg8kX6uc3SL+8cu0ct+AOIehzJpyRVDcyQ+lDTYr3RxXcL74xp dvLIh6QZtIQOTzYKzWtZAQsEtz1WveixFP4HAuLk0Ay6cZRdYI27Js4Jql82oQyCCNGQ+I1hDTso J4+H3ZMhtfjUt9LYnlX0ZWUDeYxoKeq75VTRYED6FfWq1Dg26QKmddJN/mMdCYAhP5CiLWMOzBM5 jBPvQMVqNDeH57fNXVaw3KS38+kZAO6LGstxxHgZmCFECj360a4VI4w6HdEwvcyKcZI/HbuhPqwc mLwPBo6qRgV90CC2DtpB8sb0XJ2bMqk0WUzgCKQqf2f40fucigkrJ7f0l6xiKqp51OXMhTNPKJWH C3njTbSqNrSGkrn+gzut/QDq6sYLFyjOxwmDkifEtgvrDTerAtDqERWGvQd+Dk3JtQEdWGa+dvr3 D0m6DiPdkJu5DwNTMRiOxaCafpl2NTTPbBoWHu4XMnob4yzg19KwchLE4IGu1IGFZnHPsXUL2wtp X7SQDY2grUkSkgzJ3q3zWgorZSSrxdPhazMz64Xwlgnwtb30CZUc+uqGRMs/LeQeC5v0Q3uWGaXi HhOwM1aQEZIJhbE3YUXo+5VelSdw9UXxgcY+k/tIjO9SvWsqZ5lwlK+2KHbBMXFYVzuZxU9b1Hzp ngVjec97guZIouMUCN0Ire0QjO5uTEtbHO9XL9lNJtL8VmPbMMiFsYQU1eJzMY+HzNjbte+iXTBY X79+hYiHvzj9F6+idJSIIlBzQvR3SY4i1jrC5/2fInzT2Ck1IoLUmVxqBvYlpnj4OxDPFt+C044M Smrx7iZujyLiz0HPXlnTsOX41IAqnVsedX8gaZ6gvKN5Tm/9wB7BQMYcp5QtmV3PaBwcZ683W2SK /Kz9OMRLFLnlKIm2yEQ1akEy61K8jCVZwgICvPr7kwU8fhs6Da7dI7Ysluohp3nyv7ID2KNzHpCi QA8DG3P3VjsRGFBmZHejJjOwSpZMwP90yAhRp9Xib36gfctenChiCtGoOh3jVflL9OSaR0TraR7V L1gbHQhl0nBrnNUTf3gSFi0av88gCZtLkw/COe35wjdrZigeilmSJIxXH497SRyoF3smODxwFUAA zdHxK/H7Cj/L3eHEopwihGz4BfQYvOJ5A94/FLM84Y1jxF98lnbpHYEWy/kshU+u+u/j3ci6HZIc GOHNpqxOfHVozyFvI+cfU7xZVeO7Bh3FcBEGj93aoruE9Bqr8LNeNN4BgQVi9YOelbueYq4J0jYR kYRGsxSC/d1WFVc8lE6mNFmc4rHgmsQ+4xf2aRbLcwScmYdWSdPzN6PlVNfCSmHtC1LP7YKtFiJI h4UQlyXhTRIRMPBH4D9B6V27SbHWAYcEIhbswTIBSxvP8hRDEEQS948i6Kqmpl1UySVWd2HSLXHv zI+tGJP1HpNi8plVEARAu+jyF5TAn+pNtxUz2RgpR6WgM7HMfMFUweLZHslmUOSqPehcR4wV0ouj Y8Tk8r1HI3E9Lak7ua6zntES7q3GkE6usz6I2slHkEUrAiOrVvC7K1uwa7JTdq14aOX06ehWhU1G ODy9ZBgLBioqirqr0La0ZWE3anVotmwBspfjye3MnPMBFe+d35W1z+jClBLUBUpuYEEb2qElJmUy 9mjSxLjymu4Lsu0rsIvnu4bJoIU909vH6XAMSzLWy+Y4cEgtEX/35vixkL5U45aQL3m0vJ9sSnxK 8BE97g0M5behNOevxJqqvXbxThp0VgKfH12V2aCHkwGycjxsNatBg1Y9Ql9nzOC9pzEZaJ4sg3jj e0aya0kVsOXxsQFer2po3jTWAwM4/CyzXsP8EdR1Wa5/DtchsrCJWI8XHT52Ru+a1uHGMubTy+63 WanE/bjsUFPX16LwwvLX8KepEoZTw6oPCgRR+LGe2hoogr5ozd+Xdx6q7kQRmrhJrSfZHOdjpCVh 7+AQ4zJuP/UtpwJ4PkneGRKAZtsPmv1SvRSH+CnZaEcVh7SorfHcdCp91tyzpJXOFK/dSB5jEG3g aI/QoVcDXVEbTlnxiOmWOfEv+o7SfSLSmbZjRRBXmPvulV3iZ1HS7UpMHmLXUS4DRpmDZe2eAErY nMsZkGUk1zXxaOxo0Y40Ai8Ni91z3h4+Lb4aYpnnjtXN8OaMH8jM6LJNemh0ZRUCgLlr69vsR67X O0YjHCjJPO0Bvpa1iGFMak2aZUoitG6mkw11wJfP0MDpA1VN0OGMTcvwpLowRXU6Cg5XVr+2kgKs oa9+4WlxueOTsJEJOrqawg8/CArzFoA51vpzEowsFwTaMLnbOmqC0hN7Qz4A3JyllYPEZu6ReCD2 33vSy5zO5CRZC8qYzDmgyGhsUw1YuaKRZD14+s2WR58MoD5q8u1nLDu+irk5faoVtcNV7Zcc9z8v 8AB35obKv5MsTzIETMmVqir1hseANDyTX5GY1vqxJIRHDy8xCTOdl9Lj2YF/rOpOa+qmeNJHX5au LJ2gyScMFzb855C4poTQPxk35g0h8jmXc3lJMWdQq4IeqgDemZnanquH8NlkNuXUReL5QB/Fwq+I 2YPGsOhUk+8WpNB+6WfWy6EMk2M7TkeRrJqk/mF/tOzDrxMyADe86HoFMRgFiPFYAktsCDtSnVi/ 1iFBkfnUlPfNkIdONLRGdMALXMtji9sj3cSVFgy924F27jVXNpCpKZg2kpQ1IxrtFFo+nH4mCcqA 7w7Y6MB71G0M9ccBvVx8VBvZETn1b/WRLVXU2pkvhbgprSy8AbJYzI5shC9aB/luPI7y07tQp8hP zjaSvFreLPgdEoXSlT6Op0xdX6bxXutmj8VzoUL/gSQnXJsCU48feja+8/DGVGfU1UXPEp2Xzg24 wTweLmdt6+QjCHQtV+GxjMj6CzRLZmxUZirQMNBRig+Ku53EfpXFOC61eKAgR8lfrry2AGHCuLTq 13CP6+1m5LtcTcY7Z4P+xbydqh4S9YSEAzuXNDVsdxzeI49BfPwjZIDdHVzmBaU3Iw7gJoxfwzy9 a5KC9P3dNqsJPmn72GXSqQ0u5OCdy8oB/m1zpKRSVgbX6h4LEiVAWtoCkVZOg2qEs9JbYhjrcGxt trbc5uWTNH0uKXYTaAqUefziBlk5CAjlTZljQAnKyYElReFV37wkodjRgsgQxrj4sjSugfE8EGnq Qv8tIcBpeg2lGV9TMl33K98b2mF8SI3y3ZiilBZlPv/ajqTxbpbExjjddKgOARLCu7fo4ay0k1QT Spua8McQr7Vwqppg/OmAwfbCbJpJDrtqsPjGgr358FOagP1CTsTnwZI1x1EkkWkOmEQH4JQkCEEG X8pkpyZkSDTvQchysnxuCuKRy1TldflIP22r6TFsMAi/YOHwLtsz/nboKELcLsE3VKiJ+zDhydDI WNQLuuxp2+D8bkhNsTIr07fwh8zpRbfO6jq8sudIUqFUUbdaszEaxC3yOARqXEgGqEADBjHPR0Cw QDul9e3b+bXS8leZtJ++tD/No9wfJVZppZTUH0Nj2kKDRaWumma5xX/AliRb4eQmx3a3KUP5JXDD ticwVitVbnMOmUL3wvnjUea9DU7jzvhGp4vg+JbmzHft3DKdQ94i7iF4ptOkdN7+LoIeKsYG2uZD m5RJeixlkXrInbPKI5ALAzBeGzsAUz4t7J51lLrKWD3In8CWXugfSELiMmqPfRHXSfiC7BU1zwnD Xa/IeysBZ4feBrkzXz7jxWNXolU+TnuWFw7ABqu1Jw0pTeRhw2LHKcSA9VFQFp8OFqWL+aR6Vb+6 i+AuB45j7YkGhwKScd9ZU19QEQXHBtcTkZux8r75nbkbUu2iPjLpPOCwJ3teBjFhF0mmOtpHxROG 5TLCoKFf1iEiSbmB9muG287jBfjqGtnxEkKVWXAaSRxTYmh/saE7jiHq0MKruMab2qyXLaLnZk0J UvKSQl3/X2XEbPTBF4lADHRybG7EZLGTy369lmRgWnmXhyh4mpiFmpPNRi11kGN3vQxh+VSOEZKv ZluyDc10sCqiE1iCYOPwB5kXwLIJpwQTMHv1goFXwIIfrstvQqwqnUkZZYjRG6OwfXJLSTkJvSn1 a5EleHbkQfAliwoEk9HfubHAobgQZQVCMUS+nSDz8hNsNbqE2Mg2KvBolN58I5WvCfFijZFForzq b/TghnAhY2o6ZxDVGK6jRRZmRONcQnlVdVTXu3a3uUy1G3RiXTQnWoOqpmNiYJM8MAJAaXtjSqlA Ka87/rpDpQGF/ufPJmj69IaldrGExquONq2xGbAXubdWFXdj2IaSomK1ZdDiagODoh/BPVZqli/l xrq5a8fnVzxPgqxLqMY5dpMY6DUKhiDtaclA7whbQCByqbHxmxGfR3hr+i0HXv+tDjdrpPKomcAv 3m1/mIXjOE7yzGSScSlTsBip8p/Ga4S8Ine7lCxYYMNlmXwuPrQU8X4FXfSBSHVqhNAIDXiY0XNw cGtruNpexAJTmmXbA/AJJKMbZOYldlpYX9jR4PnvkxHLBWxswV1F9uYsgMGfW05oxWcem7yLPvfz 5D/hzz8y/IeRNLAekUkFPHlwDzjmoisxaKzRs4pGkX5f4dKsnkQX5EPN9NDWFyc/Rb+5l7KQ+BPM 4JwsZA6dyXEtcCjnGcE6cO+clxyxttDYrw5mY9cUxlWi96sKk0oDPDluMH1VOzP0HhD9eYFBFS4h 0Ma+JBZPBCztvlxT2gYycsiUQUBn+yRt20yitOMupK03NTdkAUcqK+E0PsHiAT3XEISQeg+CpC1c uAjvDqtj6qUEMZzrKyw1yLFpuh+SfDIfpcdI0lvxOVZHjrxh5+qAkvFzHhBQLonS1Phjdee0HmG5 /+G7xmduqjZlWGNbwy9xRivZbC4o3W+Wrzx8bBqRbeQJVmFjhlkaFDJOifoLgS8iCiGuNukEF4N3 wjAfI45NAnmc369dv8tL66icpvKmaiA1EZDahMd9QSIywAGg+u5BhXMj/LcHQ5EQcL9URoD9hrrV IZcsFTtSGyyXgPkQLEmI3TGnCx4Fs2bimge2D/bXfx+zRN5lY1TqPpkwo/ju2YfDn82/xam0LuQd qtWTPpnHQHBTHcHmZmU92BFeOFOG9JUty+2KS2eT/1N0Sfq4pih7uC+XbYo5sVmUVrsaQzRXarNW 8HlKK44MV4S5P0G6/jJeR6admHMe2ScSSXi26vpjKeOkAn6+vzhKKUY5k4iu3IqQ8N0h7tlHbavE PgJ/vj7opWv01u84rQKUFhRqQiP7q2McofqyOIcnL9vRV+oSzpS9gkQ802M7l3hn95DtPFN4fHz5 2i5sCz+2WrhkN7RIR3n2wRB5uKAQBF0CxyyDBfRQ0af0QEWbBaReEuvaGL023cop+GLS4R+S1Ucl nd5DsLCazFCRXXz/MZvY2tiOyDZLdtWZEYuzCRoYrc3ESsPhIv9RSQmR/Hf/05BzGzQiO2RYkKOr 9LkzRFG8HC4eMd3rB/sYOJcrMRE6ADy1mL6tcUo8pAdSyjYXta3Wj3eoV7B1Gk1jc6FnlGiKwt+c K8Tb/NBwL4jDfOg5jCd+ZCIwSvSuHNahp7h5X1aLZU27zK+D5KWng5iLnPQw/D06s1dGEU96CNf1 bM4uXAMFIJn1urEwlPUUTlG/A4o1ZJF3Yjhc1E9VhYMrer0vyzwJTbG2P8Dm2X65n8n5Hs9scxZD huc+msGPlJIEcA0cP3TogNj7YCYMU26O+hzhtwfNlM8LZlRjrfkvjKdQHmM06J7tX0cuWd+uan3i vIJVj8ieA1d9CfRcT9ursc2Y9CbnA+rOOIAALiJLeAxZdBrrhzlvdUlQt9puq1jaepIW6Dit5h4c OHBV5Rn5GrBt+CeInkxBdEhNDB6DwjxiGDmKdzLjI+CKYOmI/YXR3sL7Dmh9412w2TJFwl1KMK0A wBGEyX3eYT/GBQvpjLxr1MR99y26N7Q3itvUCQuPbSmXt1ibHe1lQ6ovWBve1NwdiiSlEyGcjAga hnhbko4PmTMJ+UjTtjAAgDHwSkDy1BFSgNSgRFpOuASb8+cZKI1F659LROUCFIzRXbFewm8o4RC7 yCft412KeaXz0LU57d1PYqCpnR8N/izeoqjUS+7LfIBs/goBctIJX+PnFPy7x8Tu7s9YYbINjSPX Huf7/go9sRIWhXTIYW8hekfCnJmd6lkhgUXFpburrLsct+havFQkxvYTnC6c+l5zUbGvAUR9xZqM rYW6R4Alh+CMehIr8L3/hFECrOdGQCSUtyrV/r0VNaEQ/sFSQY9fS1gPrhOAIlcW4AB5nhZ+Mzn+ +2Hy99Jf7TFCIa7e4As226jEyEjwKJ4lljkshoGuCK11POUoP83Zi7lK3jX8UUr1gkRlFlHdF0f2 CXEVc82UsKcVhwZOUbj9EzfVZ6egTyNokfwngF0/Uh5MRoAz0+6qlAEkWX6gb8u6ccjuRO0g+jen W28numuAVsZQ9JkRij9rvBtBs8iwkziN4xXRRMOiOnFoiyGUgY0xMpN1CNUd0u3syJ3sy6V2ygOt ZoGCdcbLjVsa7rdX2VQdyNVTYrrUdviQ/alwyXKMxNi+7FrJ08yZPKAWi3DptNZEDUUpKU4basaA 2iwgUZyFPEy4BHHqK492lEA/HLQHQS8p1mv14seQjXoZLdyhVOpsO/2ZK9HH64dxGd4PH/LDNPTd Z3eDhc/Xwu558NOZzjLnjeOL6DvqRB5Eagi7wAXa1sSoRw91+nh2sJJxPYDQbAoFxczp9DWSSGu5 qofJvM+hFFh++COLJt6t/0l1g0uPzyGMYC4q72mWODST0i9PdC+YSUTl+lRW4sCPN8//p/PqY1al TnrSDrjOAcCHcjo7yfBVB59c0NQaWysxtmQwtS9PD3qN6GJLd6xrDPqkDHXAxPECEQ4/Fe7DP1Mw NbEVsDkdZ6ewCrHuA9x7BvBN1SMJwZfr1wnjfcCnvGQZNL6WTDKJQMcuPUTLtIEVHVPQlNeVMcMz 4trq7JQdo7RHwJm4dt1QEhjTiPcXvaCc6ZhJ7MszOFGeXERiBbF4SA2EGKBD9c/mzbeAtCB4BibQ M6rx1bnRRIlbKwt5nHuekgpJb031DlE0KrNOtI0IjB1rBE1jh5aJEDTjTOZNljYoze7//SIL4DQ/ CWl4fXHyqKCCM0IDrC0vNbxrBBNQSdZjo1EXYkPBlLarQxbrWAR83mqZYMtRXaTOd3VtJ4ctDide DqPrbidT2p78cwIfNh61QPrl9KyewLrdksydl0myvJDRCbkqeesodPR2oiKwc9065V+SG52484w7 bKSa19dE3gH2VxpUOSpJffC4FOGiVWcgepoBv5khrrsm1jzoEl9z/AfDhKXrBwwaS6M1v5uBpDVf pha9ncZPBpkL9UN0tquXQ706j/XjhOT3fApKpHdeU78XCO93+GuQMCda3lYL3bhvbFsJsZxnsrbS WBvSL33jqWksMhj5HU6I3tWZxXzHP/gZmrIETxqveQgtLdbZCvLb5gbnelqlLA1S6VCLLmuncH2d HKraxaBEhAW+6nwRXkTa+5l9jLYst3nYSl21a40wUC7zwWFq6LyzrS8y7HbpKj6hqpZSEi+h9uMU pcxmZkOS5aWwmVyc5r9tX9ZVXsgvmrlbwhH1i5QUkMqBebERQ+lvbWTvbbGBRc6/9b6/XpAkB3B5 a0kwaaR5fr2riCN6xrB6ld72EeyINL3aLPvDDFsyDsFBGHmHKgFUQDs41aWhJvRiI5jbUvHTF2cH GehKNemx83hAnQvv9hbDBXgexUj6vBhrGvtlLCWktLqhj/rJ9cX9a8tW3Bd6Zin99PUcwDhU8c9q +M6a2inr5pkbpmd6O3fdIhajLVm9r4ffyt1O3dQ0YlUPIs+0kj0YXXGaVG19v8094LxMro/SNhXC 8ycqx19hQUEMfS9SGUmraih6aL7unKP3KkqNnHhLvKGJIm1QvYLwuB6+aC+ic1NG3I0VpJAA530X IKD3FltMzEwOxV0ctJMG24i2KDR0T01VRQwJk+kfL3vvnv1Tx29ujfYu6Gs2uC4/7Do/cu7EgJLB HMsFNxp5H84c2N+DAYlgQAWwz74axdUgXW+eHt9IfKU+XGxQXmx5Or5Mqv/f7482DvCGpzU6uYx7 oBjx59wWeaZ/27BjemSUNOergQqUOjlxvy3S0BPR2zId8b6G6ckGIOkvBy6yWJIv2PEHWKTARjS6 td+Z+SNAX6VR5rWA4UCtMNw3QiYnxOyVbotUyT1peqBNPbvQQDwIXsNw7k9xQn/EfwjuRnWCgO2j ZUd3O7SYn7gpuzBUk42Vne5ZMOsA0uh2En9Ugwjx2gFhQ1qIj9fFEeP75ZJUM77zvAsihnIlD29t I9kyPf3X6aNrx9ZtkbfR0+SNqAopGwVVvU32GCL0xD+dcU+KywUCvwulibcgqVvPAV4TpjOrfub8 d/q70qXe+3mZOeW2sW5Am9DPfO4TPUvchJz6+KL6V7Su5BYSRJsPeiqw1D3HJ2ek91SBXl65/KH6 gurD+pJr3zBx6p5dZy9f2f7koU7Rn9z42755Lc753uhrSYUbYxfJahlQSaNLaL+MafbrAh98eXda YnfXo315ruL1g0Uzf1dljkJQpsH4e5HupFSevy+vJh1I9bvoMLLdSzyNnU3PTF1Rz10Ua2U8OEqX c8LMLcHWCJXnL+iylK+cc8C6M2xpAyLCzwS1vULvHlnO0IX2Ae8RdHljQOhn20sKSy5RmwGwaHAM G2lNgjH0j1YkAeJgImj1T9PljSPtoa4AveYhJL1nGEO/dh4fGDwA2CvX6zFsdAbEsPMVM9Sh9VHC aui7Oz6HMfiBD3nKeQ53TiqLQnK97oYmnR9D1NtQhlEzEiLpUD1KKZAP+XaAIZsmkaZ5BQgRIH8b +LAhjos/Nwf5j/YRUKVJNUw2REjXOjqeDY6QFRGeEE0KrTgwV+4xjzPuIpPDFccUGr+6YW6w3Fcl molrcgzIy0owqS0pB7x6tXYf2vMYmv4TCL88qDFiyE/SFb5nVE3XQaGOMFDcyYu2uqO6nr0HMM9n GeDMB0IoqESzlPJJb7YA5QNEdju8qPCR0qVcwBBKSyrUKwLhci9v75WL+CmwwxlTuh7mG2zJcqVO rUkeDVNLjeL5Wu/7RRwUFz7jGrQ8wyri11ci78KMC07A/KxM97Wo1lh+M9JOzI7S1nSNwv6rv/D6 Q/pSYn2CIdu+bJIOM8NHf/tsbWX+L3wGX9Zs5R5hePOD8093rgEdlmJhQ3NYTY+57Z1c58fmExUX N5zNJf+Nr1gefVuyBGWEvuK7O26XsKPcAMmODM3bcYzQAfK8b8IOKteHO1kryd/hKJRg5xy7h4YA vha6bwLWx6kC0HGG1mXoBT7IoH1BVj4GD+T5OSormDgQj3Xu+EA37nM+SqL1rXBsH4I9vGjZ9Paq O1rCDuoCyDsHvHdXY9wbKLw6qk0xSrrMEIIOsZhGcCVNnKEYzdteKna+tPMQU13hx0mrItdxEXUU OOKVhISADsPZ430RiTxPH0aPKUD40COCrOQ29UNU27HztmHqhTWeQjNX1qFn86e8L1BqBMXEellw Uk7wb83vx+7u1qSd5MDyRnSjE0t3DdMQG0O696srQ1xQxQpOyfjgXnYuwTKJ2uLPwLMUWlo6EGbg EK/WbfXlK5MlcccUP83d/EEIiws1B6pelY2hOa0/b6QpAl4FJht7Z/W6ZkzCiw8+d79lJy7Og+Qp aA3ZB2que5K429ecujECe/8KV93do7r9I0baV7ye8w6TCmSyWgeL3MpO8r4XXI6dSJlbiPISA+e5 0llIp5qtmAlXOLU/3BKiPlp0GaL/B4RUDxDaIlIWkQgEUAv45+XPLiO/X68qe5jXo42ijgM0FLOX VRNcVKCwF6PVwqWLd6Hu/fOxwp37w7jY9mDnRN4HeGPzkwd6KI0MUFcvMs+xluFXVYbzerci+omu ZuWIac57EZAB5npxZCar2JxwAuV4GItmlBYiUtCZQsWrccEvFphgPhHOCRAPOkNJSWgeoEmhvqje bhgMK8CDt+ZTo3+Wexexpt9ByiNEkW5UmR2XX8qt+vYZY63OeuN/6fnRDygRj8YTQafjCI8grncN RlRzDdJ5TuoZANAkb86zcDnU2YPY95yqgEPtXpZmRulsjsYMpNFmKvLvjgxXC92ZNrVHKDnuwT+B 5SZn4osMZkIxhWMrY9AJSxyt1OhXriofszdxwMOXpxeOi5l399UnVcTEa8BofIAsWJstVYuFYY1t 3kiM8kLXgWINkHs+rAa52HDstUg+bCmAyN9KJ8TDqkJ9xTAJC5sbGuc/BXVrd3F9Z9jtwWHfqoUW g+hYL7Na+BdzwHQVjVtVPZ+ox1SpsXRq+aryWzITZcAI6OmXagCUnLDLbzem8QUK0oXdaf2yyays r8f5gNxCYvssFuKO4MK//3+sajKXSABnQZ7JcdEZ3rC1R9CoTj+L84XQ2OPA1x8kTP+AnKVr9+KE XAE28hdmoKJMIuZKicGbSGAj2W6v+QAyz1J1+LykQxtG0wj2tDbtOixZExaPYsBuDd6XYiWLy5T3 GvTt/19qJA12cS71aGpxDHvuephxoNDqYf0QsldKQnBRJNfZf6BycDgDBNFYMVtljcVAcD6rel3N rLql0OjaZARNnZXTQ/yL4d6zwQXjNKN6V5czk8gOtLTmHnObQn0GY610SNRvphJdCdXuiK4SFPia lecDNK2Zh+cEnQFlvqxTGyTL8MV7Ao1nR54QxKhYTgG0sIZ0onEMFusY0uFucriqiXyATIXbJmtg hijfdtD5BgPPlLTqj8thmJIu2ni0lVSRVBkG0I/sDLLV6lpZq55HyZIO/DlUGopsdy5aLkynH/6V uutBrEONii5KQG4gcFh8hUe3gvlAHDHQZrI3nxzPuIIEQ5AdRrERBCrmaPf4g38Z47uOCKhBFCWM UgqAMNFNcMFjU1UdEvUw5RzcvYA1kt260g4ZY2O8X2TLIB6EcSMsVopPcNttJKBCeV0HK8fJwZGY ufPogvtd+iQaGUPRlUhRaYpzB+GUr+heVV/061oauwYvjoigu+ljUiaR6vMi/kSshrnTLBL8mNUj FM0ySkaUM/OLVtPLvKksvl9O/xKSzTTPaljbPzMmbM54L8c4J2KslqWOhgRnEw7CRaAz0MsDipll yJvrccdW/OK71MxpSe+ohiZhbTMC80knxDY3athC3wVVxpXxUejTf5VemOec5ao5kZuZxZEk6lsV Qa/geHmqsHVCLeM3o3Tsp/9dtMhzPDhthm5McWU03CC86diIEzF3OF0AkTKf2XxYWUhgHkduHo/i OcbEw2LQCZCC90/LMO2xAYYY9k2VVU87cjcka+QYzWiEyrL8doQJ2PfOnIUoKS/fRi7hahDLj+QB ExfoNuv7OKeWyu02gB7KnRkKP6+IlfjeDgGiZxxc1QfpDwKygPuAA1GubiqTOI9PydASOhE0oHwy CO+PmzMl7KmSIMLydCoPX65+ZkolttGwahm39HG76iohJppC11h3lj85FsNnWx0FTVaKJx7xeP4t qWZIS7/bErTw9TNSL45FK15kHvOLGTCnFzFWkjVDiQd72Gw/7zNzfCJiKbeEGZDj8YXA5vslI3gn YFY7A5DKn5V4+3JlwBcx6ipGKvOzgrNnrYT4soTqAXHS90xZRht+mmky/RdSqkmPV0QlCwL1AyKX YNiFmiyTRKr4T6iWCQpIY4mwpnoT4Xo/euKrTFaTh2XXNJ0yLuHAzkhwcK/4TfMJ6LYSlyHQL5R2 FeexpQqpsdsYv+QCV80pd1Ven7fOwONQxpKpVRwQa2+ve0bSVEnBQ6QclGSN30L0Ad1yKmRqvJQh kNRmMDoU56d2jl4AoUoGnIPXjZVU1BuQzP5fTwPi4pq0EDzW+LDm7Ev+8R6bVIYF8CgAisAil8y6 UkeggMXubeyOnazJo+NRGFY20AJQex6kZ6lbwhN7TCFh0CC0PK6V8Q2fbrbeXw8k9CUwHgU8KQnu PKcXm75GOy62NZR9fpADP/uRlTFeW/gS8MBlMR8GM3ZRGqLjIdgV5ucge+Q1yH03Cva2zNWizkWO 9kN6RWduYN+VgCOpPTya+Yz/ubUzuxRqkve2I41cmdkDI6fwx8uAOhBvGfY4T3mnxcwa/cAN6OXp KsTHMzKrQYSjs/QZ5gRK1tnqc3/FWlCiOlIYk22ARE2fYAULX7ujly3/fJwYcIE7O7Ro/FN69Sm9 0feWAAPVjh5NId89GtWuhwzKFn02hHL8i+iBbHuNlT9J+0P++XMEJxyfBZRKpG0nZ8dor1KnI8BN wIOkrNh9WznI1zuFng+IYQVPZjr0UlX9014PqoEfGnmXCeaX29SR4a4U1xckenEnU/BsWIfqMiaO NUtKvYJ/7v64kVI9cOwTEFmLlV9Sg4oeWW54QWyOkeZ7Bec1ZSiMS07n1dBEIlEewOPSvnJPIJ2A +PdRhrWqZNLriTtpD7KRH7E5YHXi9IPAq7jiYPzQq6rDdYK19Y9/zU7wcfiIJXihBfVjKGxQzN/T uQIkirYWBRWBQ2PW60rTijGGZ5X3pqBBDv6k5W7hvAg/+j9d2OiqwUef6j3LNtSGiqXhR+K9kCre dBhAf4qE5z9/l+1gaOpk9u33CAQSthNbhp3fW6dKVK+w6UeMYatqslRgfx48BrXznjWZinW3Y7a3 sI2ZgXtW2UegLKK57ZnZDLIOLJX51qRcAjfUuzOlEdo3iA6N+4R1hURNofiQqlhYPXhfnAhp2dxk 1k211oP7bOT0thnt5tBnQbvZJxf8AFdo59KLbH/P2aZBBMT6qNUr6qMmxVZMUA3wpx+0TsutEb0x 6dYyP6ukFSdv5BerdtRiCc+6iUVzdEIs145yGbGb6rD8CZmRwWrJ3mYFIsmYfpOzYmdtr8Mg894E VM3JEQQSJX/THvHn9bvxSujT0qHN5poSl4R6FxHu9mJ/+hLTSdRkzKo6eOPNw0Q7K6dXvaZzUawo R6VHcIfzNLd4sLJbTvWGOPzpTv+hTNneOLjk/yP3bVn5TOjzXsaiLD+x4mIBzFFadnAK7JwCY5WB PWSDhHTUjLLEqQbyVMTkIiNCLc7xm7qPJJc3D14nfEhq6QPyOERGQRIij/Y8igyConFQyQycpK20 WOWP4iCdMHDUxnzGXhERK8JFHD2MzLxrtr/mNbZwjW86NaLMXs14jcTrqDub6H8JMbKOSxg4ik4H jR1pXCZ2WUVFR1YIc/HD6cKmJBYnaTbeMGLbpawbs8vVPASRlsJjEdZcialrGtmbJo2djlGJfpHh NAEongbjwxWPlbT/pXepoJieY0TFHflo/x++qgIVKShTWVTwO4O0jURfSvnzxpJ2utmUGE1ItRPD ahzwxgCRVCEEC4tTk/vXZ6kG4pomcu79FXMGK95jVAeqIgCRNMylpcJsGjpqyjL+gzNazhQZGMQD cIJsUQTtK1L0GrMKyp+9JoOh8RkbMBGp2gYbzqcbGLkL+75xCH5HBss3Us/HKjRWDbQsfPYh2EId xBn+C76EiO8VITpdj5QNBeRR/jYxe8QxOrQT4VpyI0sQbdgUomfE3Vhav958bBa6sSz4iko4T53K C9WTpioHQdXji/5whGdOIzROUd/fWF1ArMfa762goMwlV+gsdnHWMbBaVkIcz68w2pqeBdA/2Uz3 UZwdOoRzsZINoBNujMWdS3/pL6WXx/3ErqjyaQql+lufdRhU+v4HqwyKDnZEjy8du9vBcxgqIiqn 4+rSbokmxInFZ7BBryRFTk2GPZ1XqLe2LOYGfXSB5lDBsxvc4uRhkuFsjOP37uoMTykVQRgSYSFS jhasoHY/EZ28ISiWApy5pXhpLB5TZG4+pnoX2aRBeBLmZoTYfRAOiOt8HTpeSohccaQIHv7155cu WVXTq8XsDM6KJZH/EBzJfV5RWr3+Jyq1XPEkn8K8sqOAdUuVktR76PpqU5U8F2L4Wu5t8tZzjOKc XY6wCdvuR856LqTYS7uNUbsulQST/5M+2DiogwB62qgd701HlsBDGUx5Uu5hUTvg3be6K8MpQvAd sQSOJVTmz8qpeth/e5V1HdAJfnO2vtRGzxvxkZmz/OfTkEOOsMeM9i7PLDucHKij4CnyXptm8r33 HIYBY6XYG+j2R23CUsj96lbdCinVeNZ+cteUTeK3ScUVFr/zoWVgDR/kcABV/9qAk/DNDzrqE/4G W0lDGrUremJr0ru9s+GaldZDAlrnCZ8jn0jpw5rZTus+2Hd01Wv91iJbE+yTbtyuhOM6TJZQxOHz JF8y27hi+iBnficXrZngvWAwJqx9OikFoEogMEAG9QBsQ5iLdPe+14A7gzP8P/cxbPnSrUVSiNid HptgJptJ9AgYAOOun3d7/H3AWYcoylqFIPi/ZDW39SXAquMVGztCYuBOAZWHlGBfds4izSNCVcCY sZzm411Yygaak8+3ZTIMgdzeCNv8qGs/pc59lwQvzoP6dY3aOPsJ8lQt+KBREixr6rheWyTl1rmi Yl5Eg0ZlrHdWYYWzVSdnkAvEBl+hckU+mZDsEPX9W/gWSXwHioNbdhXshKN50rOWXwfxHf3//71G ov6fwsLBry8mXyhvkVEjQa2chxvXEdsk6wI6AmkUWrzVlcFtTRllPcBxyW0YiusFLCnEC6mz0PkP sI2G9XaN7FAdZmRKvhuA/944+iYljHuPok3wEIQ170bW2mmUzPoOEYy7MaCyI1aetD2tunH7oJA3 42jT6PUY+71tV0oxI20EZ7Z1ORfln627673y2zQuDHntdZw0x9hrl4VdsL1XVyyzfPRLZBhSXs5q 2D21h5omiOlPpZ2jrVJSDQERL/qmtS3jbdN/LK7vDxcsGlrkaZ1Y95S7EZbjF4L4m2TVN00CmCs6 0/UZd/F19iy29fKoteRvkKdvatd1RGa7C3FAcUjlO8oiJaomN02hCbvv/bWGZQq4n+LHL5+9NQKN You3VrFN4cFMQd4A1oZlBfnkKP3smqSpGmtyeo6EF0ogRlLqJqzX+FeY/AhN9tDwn2YVKg0PUkyT H/JzETk7AQCZvMOGxYEX/EyWpfK4uoE27CzsYVYWw4hR+K6cWEA0DpfNZxy0u/vF1p4oHMH5QbcP wHXVT/vkYb/7ZN4wmOixZMwodVCVmGr4aU4grXISXDez8+PBWhNy1UJd+FKCOto3yv2XVZKqB2hV sBoMTk16+/qVrjvdWl50hi1Lt6zd5f09ynTj5Vh88BM/Si3leVYXoG9vFexjnrTLmoxJHMt48EV8 BJIEkb2lwWFmmZjAKGmiCWhM/8RntRJtfP4mfINpN7zjcK4ElEoWDPirh1R5hUWPX+wTQw7zgvV9 qNp/pHykqNlQQDtuuEQPbVvlla1TIYzQ9DeEadMVQnOpCxy8+yRNaoY3Y15T1p0WdiMsuB/dVw8M 7DzIge1/TajK2EFu9xKZYvNSCDsToUKFWhQJdw8tdm/+IHeTwlJukTpYukzAl9QeOxQVE6b10d4P M+q6MHKPOme0XMFxOvM1uzmr4Q2m7358TklulDR1TMegmWAs3kYpSObi7/qwix1l/j2PFuy8/cRu BjnGYOxUntnEsRnTpZummPSESv0dU73T3rZ1xLt9hzwSA82xRBv0xFPAGe0CSMYcI2mbvzSVlaUR AYal5yv9Ucsf3PS5Dfu6AsvgfxFhvkPQMjc5qtlZS9u66CJwBIr74W9RmaOr6wmFow7z6n0ZKRls MOMM161sE1nsDza0Yp0k4g74DpPOAztZy9VH1dMA4eISo+y8PBhu+U1T/SkxXAjk1Pp1IJ/wUu6Z sJFuP7/pBy2DfM/TEdHN0j34dPOA5DA55bbLDA5fvXxCvTQbnVqNn3BtWX6KuSfv/XyG0LhLYwGC jJl9LdvjutRxeHaf/ueFa9RsmCQia1rMDhx3StmrdvpFC27ZNe+D/GinM90uC1TXbnHuPfUSJMae PQUfxAWeNR4jxJezGmqNXfge3PVUqU+ms2/CQT0vJz09v3yb/jghlcu+FQBhVA/Xrrx/bVw3MACr XuTo5VPqgWbEUtKxO7xgmZzIdrbD1IPAjK/Z3I4jvIxrUnyeLbAM9EnHFoBVRlx+lEUZ+eGY4Qki Qz4QqxW1UUMhGDDIBFMmYcwDIKFv6B0kJfDbXbSXnl71FG6tmKi4hpF/WqC2tpJmLFF1mpVrjgbd qVkfFp8hr4yeYj1FiokDuida1lxtXsqiwPKOKYuWTg73xzGkuwASGMEvYyhXV5OJIIADXqb9WCcg wDIDHl+bHOHqIOZRXisrb+/u+ppiZJhgirnx0lyF5pe73tpsZ+zDBZo8UqE4GAgkaUxVOz8mYq4e 78rwpARsn9QTot1Zw/9IxgZuJ9uGs3yncvzqiXKsOzHb9BmnxgxiuPCW0bVeKugdJK09aBKRvuom 7HbX79FlR5UdaF3KLUsNlCYerD+iMYh9wk4RsBYEIvi4fNMmITf36quuc+9kNFyZuASXi5E6GTyx IS+zwNt8Es3er3LESE3fIpxhVHAKZyGsRlcBmVZyTLEz7RcIaYSJsPeXpfuCfG5BdemkcOkkOTb2 gnggVTZtuuXoLpmBWgMHybZhBNyWvWrkaFZ4UwQbLGVMnyh1RuIcMjeWG/27qeZU0j8UiesbSfbq MPIFsFTP7ZOnvyKSoTuvQz6e9hxE0kJmzlIsjC4GSoqw10/maV5wqDA/fCp7ZYPX3OVJB452EFWa +9Sxet5SoSN44eGXmyroOgd7Lr/9YGx8MWAqmAhESB4Q0k3+Bb1NDLmocx6Ux2KAT5eqSGNw0qmf nqwJWXspMYU6OYJjCxl5V5GI4lPYGCEV0whyfTmc46tfCpnpwrKIn4Yk0Ov0moxMyKLvlDICBK8u 1LcgqKamHq3hgQr+w7nf45Fnze8xkFC1vE0B5mYyh1QzUU0VhKyET2wVanWK9Yw46S5HDq6DuDTZ jEI7dK3T3GDCxVj0XS92IjYd3jzcadwa/hJk0ANExyONyjoLwBfZPkMxiJEbUlAmDQgz3bMNuT69 qJh+FkYkGmhlpERDorAcgHNfxGXvg8D2WO4M9vRHlWlTTyPbGa5RGkV2fTxCH+9o/8LsNEVAJ+Fv v5Z7RANx7nlgVhwu7cK1mjW12n+b2jBACL2D0A+q31ZLs1V0SzPe5GX08S+RG2H4MEWjhgGO0QXV OHTfAarb3uD0JEJb/1UgYWQb6Q3JVqeFt9f6HKqb0DEuIb5HgdiboEpz7mFqBgSYKBiHlI+9UH/7 Bjtl3/LdGbV9NjT53ljEeEQkcC98Qsza/mKV9JgtpJwLVThs1jmYGJFNCo0ciUM/dBbPgV0Lm8uy KuPDq12U4F/eQc/35letBb1WaNBP49iChv5Axl8PuJ5SQ/rTUo0tUfcdYys8HJZJoDn7M43nks9q BK3WGWomVtw3bkTi5QCrZU45vJJiSA6nBki6NpAs5VDmvNIMsfoiem0ZbaGmseD0779L8Ua8C0rL VNB0tEWj7tRWhwkiA4Pvd/97y7V/BjSZoPgYqNaAteLCynt0RU/jGJee9bze6SJe8bg756DKugUR SiOKyUfalN5P3aJ2eME8FEUaYas/Hlk0UHPiU0X2vSM6pNy7yPIDzUg3Lh1odNyPkZ/rQVkF2M/K BEcdHcwep/h4VqNTfpHfnRzEC9W0EfEQGu4H7KS0ByDAkdslqNA2QLHl5u50KKMEYwLWIz5svK/L 7xjlhEN1B6oELAzIwMghTsaS+nGOCFh1CaHkUh0lure94c3w3CevrhAJurZAVamz+o7auP4rpkgB 8sL4CsK2ocB4weKYLezjopHSaKsuHrot/AcOYeAuUQ+dVnF1jVnoq5M8MTZ+ECyxJMTwrAuiD+rm OK2ZuUgS4CEd7GkiV9DlCaJirAKsnkRL/7MmqHGfugGYw5M2XZ2xBgeCEmNJ1wL8/6MFomoM7iQ0 FKRJnvaAYMM8dTjytCVvv7R8ZLFj1BccWTwjqlepka+6JOnRPFkrvUIcQzTJFWFDh+VGy8axHDpj Ht4kxo/LURdJWe1ROseBsZXK9+TQ3OQenhbSRfRQBmrNV0QRRZLa33eSG59PJFMQkyiCvzfDpHeV OSo90OomqtjO/+noqLrD8j5d9MWc56Dja9NvkdgOJ0WGDMOI5udo8/jhm4YDYhs4RCzjeAtLOcgo YwON7Q61C0ScxU3L3b76pM8eI+ZCperGcsUAmyycJSPoh3WR2rofimO3/MPw2yBaOw7FNFqhcDMz Zi3FG1ks9WHY06OwfevlRLO9/syLsbhjL5L4Z/DI23OOEX3+zOqptvmGY6gnzofZwAJKTO7aWL6b T4RKTbnHm17vyRC8ZLN05blPzJjndrdvNcIc0Lj2Tbu2Z5It9A9Bhg2NvvOXl1V+v78B6YwKp+uI bICzz4ULaseKizeHq0Vgkmad37llFfhqlIyTVCd9OzEfXbVMrSblAs7d3h8g4WidanSXKcxYOTea QBzhkI1lBzGmvTS/PPF/RQ545NYXINnxY+cchvsWKnKDVnRbfom906xEo5maKNhQsTB8xK2ulu5E a26i59RZWbGd2Abl86LOvauMGTdCErfVGp6GoCcrEa0BKbutOnfzSLv4yvuCcHdwLREJlir6h9O8 XvnCloVXa2yQrDga040LTGQpRsrPXWGVbcb9dLEK2VWFWDwekrIFsT4oSbV0WhjlUPFpP7uohoz9 O2CLU8Cj8g52K49xlvvkC5RPXlVRcw2fUqNl+kH9oT5vuCMIRq2lcEER0NxDXHDGW0JPPw3EPXDX j7HwGJ5lznWws8fhJv0fd/6ql/P5Af2B7/jwInhhc+6u0zNs9Rcx8qX+xZyr1yOC0E01jjCGL8Oo thceFcBw7m+Mm+zijgUvlr77hl8GUKsgO5b4qAfEQMJSUnXLqNOiSACTRyj0akQOzJJaissG996Z fz6ouzuRfwyX9Cjfg3iv2hl70yAc++WPiqxyxMVx3m00yraPYHjfrcIY7YIDqU2HdzGPQ5qxhh+9 xpzRffoIh17Hx+5FSFPG/PWIQA6bfnGUmobif4C6wEWwk1wRbsd2fNTSDhNhOlA4DC0DH9tXdnC2 bFqh+Kfe7y2lveRIErYv/ch/3g6Yja2Hhd+16UfguZ2B0sErrAz/w3+TjcqpPXQ04NZjSWx0tTl+ vaw5Asgz/I7mJsyRTtpwi2rUN74CttT4POscoMPym8VxdSxhJJLmWLL+TwmUCLIA4KeasrhdWOr+ ZGZezwF3JhoscXiZu7OsZ0KP54fWExl/O4ZCStzjXbfMRxiIE/iA9ybOrBpmiLnwUtJKK/xrlTMh /pCgPkX2Ea2r2TjAs4eqhTWUUij+U/prB9KVwngxXOFvjVxaz+qo/Q8yttEbC9r3YBoy/TsQNdsm NJ8/PZnvjQCz3lN/gQmPaCu8T1hPPwmkKPkg6oTNP2qUOjuWI+huY8TnCXoVjRq0AVqul+ldzpBy U4XBU1HfF5pF0pQW+vzbfbeOeu4sTXgc6aF2lA5VuVIXQhJrSZ29NDP4Xnfe11mhaWd3YMKkX1iK 78kKdabKz0/44XDbGMYMTTgQBCOvY8qCZPSRpAUJwEOEg7Q2feTh47MLHhQbE1kQD43larON/psP WzB/hPIJtGXc+lXpxV3zJTF1iK9XThoyD5WDrl+PVQMpEkAy/kN+bqeS+ZxJkgnB4pbNJv4jGCtl n9vQiN2yi2KzbP2VQ/+D4DVqBpnmdtbH4VGxEAo7KwKSZBTeam6gEZ2AGyeV/jI8a0/5aIGcwiTY cX+kjcMPhSwMqQfWO9QB8eqC6FZJQGxqMQNANj8cSLQ91VsLUTVYzJPMX9kYSq08hwn+nSFV4fwC f0p+O2biXjZ6+HK5Tt1cD8dt7/CcLNKjJos2stYJ9rkhzLCtW3G8WZn3d2PNUjuBbkpdyXrsKRb5 Zd/FHW2LmeSTIZNZg0LXfRWHquw4BbaSzvKG8bc0XYCVL31wyGQm9YSqhWp4dDtjRpK9Qa/r4XJ4 3waZ38xD2auW0feGwSTvVFZRWduuTGBMu3uHcia/EMPy39yURrcUYKH4+Ikttm6ShCroWpNleB8q kvwEQrmPl0nYhlxIgzAGnyKz8HdCIuqsoa71ukNHni15YlFiN5e0aSyT1qIMCZj/a5Up7Je42di/ JpsI8Jv3c7RdXtPWPLHbJuPK8gEeci0rZZlTmFgzKqcVlHosahIP8SEaKmyibeaWcCf4BWyVcO/k Jy4ea9Clf7rWc1qkdzmGatV+xtaoMmocHzqwmmV9qatWqGfRq/nRGMKMnIHNFJZSyeiXt47zsqEO GUjU/sj6XEH53tuKqpT0BZbptp8+FUNgH7365tTKCgMOGxsIbwyQDUP2r2eldq/rbJImuwTyfDYV z+kyUlyh8hsuZbqFSVaJ5uxnffX5bINl32JJkL4QxFS/0HwZdCmq6XgLCVJRnVx18Da3MMeIfjoA 8QNRalSQ2wRhtS/7MNDob17BvkNr0RbM9+wzHfyjkbiCHHcT5iL351Kf7chf30H7iRWSlsquEIFK gYI/DR5hf2CI8RwNc2sjede1rWx4ieteguugdcJL8QN4DCaCFA42PLLzUxkQ7rj2ZIi+tvZh4ZCR cjBVDADyk8XUXpL+xG3H+eezvtKWcXTVMtl1Ye5xmBc9ODWDIaODZin4maBZKSdXUnc5hFkx5oG3 Fftt/ulOFT2zIuJiijQTmjJ6lgczG1O7SaeDtN5woZ4KVc1wZLWkB/sl+UVSi1sqINpa6kCC76h9 Ij8wF/pCUJVJ1kCag6avjgr1FCsyiRNPSb4DaMDdmlR7pnLx76jSwezFxK4qz9PzpfiU25HloTQ6 ZyyiTkRUrK/ZuolwzY5qVitQHPxTz1U0CLdsbmO53ODPYgzixkGsiU0TnK0KfhVtirlOycrmy9Mm J9immwTEv32du2p2UBAf0YVmP4+PZwOnbsmYvV4B5CD9fPMiILKIw7LbVYS9OE4IzIAvgxtJ9/Qc Ahh6+eVQH/kthjnUBUBGIDrD7peFsZNzq9WC3GbJ46cAtNSbBGm0IjLxlUhuHOJJPjIKp0gIK5+k rwGBAUpuXMXKNWCdDnKpCFloaR3EKm0A+3i4cIpg72kpmiZ4r6VDN8vdiB1XCgAubMsn0ZSHCRA4 DR544LAWliYSDLIJCOT1t35llUoDdfLxqCxKC1c97R0iSK7EqLfyYDcUZmS8Wt5ApmAOWSUVFeCg gqO/QwNUWowqLKjPoOpMNvqN20dX54O/8uY4WmSg/TGJsRiMR92LRpC5RXikmLIc7klko6jFvWf4 O1/rxBByzJc7NDJDZh19ih8Eiqr7qKaXefdULky+n8EgVxS8B8YGlU9EoGiMLgce97p/WaF2+Mav LK0hYKLDKgLeKrkj9GIYoBFOSA252IoFl+JkX8FDvYSA+pxe7rZHaK9bqTpenDJC/9fVyOjPvgvT JbbLFasqJl5smOEX4GKMqFyceDnzmygl6oavnMxVgfjJxGaQHYo7BpJWoGNzn3kIfrj0zL8CVaQq NRQMCd/hmYYtWIMD2VY74AEQhYyy8zkqLi0oIpoZFtzYg7wbfd3SDNkll+osT5j9RjYMfIBScFL+ AyShtneZJSDDLdkWZhcRNnJWo32HstM4QeGZki4DnqUWAOSZUzeH7JEHHC2NgOpYc5SGrWdFdX7K 0lP1pI8WvGaKeiSubALQAN10oXBGghdn8hKJQmSurAFS1IN581hxjVMaFwvvMwhI3DS54RkLIhiJ I0kjCBSC1SyBfR2EHIyL/8SYYff2/7gRYF7/8pBzpFXh1bqz5U32J1WMsF9ibpHqL1bzcmvX9ZMb a7uY3RLkryIhhBEu0KsSYa1GOZmeOlwxw0W8d/v/5jJ4ht4bq/Bf3yMvOABug2Hi9ZXePTxXOEvm koQQFHAHDokbO8kd8IwMyIS5/F6qgtiq7mVSlgRi8TnovsepDjWsoS7R1a+/TuRyRh27VWWOveVx 0c0ThYx1AICY9GmfEMSUFu7xSdvW7E3t9zjjxUHoUr+zm8vLQo63qE+76OHjplmXkc3m202xSzcE s5+G2H3j7r7cS/ti9QO0sB5/w9WtboRKZ0OFEbzd31zj3RlXq65vaChErFwx3JwMG00ZHlFenob3 hmfV47oY3Y1UYLRuWsiH0t74Kyl4OBMUF8+YKnDZ3432rUFQRiOMTemGqKAZ9R3xwPrqvhCrBF0u f/qTcfPgDZDRvGwOBbywgD6TmKJrsAEXp2pg7UybHcQm7cyD6fb0ADI5xvvFiHbvBlrkFzn8uYcY 46q5hmgNvDuR7cZuPjDku5sSQKI7P/RxCy/+8+/lDmPpxYOgVXrzZ9yzy5tGNRN7uzgsCktkQ37W zHm76i9NF6/pNQXoU+qEB8vdnYRjRi17ISDcVwVVFVNsnCthF4/lmxOe+hUJKH2bkZi2qpWRgcwh XHeRKwhTAP67OFPcAOtg1GwKUWsI7ms/hofJTItPavxjHTzDySYeU0kKR+lyzsoa+T7bDks7N5NA ah94sQl7q629EruzqEqjVrxPsX1+jmXZQCOn1HnF7ufA7u3xpXkePsJby2JrJbyJoKqr1kyoB125 0NeeBS52qKBarA39ta6yyipTka81HK1cimVq7lnytAvRbm8vKHcgW6qWlHcVf4jzv3bOZxngBNNd 8bsTI5CDypnVaGb8XiGk5k93oGo2GI1il5zTGn5lOSU1b4REVsC1IPrSlQpSF1PvavLWzmV47SCl VRBfgfDAyagPVg/aKoO3sDa/70pIo9IB1X/nFrExR9jRCk8h2OA9pVVr6VXAVoMmRkIIGUKWNNT0 BLQDnqggJKnTb0w5N5Q5gEWnIowFouMnP20hXETrx60eTO6QQsoTm1C7kWdtntRZ8LIZSeTzDtId dZiqNtEP5Ov9BxhRrug76VB3YPXADJ/GJG5x6CZuWeH62Q3ttYr22x5FLoNpR2BXOOMi0WlkCx+f 6yM0gWoGIj6J18FWfQ+a80XkE//UTSPbV5OfozcEUzNCNs9c8Oz8JLsWL6uTx7KztwwKUr7YNqph AU+yW8b07Ms7uguuuJ6ONvbKu3MGjz3hZ/GVnm/7ZAP80Y65wg6AWf+6FK8KnJ2kPiXRFudNPa0/ BY7BtDNo+3jpocSnMHas1wbsSPHauph9StWqD2pwK5ZvQ3nkEAD4s5mWIVertY3s0xIM1o6FtTlG ZQsGHk/LJJ1wFgUrF4Hmi3orjU0rhbZvBNezlRjNNsGUT0mkRros3IImBWavZz4pxLhak43ji7KB Xc516bKedTkmVVQOiOkEffXAo7GtlKMRQBUp0UCy2c9D/wIGpaCfJU9zvCRmpNFbvyUiniIM3iPZ /RiCpyc/2v7h53vVcZRfqzQNTk/3JTtmaiQiq0SJq6y6gjmeNqu9UwCPK2B+ob1Ckt4QV0Q1YTgD skHbriM3fK/6pg6nKE8VhAcTrZ/EbSgH7KKtLPSfIXs7T88sBNEQ7krMnqAEM5ka9t1GgV5QhTKD aDFh/1MK8oNFhemunpO/r8TkeY2XAcutBwCA77QnfyqNfAX2R3+HwQ0uuP7zjpJdi5hzK6MwOeJO D4lU39liZXPwEx7t+WBJjNOcuinTozamRKAqpkAFwmX+8jj7g0m9SE0r8SQZk9TRn6HTjfLhHL37 xXusGifTlC91OhjIwLJwYrlaEtHHvh2O53mi9AOrZ6D2r8luI0dX1S/mMtp3XXl7ZkBthoXBb7ji RNPaqZkCfQQIIzBoTZPBZMx8zvFwlenfZ3XobmTc/W87dvY/affBjRUJ4W+HZD8DzROW8Hh8nCMZ 5bilk0ElIPB2bZNFQqoQxQEjJq8p7/S4oZoOGPW26kZZYVShpXd9Uhxd4+xm3iZa0Cd1PPAgD9MZ ID2XyFuv4eqsVAe25U9zE9rcM8OEsqFjtHfO3oCfk9DvZud5n2VMlq6M/JcI4Rfy94af7HdnAXjy fNVfoy3yZI8hJtAkTCaguvBaUyIOZ1MXzfUDCjClHjMczEtbQdaEteoiQMPesehd7B7kFG2kazSW YqtvbP0PF83BltyAX8ZKSbnde46K7IF2XmCe18E8qsQAFcqrlixXA9cR5MPneetCwK0PtllMb5c2 KD5KEeJJr64oWZ9qzDEA1N3TgVmIhoH13VxWElRMZU+bL6ZiWkVWpoesVCByEdjXXvt63UdDpqgI fKPrnuxSlnfZc9Wv/Jn9QiR7muDW85PQNGXdgdwsMKIA5lhvNz3i3jUxsT2A8we3CcZXAqrCSU2L UBJcgzlyTy5KQ75T7nRNsudjj/EljB2inOI50wvz0kFwpaAShK48Chedap6yi9pi9XMoDwfSV+Fe lBC3BoRbJbVMLchZfUbbr6XDLt3lgjLORXgzcDbxYEulk11EfSxHOredl/RnPR3M5vDN7WjIbocH V02jVrKfqPm35KdmEZy4Tpe2OypKltgX8uKF27LJ0hUS1nfVBmh2fFbLc+xM5YMROemu/IpI3aag uzcJgj5yFICahdO/U5puaAxFS+zIErnWHfJWZK6EOl81UiMLclu5mdJidv1awc8SL6C9rDH7KeRH EvgCGrkv4+9qThkFyXoBZ/4xIAC5CkxwaA269QdLehsLrhzT0tFJtuGx7adbvEyMJ4v6akT225BR BOa7LsS7AhYMlCaeuBYijnVQIidXjkMgiOiJdJOIYlpO0FC1Bz2giHb9rJ/orlE75cqHzgFaHaIm rbOOF4JRNTf/b9PDUpXhC5xJ4wOrF0hpVI9UjaTKgeKzCjvz677NF0d29l9Algz5C+oQxSVJjy0Z QrqVeRo2GJqKDW10ox20A8UHgwLwx+IsKd4CsFxkaMsr5vgx/bAR/FnQAuTGUbYZYIBnZxiAgJ4l 7cNCF9KDth9rOyeXAOGNY+wmw+V4An0AU/YZvlJLi/+bdfZ8L8Xw0A4kjBYQ9USGuZp3SBCGjl1D G88+2Ttx0Sj784oa3BqsTOoZ/ERmEeL+1pVzT3JLaagYClEOyfavef9zHADUhcCT6Nn4tx0qQ4Gz 9vosaapJ7htcfEtXJsGrDI1i+CX3Fs1EShgj6fzVz2S6/eiKfHSSR+NLP5k5J2MM4lm5O5ZrpZ+K XYNpyUbNp14EWvxNfesDSnQK8kKPWSg5PxrBgirXskDiH4fna5bWCP9d77DgDjHHDceS7NFq34Xo 096k+2uS2Yo/lTbKGil5yaCt8waW/Lx556BGJDDlZ13Ki9x4wcxRO3dtC1TX93qVX1GERRlLD7Gi EjUQJnlDLM1dJEy2wzASpwvl5CdG6XTQsNCPdxwxljQhF79vspV2Y29CERHWc/j1H6qRMMBpP679 kLdon1RInjM+Pl8KuH7NmAU0qxOmr1gs8o2tsXrh69zpWmyl/DEgN6xK1Joo+klqVhtxhOF7Cz3a zxKxRGFp34Td6vQymDr6XvI7VCAzgtXWJegBrVsQ+tfml6101mIlSQ7mZ6mOQbMcfqPNe0+iwaCn qz9vZjaQdTC+kN8e/TsOlt1T3ON6dfpQAtLKQs06t6DDKk2cQytDxJ5FGKf2eaR83RjGuE/PJt/Z cKyZyXvkFFasEiTT2VZHa12HAaD5TRdPYFO8BXQzyfWZdCDISQGvd4QKmK2jvenzvKMdllAn3KIX vl1tAz5OwT9yFe5LIE5mFijhQsgtLJSp7CPo+IZlFoy8ulv1OT2C9XBE89dNIOvoSIHtaJgnYOn7 lFI7y7xi99/F/XpXsJInJLzqVBPY0i0UeEiODTGzP3xmbd92I+Difm10Tm11UGmhoFsA+H+ff9k0 R5u/rAb1DmE+w8+rP03t7ZOBmn1mhIY1AVnaKPn2j1UmqXN43E5WgxodfY3pKbO4xkWyayZHmd/G AEnB+aKD0gYPGMYhGHcZXQgSPJ66/ZbDbv0dz9HYC9sb+rPh+s6/gji4li8ejwDB1ecao6cnYcIc niuOU2rgfmqowPIXaEmcyP8OOKOyjvhr/DMWQtLdrXxAyauHZg2ECb7tSl/XIqPQlHjGBY+0eI9j u8HFH5LgA7PEr4ZQLwG3VqclvNEsNJg0YQ6YA+K9L5mkf8KX1OIwy1mfhf6MubazNiyA3E7CjNgZ 6M+5Rv3/+7iMn7tsx3xvHneWbKjoMhODsiDdFooRy4G+0Si8/3Uejz1vjhZIs+dvGieQ0EkiW14U TA/NqUyfkolsKXbb15fs1pRdtS025p+8Jdc5f8mGTRHwbEpr3Wp4jk3WgdKFNQ7Lqv5MkLCHnqQ3 DfgQcUyujZXubsWoUyQ6LZUX12S1Hy9jvkKXEf11vuYHO6bgDwCXEQdzey1R6QKoI94SA792CggS F1vDMrgaAkeCbFtD5L/dmkf/Ele8SzNlmGA6ez2lmwEXZHj49t8CGq6z7FSTznjCHmI+zig+xTiN NDPK2fM36BA1yYCJGjHXpCTtscVkvL5ZhnBaC4xBZewejV/hh94HDKfsHLiC1821WVAfLcCraCyQ ZPRq13R85Ow4+mz9CIwJiMqM7QuhdAcvVUjCChQLPrQj9XwSAmcd1H8UilfpD+VcJhAkN4UCzSqx lwL4N+FJx7B/duzJTHO8JgfdbrKEqXgkxlz8XR089gf05Ua72Oc8tzFVE4ifKietSoaJ1pMQo6+T /CBmx3/4r9+ZwyYRHAX9BysaL7n5tmzEoClIui0uOftu2ZcKK6buZfhG0rj5Tvt1pHOq0z3WyQs9 katxLr2m/XFDZvEYlif6djscMToLDE8NPlhoHiJtulcq0WvWrCLbVG/hl3ItvzQ7r7X7RfhCrDnq zGpbbXOfPDImUYavh1SNd8xkr3K0n5T7ioaTTwIfxNHyVhljLAgxkq6heDdHMMfzd/495u7Hyj09 eObgaQgYdqXtWAnv2WHYy7rF4W2Si4P+g5hsHLnDoNDvmxW/x9ZOhXEAlWTJzXZGTWMy3zq6aZJO aPevzUWA20VF2nH6+OQ/CaiNZBDQiX2m0L6EN6X1znP0cZPSaCnVv8OETYRrwqkQ7hbXI6lceDkZ c/26ILAnDaDDsbKI433pxtbxYe9FMQC7rk87HIOtaWk8mj+wRr4/UtIUyPt+SQjklTi6r/3dGiJY PVQah6imfcZ+gzY3LeVPBSFhcFRYzou5qqu9kkQuTLO3mRX5kB2LsvTjwQD9kHTnS+LV4+U0WrwT qNbRUtCZRWkCMYASWTLhVnhvymEsRJ2t4CfpYUpQ6WnnpdzsQoABIts5sBWCHLFCGm1UwpRL2ynb kBU6pUOYy5Uvm6VuKQEB3xldM5HtFNy5dJZxHSMOu57ssruYWwSGR29dQvqgCIJRinTj+p9CAKV3 1qYftydYp0ceLsKTSNfhQuQ1BV9NZZyRjbjoVx/eTRpiCSfB44eJe0A9loFHmbH0aiaU4K/bgLuh QMe/rMj5HqZU0nUKCPCbT0hyfRDXQ32pLBnfGlEDa69atzvbiRE3RjW3tgdEflDg1TQfeRHqO/NA ryXqduPmGgFS3UAoTJJ8V8FaexjjeSVQV5K+YKFhKtULwrCBg/XrFubLpskQKn8n246vW5H2+QsS E/2OIcK8W310JFuQVdzfe7g2OUk+gAlZY096y5lj99DRbWaqCCfLYb2KHWH6HHTv+ew+cVFNWfNU YzdnHNMrhfC0dT4D9eLe7/3xzfiOzboqt0IUC23e4KRUwqbqfXNE/McFMkJEXiyvGKe18umCeChy VaKqx3GKEEF6WIEUDnZ5Ij2Kp4x+iuccUfKg0Skbf90b2iIA00sH59whzWIAF6ni4rZYNEpQx2A4 CbPizu0g9TazJ7nfeSKmBQ89rK5IBVCdIsioakL1/MXUkSdR+I51CBy8G0HJuqzKI6vOWcUJQ5ee K+9Qj79C3NVSVqWjIqgH+CL8c9sIX+L3NLEDyQ1eCecDmHdcGmWB/XUb1RMT2N1K9E92zWWFCWLG Y93QrvX5BZzfvuEVhJdz1fJbbkMG0TA3y0B2wsNBwiIJpUvB350gPl++Zw8/JVNs1QX26w7xqyW/ l3BiYLebfRAL4MaAVkKhRu+HaHx/Oc/G+7iKRLTPCE7pIeT+3eklrlEKhyYj81I3cWhZjiGtdfqX 1DPzMgLUp2KCVmkXp1QCsVWiKNK8Oc2qg00W7PTO6xTg6QDYGG3EMDSSk8AWo59ts8rAuPVv/2R7 A7HVl5eJF/999AidravW1+rMvrx28gBId8CrWATgTbP9LI/3u+R2GBX6p4yjyXqhNUpUAVUBXYP3 3xxG+4b3Mf3FKJId3c6cFv5EB2H2JL6jWnBHdL7WKqiMTUFd8sAFiuDy2fPSaKQQRa1iytAc/k2z XhblpZqkvPCKEqPNMlFQr4FRtiVifNbzFqZFNlxZA+buVmmlbN2NB0FqSvsHcj6ZBQSmEBkWb9an 4vukGLvqysmXhj0ZjnBmS0RrX/d5e6KNC6nnws3A/Y9c/b8/aneWapwzzrWGj2G+nWzO4Otm7jkl czRZin02OLLhPDrzIL6T10Bb92xtwtXMGHwyeSm7Q5UcAAQitPUH7KSdUBQGtptv8/hkM+j2eQe+ NLLnofroyNehQcss7FkIzlk1yCuBErnML68XXJTyTnqsyUC9/I2ma0XvDI9TqWKEwPTaaTVLdQLh mlWdhp864aY15d0ghuR7Us9nfSQsdPqYuYeWIxMs69c3NLFcNHnVGikQtj0NRWnXoaahCI8GYs6P Rt3d+uOkgakGsNXDDY755usXHkt7cLFPYLjlcEBgbU+xiKusxsRCeMxNLD7cdysZ3C7MbVD39oOo s7i6ftE5U19nkEpdJGOd8WuNghSAYfLV5/w8JeHG+G4s0Ed6stLKMjGo274frrArZVBV8myprq50 Ji/vDqUWK1wj95LKuWTmHeIYNWQeXrLX3M6bof8rWqRCv6S974FLJj5SuB2dypT9tpJws+MZP/Hn sHNPXFlqQWgbrTHkwZo0u4UG22wcLNMLTy2zUtHIMxtcYJEh/+6E9FsY8Dsx1yFWx40AO7L4nPBn z+c35jJGkNkkMEtlW2Db3vhhRTHtPTrmmXcAXlVdRXvR60oIWK4n+LM7Q6lIo04vkPdhbnla3+wn qwWg0aaH1HsN1+4Sb6J6yarQ5YMDvr/V7ONKyEPZy2rA0embVeEFNASTIjS1MYVMzpQ0Vyg2LeeK WNSB0RGgVBaLUgVyq7ANNtHbzTmbX8oqlfF1CSiekKwmereXULZn8o7wfMjQIjQsjp1hq/vQge0H 7aAUtuhsYPk9iP/pg6LMuI5pF8YiS03SVGzF/Uw64t/2qToWHA/zroBAvddKiDq1Jmny/Fueh9Sn C2DKOH5n9DoWppzccIT8HJbrYOnKMP9Tk8Yqv7Xw2AhfklAPaI3sRY5PAkYeoHAquRt1hHQXBqou HA8YuUKDYbR9dfz7ppsHhLgYGUBNFK8ltg2fJxfosjzzJv/2mv8O+kCBzxxajG592LzBk5D9PM1t 9jJYfKlv1KX7KAmCqbvuhqUtsHMnK8aXs9V0GsbAgyEMk7mTnsuR5bhriYjRVLm/5XuQjpaRFiZj ebG+o7cwnlYl85n4CjOWBc8ah+e2eNJptuDou/bt/YJftIpqZymJF5aGv8bHOoW/ffVGbjDfjMjx cE0+oCvmaTDzxhVkPLr2kXhzCY1IHbUHHSHsBrpUEAA1E4Ooy60QA6oF4SPhlhuL5yobAqRZLyar RS2D4XfMtkYb9+t2JjtRVU+otPlr+WGZyPN+KxFEAvpFCrTD9lfnMS5DLmuqCsiDjSOXkG3pIHrf 9OeVDVZGvJ8cbEnI6B5KufRrxGBVIGMzY2jjpIKIXca0nvTBjC7DfAzlQhB6v02Lzq42gfimIFAb fe5fX0gv0TsA5jMYcj5w+2sWYIA7MhG6th+Ly2fWNiPSVR5P/JPVf4F/aZl0e6Wj/pt+vtNvK2SW 5t5inuxzlMfAoiPfyqMMLdI27NECipC2ElQ8OLjVwVVkNOpavlhMvNSnS2kXtyh047UvG/S2P6WD OFrMlW/Aj4dyC6IcxnsyoZn8GTvUpSBxrzq1UrUY4Q6LSNO3Qh1hatRm2zKVwsmyGTO7UUk3B0lD u3lJxBYB6UF9FymzAU0YOWXLWL9Wsl7xjkd2PHC08c0yRaODJheXF91CcFSNSQpWnOFCBsvjgsxh YLt0MMgM+nN2pV+ggdGK+MRpMpjb4uGJ/9MLTP2AytF6JvkmE37tR7++zI2JhSIvb7miqHITefCN 55o/iiOn/iTUMx3epyDsz+z/QZqGvK6N5GFU6Kn7HrfWMGO6vyyE9TwxPMHzHeuHtMPA9yA5TsqH O1XnusGWsiRijzPTxSZ1WKkHT4JwCnPw1R38E6CpigP5uzlFXf4k6VQDsEN4coPMojGlNG4W55oU KVeS2T4IVea1J9sGgJ1ejgjU66dWx7dEko7AJIx+3DD2/PBuCHUsBYYmwVK5+EaZyytQVzxcU4mR YRkWRNl0fmCHRfuMWflLXDHI2bkh3fBdC1CHgPnDlZhxbnKle24YstEuuKsk4u0XwN5fglDg7Bxz wVJVWzZX3b43UemMEneOZPlX8VZq5juDtG1X0RX2wtTav0bdw1almpJGkTVNQBB/xJoeSuwWYz7w Pfr6AhNX++zCTWOhmVdynuT8872QcsGFtyBQa8MOHYE5HS2la1TBSVfPCl6Om5c8lcz/LgWqxiFP CFXAbtBHE92911OfToeo3Jx9/dAldq23ql5CuAvq85mqjQphaCi6zFPvvpgvWo0/koTbIbbMWEJk FrOaEa8yzbePntmEyXPkv7AqXNKbSSfiCPZ+Px4iw70OysuPENTfCtaFQje/h3fSqBCcgvubxedg VMxwyovglyRvJrTdpNJ0mokzREXJE7s2aYSPjthDmdGV32NiBP/hhjDH00k9Se4C3vB4vbpFgtYK IasimIROXvpIDLfv38rOjajnJ5O6Wy1CTMA7TGOi+b7DA/pHthmvH03FcM8HDNOgDhqBlxkZhW5+ voHGOp+BtvjsJxBw+LH7vzkEsuBAiUaWbfr2q3zPkQwcOFRsg0jmpkCJgBdpZC04GQXlAnsgcvXj sI6IRYZe7GLezOEMnRejcVUST5AEoQZa9bT0W/FH9UaZUBRFLOc3uVSEXPzGWzGX7xkS0ipB+s3W 2br9NMDrzZQAfDpTd6x1JuTWo8l4e0BDc9bx+WS02PCdzmKKgE7DS8A3qclMJikK99GF2E3qUKzX 09M1IlRnAQ+yCUiNuujEvBPT+06N4gisyKLS0PIfU3ZGOZv2SMztRu2lLX2gP2C5y54aEPELjwTl Wh3phMX2ToayEob605EIA5cPofLpFN4K0xbocHXtiqMp/e94S/4imFH8FeY4U03UTiHanTF4D8S+ ix9C/4Usx72HV8P/Uwe3KBzG0EhayC5KAQlvYiYGjmtYMwqYCTr+Ez4vrgp0YSzDfjsBpm0EJKSS 2TqggzU53vI1g2nhrWw8S3uqf/Bra5eCe8pcua62rnWjmciST+UlWRur+QlzrAOElw7qhkqNUY0Z Mq3vjyzKv859fylR4APRCbCkceezg/kY5+xfvUtoTscASDUiuEW6LPF328cOPapQYzDUYgimxcTB 5o2cyHUFRW7MjR/Zd8/Fi5I0kzekxSOfyjhpcDru3TnxIX2GrSlEzUgoZ8VN7j6KghXsDrhFxqgh oYrgJOmS1NWTj/3reaTbsjFGl8E5DLIyWfiLyEwuiDioT7IRLW9KmLc5cJeUHgJq0oKZ7YfW2qgP rU3zN52YkyC+aB0hIQvo6v5Y0VTPHhERGmmlqfJToDNmO1luFVSsXjivUwfYFf740P8cStvMypjN n5RF0ShCTM2n3Ctwf0GLDflKlp3LD8F/zAEuggMM+44v33pjTTm47lmgeJcucXiUCNhYkL2mF9k/ r4YdWnr/DqwugrhbwOREHOhi6IdJ+k4o5dDHzoAeCw57/hlzp6WiCqVZYNaEGxW2qim23IQngqLY Nsu4EOgQrizhUpeJHqRHohOoG8BooH1mebtn3jpiTuHTKeXedIzbMkhU4RV9DixN+RyqitW/z0Jl XRfN9EwL/gymPgd3IcwdQ+shZbc5Fqi7a4RiF4cvWyn3GXE07Xy7/bkajV461nCINhLYmR16JqDK JegM5LFJetN37ZHGm8yxKW1YZZ4XEtNOl3dqARY2ra1dIWm/07hBdTk+nyUINmQEKn5ZNxsUC2ze 0XTRldD04rNVFCbmepFf8m3yMvNiHT3TKGFCWO9wTB3N3NBBwyQOaDQRUUSUHcDCysj6XFxI1xS6 Yw4QJTXjOP/3D8wGjMPO8S1L89s5WDk5ct2vuPJMr4Fdti/wzd12ovnqwjucZvJId7A86/rI29Wp nINvACDIuixo3a0k49NkRHx9i/pHxk8RYuOMU3dW0sZtX2FWr4YLRXKjtIakpjUelR+6Kum5xovq U4tnBTdCdOqimq4v4+NrPgkpimge4YEG75bk0PymYs/it5fL0qWGRlFaX8ncajofo3nnNmmyMD7V ZFHSq7ookSJmE4r1TPp+I8/2+4I9N+UX1VYdPphlCwkOSQHUioDTyfUwyoZ8NmZfMUxcZVa1OSl5 und7ZnktoLQNLy/FLof7MKGzMSMF5PLtWAjkcN4LCwm31tcndmv91jenq4EYdrmYU1T2oe+3abnL lgdkMNhmxVNYnMgUY7dWqo3j2AHI1zoqesI3hAQfItSye9SkrAQlpI+Gs3cGHJ+PRVyOkZk36PP4 9fDvKF0CGNIilPJiWvrm7vr0sIMdO1l1/lMQc6alOM98FAEl977u4CzUONaPgB08TfRrrF4tRSla nFCcdc99e8OS+Sd+UfDt63yr+5vt7RtQ2aEWrtwVjKDvtAvAb9BomPX99QzJ+tjmQCkZYFYc7QDD pZ1c/pIa5heeaqx+fUPoiSCsEWJRy0As7WFifjq/9TPYcveBY0lfUP7gT6ghFik4Z75vT9mV3y8i Wml7nGtM5gFWhlje7xAacvMz+4ZAaev6nMWJ6Bn+Vu+HLwHr4buEm6mHBSeQGwGKuSI3tQciyfye 8qWeV5E+UCvSj9JMszLelGe82vc45IHp548wKJuzgXDQFAt7drX1B83ScPxCfrtYBOiSSu/ZmzP7 qAOO3m3dSgp3loGnyTlzwIdXJHo3OCgDa6V3GorDWBIaOraiFp9PX7qj5P3eRAEX6SwqhFzx7p9s rvTloOCdzD6F/JUF7hs3ap6cU9YcnsBam/cC4gYYQidXj15HZFC4Kahs9oQ+h9uScmqTNe3MTzMJ ANptxWDOOp+yBiBRs1kub2D8ree93gAxR/nHj5ehwFSUq1VYS6jmKQz7a7eqoilP514ecBrb5VSH JPVYuH7zDXTHslryQOSjrd7N+puj/b9IoitTU4ZFbuV3HqjbkEIYQ7HcFEMXrf1sQVLc/GYMI/lO k57pMlRnnlgA6xAb+JShgMvRIPmNvj7Uh3cPDtQTg1hxVYyZX6mrwSyivjpf7ZErtJIE3CyO+SzL 9oR+2umJ7LDWZWb6vnkTS5W+pcSfF+qtVAjehzIAgKl+3Bmid4KvR9sGhOfZj+BMmEI46cRLOmPv TrA+ugbQjo5nWtPJzHMpIpfb1W1vQTFEJgOKrIOTwVI3nRTsUg15yt+/UK+TQBVH01uwEoLIMPRq eMjxysfX8YnfSSaoFRwR2tCjYC4bNnZNZus1mQVyo1pMe396AhfEZmoU7BmU6EZVXgt4tgqDIXF7 fHWbQJ5nTaP6jgDHRaIW/0Pu3CRjTKEqwgyU4JOzLdCdHc32sNMAX0kklu84gk2RtHsbo7pVYC+Z 335z1OUfDcJScbhwWkx0hFujCGAeQiZlhKlK7BLe2esvgd1voamJkad2Dqw35ri0zd06lUvA/UL3 v2jH7cIm1uMZmVHu6PAqMhsOxphT29etVz1b2GWkJkfuG1daJbfJDWQC3YMJVSLQ+8ihBYa1yilL xXPNC3tDzEbZV/G0qLI59nSp43ydJPMfnWkStfg1B3YFPvBlGzRMPJg1UzSr4eLuyV5uglWp5GYQ SGKQW00DPEM0Tf+RQ8cVoU69POZ7MbTvH8Tou5fDt9IGudGUSua0s4Hq9hOx0wCcoyXXvyGM+3iX taLnp/3vFuxjvW3XBnTxB5gTUGOjGNa/q370dyg4YC4YYKzUp50F/+DHlp+DlDUsyR9opDhpKCiz XatkEnov6v6qdamy42zWzgNnMK+IVBSD7YtJSV3odUyVKC0ZDGeU4a9QRZs6qYpfBX12IV2YAEJC WzOTSs5jN9qZU9tR1nWSWhSY4JMVfNf8Up8cICKyBDc4q1IzApYymkfAFIIBIAL2OTQXsWK+yrJQ 1/q6Aq0+ThEl2IBk8T917cUkii8NSSo6c6rjqkKNLCF2aLEjGW3LVCYFHgCLWO38oMIMudQoincg M4hNDEPEYAevL1cy3OofRHuy0DfQASqvgx8wkZeMoj01gslQeQzStiq+BjYf5u2ePbMIjP2Hndfw sSRNnBqSLgIL58fa3H2eJcyUZHCAGMehEY2lSmWu0Ln4cLCaS8XPe9F3orNp5wElH9oSSO16xFNn EJFpyCTV1he4Jq/8ulHDvGXXn5pdW5ydkPiWMBZeO/btv60mjTXyBKpUfYLFqdGinUz74rJL9u/e hiRw6V8SedDxAUZWdn1wk2V0b/l8DmqbZK+yhJIMWl2RbN9cKOCTWa3zUEGcz5lf6uAk9ItwkLe6 dXKHyYp9i+eMxums8VI//SlAbWt1M4d2ld/q2iQPLDuW2EpzMqdf7I7Pf7ufOOoDqoKLsL8BWTM5 1hr2mfjH7sNhCJUfsCU3OupKQRWQ/1R4RMxp5CdvELjMWbf4ctPGkEKwXVpVtVsn24DxLgRbj3MB k/PDsgM/hNdez6WE4hzALdFwN8Q/o3ENFTN4dqC9Dry5SYQlq9r3rTowEOl3sApSM67Owz/Q0cjN XWWjYJQFoVVxdBkXRRzJyDZaqbbiilYdSgx0XgdEFNTtWKh+MZtW/b70/KZSF3MbW9B+t6xlIhJ8 qXHLilozconknsv8PjHt3ZQkb83UXxdoP7Zr3vkMZDAKBImABwnXaXabG1HVxCQic4/6VqV1QjU1 R7G8NitDdAuFh91a8LaPbi0J3yTzACz+BlxzziI9NM51JWoGuASf4mHZWTFnuMDBv0J7L1eD5Fsh G5a7CmisCL07n70Yz0kzugEPVK8X5UXtEKUajodo5hPmuApvC/qiyydRCeBOGz5rTYqkDlbGKa1B l4MhKz/RmBO8YkrYQ3IWELtwPX8S/sX78FctldObvuH1YnzoSf99HeqUdySAKdAzvc8qHmKy3wSa SfQXxyMCJfqlwKmQQ1yYoNlAOOzbLsVLrxhTJz0YfEIJdQt9+5PHOnWTInw6AqVouvhGy3rMjAWJ 6vABl7iJl68x6g65FkSx6uOVefIxuSIIC9Br9JIg+ko8lB8utknK4JtydU4qHN56X6utLRDobUvT ppbgb0OSdTqrMBLePNUseuRlLWXbCBbsyjgSQJciSDQxU/ShRI0Rpd2Z33CJ1wSwJPl4rCFPtVso AF7UbpLkX5A692cZEjm/aOY2xk1IanGI92YMIfWjQZKXQK/8zWuZRja1Qo8dZ5pw+dy2jf4lfc5A vhcLvf6/SLxVKDPn8sK2zM0/PBtmmA2yYkxxrUiIk4tsVmoFZodv1rq7RbKZ9q5Qx5TtQlfp67zf O6Vi0CusHp03wmL0ZLgUqvr4GlAwcy378f6s818XGUKNWMe7Ue9lvP8x2f7d8x9iwpDZP2F7Rl1a ygKA+Q6Xnb3DKV6uODdCbyROn/D1Fsvf0EP6m1tSkHQfY7ppFpBcpTxw4lo4zElR2GaoMXWcolDk buJ4knbWxQj9oiA9ZN1nqaJEwA6W3KOkR6qlubU2j/j6ju+lIdpw4VtOH6WkUBepTYyy6I0vHh0e IhkHSXx/KBFS7p/4GvjhLBLk9cIFijpgn7NFy+mPnrTxxZy+VnIpCmVxy5UDFHt7jYbkC0oNJne4 RrFxLiwpFbaOGscEt/4aOOTXdemCz1Q/PAMSg0bZZpwRBIF1Kb4pqpL1ngD2ZLpn4gdc1PbzWAIJ TWRAjHu7S1PT1GXtx+GJwa1ENxrBjsf4uM8iqmjeeyalvB84F+/FjBfXeauT4GvyVtC0bq8JTGRe wFqbvoHrRl/f5e8imGS5CSKxVDEpUPlirCgaT0vRqRvknb/ra0I/ONSDwSl65TyUf4RPZ5lvXiq+ 841rhgwhyn0BGC1wE7Gxai/Zlzyp5cwyHD/AI+MQI5ju+QZgtOpZfrH/AApPPKL69rAXTw6QeyEs kGUA4GINgP+H50XEg8goBKgWbaW1lX7Ag1MeznoRRHniIXB6U5Q5+05IHCgzJkMcSr71OGDSWXAW izBc7LHx53ePGzBx2Ylb6JXpAMi79CvswuPs4q84AvbWPPDeFU/NqEBIWzq6hrMWchHgSTsG/Ixl NqtMV2d7IZAj/DcbGuUoPupS/nIymdTMZZiz/kUOIHR34dbY34ZF5/qJXrKH+ZYHtRE5P/2gARzA C8t2OQDSsjxk/HBwT6/S+AN44ug67VHm4rg9euone7M06X+MZ+Fg/TzuWHdfQfzpXDIsF5xzaPdD 3sago8rHu7kFM94ZL7YQaBrkdCgiCpFT+3HGd4Ibeggw0gibenbR+mv0sPOT2O3ScpPwhrVNVjg8 cPwKHtY9zUv6wj25ok82+i72hAvqUOT+rYbtscB1XR5IE0mpJIFqAVetLJKxPDI8IFED156Zhq2R ICehAKg4luNrXYx/HQBym6EGneGk7IzhqZ4rdhlW8hmZ5wfNKmwhImc55L66yKL7304Rz6/Ourn5 280mkafjZFcd55a+Kow+jrV6Avy6Sv/C6IZk5XgmHyCnsaXwjFMSLk15W4oMO5d7VCiSIlgdJMY6 zLfsTgVDb25iigjAY8GfFvIkxbyvqJukqbXOUOCaAL81K+othki1E0QZ3LuwdMyLZpFsEgNXernG q8d2nv27RcuxC2cU29f/qq0duhbgVs2W16Oo4vtH5hwU1vMnNS//0tLHKsdpR5hLA+m2UIk6jjCW 3cRFlB21GKvpe4uieMJGZCZLEjbYizYOqYjUOHgfPVc0COpw86Kudj7b4gDz/9ugFGHvkO00xEau eWW7SlgNPPRS2XnT6CayGfBMPN2vSCC53fqCmoZUQJjfWaNS6hmsJa/fxM8Zu/D7wL2P6e19ehm4 SRZ49afV67B2ZtT/H2eNNC1uLR8ym2xR5xfNeOvak9XnPEi2hSdWHJ8TWiUwrdwrJyaR/1tM5/kU WJgU8bNswk3roGkmQB04vNX4XilK7wqS4volPRZFJAd8tvM9kiidP3d0WxjNCEn35K/Srk8GTUGZ ve0S+1nXN1oM6jfNZRTj6ZRixisqpntzua7FF5m2wpOynbczKS/TsF8NZjsRreB064fzmd6YRY/A ETk4ZwpZh2oCV0efmd8g8TFlKkBGQZ8BgIpsdQsZDUfJllru940GTb1W5pYshs+CDaEpU0ZrGOmu arGNzzB/KJDX1O4H9npBjPQWeX5pGT9iIeYow70poH0LFjPjqoU9oPvX46wRRhNbldtZ9FMvzu5k puL+m32++RYq7yBYvofg6y80+QEE3DCYBDfkk2kWBvicbpL5qzMW0Z0kV+rtmzpOaaKNGVnOQZKc o386zWntoR+7DQsn2GW9NDnds3u4HotfytOUVoQ+Bn6dx/siP/wbg/R+dzJFgiZ+WagJTwnVU/pd WFuWXOcHRS1ykDf1EYZkd8rDXKqR69VAb43QWX6oCxo5cxp2keYi8L13BPxKYsOeXUMvDscB1iZg 5H6ZSX1/oQZgkoD5wPleeWi/aEgDXOMXv9wmANyR69L3KvOLTtiH2d7PyJIY9AMWVFp3I//GpG7x t7q128/IPxm3SJR2B+eE9Tw36OEYCuHYDZaRNK+aYeb0CBkmuUl36/m+WsnOysK5P8B8aHfgg/aV Nf6Gi8VsWhKYyAClxzz32cHOyxc4GJArqW+lxTqgAIeEYeAjqbfdYdk0qhPVsaHeSKf043gGEWD+ JjCaoTO+d5ryCX/SiHW+e48Ymcz21xfjsjAvkHAIyPGH/PcnIqdoCd++8tOjxwn0PF3i9dE7qk0z CT28E73MBRvjQCygp0IWGE0Zzv/n99Y65iRtz0tgZ+bifT9V9U/7YWelGmZQ7dssBb2e/mOavHh+ QswKtkHasoJe3k7iPtjvkUQ2UlAOeCRaxzFsC8h80Z/nlu88tHXaow3ecnFUXd3cHNX2Dj8BgvN5 gTMwpOpe0oCCWA51dHFeo17pzZCJ4p/D931pp3pnyL8oTTIbz1IEExdbkRhw4spDEBEruhIMmyY1 g+cf78xqCjDcENoeo+tqOkkQkG6QnxgO9FkstyrLB3R0wPeAlqxsEasMk69aXLg3IUTmTTYExn2v qR/irBXX1ODwgbuGnSSxdsouPOAl+0SN2PBKL1Xl+K9tZxln3TpmD9aNQFk0Rpf1Id38+1U3kZ+2 QStNope6O/vL5hWvmxFBw9NbCrQDq+TXMv9Odr4C3rilVG6Yug07CVE1DIGUkY8Zo+rEMUCHDBLR s9DLmiZNHAnN47tBoxAvlKHHDNJE7cpaKTUT3eUelX8Vetq92kJGC6rr2nLUhfkAGSu4c2HWcl5n igyw47ebdqxdvU3kP75NtTu0cVSlp2fi1Frl7jiYSDa25bixnVi19+xyntfuqU1n5rUZSYpCuo2E 0+e6Y3n3W+6QaJAZOU4TjfFBkK8fVS+Hm4EX/IBwMpmTscmfHkbGAv99aUmOTFK594i+ccTDNpjy E8pybUPUwYXYu8nLmZzW9+plfWZpB15KhkfXsVRDyhx3OUDGIoKwGhWvv9OvD394oY+UZ6eEDZCv pkUXK8g1oR/RjUQvFO6xv+hDjmZYjESlzXuBLaqI1U6pyNpAVic+z5F1qtXhMVp4OkZ0H7Kh5NHt 3NiHlBA4qw0LRp57yemYhMBvQymU7AMSsrF25dJ7c9FI8iaHNAIUxn7Rza3bFo/OsEMACbxwaKyi cxwuMT8JcQ2pUMcQZc69P3F3ls7ZXrUv6T9e+MhptaTY4EuUp6H7LTAaV+9iBLsRkVh6l+XBi9Up hnYqad3uV2g/gGIVu0vQyXqiGxhUjTKU9ZcGZWzvjZrIR0Rm1I/6ULPZYdiXP+L75jsAFYcsFCwI WHNlyEZ6wh3wRgcYBWzD4rUUz82i+OpJ4XE0A9yx9gCHPEsy6gO0c0REHPQBPx+7LR3DMTCgiA+a O0AzyLJcamTo85v+WjPVrxPVBBsuRiRlPpC7ybzuPyWQT7fddlKICnEdvmd8AyidOQIerjHikNwm IAcqXwLYhAPr0enxrOzA7LKGI6pqRgwtVk6nUVlsdci/ZBuWMkElNni9LoXJrKzbEUw6VNT8UGQa KZhE7ycAq/t10cxk8GgEOLinvT4vXKB1fg7Z4dxRJywZsTpw8Y19lwE67b5TeFf0a4WtMQyjqUOH egPoM09VA5O1fAfsOO0pcyLuA/v2DHX9IB1zhSQ2lZt3Tf/rrmz3alziocvleg7EpkOtdoen3Us5 ZaPUAGQxhwyeMaUg+ci6VCXPGeVCFs+KKaTnt6nXCuxL5iOG/hHHpkvU0rsHjHBTYtXEL1Gxxd4A z18TeaCoopEn70EMULm5e16Et+OpbMs5WjXfX1YPFnskXubUlqfLHI7bzImYUVt2GD9yNtcvgorl ZeMYfugFoNgOj7bTK2ChfqDqoyPt0e5KZje2FN1XHigwzSgqV5r9vrQNsdeuQiaWK2s5ohdeU7WM r2fTAA7oX8VbtJKdNYjHo639UGd+z/78QFnAeZIOt/dmmPYMkGPMX4ve+guMXD6h/U9WILaZpXB4 FYByZi836x/imNbQ0o/ybekwh/iwl7QKc06zhX/ld2jW+4xBxbheUzHMwjf62K9jhEks6PUEM7nw nQiqYgKNUOSUPUnmd1gTBNOxtsGQKmvO/o2O7wBNb6PV5up+eos1o2hdOSAjAaAyGB1v1CjDQGYf 6UUD5WRkY8wi4Xoqw9oF8YmDnPsipJ+04ZO7y54dr5qrKmAuouorzhCUPLflcjkWNK95bcPEGgOj WSw+D+q34IZpdeKkX0Q8/KZ0eyvgSGoVVRl+qdlXMZJcuI8izwYHZCI7CQXIhHC6s0Czn+ewzJAI 5W1OQ8Z79fpuf8NR05UCebhDn8Unb7W0aeW4Ca7snlV6hLGXujLC0UzM8BAAJc6h3Q3V38HvC0+C q/OZU5rHxaLbq9GUVbAWWxV/q3m1PRaMWHrVumO2HDYj8L+cCr+aC6GO0zLpoDdaZ2TWAZu9WbHz CbbRdevKfEcV59Nnrj955Kpkfn18CFFL5IYbOp00t2XkMnSxy1G6PPNkJB7qOVp+NXgdRwVOUbCm 4odF29QKu2J4cC4SFMJa2YzdtGwf43IaFPNI5TuvKNEC8Dd0LvBezxO38RNycCduRTT+JUyaNhLf Qh53py3DtX+XtRghqIzlYPIvmoqGq2hGWPEGMDNrAjG3oKman3g1QdPnzo7zUMxsEYp5I9NHyjGl wl4TEmH3mo2deoCpbEFmjb4mC8ipphNIEITRX5yzs3HI0fYYOK0bfuo2dWFgVj5sOOsyWP+lrhNR gcCFXAuk/d+dTuOK+8vlCh3Sa9k+tpT7zWn55+GfsYFdAXhZmLdA8Mm6UVHMaD3XQyWWpWnHOTeZ sTOOW5OKRlGtSc+QPBjs0hjl881x8fsZkBfhzoPB9uqMI4xRq5dp0IVHa9u9HXRhDHeWXOeyVSq4 MxOVoUka4BikvgWBp9NSK2AE9DnTbtYZ/nvowqHPV25OO9l5zSEovlDz9Z5H+Z76v5euBu+cSqSC 9ny6vlVV2C/yPNrBbZkZ46sEpaSjpqWn2+tFlZX+LMSkhXdCOGNPT6h02l/9hP+0IuaPryTJ7JfC 06+f7ftLCBwZBSqSc14gQfPDR/GdNZxIRMW/Lxxx6Eu+5AjhMpFhTp9Ue1DIQXNvnmItTAEtus5r HfohYZYzaNwUbyTqVk4PCU5XZguudmeDGn0b2EM/Fl2zG0/aAs5qwNJ9PljIT/1YmZslNTWlsgFk djFCKdi1lfEBgL6vDjmeklEgapgT4xX04Z0g200YVvxfpKnKgggrQp5eJ40flhejoRwvHlHB+7ef dP+wSfd6CycDP4VqoQ2yuzj39VlOGXx1xMe+kZObULJsKQUP1aAUABYWDaumbiZQXHHsyjRDqyPB VvORVzcLC/EMtjibXn5yusNKd3xiyXGQs/i1c9eTWCZBDPCG1vibzhR7MLde+D774cDP91DIKgMn SzNr+AiWDnWmgtVUlAoxydohMqnZXmstgSeqP232kwP42ni/P3XP0ltGrdn28caJxx1S4nipu5tv m4+tySuag99Qmbt7DBQ+cUXKEtoU2z6pD5FjNjWHjVbpbvK7vEX+rsY4/Fsj9yFZhJhi5mAzviF0 tl4l5KuBRB3H9iZFtqx6ttdR6ro5aIheAEFSJkG2UkrCRl0fC8F+ghJCX2guL3mHftg+CvnSCkNJ KDimmp6OPoicQJg+258QjyxCh3YzvqrW6vfAhuw5cvbfkCEGVodG/TW/IxJQZ0TpOSJyyMgzzXAN cgECdIRwEojeHEuzd2MvJdNQqra9N1ToLpNIzVZ6y9mpfV8+UKpMiUgne0PYIlzl9fT2AckStRUU 8nFJxWQWNJEAl9es0trWNAVkSkdEHwYBiGAxy8mVTUuGWpqiATRmWJX+msB8bu7aXNUdX4bZnAMS n5ctbuOwfOL7o02LJAuaE6wqZNtmWX42dwnjVrJ730QvZOE3mQGNgFFSNNOsttOLH9xN23HQT/sJ LoUk+Dpa5ZErMFycYZToEeKUHRyifoh6W6XnNbki5GxN83dZamPi1XEwNAapJQCg70s/oMUBy4qz RfDJA0nY+Vuso6GNpECwz6Sw2T5e5/uw8TuwtrXBkMN0o2CidWjcSLtN1MScW/VWUgcggwvrcXAy nW27bVuLjnC5FoS8UetB7VBfwzAFRMxW3NIgr90CGLXKbrkK6tL0qpDUpH/V9JdxabaQrC99pepy lkl++LdFKtC/WLN0rMXTJPEChsjETigmPbYTjUrl8a+JDrzWJxMGAXIzsr+luUYtxh+iXyao+Yfn RpLreZ/9YLivP6pn9MpviDK1tlZl0D6fbHJqnEW7RXIWmhrgf8d0Pdo2W4kPXwrSIuIPLHYL32PS ssSMgCGyDOw9DE8xf75bf6KFS5JOm7Oq1nd6kG5q775lnoT1G1W84MbFcCHmfI2Iv6zeGkqNHQ1c A4XVaUhR7CuHSpv6LOe4ZpkxS7p+TiRc5pFQV4R8PuWv0kDo3mHYOthb3X42IpL9HIG4glpTW9r2 gBiFxZpXD8yPAaZeoH57OoFxmyfRjHfuooPyTDd2+fwNBzF4F6TTPhoAGUxcPyY/HaMM7tgI9mGa qwVz99eg+FelBBXu/SAKlpIn1o9sRk1U5S0T59EDnJwwZajACo0bVUMIDVSoAU+Bod/d001T61E6 lGY4R05USKBllzhB9UViiJMVu903pMaUnz1S0h8+ztmZY2AOoaI8POwTcW4UoTSqzy2Ap61ZMFH6 CJY+BLCAswzSDwRJKKtfwSTTxHsnOfZb1lsCC98xhGZXNRHqQEAG3lsYY/YpgZ+5Sp+UBQ28YzR/ ozKzfQyRJ2ZAtlwmSVQ7w7CO5PCOYHYbytbV/kgQS87jEnVj3K7Zxai/Vx7t+K81Fj1l5WwqOxbO fuBWrj36y9RRzxJso/CsuAVMGpPN2r63bzOZ6SbcvBNsXe1ZZsKS2a9nMjkoUHhK5aX3OgsWFsQa djdYntn73mYAWrPTjzc8dhwaw3+QZh6xn6I16imheQ5UC72JMyn/V7McZsqIFJ/my6ltYrtsPQjF 5Aix15zyR9Qz+6Av1m5txWNcmIlyst0Wj9fOLKbUiUYeNNqv33fPQPCuX6GgOrPnkR+wqjHquReb igwFeNpenidPmPkjaqK7HqM+xBrgilhKfWNVFL3bFFOhkHiTjjzyfG+qk+bL5sjonmpLcAex3p9A xJRDQpG/qIpp4/ge7qHC2+kqFYZKDlUArFv/pwCREKi6DIgsh2EQTcrFf1dRVZ9ROjG7otYc2hzu QZwi6B7hjSwJiRtuNWGMKK+ggVdq5GJS2UmUkgLnTD7xV7rCChsOwLbULQMRz/noLwe4fuiArG/x FWeNF5tEJEUDIElqsrvi19NKQ16Ib8tBhl68b4bLtTp/4Iv308j0yrSlsMvFMB+iICPU75AfKbqh md8+j/fKCn9hhQ3CeZ8OpF7y6oQNei5DaTfCHwOeYhBqteIbMQa9NV+r5PzxOKHPq9BNZcJDdU3V V+tmeLpybNVKHMYDTq802SC5x6UxFVRKuKDsIuAp91Wtv7FQHIFBwmiCRbkQlbJF0/n2T9Eaag2j 5jAMpNEa1I4cxPK5/tnTKOUsgs1n5k1c38VHb9AtFKfFS9SP7PQWBy977LtGatVWTsF12wfBC1hp 3VYxLtMv6t7fsUZHnH3EuYvw/PbM2WpfKTv3aarVxY1KNkL/Frl+XKQ7Y3DXSqHKpyH6l5Usj9lJ HpVx76BUkF/raZ6HRymj5L63onvKSUA3PA/pngwS+CBGC3YE04MEIgQvrDgZkF9Pw+MI8DBTIpza 3IVEpzqWA1iDPV0+XS5+Zbj4s5AfOBsnKjvHiUctvlh7+qgXeXiLygVhbNW4P467Jpuc4L1iJC+v +LlTkDJPpmsL2OoBEI6cVmN/Hw0OcrzGuZy2WiYjHGs0EtqKsTaGI0FX/Fmjxr+mE0c6iQBaaaeE tH4ToRrQ5Gq4Wyd1JS3+Zj9cEFo2U7kLA2RXbU8R/352AFChGDQKh5fWrF4Jlz/qvCHe68NwgiD+ OeUSleH386OGaxT3lf9WWZn2MhIiwDwmJQoDFI9EFy42VopUvBwyuUlNtHnQmm0a3At/k3NUvZVs WzZPOeHncgxNNSZMjZxwX3c4cmg1tgGB7v1tvTV/mv+iNaJfmeAHP8xvLqZCG+Ki+Pcl1Rw5T7XE wE7lpSrI5RvERI3pjfo904+XjpEuR0W8vAmXSgq4AKpGuouyZXXPBPJw9WXnATNhzn6mPhE/SGnZ Wyaz1o0zpEy7usW3nZOZVnvBzaNpUk4HPmGeGyTVixtEI2lgWmiCrWtr7sKbrKGUapS0QYK71rPd uG89i5qpQ6xG3p8TIsCdvi8XjdpG4wTTYrKZ0FD12nSiY3wMcbNjBS/lGyPjRuJ3Djm168ccuTcW 4URzrk9RZQ3rd8I6FAGzafx+r4b33UqCnXtP4fTr5BuL219ZKje8RbUcfvI2FrtumzWF+06EF6UL 90KM/x/7uEMli64aOHLh0QcPDiwiHS65hMC93od4Ku7Uhnt8mR5gBKYeHdyKsM2T/ogSLTBRlwn8 6Vri9Cbm1ifzpsknikHp3FcloMIHsNeRUMQOqJtTqS6qrBYvn6SOKiCQHj6mtT3QToPu1LrjAZRC IhMBVaKSbKhrnTsmPOALrQk4KCg4kHr4elDF1zCWC0fi7dtuFhz2Uu68XwKe3Tn7OjxtK0KZFI+F neH5EiTgZGqHLEpxdQBmUpvWMbCHNsbdv4HMKnxNH/6faVNn8utTTuh2gl5ztAWZL7HEWHJ6KZ+L 3ng4aKDhtSIgIzuDRZ1vvxWNgUR2gtb31zmEAkFw+wJfpVBNulscaPcWQBk/8CV5EyH1FShnpM+q tCdgy4XAcEI4CJc8IWh+x1qd0CN0gVTn+Gq90k0uwSC88PvZJscgk+3aBo62oxS/v7mpmH63cui7 cSfGPvR2lUnot62dvUcb/9kWuXJSHv3v6QY8SLM40OSjzx/qy/zQUNOSo+lSEobRBUZaKRl61Q2n UKRpQXiQICWSDUOeHxYr9Ir54wqarfC9Yp1fPKfvcLSp+nARDxyXbiP/DIxsG8mj/iv+YdCYy9VX ev3HwwpwSmOirAalk27SILGsdydW/Sm/N9euTCSz2j0mQpagCdLvYnAEl1W+1NbdHJF5nbBTeFGk 5w5uorSr0bQFwq8ia2ThrmoeDd7u3o0ILMgPoMuAWXt6GkPEVvRPX/GXd0y7w41jDfw+40O4oNMp lf6Uiu+3iLWjAwycIDC6vGMzUFKkmD5yYDJ/JAn7v5yrIGDDZwZ9zsSWd2B7SLlZXFz6prddSeZh YVgujGAZuJs7uH0KasulurnVP59NjiPvQ2XE+HTLZx86fNJNozcRs1thZemllFhDkP7h/HJPXzuG pDY2PVNuQ/yYr5pBgXLpToyaRXNU03BBfCs4px1BJfmd9WtBva4rkSJ8yupgiU9qIPLHXafwuFJZ CbTxTWeoX/kF/n4LIGs/5BFMxoggyELdEpLT4mogWDOpy26lHCrJbWaqEcGdomCR6zy3v7JVzpxO LHmvKRhxAfJTk/631X++q9Q3TjrB/9jSay4UZZFejiCnz66kfwU5E2iAGQ4JnrcVkF8BkzSK0tO6 7LKiNSYmQq7/1fyQ4A28VzzKOVq6aSyMqC2JGx6gKf/Hu/Xl1OcHyiN9u3b4NjuOvZbB2m9FqvLZ UfFoDKKTHR7+qE2FqP7juACuuYhDyAQtCisob9DryFzZmFTMKRJAG2xuLBZEXDqZLfcAIOLevUxs BVIBgXyWqC6FkqQg+gFxMtyl9YzASc5iRPBX2ZubTzv8HSg94BKdD85UN3feUDu5XTKe9FXxLCzl L+ewTZAE8WYu9w3zkT49Vpe1qUlVm0A8Nbl/p4tA3nnBKW+qqtJbaI9lK4zL7BJuWsfKC12EFkav a2F/eQHpf1yVQxdViuhO5CGu8RZKFAURxfATE8OyoPyGCR9W2+OuURufQaV+yRRnxwICwxLmOhIT Rs/iydQ+PC9ZEvezC6LCxDrlvk9mfCYK0nmD3bb9DNYRt0hpow+cbk6mnYPeb3pGfGGwZ2djVs6q XbUZml1DLsvaCyF6W2caRhtCSWamGR7l/6Me19a6d24ddFrD2g9cPnGBDResW/KgaxHVTYJlHkRX pxzPDcjAo8kCdV5XwJ0/cxns9FNguPi898ti81FlCkp/iSiMti+GHpInU+HcF0YGi70+ibP6YFLw L9oiDKWfewyq3wrP9nuCDqJv/u58ItEiUjuNtrqMWKCCVaLHqwGAkpt1uqpy3yUbpk2xTRHGq1A/ XHoDJwOrwb3eVLRodDHJYIoNUyb+rOE5YNyX07YcSOvhvWDBIL/4cTMUgdY8bimO+ktYHzqagt/0 MS0j2fmdoE6+2cYBiK+yISTgmMUVLfYVN7GcGdgbssljRkJBIc10Hzz6qBcYD+lRcRztAJEjyniM pw/eqSlYHMQdKmMuBVgMnqHAWfhHc8ae/5LIzA3XSNWnNAZZgar3JP7+nhwR+2zAS/KIVRrRUE6w m8E5bjRoq4XR6jiCUm4JfVnWGaq4i+tV3mqrQ/7LX2MfYZuYrmtlJLZGAYJPyM85KmpzkxhXcNqQ jJ3x4znm+CylZbuoUDCx//q79rDHXm20FxH05leqyGJAfwEpp7rtN7ecZKO1fNb1q6fntoEwRrmn KmTEu8oMXjHjx2MNBUCJPicSpoUxFvAkBu02QntOU+GCiiwGWMHsc4BIPzF9eQkTffBImwulRyrL NmYbj7KgS2RbQso6CzJO/E1JZzacU6oibQ/mVKHvDoeQ7kV9JHmJarvmXgnGkBaEQHwh9k1Zzqhr Bkb6DetIvNiZekgM+TCDdMypps2TUFoH38s5C3Zhk4RZQnzN80vYi9jefqSVOpBRqKggMM7LPgq/ xlQoxH+9gLF1IC3YehdyE6j10kY7IY0zFMcO1UrpX8sBpr9SP81hcbcn3BXIi+EEX/IhzGLPP/Gk GEYy0jfZvsfwRkU2aTmep+N5o/yAp879z2DTkyID/MHu1bcla52NnnBDltIc8ns0BLGgzopa5TF7 7+sIgHoYBaP5zBNrl0qfmbZrQAcfAyNgcYHmVguZpjno4Id8mkfP3T7koqv1AQcce7jl0lQS5mqq CmIwLzZ212QraeoApD8pBSMciT+U5M6HGpnc13HEWMACA6RGmTGO3fsg9AVHnLhSa6ZwxYiseETZ +4RDU5wgOtFoOvljUIi6pfH7h4MKXIyVdu40Ky2+VbkeCQrCPMBpsuigSDdXujz3NdwP7ukRkgSc YKwWZbsQ2MDeZeWf5XhX/LrDYeF3LLSeUo14oaNwrrA5vAX6S34jFMvvZJFNOgyN2yV8p0jajkcv KBGudxtCYsmd8dny/Wra8xnEbs1UGc4wIi0ylqgtQxt/YDduqhoM79Y6rFQrVukNizbDcLJjIj7k +1PXiplxPbZDk9P9KGoCXfIS0O1JXWwYayLoxtkt4bncaSZiSNr/LADj/VihJOMjUuFJS3aJPjJe xNqt0uZxfcw1HpiqztMfG4s7ilOZ6fGZdn19YiuPuHOVNltr1UlrV8k5R3YEYVURBuxaSwWnaHfP 8ZAOy77/O7q1oINuZpXTGUQIPD9pq7RjjqbQPncadwORODcY7a4FjdKCZ5+nmDR93jrByaJAKfNy /Bhzmg6sMeiuJiI9IvoH7j+TQ1G4AfeAnklo1TVNU3aKhjnlvEpLhPeYjAjElSL2IHq+Nim0Q1Ft bCP+FU/hICsNUNJ9FuT3Vq2Ar9QA/DO+Jd4DA1v1pyGlyhshQc8JwRL3gLLKzXVyGDIIGS1ljYmP x1BLuBWOiRApMdy181UXKXZJaf0rG+AsQ51avddfznp30OvlsEqe0Nc5ish18NfBGT8B3DD3x16K oKV3vYawvnihrrPh1i92NcePEZ9sm4lxY4NxNqEXQykZnwUQcTj6OSctPGt4IKmtJWE5zzGBEOhJ mbj3pODTDIHTVohyvg43wnT5PGwH/U6RQG2fqq1v4fFitFGxJOOjjWSpsBq4/oX+saRRqFqiuneo Nyp+2v+FnNYvtRdbB6kZ9l7MmB3UmdfWK28lv5u88HB+zLmDU68rdisnfvkfByIupUalB/mQHkD1 KVwQ4Me2qk/zcgu4mT5HUVHbeBhmAaE/JYL+uTglnWjaDNshLCB6/V5tQN/CfTMkA3YVEqkACXRE Lay3d8ioHIzeSGEB/CYyv1CZhFH6rwdfE2uY8c+Iz81ogjmNMmZEDFwEKTTM0UWekTLuFHRxx1IE 30riqoroibKZ73mNz6sj6XRA4w98OgId/A+ElG0dJ3GJ+jv+rkA2xBrBgHnxClx/jUcTHKkEc8K1 0j4cv++A0oyh3HMaGhn/NcAmsAXi1ThPYoM2xE7ElfcxU6Sd+0jvcdx2Bh7c5ma4ZmPU/y71t+X5 8FR9RroC9rg8wLxaGVBYUizQNvLeRd5SWQk5B84SSohExud0PNdYRSMxwrG35+jeRZh3Axi+CUwL 3OQWVvLy6BfTgfq9yM06NIJ6Dfr0CeuUt8Ilg5aEW/2hXThwNqTLL4TutHD+CzSIDWi2ExOUVsqO T3wNw/RVZGo2p/eGpYrWGYKiC0rOr6kaa2N97q+FdAPStIqix75outByh5pGQnr9SPgsq/GZmx7P Kn5O/ZYVPeqN9IvLTnPE2+ueii9lWxL8L18qWs14Se5G+SHSdJG9NDEp2pBUSMT/ZQBfCv0scmDZ 544QnBspc0xtYcdbCtpkc2+WxKZ1FCIC2g/ALGKTo0GJx2wHApjsGmsh/ELU/bXTwe+mgV+eyTMe Q4+xqFk/TkYrnpK4oWgYCgtLL/vSI5N2ghPY3Rp5q0n7Rjl/6TsZjgrUQ4JAX6dyt02fePi+dz68 jDdhUL7O19HaRgZVrYt8LS+L6FBib5J+WsMCo7FlzoRcepnFosKlPWuv2otseNCjAkbc/80W0NTf ZBrwHVqL7Zrht092t/OV2LMc2oioydRh0p/whv/TaewRP9BuTuK1Ju+/EFAfqlnVYgrhxtdve3r5 haLI2xx0nDD85P9wYIRmOri7Kj8EZOkX8HTe3NPfrqX1kE29zQ3F5/8CsyLhdW1r9eBW1VwCWr1P d1p6W7fNLXEgrjz/813utmPlEbdRdbh8yZ60x8FE4Q5FJzh+H8RWsz64LNp7dN8Q7ruFpUqHCj4V 8Fu/rhlBQJVDOd6hcF36ZhWfxdMI0e0Djp1VmWkIO670L4epV1LL4FK/xnd8mlyqA+Z5PYRgjzMx +ZzLIpEwHLT7trhwUXLEZki2oiph118Yf1n0E87qcRm8mtt19LBaVWUC/ebnuQvK3/8gRAJeSRis 1K+aZ4SpXWgKG4tUEh4TnTYAZ7HmXP9uCa1jYPosQB99oZ5PrSMtHJNsQPpP/flWNWnibyYbJxW/ bHfqkqgy3FXD6o/QDIqHHVm2wUQR01JfpBrsiCBq7XVPcnwbOcqsNZM6QcmODM+yBJPEBKEzDYzz 7x9C2fXO8Rs/8uZODbP5LAev3Du1hbl38ifwaXtDLdBZ51hRwGezhWR6Wa2LR5+TszgjtU2SgYOI avER9AOMptmYYsNRjsgfxA7PLRmn1OU/480Durskb8faAT4mk7nH9s/wxS+ARnyIpZyaMN5tOxwQ dSZw9T3VTYyQwjPeHO+pzWoqAIp7gDNefW0UzP26Hhv4ax7fk6nKPB5PMn62GQYPG+jJrdriU+PR GmXduDAjwLDgaVX6kqsROXp5RlDxsWYRpVMRrtkW28pLWonx3lBF4+QdWqAvw5sM6fGN+y4izcT2 22HnwtjzAMUBj1OYR7sbaL4Z2DSWx1GDbiqZVk376XtaBrIXPwIn++2BBPATkkSTinuwqqAVFzhT nnjqt8LrQmovsrp3DhIXVk/v/rpkl1vJsyuAMCFocY34oWsNgVEqtM17Rn9DYKS+hh2FVMT4i9Di 5lipIM6a1NoHyxrkxaB9L0QivvfttSPI2mJrnts+7pmopxiOF4IjPzNxMnBB2fe/WlaOUISFCQ8M Mlsu3hLnT5X7F7gdvbo3D87OeW+JvvMFmxIjhU7XbbiWlDQR7XsZ/xHxxddljUwPPyLoXDuwlh7m eLKcOXwLbx1FvqWCI1UYST3RVRLhu7yLM56UCjvyIefrpN2OJN0tMphb8vz/bB9bFvgGpXtUiV32 RQIlA7YqVzCwAWH9gYw8A6x0XaCs8G0bGv6cLfcxcqDCafiXhGLD0ZU18UF9JZoTsca2nV0HYt3D oS/HI8BgWc3DM9COIaeet30XX+c46nbtfvY1P41w/q/w+JBgXQBLnQwfvuyEHBkAqs83fnMM44DA S/Zq3qYdBqkr8qVwmPcezx9WF16E2xFapdj5fn+WkfL1OepA3NE22loXFRYXo4GvtyihVn4ivNln +H4oQOnFb7SevnS9gvYvIxlisJxeuvgXQ0Y9bicCsD2UeSTOFAKFG2Yxc+y6ttt2/c87N1L1T3aD y1RuukK7zv/HoABqDE7v0sKo47FGMxW/sopBJk/YK30KFVgx8aKjAm5NMkwGCx5YZnayqm0swCj4 f5CzMiYBVlqz64/mK9H1ESEKQ5DWgLEopzYI8lN21iurU5hWJxzP5ouwBZTeTJ4SUwfTeGSG2DPi YCfLjHTEDmAZU2TUW/tMnvNxytv0DbaX3Wmq49ngrwrWht/W40ZkPz1jKude96i4LM4ejloO0PHX K8jKu8LUdjTshNXGD5uFtctXeaHDn+P9thiVdDMh8YCqSPwhnnfxLz7SyCEocFLdGVdjZAxBcNcr 8ImgMYVczfHGuIIMNX0t6YXdYDrJzYQ+qBj1b0ANUFqoccQocm/dLIUl5Np3bQT7dWXyi9hP8NDz L21tV/5rIYrnZoc/xfHFoZtAq1Um/xcd7aD91d+/ZCn+x6usqhkKHO9JsTp0v983JPuI9yfrel7u DWbYft0T2G6nOXxOXskTwiTOp39H+4XUnBFOIgSAvukd4M304FnTIKHVeN8rYXHq8y1l6M/nxPXT BraunvKiJDfWs1Tsn0b+1DIUcmP6QXcaBvDCHzCz7uJ3hWXqZZCBN+ZB/ISrPirXutfqy+eAbfLe qmez60qq8zOtzPFjzvUoBYKsDseVkGm3kHDCLktARmpU1yw6v9Er/eakPQ6otbEs69BerZuAPTIm DG0TSr0UHb/RTM6E9Ny/zTPAS+JLbYayTpVu2O5hmSiqlNUb3KO9OrZP2AqfV6Uw5biIiPkIKl3s 7+EdWDl8qsz5v7cBsS3/6qkopGK1HJhah0eQzBPqZB4e/iN5CTKCglHxkizVz7O1DW9LGCW7fBCi BGJPkbatRrjh0xKIzms4W6eLisHTjMez7ibKISUNKU4ptXCtehoqHJKbCkIXgMM/EXA/cqGSzRSf XOCqOYH9ozzMZbNMG/osfCJhn/eJb+nAGBPdoiSE9RQJlR9rxntdhwq68RT0Cyi3reLCrbeRblG+ eku4LsX0wGsoBwsEoaKaVFnvgHQpwfFsB9C8rn5Ps+OOADIhweyMX8yaf1yJbV52ByiyX2g69RMr DQkKf0W6Dy3MUvS+MWHn3tqS596fOoJvGf2SI8xSvqpxDI2FZ96R+dFEOJ39aLtQhVSuoXfYESJl rX5KOlTaG8WjyHw21/Q7fVeeWT5yFRbZsW7T6muwaX7s50caSF6+H1XKvL3nhcRIs9bgaFsFbz59 7dUJWIAVhfJeqswPWNNbCqNkzBOpoxV4nmJ4aJ/lXRu7I6x4TIsccqVe5eI8yW1MCHqUbXitrRpS Srcccyj+NI/S50h3yT7aC4Mw/JcnhYaSmdkdHvgZu+acrohpqpA1Ofcm5YNhSv6Bz5aY0K4JPMQW XlT4oiuwYqem4I/fmChnhIr81NIsNnIQYQfk0GU43lLXBqMZkbIE0NHYpbYmbY0oR5W706Nh3jXk Ns7hcnyuwhKWLuNN9GTwf6tX0wQ9fUamFukNcSkq7U6mnIjfBUulCl5m6lJJv0SIzvoZPzuBnl8N cvp7rN/5w5zFxqVrLkDMvvvIOmgVXjrEh9oXJG+3soPsQJxY3EBwXqrFMpAcBGASNcOu8GvfTk+S 0ndJ/+gpcwQ+Glgv0hUmgT6/k1fjRn+PAHHI1Kvn+GwUrTVBv9z/KMVQ8yF0W1PEIXpYCXSjRUL1 els5w5rbEa2a5dJ60M/PV4l1NCeRfUBWFLsQbnjPK97AeUHxPewX7aRcCL+OwX/6jItnkw7cqXw1 7ameBXMsUNKXO/FLdEmmOTlilmaIuRUhL0G1MEYT2nJeW4aha8aYdDPEnPfvNCdpJB5tmF2zMlom UGiy3kOxAo9jsPUnfmVkANCkQlFU1PSJtkHc+2XXyXhHd2mWIrCAytlaB0Fho6WwH8d+a8OjyMYx sYXitUJ0pg4ZzpFub/Fhzxy3BzpGhCiv+H+SceYzkiHeVA+ViNgUULTb4nR1T9TbDuwU5NX1Nljs 4YgeEEZA+Q7+nh41hVJOVHknk+ZB8fHUMeIqggdjQ69N2IOCVGf7rq6cptITtLjeSNzgLaGXdy8v Ujvln2EzViXj7FxBCYvESEwJCnrUHL5F05fmJ9MhHdfNxWJoJewf+jwzNAXuZdsJDt+3/ywR1zkx vpypGjLnu72gVIfkld3R3YPEhA0q1ScPUtk6+Dh9tRRaXtNlRlOAFor06uZaebnna/PIJZsWDZvm 4/MYCX60eo+d7gPH6p7EKSSLehbEtEPGTMb4xf7efpsbnhCxWw1l5CTUp73iq/P4DAyAlYPW5Iat VveQHKYJufqVm1uiG76SWCi65pYVpJ9cmn4iLLflWEqh5umgW+il4AuI+2h/59aZZcamIhoTF7Ty DK2+KIGYljyQG4uPqy67kaHeccgYoztNUr/xzo4zpN9wZOx8SW99bQrPJz7HaNVWBZ+gs4uQu6BH 1HPlz9pYv9/Oa+uWfznI7+cWuMAC7rcQla9oIlkUThKs2Pb0lAmyzkLxXwzuJE8lMAq8ey0Yqfi8 QIvMvAKVLQR7g3oFeUJ19pkwardDplfyuhEltHSh4m5tZl8+JAAbLxBGBapWVMpLbe8NUgOCHWd7 9uSc+Y0QDxOq26AKigt8nPENxkDWzdcsKm62xzKTqERAFRmyin+28nPQ4AMhwCCXj5v+I6sNZstK qiH3s8X4ZbvHkz+KXD7kx9n6ZKUvOEFV4+SZftDC6Z7OH1E6+cTypPAqIWmVqE98GxaiiLxDpTqI mgofCJaXrIrg6Z2Td57iCW7mUdyzBolXoyWGlAFtojANisGRKJLt4GCypgEAGMXFZhsPr3HNVARH wp7ofSsWMWS6+88eF/mYn8sUTY+3MVER4Bd7ewjaQikLIeCz0ypB1F4y6VjCoLkbHzvySOnt+/3Y fHO1xucRHhKqciH3fYzgpSddTBHMhvMOQ8gdXCzgtviHNeyphE9ZhDNc4/uBZQ7Z7awCd+dhhaOl BIV2t8cbh1cgM1CqxPBv8Z4QPy3ziXtVyCu5FRyMFG5xo5LspRMHczrYE+knum8G82AlJpbrDeam Y1JyVE04gLl0FEU/pE1kWmWw+9zZ4xJeotJpg6YZ30ZkADGl1e30AMU0T8mG9Cv3Dbb0dYNmIIgV WdykMFPFJk9QVA/D+1e8gN7DhVnPRPg5LL/L3U+wHmbNsBrsbHdd83kdA7fNkdLVNjdoZgWhgrCM If1XL2sYhMKqw9ZGlsR8W5jPd+Ug2wtkshMIJoiL8be3Th2Tu2ahX+rtfQvhaofz4xHoMN5CrYhI 9DOH7EuJ6A86YOM34+MqgSibHfD4RL5lqj/545Y04FNIKNmsvRORahkH+Hz17vE2+2JL+GH6Hxze HllvLfK/+3w2UoEFHjhqyG5nDP98rSjrcmYjXtcEWSMG2JMvzBd4Af/a9leDuEyv+GiI1g1vWJsR 8wXm4Du0ykWn3kRp7qhM5i3yadKgima1CctcEJJHQQ2KhSxF4iYk6+EVyWY950Mko3tULGhs0Ws8 TglT+PShtvf9GbSRmTFiAcyD5kt/sE0tOLGYzxs9HF30F5XieogihJ9xz2GqpgoG8xJBIKO1TFqu vbvgiN+HEN3q5VrA1r5pwCdd0DM6PDMqp1/chMEr+y+w7m+GroWyUvXzgLcBsIVNdtSLKU7aPp1f 676aYchVDthC/ZU+p5GAjbIQvKBLBDV7u7LtSHbixH61553bwl1b99mZLBBVk4qjyaKoKqeQIKz+ b/14G3bv0YC6EFdDydPFeYjEjrHGivt7gtoMtFwOgdasfAHzDRRzt4UfggwPLy6j57vjKPumNECg 7XaIyXBoRxn4G1/NVM69Sd++vibf85V191UphJp6jP4iObqe7/a97l50wqcd+5YDWEl4LkShdPoe tl9daZyRyCLK/HfN//lFjsaQLJsF6ogZ6AUhltp9jfaICx4HptNYwSKJjcN5NNVSFCH7tnOxpn+q y2UaZbuQbFTNJpNR0Mjeat/eTzxCh00wUqdUZoUjRjlwHQ7tzIock3Qw8DyQLUyVyxix8neQfiB1 me4fNhvujzxlKyUaGI98/JYGyYNIxkTql3C4QX3Yd99At+d/Sq21JfZzjgRuOnqDkjlfevKnZStV G3SeK5qfCy7M8HMo0V6xLZ+ZZzvLN1637zMHUfs03iElHPKoWhjKNaoQzBefFdtIeKr5Lw3DCC2i NpcX761UaJokHj/nbLZDCxgCle5GWEnoI20V9WUts4uBFVD/WVjsKOwMI/tMJfLRHGWq4oaFicQO oDWST/3UjkuJvIVK36DNNyGzMINI/0iidU42H1T6pv5RRvocHEE1Tqhld2w88mnkJfvFooDmVedw 2lON65sURfb01m+g6y7lfOYp8SOzxZQ+pvpNkisOl2X+n/rnkPesE8s4wTGkCbntUKh0B9JRkd4K x/g1LLMMHsXQJwNIoNmyK/kJsbLD8NzD9gAz9/SCk3cFvoHBfq02bZ3Io1SXFph0tBAraaKEbu+L esw7JnCJqT0vAL5+935oqOXKGcq7q5nK7fOBF2Dt6zdfYePNGFmUWVyrIKkJxRjAlTtnBj/W8Bks Hg+VSvv7Olis+X9r2kcuyWJ0Q1g8czi2BgMhrp0mtwdHWU2tGebVql5TXBssbIwC0pblZq61ebo3 nrKfwUowEoprvjEuv6VLjwPI7eQv75EAzZLBu4SEWdEg2FzH6dAdv4v6+lIG9MCePW2vErn0lJfH WgdUoW4IDqUcnK+IhyU0Gak5Mu6TmEkf8cq8CpCaHshVYrLCR4a0DaogspVBGjXq6XvBQLIcjChX Zji0W3g2USgN2ukXTsOJAPQYhUfWfm3mNNgduFV+F/mz8tnQGt6WG1J6mnn340gOz5O8jqYQMhTz CJu9TUOdDyhoe/WRKj7lOOk4tPfR14c9WgRc81BXf/Njxqd7RyN75Le3wHJw9/P4PZwBB12820B1 HPRM9/Acssyb3RzedBDbmGlRm2kTIJ8+LKJg6UZrd0N/SBe1F8BLkZf/bOjgveupdn3aQMDu6Ls2 My/PIqTjbnQqW4LO8k8Un+JPltKM32LXO+fVvUKQhIMUnHMvplhPz998I9NslLuF6+pzpNACbR0l VVvUTkDa3H1vwsehqo4QztfHH+zSmY2MN4WSNySLiRvaFPy2ZvL+TZxD+qzdeWurevu/s3gnCjdZ IxQzUY7ACJ93/98kI2v5QsZFfjq1KyG6/mzyE5976Ky3GWdV6djhfdabDj0sN1KHfik4wC8regAV 95deSVIXyQFD3axFbNRwUgdNYIfy59q84kCaznyX9d8KFFKtD9/FB79H3aC5t14o60gT8+ZQ8QVz STNqIJ2+qfBXxYvigANGzt9vYh0QodefsTPaD+OATmKH+u9t7x6JKnDRzcCT4MxTDi4Y3sABbJe5 EuFKk2enY3AFG821qx2s/OTz9OkCsYhISd2pTSlQQri4ez1PqX0SJ5o/P38CJNdjNVT9/4MYgCwU 3ELlHnJH8k//yCX2Bpjt9ds3D3fzALLPHvAKgxWLAV2FakbmHevjZTDqG4t9Pjge7Mu05+e82aI9 tzfckhmBVDl0uHktyIxe1eReS+WmydP0BxEWONz+cgpAHRiTp+UnCAaYyfEeL2T1M8koLMC/ooBf ybaG4iKyCDVDdlc27zgSDnxxO2DUy7nlQ2bX97pNj5JMH0vmHyqRSr++p67R3rS6my4VVjMgB7gr 4cqKPtMr/sEOrEjQ7fc7b1Kgt03OY69i3rrxfe7yASJAY4WtQlvqCk3xHEeRk2Crugj0l9dq/jAt UgAWpEyHKtljg1Brfl94+0n07OATnJ1jDM+IUl6sl6L9jlwwX5qSqw6ylERdIi6pwoyXw34/ltJC u+79077dl7K+LjMtFbjyc/l7HicK2PeZPt3nFciELK8BK0vfpEzom9w6FhT0RNsjRprs1uH4AVw3 qrkYOTuBXpr30xvJiDV0euBqltGl2zXda2WBfbQEbZo8RfyeAZ3VCMxHPI8+gPVqLw4O7lBHFgtJ erzM/127yk6iMDgEkQb7hIWhg9pMSJoKJs1fZ7XhvpGCwSpCH0W0N9KoDi8vd16OoGmyw0ju+raC tA2EIkNUVm7mV6seEkpL5uzHCqEEStt9/2ZMFc01ou6nUrsYnZQXbVkz1Neh0UWeGq5KW6qv9Ovr hp/5ZbKam1bsuHt8ikNcYDjOzNedAoDl44rCxEL0mouA0lFrSso0xOEnETxmzPki9+xuieuAMpr9 E367iI/FcCF4IXyPeUbi3O9IOPfEa6Zs4X4gDSTeINBfNOwh4dwe35x8nR9pw/NDZib1udsp20oC 7V2pIzb6dQALJfqth3UTocxZvnY6iCN14EzwBVEqU9fDnXfiZtd1vI3nJj93liFrfIuXLwWeOx4n xZjQGSpGI6lxBWt2mYcMiXWkHI40vTq6fKIU2GYJorDSVvFIgPG3bro74TfTaGxjw+LXQhcE85IN u2ZgtPeG9Ry90GXdcvm1WH9QgVcNF+y4r2J+3HHENTHt3TLgGn7X6fLO/7lpsTelL99tH6rfDDiI RzaaiFdE+sIj4DRtzyWGMtYf6+p9/nEJaf2ALMkp6iTKxAFTCl/K8zxY9vYv5g7OmP/6ddk1r1v1 OrFwhPOvBbfPx9W0JWEUEx9IENkmI6xULHFVTieripkUV1CqBNHRbwp5szaUh9PsDW9lBJRsLpLx t29k2fNGeEyYMkq4PbvRFtSOXrxV94bfLFJlILa5dlsZ5EoqUI2D1gOwM4xRZRCn+LCVILXl6x7x mF05cefB8H9zaeYgzoyFYXdAlLoWG5wFdg1pOtcAdR0QZfGmTVju/MeKl8bPtOvwO9sg9EWTMyW7 SnMV/hcsgRai4y4BtNBf2Nocvm1k7SMam4MYXgKmyIlSAJZUzRZy85NmrbQ3k2A1bFllVSnA37uP srzGC80hXzQN5AydJCuEN+TRiRTaSuf7GfOoJKu0k1pCedLNlROE0cC9f4amoNXmrMe5HwPvFrIO fSlwjfcfc919oGDPVtsxKj1UMyivtWqYbyDqiWuqJB7sE8Z59CxHHnsh1EsNno2R43sL3i0TX75E bgNNxkR3OAVxGb8/Dc5lepHwXa+93BNF0tKQy38V9qWXuUnpfzrDLn4YAIoQIoGEzCU25mS6Zl4X c1Efv2ldOFBBbEp2abvYha7rwZJFXRJdIVcCka9MfA4YTqvLqOYAJUFYh80ZlCy8y78ClkcPaSx6 11QW7JDoUyWyjbMLdfIjZtyMPUyxaJTkM2WLXc+LcbeyZYhznToeukGw8MD9bOHh9ZueeU2hzvMR wN0U0bYc+COKNOJIFIDAsVEyeMgmcRl25ey81LEeT49pS5b+LmN/VqaKchtkbxBuZzE8ntByz7lo SMLSJudbdNB0TE/aDAOiEQZv3MV1zGCo5Ex06APfOZMog5oOwOkaQMlf3gT/W5iCuY/kST99Ginr jCTHmFw8NJYq34wokqurl2HX8Jf2wosheA25O/q4wsSksiRtJJFKOFR/EakjU+sWDI13/st5zM/4 JYdiKxE4jl2w0LJPstO2JU/dd+9ZqMv92ytwD5WDtKBpcneJj3gyrovwKVO/vXz+fQ4sXPvzw3Iv RQg3jGOxkM4uZPmH8Qxu6xVwrV7pXg/VH0qMXbz3AzaBVEJQJjiYIwXtbH46AnNCwiSEIr3j6Mfi UDA/muzJMjWtqj0pMVM3cNEBq1+ispCnv+dMRWrHEqHeSY4NkQWLnOcij1QFEuocE00YTsiLqzoL epvs2XP6tsHlu/Typj8XjljdoQSPenqWtH1WQt0DJDsJXhmt0KhaH63RAfkFLSFiiQ4i9codxfUS 6PFjAOGml4olK23UXprbXkNnHvgTMMsBSzApHLmdOygN/tVLX5Qgt3YIEzoOuZPro5ZjgnjCINnV VnF5DeaMICSru42kt+tkouDdtIQ1yjVmC2uJBcIu09//JzU6d3lkSDaDQzYxEPakvj/0TuP9kL50 t4JPXHJSm7j7a2NiMypykzV/aPZGQmLwOOWI5l/rhxoy4Mx1ngXutac6tUfllNNukThAsZeul9vn C1HuQ6mHo2IlGIhcEzWM/QY2dUkV2cqV26jx9NihVW1p7dKNjimVY1i141hd2UX1xdt2H49URtGg xb7dsRtpCNKl6Ja8IS90VGngjdOyTqnHTLE044Nw2FJ9PUuHWHjm6sjI2QgA3hSYgAisOVaBBni+ XFVav6EB7CMGHNn3V6GnTt52SSyliOjzz4FK9tiy85N4zjvqr8OtW1nZVcYGEUZWxJp3SQnC4xrR DqMD3eLWYjU0NZMXqD6qsTN0T052vd6WwOx000RqYgVX6TEd0uvKB+O8awiNJlXD6Gc8erbH3r4f wBZkCgEU45C0TUG4RP4baYCG/5kVwmChDq4JGRQ3pn7LfQiM/O5gC7YOSzMAZm8mg7HHU4JmusIZ vkPrQwGSiwaBJlIzkHGXUyZ/Hq0MES1JjqLjBUZ8mY3WhfzgMqsQwbVeOmoMWH3cwa+gf0AKkHvR fojee4PzkaB7HHxkGkAgsZpX3Obtx+rMvTQX5lSx712r0xtfOdMIMGYSQ3FIrgj0u7WMT+tXE3yb dIsiWSSexCJCZkKKsFHdKumjBLNnCiVoU9w1YJyiRhc1BBpE8WpmahJBB0Frt0CsJxJeqsS6PO9a 2YtDWWr0iykWQhbEywnArzI0tTv1v7V/te8DdleOowQY56j5leEuWHdqU0pauMpcWHibshqS1lAc y5KLkXxUqRK9Ec/wx1EWpyHJ0QDqGQLe1v/dF+jOh+d35UspBrxhsdql2KAhsXIngV6nwMcRPIpX /s/bJU/RvR+6XY3brnmdcnnd9LEEOzJcoGDv92L3e6roNWrg+UHM+1BUcN6zFBiNTLiZnfT32BHV twIxQ110ioB3Qp4kK9NxGKtpRIy1u17Z9ZvM3StOqNr6OC+NCYWVcYgPjUjYlvhgzZzJJxsdDVdP urZOEH3xAKh5+zTyyNiskGXroM3KcKd8KR/Bvegrvtv40v7sRolMFKptAdc1pig9dQnccHNQAlfd uZRo+3Ufn5l+5tVB3Cn5P00IXffuZNNZZWPhPR9ZUjA2l7joMLCxYmvyQolocqryeq1L3bfTPIRU 6L+LfKnnFzAhRmXhIHX3+TjMiQ94sbHvlqe5SdVF4rAzq5GxLfTo788/5IWhIryYY2qqAOajQrG5 98QGUeHu5m60hOdBsbn43Wqp4XAZWGszGyRBRWMU/vPIGeSwU2Ceq2bclbLBNbkUGyZsssgNZvut LY16cjvJJp3xl3ZQZheVxRLkorB5ZNY2WOZYdkpW45sHjv/vSVlAcE1rN33INu380SRNWCFBIyfi YC645TLFE8iBPcmr5FMiYvYliHiru921uX0aFHbnfFwunRbFsbzZ7q9SJiAe9/4FFkOVN80g5g3S IHqSE7KJR2cnX3PaGMAg2maJAtVQOiqy524mQeCYvELhZSMpRIezqLmt9JU8zZCSF2wvhQvzU0dQ DP4VC1I9XcbagKeEcGx95k93Le8TyD29OQYX0bSY98dEVTV1qrNd0s/Ao0WX2Rh6xX15v79PuZJI WJ1Pxblit4WaVotpiu6rTbdpDw2DgTWmPH+FmxNC3XrR5FTKV9CCq/O7MQe5shaxO6E2fwSkf4wG AXsIHRPdTB+14b3nTQfpCbo/jRtWcgK2jwMqGnV6Z/5PK/gB7B7cVd7CkSfHwUj+VM85Y4i3+jPp wqexaTv0xjO+fGl/xdqR/m83HMRMhNB1kUIla19nXOjRfd6t32o3iJsnQQgwBTkkGVOpDpHeY9Fl mEKeaGlTorGdcezxHL6nocw7eFkrfJn9aeS+Rq1i3CBlbjp7WBXCx3EoOCtxNgd4YAM4wq6iammt NMJzvbbKXIECkdr1mIpvrzwT+MBQKV1mZbxl7xHHV9Ltpk6OjD+TD/9Ad3Xc0j0qseNlJhfgSTYJ GH3J9fLogIP8ZlSvzGNpuMrdofZgFKyYT9NgSfBpMmMLUhiuOETfxAxATEPAOvN3KKOkKgRxYhaq 1ht9hdrsnPxhyHgqWsteKuT9oTes8e4liNVsWgTk9yBBpFIsemxkvzyWCR6CQnxA1Y60AF/FtAG7 nfiMv34Gh6d2rJYj1ynDLIdmWZR6652CztWF7vi85HbFOOZ4OKJyejsUaLOftJJsS7VL5GLfxiQX jGgW1Zh7G34y0Wr5Cf1h8aJVFe2o9CyuyeJjyBCgo2DloaXmGRMWMnux72yTPz66vNHDK75xZoiH IywcVcF2PRec0qqT+SYIX++zJAv5wboPKJZf5BY1hwrl/vvDejfOeYmGcRAG3Pl8Q3HZuCpV9DLA rK7XL/4qHVToIM7obShLvJIQscEjBUfPq62yoBIHLEyuHjcgJIj7txFfPOfLKZWMoqC8lDyeXK88 SiOglgaRueHt2X84t4FdQk9li4uSW+HanDZ68ij3r2XS1nMGMdvkeaObiYhGb4izZWpWaOuL5MQb wLGF5L7ZM41E9kmJnsV9C062BeK0CFx3zhqjU3ycAyTfcsKT3rJQke1RrVoe7PeDGEoS1hrjo5pn p0H6T8IHBvg5hHEx5wwrhjzknAbM8zBWVtU8MMGkEI4aLHJ7kwt2fEiagTWPMfwdAFgsBUjOrPlm VynLjPPZT8696IvioxIbtyCNbefzYtuix4NInWZs/ZpthSCpdmnjyy4npYOr9DnC8mZUYA26NmBZ a0pAZcPr4OPZ3yV/5fU8yxDwWqe1F8KPRGHzLLVGieRl1WJV5lGAztCMTFXqqrR+2r0pwDK6+qn4 ptxKbBss5thqBu8lDtOrBCBJdLMZGHOqyCtJkj4IDsX3RKLR+wdQ9cIjrgPzbjkM5coWVOhUz2hV iFhVQMHwo3AYuX8ltg/sF+V9KCv/zLEbN8/rS3b/g825xKoxQxi00VvQ3ng7Oztwu7oU1jLYJ+6+ qtsO6OAoAkl5DGC//S2Y8Jzxoip/XVDD9A8sbfcKsR4Ghxpqlz8x2z3iMsRR6S5DBOty6rHH9a0r yAQXU+htwIKIpBVOXLqq4o1mJRwoYNa6pLTWtpBszl8a7r9ccKKlg2UqVoXNfQgl2vEnTQW25YJV 14kPzuKCeELWaZ2ffjn9rC6/HzOQs0EAPnCwACi8I9IxLCgmDJWvuEmMioL5bXW0PqhS3IvurvvA ZQKzcRgq5sIAjFpAuhErnT0Ka2gEIkyTcMgDnV5j8Ecl8JDp31veuXXsPYnJr1TeXs5yQ5jUAzcf 1BvHEV83sQWqwYEheADeOLVJOYTgVSwvwn2bRQHLpxB1wuNtY+D7C7e/6Qj32/yMoQ4xPrpFLU53 y0sfXNDCIAnYS5RYcyLYXvgdFATCZHbqBCU13wnj/aJgJGxLd6Wa8aeJcwdf1wkfFZQPmNulMPDF dQaiEGTSQsNXrHb59INw62C5dDAII6kZ/13cEKuuWOKq0XtoRIpCDwtnUC5hsnszlOcPupsJIYhk CG/9KEwjb0wVwvMawrRtAgQshe/LXwiXm61EjPTfy7PYoy9v2+NcWbGz+D2898B6hZVrjR+iUZuA 07Nfa2BFz0i02rcsY8VhXipySOTbEqytaC1vYtj5R8mypM/WOT7EDJ/6rBpMHvjGjTkw8L911Ln7 iu3F9HjQ9rrm8lqq7WhcSqCKssVONmmQFfiJlVS/2RjtNUSJySmazUsaeHetSKGkIhyclvR8uKYa vNPNbwhKg65XnL/61o01jyNW4uYJj8aK/40FRYsxxxqN5pQ7wZ0lXmHmdMwrK7oaUCrtAmNtApr+ g+1OgGylGy41xw2cHs/LGE6BlVQOm0ZUYUAzEMAngEB2lYIFr9Nz8Fl/LQK/3dimF75TnabkFEOS 9XJRFqPsVr/zCMYv6Io6RSyi9iZRgLDGCb9cgPtsVgtD3iwFGaoPcGbOZoryNP2xH2xCkaUlbWxx PVVybRbG11sdXGwjGFE0Xb/BkxitqvqvoMDaAGdWLXdCvLtB7jnTH3b5Wk4VIP6Ao/Mgc9pHSTvj x2ibVeIGSX1F7X9w4keaN8tacbzmd6ZgOvhpDos7O8SzzWmQ0hWNzw50+85XYpxE6ZmdRe5mGKgL GaiIb5xsXxjy5IRU0dE75U4USvGU1cNdhNLSvH1qdxOkuY72ihce63+0HoN7pEKH2xjzc9sEGqNH 7NUe2njKAYT9tNKdozwiOQBU1XfcH9bVOzGAxPQuSAUFo2wBKBu5Q9sTxI0tRF7AAoi7Z5Jjz/73 GIu2YYPW21xJL/9chVJTLZOF3auWKeT4hcsoKmEV3+n3/SsKqpaucuE2EKLCybX0aUylrD3wtj9f 9ebVc9VUQlwCiu9MzMA7JCpcA5mjScWK7ZJvMPMvkggi36vBclR4oIgqnFy3d/oIIRPKXJjILNMS V8CbiI8zJ62Ikf79jjtDKDmheqpk4xD+3W0KSTSmGgUB5wVnwmTQs7ipGL1YiCG7zE/+qGHMIZ2f GB34g94Fa5HEv6cPNnFKw4Cagc9wCxKPcaoIUl8CJ5f5DNTR8WYCz5IROZHLBcbUsV4vOsNYml+b 8RKT7BaoD3pM7qA24OyK+c68nnfRo+mOkx2KGYE6Ni+JtDPIxOPpzqcXfW3fWsYLSdBFuEe91OeC ICWy/dnc8I6uYijf8etd9VLMMArnz/LD7/btGq7/58gfz7TPd3eeo4V9bzeKkEwUrWjca0ozqI2Q T4GC1iokMHR1mFI6TIWdu8PwyWLvLa/hlXrJZNDyl31eemtnWzYjoqDJ1ogm1VEJ7zUgOuPLt2GX qQa9tQkuCZ9CNpbckvs4ZheIPi32e0pqifZ+Hwk4b4lHjX4eBcoL0E0d7YkF/GhizyOLfHUsMu93 S60E7oqCYW+zE1epJrhHf57/LKAI/WitUDE5iXVvSbmTY59mc7Q4YZYE8UiEBCI4ojywcsa0jkJ5 hKPjbr28ZqVzooWC6s328MUWn+A9lO8KKygSYMUhpQPEV/NAsuknnODDeOoue9+RQRY8sZ+GQz81 49gGZLbrEabahOnF2mpv8qoPp1omxyjwgHeGb/c3X0HKYb8+4IIWyV8I0EhT8kbiqHVrUxCeIoBc fBhnp98IkSXXMQQ/MPcy2kS6ezCO2A1/cLAmgfD8eBlZab4g0sOgRxd/JnSfngQHa5i9V7vB7i8Z p+SY/wDQ9a0XKynkJmHtStJAr3uI3PZw9kJU6mWHRYbR4TJT0hTnU063CPLidcgUyl/WwXhCCfJN Y7GKjhzheKZL2CEpKMfbvuHSrcEenCY+LLlBFtoAcm8WFHSZ3SKb7Je4YTlmApcchyhEiZPNWWSn guiACG3AXolGyfLmvhRmx/HYBsXTm9lnoLIMRY4qoSHKJO65oaTfjZLGC3VpaByTxMpz41V7I9QY 8uqFL40ayE3syiv+JbcqQsvr1raqjf+N5bPzgATgMivSdagv/jripBrfdQSZKpsJBs/HPAA5d4y3 XNMCvqZzTPw8lKKKNqa7U0P1OMgSh4GWdqXx3g1t/uBHHo3ELf+IpvJlMU4/gXUoUeUkUnNFCOcd tMAeNFdLbbHa6a9A7GE4LBdjJX1k+/FcQmGuDmnpx5jIsioUknrDgaYiWN01r6hPUpYk+VRNfBNY Zd8qFs/L+9DtQPjoxXzwj8zAqArwpmhIW7uXuMlk8HmqGcJrWbL/Fz7dsiFp0a+QNR6IfTWAteVa qTNz3/JHQ0NQ0Xo9xIQE0aYPOUESZqNpaceZfztnWVC5olen3Pxs2Zw1f3e3V7gAiPUGJMkc9U23 8xuf+rTCl+FOWNi7UmNJD+K6n4Dp3KWS4DbYtOJSsEfcNTih5zjJFD2E2Cx3gM+0gSzQEpa1Aasl NWtfI7xO53CvlcM5YHIwP8H0ZtV5JoK5zmIeFbPcftzrgbfewbepxgY6zk6kWKMnMr4a/BAn03lL obFBm4pVBuLpoMxiAZqkhFU4AN42hPmr9C1xSGERDgjNtAXKGrVKW5VhQb5t6+hOIkTwpqKr7MUe ltx2NTPUTyl7vKyd2UQYGiThbHLi3gYLkCsf/br2ZXuFS+3Y1c3mKz4fsMEjfvSFiddHs97dEyRt R1SvmBHIWac5hocBOcH0TYmo1DM4UYJ7aWegNOft6h2RwZqQETPy5O0T2wdFBOo2kmQA6LvTpxIq HgZkZLME0L/PPiBjIi1c9ix29BC9wG6FztnaGBG32xLybRHd2fSHWO5plfwKbYFd8OyYoR7L7b+s TeimRq02GNTk3etAEmpNwH6wMH18cPMMA4jEJN8Dx+LqjpvwwF9h1mVGxkljEev0a4NYPW1wTOt/ OYn+SgCKQ8uf4VyxGYt2X5LX8M4eXmFjH7uwv3CaFdPA2jehfy2eK3EtUT2blnOXCzQJrjHeusEO 8IK5GYuWAfmnWdap9GIAOkY0yB00fpX6nkmp41kQf5VQDukaHgK8Bnq61oFayP0DPcxIf33/2MjJ kJ28rlLwIdsLgZOGhChqdFpU0Sk7erJsPRYoMsjIFtF5BmqnjCxEOLyLTMSJiA4CGvrQyG3xegWK SJU2m05afOstOxLvSZJjaI/AlsU2WE72NsSUkbjov+wGz18unmBeLWPLimWxhUsuxPKAmB47y2Xj w8RNC/t9eVedY4VCKSG9QTqf+HfA7MrQMVuarr3eqCbEe93rdhBdq0XgYgw2p8nsaGgs2YGaUSkt vcB9gN0/E1hpO9nxuiRKuCfcUlo5p1BKuxVE7+dyX6db1oS/JZG/sOBlALw9buTRORi97JeW+lMR cOtFBlqhl5ChWe/gR4obzh/Nlkg33Yq3GfbNO/iVL4uQiarBgBqzb0l2q8u9docaVqIh016Lx5ho KSwOBLlIb39RmvLRaCqq5gqbfk3MWtQJ5dPMa1Svc6A9o73TqwxQa2KoHFk4/AOH30ze5u0mk4c5 G1S53VekugOuM1gQ7hHDzhc7HlY6CAie7vS6xK5VBOMmhPJhXcJsP36BrGkJzqXbFLzY8tkEMOBz WWqHQDxmyGshdH/RcYlVrHBwUkmHTLqqLZrNVNcLm/T9B3ifiVGLwBoO9OpDvJjccyPNmMzYUXp4 htKJW5xM/KlOTyy8v34+Wt6wV9Y2uApPtswKnE51qm01r/etpk3Jh8QdIG/g1bUnSMqRZ8iD5ptg R/8ua/pZrHIuFTA0KuYC0jsJNyS15AiaqiUs2NBUsXPHlJuP+XLdDFJ2z6A04AFdNn9f1DLeGn7X reTHmGv7w/XjpZO9fy2QCCXCk/i+rzDRWa7ONqpkI2HLrNEA2vt0SkSLsmNxE7AFxLhclPYjvWyt wIeiRAZaoS/BZPluqTUqT35TTOMOUXwjvXp+xBKWZOs4arM5ty5cvXsmw09VEbC3mlCMjbHyNd2C cOvBePkElJ6UVLkvppl1rXVMJfr2ejoUxw9/qJkevxdsnmCCsbn7sqnHTCQ3o/t877chP+1rGp0m X2O8avJa9Cag3TVRkBgF+M7VLrjVdVflavu0aBjzwE73QhBPQ67NO9VKVBnICC25+un00uh9IS+q bNd1BV35WjiWh3mcaAJ+iPWaTYdfLZkLmMFTJuCyTh2vJFGalAvReNBffiUgOvKW5cx5LB3IGiHT bXLFLsi14XNf7B5Rk0ZLyOO+ADUY85Sna7k3dHfEVzk5Pz3SUbhxm3dd/mgh3RY7lGhAZvbzSQjW JrIDgR/jFKoGZf/k38YdYAVIpY7lwiwXoqe0UKkjPbGnpMHlv4+hsA3MT3MeYvjWUUYrXpd3yNxE cLPu15XVNeVnrEUoji+NBdWhEuiAA//m2Jdbrd5/KlBys7gR0nxlWjdhUzF5rxRuETQWnLgY3j3J Q4S+dB3SLM8UpyWYkOG774BYRJ8V08+jCDZk33md2tGxRmAji+243Sek9zsS9d1zBPNhUKqCpNEV f5BgN7agIBvu5XVOnvG6U40tPu5OAqsgHqFUD66h+mC8+dZUzoGmj4epPbBmgHbPIZVG1Ydn9zDg 6wiGZzPlJBzVTh5gsfv9un8s6Xm9RzNI+iRFUtQAQinSPyr0u0XaS/0E4Wx5ZB6/XK36Jj0Zky/i fb4Fqz/2LSSB59nQ3YAWM1t5EyFN3PSSrluRqetgH6aBNo/XykHxSdeuIkPFKm0lo0WkN6As4UcW fJkiAxwmgKnDO4h8c8BP88iPon7/zy/q3H3ciNyKi5RjRHVGPCNvvF0wt9jHHSa8lYTBJQodkzo/ NqbejVRngKj8TCd40cZrlK0zWEyRYrquuSXezxAecTNtALUZ5HRfgY+0sUcDnotqvzOf/mCxgTBc eZUHNbym38Al+UZHB7LoItQfInef8zPzDyYVqB8M8Tj/TFQ+Qdy/Uwcbkf516G//rg0kB1i0Pmct eFa+WZEQYOP1fIPhlmUSZ1MSpucrOE1oRntj0XMvbggjO+Opub+lzzramP14RqEOt+qV16QUqWIx cfEnj/bX8UV+WKWqx37xhNQ0lZDzEk/NKgwh2GQghF6m31/qewc+cBBuARREFmOpM0/X87ksX729 EouXb157Lg+G2siWRzMVcT8QIBVl2spFs2OhSUwOoZXl+FakWPukRk9IGTIO3FcKdt2L803EIAln 4FX8roZXGCabE5jmwGn6lhj6T2D1cZ9zj/2Q/cg4jSGnmMlhOcaBhxpIRNFm2iH6s8gxclQjDj8U 27smE7rPUxTFaE0mD69Qm12sNT7nqJoq51U839RQp7gVAsYD/eZy5NStARhhcFl0ZZVWtWBIBOOp ftBEsVBJrTGr+7tV1H4dtWhDL8r9kGczr+yAzGb8kpbKPZuAmu/T9KJ3Fla3SMJCmIvQoscNyva3 v//9EmLeLqVnY0yj8NOCB9dvMfMqsV2k12hhbRMH3NaRn1Ao511Mf9Jc7B4/tHntA7HX+xklCK2W 7GVZHIb17Ow1hLThKuEZDnQd1MU8bxJ/uhPEzr1eFCZeWGvA/+HQ1Wz7IKybBBg2hvS6IGN8bosK w0HwWBSuBrFBG/T441UbX2Hb52Vgzz2g7a1KBdwyIb58GmeI54wNBJqqPKWp2apfrsJa3SrXq77R 56OldRfcKmjTVlb2UfnpqMFngwQxLhIqdsG/74QhIdY8i2JEU2as6FnCk9I0p9pl/vy5JJVWGqkE 65h9baM78KM14IKNkJhBuP+tRoOgmbsh5OO2eFH1CQNeU5x8KlbvFTbjsNLSsXZfouMsJY2AQ2pw ABIjKkM6CzEZS66b+rrRMQbSX0tCSVi+V25GDR8Zz234MCvLbaEJEQbb5jzWWnKb0gz453CtGG1z Qc7KlexQ14+bT3Su5XbD2yN+j78JIR+aqNeKwW6ilU5/b60OVAqxgHa3YVw4QCOp+i+L6yh8GcxA mBdPFvkdJTVOexYN5qhnl6LY3HYenGZ3vvaoteSfAhS0ojMU0YOlHV3OppAJrkVnMto0kiOUy9uE LWNy8q1qQFy69ixmIjSz7uzL5R2R94wcX7eh9HFcqnxgRcEKBFXp4yoaaZ3m3rwSuOPwgBcr4TrA E4r+dBC+/aJpfCzVBDdLwFIfpZ7zfKpt9yVa0Pg2hr79xM5DlyRPZnX+JtYhnamYSZcSACybB0Jl gYRDuAby3UyGd459YT/5NcXx/3MtjwYibxjq78kqoxxf1CrRZ6i9Gh6144d152d7HTOHV/5BYQGL swWcvn3I20eVw4ihdSlmwfrUuXaOteDxCA8nDcOfq0Vbmh3F4kZXNow3UxEu37Tn5T8/XOUIpzrN /j96apRIrCkA8qEyC9VwJ4hd1SHLImXAtR8cQCS+i3CFPcpBgwdxgOjZSQCygEjpnUNgiYwNwV40 F8pxJLQcOxQKFkyRHH6KdZ9RcE79ALmvUqOSCiK77NIiGleiir2RSEh50917bNAJwqu0n64MCl5e vioe0HFDqoJh7WGE6d4hfLowUtVIt9ozTxb1HDt5od957yWaQkQ5DjSulYeHGIYBc8gi77jMucDH DZCbcrDX1jDJwP/BXqTaXM2ugW4G8kjq57oTlWInZrWGtzOkIOLxenuoN6rCdB+NLvknb1O8HelA qFLTfqWzgbzcFut+4kIlomXwmZsXH91v/VYy2biDTDSDFWg0zyYSWya7TJnIzwrlvWKJW1uizJsq gMVgtm7n1LiuerNqGRqbu8qztL0wfSdAyaI7wulkneOOva5/O0aDv7fmroWf0VSg9kb4Crd1rByr kD3kJKuRjd5HnybAoGKIOgGmrHixzDjS8Kpltkq1dxwWWwyEz8YZpNE/61wIJAG36fK5mT+pMiGD bf5+9AWg4AB6WuahGBLO1Fi9AOlDWOmzrEQyt/0mXmMRCQFHO1MRSDuPaJjmNNDr4egoC77yNoBP I2UPKS2mwvcLJuzh+KoFVU2SQBigTQ6Sq5W6oZ0hhNYrz1ZsV8J45UjYWmIiasUdMc/zlXhz7VBQ wmIc8SZN2NhqAH76JaBbfv6oJkJ9DzLElM8+NMILDgLHKLchwflUYKYUtZ8dUVM4CReXLcPg+H5C QQOVRX5fO+ipYUANfaGSAOIeHkelidsS3ePI0Ybbwc1XfN+H8JuW4tV7rWP0Fxi1W8qWXArnaGvi sDdFWhGVRiazU15JxGm+tyjlcsMyID7looOufz3ubhvo0PEFNgYIUxlDDTauxoe7GwMGxG9QcqAu DjJANyFoNaflsW0ys+OfOR6lS+vCb4+8nqri7n1hAaP2vNnGj/uxs41eofjDpGOsCrQDlu+h5t5o naBwUaU5GZ5zkB9WA7LLj+tFvirWBQLnCfT+rgvj4tH2Q2LUh/37feLBir5SBWgksWkVTyaJbLHv I90nfzJTWGFNEOXQwvcjKtd3lrd0z0Lmlk3B8uvYV2KRAmt26zVwuDt4fbl/qZZfD96TeBi9WFm1 GarqqdLLmQQxiWACkJbx2EUlYTxT0uOiJ7uzHRilk4YkYFIHiVumzL0oeBPuyR0fdhKv964nSidH scZlE1hD2u2UPaoBZY++oF6pjX5fjut94gRmcu+iushwqddfTKPFD3t9R6b/DIsbLZb9iNSL/OIm TIGXsrkmaqnwrlNzP1xW/cLXzkbCpYJBFzG9zaZan0VQHY486GazkL/ro5/qMZ3ZjgPSlsPwRcaf ZurRQImu4MaH+O3bzEs8MDKXMzF5aB9EoWhUwQgnL12fXDQf9M30avHbTHkZuaPxT/r0nlBhB6fz gQA1VlvlXQAfGZvL2SKhYa7FX5qADnAB2iGVKMJefkXEYATJG1oiEXMebAAd6Bt7JWo/seJC6wLr 2jLrvEnpiKjARj0/Uqkg2lWyqxeW7UpNxaVUjRo1lik2ONyLnaHYwPWy5sCNEpQMvYTYFOPRBne5 jzmgODLY8EajBVQQdiRThAnSq9+FYBCnv/OGaBBTkAk3gHFLZvSXNMYh3naojnU/amppRYNgo0Xj 9r+SfUKyvegoFSDkqwUniQKCCND/OHCyg+xUwS9fOGA7vt0VoF+mXXhee+iWATEFIhafjemJslSf E+fttyOFygzazZg/yPj76p711M5ZxrN3OSg3fA95nPRz4hPz8N1U77a21yfGhTzn/zpKgh/f6ikA bQRG5G/zytLM279WnSDQrfP2jSPGZ93ykNbLcPAiBsTKNara+GXECfro5/TLXb9ITxgr4l16IzjD W71tn9Y1NYmkdj/w8n+wwGtQ1VjnfUYgN1W+8L6KSP/9UDK6RaeX5jrfZukX+H60hJ9DtDYkDJSq 4ZZ0N0Y0sZwKwptekHCldx35IncoOK9DbdizXvuug+AtMqryWNNuz5hhHGU+wdN8J5m6QJncF4uy JyrZ9XJkEhNST48Zq5NIfIIP6yODCMrOKQ10AGBSs9mlXa8eqe1hsRPvEmf20rAg/wfg26lXmV9s K13lxgIZICyVaacL0DVTDvGYXkbVbb9ZsvXu3qUX9Zeq69VMV0FxXBmcPgHeTgwjxMVDtuyPuZBI lem7IZnKFioV57oejrrfhWClcCWZaakBMve5C+833uCW+EAESbZvkHqjTLEtg9LQ5wW4F9bTDvPl 0vFEOYVOe+kIx3F74quVM/S6IdTG0NwzdbfDeEimS5zzJM3kM/Hvj6RrU2gTt4ZXX+ZN9zIHnhCm BsHlRzM0OfOgjH9wHE1ycMoRT80b+dm1gfhH4ce6vjhsiD6xxFUIkjgs8hskBzcl98f0EuEFisZa J7Bs1CNeUsh8uWisedgA17YfCiOVAXHUf/NM65Iv/UIln09SGTk7X+ymt5gdJdkVDS5dePCXjtmW 4D+AXpBASvW7Z1svhx6TW2ok+jvagWGu1jyuvtnkVDmVgjDC9EEbGix12WBGb8E9IxqXFxdMHcsT 5l7OM0IN5UXVhoeo8Jpx2QQOc0tPtrYFV/KGIiFMmyq+myldB4Mi9t79PlcCurt9fP3QcE5LBt5F /Q/iHn0GKtBDexLXu4eHiB88KQZCVOjGO57VIW93gmCzNb3uHjYyvxxLijobTRW2GL++lUMs1fok P1Ui5GtIvzpBvxL4nsIYrob53rs8lDaulcBPLCpboxWnqyaBbsTYJUk7a3eDjGCK2lt38y8VMe3W iUdWkmu7mMcAyLo2pOVt8FE3fdSgVCmseySYgLBHEkb3lasxFkW31GY028Blow7HD3Vo8hjlDhtv DBl+5gPahZbGz0u17nw5ieB9z0SX8zb4tVFSyr2JY9jeOvOnF6d67WdE3yVByVQJr4aG7mVvqahG 6yG5KWvdSV4jQD34WdNzjiKdRJN/JoIHfZVP9ZqjsuNpetYouRxa2QpzWMMR4yLB86J9tnOB83CK HdlxjREQuHJ7YDciu7vwFciliC9fJXjWKSW4KEzCDLpg5PTq+8cLY2DeWFN2a94UzgLklKCX11AO bKVrhKwxDYNFiRgSZIopDTwczJ1C6V2fJjFWXwWJ7Fjv+hDk2alhhm/ZNaPbVde55uO00XyDY7Hn b6FB1khW6nOyO+meuxXpLA+DoY1VbW4K/wjo4hp3ncuuudJP71YkL2YdX05AIWZmd5mKK486ziya OMwb3+yeQwCvQKynUatQtyFBj3u6iMmPgw6l7+nYL3nNAjt1+XdgV43osm5qgat3HPEF8bdPFJll PlNydT4kEObpBHTBXi/SsZqWXiaF4bTHFKhtqbJmDARw99sZMsCVlKjmM8reXrIKH99K4UoI9fg4 H3BOC1swjqpqLqGmP6fBsULIS6JAoOrkPx+jmlYPcYl7vglyGXQkuZL1Ny+rpHo+WCLLx0ju/QCT cCbX4B1eaMyZn8NcDcL7dqxjIuHY+xTI+tPWV/HY6aBiYiE2M28udPQqS4CiJPr1jPBx8HZwo8rn alNBokzquYw2eS9biY6ndB2fOEV8XTos48TL6HeMYL7TcxsGe4ssWPA+07nw2ag24gfHjCzrJ4ib NC+qSML+IP0WjQFdG14xfqBrnlTCutkQmPos6O/Vg9Yb8McRi4F5v56BqZ9mo3tLDyaCKjMTeA6p //r0CcwNjsvqc6GP72gU4BXs8GIa2JAJclIgUAM4aM3zYJxu5j2RIoWevGuXRp28qcCPS7Xj+AV+ +layYqVEDw6JZwjjF7oBw52Rdl4ZqFAs0lxT/b+eRLk0792Zqm43+5ig/1hnqUCqDB4hgma7duWR eMd4UwGkVDxHUGO5TW9pAxs0Cshifz5HZxeQpGfvyE9qsn5QqwCkCytL521Z4K2Jy/k+MYgfnd78 8MrBiox52q2rdgd+aBzWNiw4v4wD3iAP3hUPi1+onHlWhh/bHft75i0eN7cYbPwgSKACUDXp5etB pnwMpcalETElCe5zBZ4VPYSX0rpWk2GzhT7QAtS478gWXqoxqMEY0XvrS3UbELOZzXPmDdMeNKsx 2Kv42RKBPNz2s5AsLPNmNU+nKoNtXc01TR9JmyJVs4BQjFWqitzxyOYVTMXr9Inv9s27TnlJ94J8 DpubtZjSICv84MB50DWygendozeRhU08i4IL+knFNjsnGQtFZDEIl6klHWpXPJ6VKx2WFVUP11rC jgNnxBv8QFySlL0F6vm8Qkf1wkVfEblCgx/mkBNRg08nQVl9zQyJ4Yk3AN4zVyXX7Hq3HmIaXnV5 DEjbp844clqCWbs1zwnf2CaOIMDcMKwsfUp2ZxFQBCT/1tyE9kbtlhpmhdVwOPrzXqLtYvzNweQn 7pU5zXWOC+6z1HW/QPx8Vhv5njgh2zpSe+FXtwsD7QVPu4Tnk9gtJHsQnjwWq5y4muRiSyPuapcG MG8bFJ8t/r+l+b+eDyDOYf3niXYBYoiW+sqWyVNbAXOkQi8jsQqJZWynm9ZHIoNGq8BJYq7C6FrF m6lIC4C//YFOx4n/ZeDBgyYvjwy9PZrXkgkG4vluEyEPCfVnq+jtPkQyxJ+lrUSGUs2x+r1Qspk/ ybmgTsq6rODIhxhIiTd1un2K95CUxBmqe2vUfsJkw3CBrT0yeju0M3a40zxzETKkgx+naR23oO6Z CDSekzVKDB9Ym+UZQQMeq0kn+a9kaQQsuH97csVuma2REV1jKP8VXjIb/ULWynqWTH57GEse9tij noL0nVegJYzKdszyVaH5SSPatiM7PY04/nU11+NZF5j4GrGJKRIfYrdOj8oQHYxFFEHoU/bKZLcz xzawLvJKRqMOdRdr1SjySV3xeaJUBfpUgLzfARngXmaKYt2hxJ9p3nRv3cfVCUge5fnH9ZwNfqSB tt6R/rKjeymmNeynCBRz+Q2PEdGTPOSJD3wyyOuesr1hgA0AEKOKKP9/d9s6jt0lvrOlMyVh6QTa BhrqWCFXWGQZqHS3yuUro81VBMyphAiGfo7KWRdh94WzjjH7ea/ldxM1ujid2WG+E/Qe1BQzgI8U SykeNr6q88lbIr/mpy3WG5RXWUxbCOAz18W5Zzqc+kl+8nxvJhsCWnw/9EpEEvp68L8Z5j5txZ7r ZeLr1y/MlD+yACMR7Nb9fX9jdV1jwBwXMSNAJLbqdovap4AB1nsiUmLXNkAWCPUr76gex4jC5b14 g/kVmscAbHvME/Fpa10zuo08hQx3Bc2n9IleYqskkl1jQdhstbivm1NTTHNqOdV8OqJg8xZR2dCb z2iKzrDt0s4rt5yaio6tJzb5ifxG48y5v2n5UT6Fx7bYe/Jifq+GZuF25u0Obkc8/1IEpoDJsBqi IgCM3APj+meaq/HdvYtz7kh/fz/L2oPImpx4B+jqtTFupkWZIIJ2rEU1R6yK1Pu7sJVHWq+WtCXH FcgzAUvVS3E3Q1dGdwTim/9xNgmqT+mLVSfttUMb0kFYiUx3+GHCCpo8JT9PlsBwjc85SKbujkLI 68ChlHWaWEwSvfJ74QbNGv3tppTblPe6ZrSM6RtGyfHmXf6GKLX9D73S04H2YcHX8+2MR3XYwCuV xLftXgbpxTQov7AhgsuOCRjN+cBKy3VDDfHR8robG6ocRWk5c8/B/7SkUWHjdof1koGmSq4PzsIR +vaoe82NqUPIrCwmGYULK7d19Ehm79XtasX3ycG9s1TCNlIA4EutLt8dKFsfvKPF6+77QUWxSMjk /a03mQ4MRZLqdeQFUaVoHpm2Hgu4JiNC41Ry+0mN3waIZ4QOpM8QFyZ3dW6IjK2fH5DoFvchS0rQ ov4KtvKlVvx+50VBTqAzU4TaOeixLFU6Jy+YCN0uGcLhR9CAcrnQ3b1/ywWuiQEHyPnH/npH5quz qBMKY4bfQFoh+y+XTWBoFNtpaUndZwdRPQILNnsGw4/vFMLjceL6HDclZjkqLomCnzsraiUmT9a4 5+q4M34Ljskc55AHaO4EA+rUtXKdEWtj8qG+6knRelhEOGsS4jgb4fG5MaZtbaYLuCGmhit7dH4K 0LybkXrU1dPoF7O/r422KqmiTuoU+BJpXEZHcFJ9EgQQS1z34PS72TWLFDd46GvRMBqffzYNIXa4 4sczWr7kPj4z377qzw6DmeR5sJMm5Cp6x6bG8H22BgU2yfESUH/wCaYdAo34Hbc+hG6DoS2reJ6D YPaOJQs+0dN/YKNd+VU/903Ou1W9KTcGpDayGk2bVzbj60i41Bvhj62cOu0nAl9kLuGUJP5karQL JEEzoer3DViY25qV3Q+MsrAyi5GRTVxIbLivMFNtAdxLsWrhtvcO0VNOUi9UZxBX3yI7R7RSm+B0 /KXE1geuyUYy8jEYV+0KgfJhOG6h7TY0T9fqTKvOxAWabTb3tL3o3RTbaBLm1Se8iByBaUpsIVpP zm/JKnZXSionES9q1vDklJXA+/OYognCbGk8Zb0IY0v4tc2Ccm7XGiKTOzOOGkdtwQfDLnHrpwVm 245G+7wn3qUiuzwcaee8Pc6njsUsdE9TxbkUoEAphVv5mt08Im1aDeBlAJEQhzj7iCaEAV8A6wNi 1t+onm5xUkdpEC1MdAct9lohKX8mk4tUnL0TJuqfSWsZ0nVjt1daZGv6iOt8Khzwh+x2z8n6Qnuu Tp/F/ZwFX2sWHKj03CxqAVJJHwPXwGWCkdjyVaXRmlGlIWCuxVUkCLd0JJbD7usve1B6hi/Hq9ih PNpUY+pqx7JKl7YT1fnhb9IPz5fBt8JwHAGeqKuEk3BxMVXrn6DLRYd5CuRRitG5Y88yegtsp/48 esegl+Nfl3hd18RTQIvxZNenDnIZOPXFJRcSSCkB1zbERkEz/tBhGip9h05UQX+06mJlLBXwUHDK X4J12VX+zF7qCxEfO2BxtWCxGLkkDmWWFfp69Ln39cO82gEbHXKRZ1t9cw/gcGX81U2ciXA5nU+p E7kEkXuC8/JYhnjuX/yj4pwMlNlZPAxXwmx6psRNlFpP7s2Fzx8kAP/PzYK6WQc7fyG1i7uuORY4 opOxjDWk6lWcoAQlVKwdaK0RYdr/efBKwk/pZJJrPWlm7kkEBaOyi/xFMyQfkZJslqTjqvx4Atsh TaleDg71R65OfCQBCra85kducS9jI/mcfPj+4kBm6+AkSTTL6M5lJaTp8J742N9gQWxHSL0Hzi+B fU9EaESbNXQTEaCil92UJoAyl1mXRGxVT1I8palGpRYuYYOusMogBu2gPqa+wMkJdRDwHI5mLgHf Cw+RZuUjxiMmSOJfCKqh7YX6oyiXx9HLK63czccRwplFfVM91UjEE5EwzzHCyGureXSNznwlXsWS AosU0nwpeVeS6pNczkuUqfNAh6b5pwWVALzhkVH1hZm5diOy471amGV57NWJqpNfXzuI6nOqjBde QDhc3CNzjvD14tEAUsKGXbzGC5Ps/quNsY1ngWty4jz1DfNwbO4b5ltEXlIdGtxQBQY9GRoRy/0S CcT4aKeVfhqcjoR3ciR4oANRCsano25bJWi55Pwq0thnSXkoH/cxREwF5Mp4nhXy4ZcSNASOeeUa Tp822chS1j5+dNN4b/Zrb7y5DAdo/vJ/mtivnIKIytntCoqhf0M1SzBO9KnRtcqDTxhT2IJcfi4x jgM3PHIvKGtSlaQH8aSGBnL9AYdzCHQnpOvDhZgi817lbTLlxkfiyVQvje02+fMyz8ZSt+4mWsgL NpAQ6AWmIySM47qL4EMW+lW8gImDaFJtQ37I3apHegpvKLTJfN/cBKz8PA+tO9i/Nx1rvjFgnJWs 1HcRl5RFGf7uIeZj6IwwfkvQwiAWxnOaP9Ltq11ZRLPg1NyBu7u8fIEYI0Icb+ucUbcb7SLr1igP jwdfFvc0B96ciP+ORs/W4Y96kfc357hHfcSQRJHIvSz7ZdcUFf0+JFQ/vSiZlLupbOfSwS7cAWnG 1EwxY5PQ0hkZqUX00jsXRefZ0nHiTnVHmYgVH8A3upN34owd8iCNUUQE27i4p+fIygrLTibclOEF l4nS2KMh0iDBidR3qxutnBN5T05uIAHKYkugtvlre8RDt/CkkCn+SXyfKQg1LZBj6K6ub8hZs9jM eObJS8/IJyvVRSP3Xosgfa/jCiqk6PfkTjpQjI2hBvchLmk023lWGQ7Nqw8y57OyRcEHsSgJ6RyL KkRx+7GprSYWOHDCwcYn8PwtYULseiv+kJT8a80V9soUitpc903mQtKCCfQK8xj5n5qm18HMU1hi 2hewmYrcOK/wgO8wpft3Z2cuhtC558dzwdJmp4oUR4fW5B1bOQVffBNg4csWyztcRAJypQFBDd8y 2plmDk9ePaLgYIY+Q4bdGt8WrdXiNklzbmT4L1SrHn/KlMMOITUAKO5zFKP5W/x6WFNiVDnpRibo X9u1RVr0v1pCmHxHRKyMk7GvDrcsRc3EoM52Dj6qy6RUW7gs5Iyauoc2bYTcgC95j3mc2mFY7MpF Mbsfv3fgS+ccTFPTt/pso6uejsqBtLNxDtyfdat+9o1jerGcMW9/aLjzydxdc8+0mQDW9n1lMuzq 7gcy9N7T3fFcu+U0r9OpsVcEVl0dDjz9Rm3BrdXggucpSJZRDjnWhBxzGDGdtxZ+nvZFYXMwfuOI H8DRmM6FnYGy5SDD3U8gRSY2z/rZ67xh2Jh7Jtc6i7qF9kyXTcRls+mQdwI76lnAO5gkcJ0bSL72 UMfrck/ALX6HrZrV01RtV29NBQAYPqGiAkk1LmhqOngRw/fIFL4R1gAFgf3GCfDGQh5VSX+UvxLp 4pC/RA1hlbheskOQWn8P9stfBahYHwPANkvbVla994vXtqhmgGoNT19kLK83DDG4Q01p9bdr9Puy BI3CyYo0kBzWolnr8ancxt0prdNEmpMu+hIRVHjP3byA74POIHgn6qb1aLu/3D61IaGqL/0veKwR bjuGraGJ1mxznCkrJSBi98crGTXEbHQJ0b/TorFQREYsUaINvwMxrIXFUR2qvOBV8gTeN8MFhHiG H+CHis43wxB+39xuhQdm9+XA8YH2PVD7qhk1ZzQsFi2DD9pXZC6QNmCDZV51lbMZe0V1rk0+B6Mv 7kCkgkWVBM9mpb0CcHjKxczFJKXORepYrc6FN2lcpjAZyKE6fkhD8gE8qd1hLRLdc5xhcvwQdWUq kyDCbDrIqNflLKXyAf7V4pLWT46j/HKcjecNq4erXD0aU/6jizt3xrYYVpkvOT+heVLzcxwxkNpV iq6VX0J+FoMQ9fsDKMwEpIhq1hxwOY0++c0eYU+sA1fkUc4yE2xvSpg44jF24DKRMCmKWhHOT7sd JPSmNtoxkwppk8T3ZqlUuZPt3IapHnR3CN1dXTK8mvMB61SUu1EksPEKIpSJXwspDsYIM5z31add j07rFe61/13vP6ARNiOaZz1lVBcXKcil9OiOGcZqLQQWBgHpbPI0aIK+76E0TLgXXRqXoInJ6vSR 5Co6vmto5qdGSbM8UFzBixSpFHds8JE4LkCQ+P4QkOaRPik8GmgOafrGBpdxLYGH4Q4DcFHASiuQ KzRLZPfwHC3MOpU0cZ06flmKzeIbxu8OuPw9BZwi2CM54XdkzFx/KJZfi+Zu8/ifkwqJXh9X4vpa Xqf4wDoCfSS6JPdJMF+KezyN2s3XRdzcZFiyV2OKJPKa/7heVBqKebE9+oWfkWkOHE0RYtA10FtE TJSL0zps08VL5FiBwXNwCLWFbskgxSqN6B1edbFWnQXfD4RiR8LL7RWUWDJ0jppAZ6MpHOAMf2po xxEP3r7shIQE/XkfWlonY0dYimQLTWmEtE34kA419WFwEaJbKZNPl3NgewqXYQsc2GCv+cxeFtVj VYHKOYmf3OCDXAGCst2zjDr8FswEX3JvncotIJRtUjycRsd0KX1BBTFSuC3vEgMECRC1inxErtWs gmJclcECdYWW2qc5spsWMfojzDwf7iLlvjmtx6dwJb0CKglWqPFerCbwtQ01W6JGE2u5CprHbagg Bg+mJDSpX80JoV1E9vnTMdjg7W+jgSKwDSmZf7QbtN5hIV3C2uyVKQoT5cg0h6T2ne5zq8Ju9ZQe eKvIZqQh1i8zDs0+ZywPoszVJ6CeB3gnqTkvWXK0YkO8JIIrVT7m5iwIyqfiYgFj+PUkwZqtBZD6 G70VHDTYNvLSpARwKr6UDI6+ZQ5dhggreak0brW5gPzFkQ+Q+AqkQb9DrOc1IK58r4T2Od6LOv1h eD3TGsKQJNUBUo3f3CliFAkmW2+HceknvS5ykdN5wjrQGR0RrNm2dyIpU3sj0L71NkN7U6tqvhJz QuWqK07QjG7dUohSvAk54TRLaE1Ull0lt/Spe1nHwVg5oCtx2SOb+p3Q4RL4Ofgfz9U4MQuwGdUa nNUvjqimmV513GnRVRsefTtIdR/sqRPtyudUcm2FD+kbGpezzKFh7J0/pShefcVteqaRU9ZEp4dC SRkNG/posREW5R/RqW03eyY/51L4JzB5v+bwEec1cROu4D21FNYyDgBJ79uF9fAHtGxgJISs5U5R GRGKn9DryTHNcUGq4JEECHSefJiAQqLdOn6D5ECgkeyaQYnfASkqhzTS8wVJLl2MfQisGft9lgxR ckP6GjG92Mbw954UoOppIWm37gUvSSfVwv4fuoMBdy/jOn4W+CD11rh+p6gefLg6+q4QUdf/334m uYLn8CMHpL6nLYEGiGoJ/Y3DUBdpGbR5HCl61yACFIDJneBd/PhVOUdyVspI315munhMOp3W6gr8 BP2zf0RWDL48XV1pXLXqLuWuvY7ZlmpK6Jsuqd3xukPhsoeqLSxumRNWPB/OhqPrVPU+va5Bmxyn LUNgtg9apgpXtEU4C0rAvTQAIAfKsFGnzxkClS2Kod9KhNvupNJlB/Dl6euILAe/zX4LvcI1W4kP joFIpF1KDI+xUkYykeuxyBL0ddf6p3nq+FiV62p0S+WVLXsEOawH8l7nGIGizwEsX0bwPT7jgs4g EjBlc75RaV6lfQGGaq1dWxfTx7rOJDYl1rEtduhKjRhruR8K+6d7L7YvdbqUJcBnC3I3oGNGxGUC XFoAut0q2etTbhIZ5bhYxA1Io0TlTTBiwN8/sPnzQCdLm+AqjagMmRtUsDGcTU03osKT0wlAM2CR sKjm51ea7CvEFucB1CG1AAQFbEwZ5AEFAaKJkU3t+OYPCZYFuI8HRU7MXsXysV28H5Yyf21UjILW tQgMDM1rR91bof+BnRx8qFXhpKQAJNysysRvK+cwqWZTwn8fU/NybFWxvitivJpZ7t41aUS53q/1 a3D2J8lMINfCw9TJl3RZYAQ9LBxJlMFmW1hjtwoYORjNc4AMAn6jib4qrvyeqOhqzY4srx2HHG8e 5Kc4E4Yic4fawHNSskJ292/fxQxDofHOf9fOaenRrGqB1KRDpjKj+yAhm5YmeE0i6S8V4qHCYTeR K2nOjrCX1qmtCCYuPoSKaKTD/e+6HqonkAXtHEqrDUubSIwS/dX42eExojyvW9tZE/rGObJztnTi wd5bFIForVirult+06jhG2LyxtgcKfUI34hj55Mvto6g9oBbZMFivTrBUJzUcq89ikoug2IwdnmT M6ENt7MrLNstqjrAYRFg2KBZGgmZIDknTdZVHm1fyP4XoDxasEH/k+7L2F4qiAX3dJUK0SlKisiQ eE1eHL42oUShMQfu5GcnpWdFCW381aVNN56LBrCYTtz+koimkAl/X/tUxBaXEK9bZRDatxjXn9bG G1nIuLViSPpTvmvURi/+QEuXDhkNzjbFOqC9EN73fikjE3SEHOfhG1oA9Z8AFQkllab0iIC96LhB l6980Q3k7YnIdlLMkCG9/A93KKjiL/ZiXKilyUWtrpBFlA0E6uNYptOwdCQIwEGwzptF03w6gr2S djOmVDWDc/JYyX9/VCNx90u+214v4l1en9OxgpE0Nxf4ZGhBTeNP+P25ioTP1k8FqYqSCLm+eDJP Ual2gvgJu3Uyxy4DJ+ijCz2LMQvZoglauKJceZUCopbFNO2M1WzlphfSV2tpHbqy0fCwzVTVAoR2 nwHvJ6ZFqhiyi5fxCYO994BnZ2yancC/xE6ovjx5ZJ5ULthjw20wScvUZZxTMoVqvkWuIZEJRHiD cjSIccO6r0KauMUvqIrKYuSGRNUiIG0/RE5c9ZTz4LSQzwxsn0fAKytb7DKrTJhu3z2jbzQKAsSx G8G4DcLlMl3mFRmybRdNLW8pEiqEkXS5sHAKB6PHXO1s65Hs+IjiWFfStONwz7z/M60t8s2xiw2B LXdqr+2znEqi4EB4gO/Nsqj7twJiQyu5hLm5lngIwzRtwgtYNFpLEACwFaMD13YDpyG43NzX1A2B eIMtL6YMTXo+hAe+AtsP9vGPYiTyK+1Oj7sKL4cmtrxce2yCAaBadXFRX4Zpj1d1gmQA81Yt+yd2 PlN7yE6bv4ZkTQ7CdALSXdHNo0BOP+4am7N88ANxsH+aPklMSAgs2OJshiuh1aGbKb9pkh8n/lWW /ZgFvcQn741N5HZQcAijcJCulZ+rrpUZq3hRaT18vHqY0c0P5WBMaWbMbWm8CcmE3bu/QBT/jdbC VuFcid9lDxAimbBJpntAHJhXiueGruWWfxMkJ61TRdr3l4VmcwbKe9bdQjCOJDbC7A8+PbQstsph IPOEDHK5aFlzRO85F6E1CylbFfs01aC2bHKNPjd1XCHVC7p3zEupMcoB6QNfajHf3mqCHj76KbqF N1PJkZNk7DmuoVBbp4IpgIIJwNFDDQ0PRfhIwchjGvzKw/0qD0kY/AVTBl2jEVBbu2Pa7JZMMVns /s0OrFZ3wtBfP1i1BsHaKWj95Shivx2CJDG7C1aojIqnzZR/B2e78BQqvieIEwl05RiGhH0yDfmz mfHRovIOJL0JL/aa4vghIbhqoo1bJIbQfsz6Hlu0rfY/vgZ98vwgMcqxSZGpi7OJPKRB6ATGQkxS eRs0VU5V1C+2YzpCrWLf454D0P/02kPl86n8qlRzL0S9/JHADJT3LwlFIwLVHNavhGwYjqFv55H9 YkJtXDHA1gjEtYkYse8GNsSf7dZFhUWNjsbAFbyWBN69AARuRv2d1wFIo+3Rd8zSrt9nzUd8XaCC wE7hDwm5G2Um9W1YUfiYjqRIObMe0RnGScEDQE5sSCiPHk3UUZ05Q/b2ERXqql1N9SyhvjxBgZ/i 0CCuQqGA8rGjx4T35lURGFg1cf7oR9aXTL1wgDYkCKBebbB7E4zB6U1szFKDcMQcrk0OkTVrbJAa dsvxwE66u0GG0h1kjLO5ixd7B8o890qc537avG1xnJiNy6kTHLZMKlogZ0Lp/eVSKU/ihdXNws73 /PB+Z51exDwDPGsRRpNWYM4ihe6iY2PBhrWTepUXHCJCLfIqnC5x3Ni5Q4pVdpnI1tyqJ+jLFCxg TumzBAZYVe0TtXNMLcsXhaCEgBto47H/BZsCMFC+ACYzaIC182ZeS33nmATJmSbo9+skHP9E3TL8 5wgeFW9l+S7m+cVFYU7jfOYK72U5tsFNHAYTKXc1kVkSWE7GcTyoRnWzb4q5N9RHmaPs1V8w+89l 6AuIgTTZc91Dy6UVzro+7b14VbUXmpl45kvPCtfj6I/f3O3aeM1e+FyJAPHk09CY6EE0s7ulOsHx o6Lzc7c/TPoKlkBbIxHY4eiZJE6lprwjc8Z2ivZYdysZRuopol9LAP9sk3KXu7bIc9eVSkkYvfjv FEXKRgoGGHK7+yuVkgkwuqCflyrAuQDkAKs6AYt1IiQlw0/McBh0wP6qGxoICHhe/Xzp34vnd8mw e7cIfb5c6GzGl0t+k7MfQIz8QGVsWtoB578cePeFX+tm+5L7b9XxSQzIcsjT0Mctox2/AL8XRcW9 9zcIqA6OtSKDNI13qGmXCfgrLEqtGFPUw6KDAtBeh5O6utJnzr0/bTJVyZrvMamO1ZBifLm3JN3+ GVMLc7nGmJlyITCTNbTfQNF79JqBnTG4e49CZIGr+CLj3gEF7nPHaHlOPJFCfSYJZvCuBUYlI1zY AXbUPtcuyBGCSCdA7jziFh2+xF7BZpU/uRJIrVeI+yw78l74o/45H0M6sSiCMdziyT7wLiCuqo+1 H3v783aluFD2YreWT2YannDBGm4ACgUTpJkR+a17j+DpX+lJEsDzImp074f7lv1Fpx9n2BQ5/+6v UuoYazfD2vbp+MLH6CXM6c9zyrCJig/Xf+Bvy5IkppZzo2Xu6yYcbVNE3vlBPY0qMEvzY2lszElP J/Lxv0nB5CJ9mKpgc1vBFByYWb1HDZuTo+gNtFT/Ke3Wy2jRmWAdpV69o1VHXoy0wN25JFT/FnAR QyDeYltQ15lFUwK1qR7Cn5czQMpzA1DxxHB+nnRs03Q9sB6LoQ6NA+83V0UTk8evAjlKGcWRH9OU Yp8U4KcL1mm81uWBJ/K/6947OminHjyPfdSLTt9upRIbGQ7okP+EsU3rKky8CK0RmLXRofLVHQd6 gAkXoDm/gJHjGIPBjxsTLFqmAcZ1INfinqqmYpXvVbsrQryLNIk9HZD1Tf00+sXLbHnFHsSbG/EA etCMnf5JFc2mxIZA+jXWxfEuPu0sxJCaSiskD+Qh+j5p1cTj3LQKIMcHSB/XoBstX3uiYVO7Zo5U mTVkgQQMZomZsq1h3mNAfVQoxDf2PpSPn1Qo+ZmeSGvgys1g1x/Q07iggwJayXkk0iAoj5/VYMwX WPzjx+XFdTqexhovvHo7Ek/1ghR0bF/Jf5s9pN+1fP7yXP44nw2TpnmsvA8x5FmXfkxkUH6VljwI ndvge1axJkofRwUwFY7kz0yqY2dTeJ56zrm7kl+R0UY8rbvarw5TSh4P5JmxpXU0nm7yqkwPkNg2 20PUk1oAzTT+qD6FdC8YcF2tjwOZ8qtwy0GZFxFDPa1m96mBrc1IVkLIcqNzmBHLqTaEZioY1BY0 ngFl6Uz/bKxA0o5a9Rh1lzS6rSWAXe6iG7gaM1Elbdd1mLCCyc40ERm4E8f864BXDsr+kSCQjWEj KFUGq+AgDarUC10xKEAsijqEaTmBw2blnBGbfQupBfsFU1wYS5kHlDtW+YQA4/xcjU0MUcPwg6pG qGf+OpTg40vofp0HAal5WxBwcqoWdZyFQVB80seuhAAw+gtZo4ArWYU6Gg33DGQjXVByO4SHlPKv JnQDeEu+UpgV6TrBOdFz9teXZ0aVdLzGZSwuSjU0lhPNFZBZ0p1mvVEJpxmAwbh/qVyGLW1gdBpI cHiJqH4EZU1EcScXZPUmmw4V+nhmaaq0bakNuUnHpTHjuH8PcAZy/KZZDucWxVocKLwgUn6Ng/5J 5HpLoee41tu7waune9IopPtKciR/y8DZMagXzhc0BLJLQwHrkd2aR7xvqAwgWYRZLhQukstjzS5K Zocz2NjuByD+Vk/xQk2kjfY6xYdbAFgYtnWECqdfJGn3XmlJbaj+8up+wa1/DUsOaNb62s4mvQ4b rRp7s8E+hta3p5574ELY37YgFE9yL5m1QrT5MuLWgzY3JJRA1l8rBcMIgKOpELvYcXbB2xfRB9vx W/jrKlt7GPhtSoiq7lD6vhqW/QFl5aJYOG9llOsMVACwBfhCiWG4FjcmUE++oGqLIrsbDTJ0UIjF CXlDTPmAeeM7AZ2KgDok51RtJ1eOHFFZT3iTkaDCrmoxY7MQn/XvGaa7nAOj+F7u3k4HRN4xYVsn 2GNoFYDW3/u8nOhChYAY2Nt3NI1hsnMs9HCUqOUuNDi8yI+ktKzd1rYvbpOd4wCf1Dg3mhO2ijrS 0RwrcYbHD+PLaHfdgxF6UIjBk1VBbO21nw2PS+0WimYuyuagIvvQlx7NU1seTM8tQUTJCAj7ssjH xIJXJRLrrrPqM0ZA6vKzzNHLKMLBsPE5SovEa/EU4b/h7TashzkrK53lCesWmxTAra9UGQAaCAuu KENdXIdlgNtcLZ4p/NAD/6HhS4rAhoO+AGoWA2of8Rehe5+IC+WE6QG8eaooeZ4gCSbxYH5A5Ska AsUCaw/TdTZv/DiDqRMOeM3QCpe57NF4DlqZemyfvyf99R3aFrBblGw+SxempZAltw9gSzj8izaO YGXXPwBSuYk0auRQ0HnXiDRfbQUhzk/n7eqeew6GI9ZGvjh6agmNzyA5kqgIC1KHU2zHIzQPrn1K JPrOUVFiOzDZUUIhPhNWlYsSeKmdH0zB9svoBQ8wwmszx3cYTVdClcy60qxNVoqe7DY8VkScKwMY +VvwlstLXgSoYDMvZVBvyFIJksJBozmLijbrXmqAO2CoInsgYPB4jwuWlTYHcObF9DQahOIrwhPK OQZFOHRakHkZIxYCbClFN/V8OfNOAtKm7e/uY36dorfyD5h5SY/foLcHGEcbeJrw0oY6lH6UOK/4 GF4Kid+dqvxg0lphKmM/dO4s3s+mNZREa8t9Osf+O780YKkZNqZ3Se/HOSriU63EGCAkAuKyhBIy 02pmNMG+TTpCGb4rxvuD9/lhCVZ1VgLXY6BvtvRfTXCOY6wD9UVRCHEgWqrV9hKfp/i2UnLeFEWI CuR6OaaWZT/M04v1o/uRGPnmCnlH5hfhrPfLJOdE+WuHa4irlH+UFNJMluzcu0fIDvUY2ji/K5Fl 0bQ0n59skJ3l94NKNbIyEwH7x7OWJg93K3Y796n1MYI1zCT/+8VwBs2LQuE0QHyTiTob2tvXvhAU 9y34SXaFlI3vusqcozIAZd28Jc61mDqb2yK54Dya6IkPl61f4G4Rsi3PTTioH7XsPZ9l3OzewCB4 TC6oLRTrs0ijEx+v53itfSAMOjy4vebsumJ9owTHzKSanAghBiOvP/FOQp391lJyBa/gxYn4WPpn NFY3iC+Vd5P5ZfKNSBlRxGjp2ecwyefLh9FIOPA0rSZLNV+lKoNeyJaX/F/7CYxI9lhIdNC0MdVQ u6uHmO2YKwAi28n9CFw5q5nt++1gK61BKGfrrke4BjYi+yStGTgIBRU+cjR8iGEEPSDBXqDVPrOO yNo4wXJu1AM+NLZwyNd2hly34dVWOSFPitfWDXg6/1UdDWZ1eF7SuCMde+6HoEyBBEb7khW1FfTh 1Crj1d4IophDAPSmb30fwPLmfwaDHbgLkjEVNHLdU2qhaL4f59G+db1lcNz3cV8/YW7SDKUn7eQJ l578QRM53/I/W1mldP69SZlBHZlSDAcruCYU0LwNTkKzjVdIqxgb+scvreDHkRG0t6yb319xb2xE nbQIfzQ1QB79cK7XyQ/CNpECx4su9cD4yGZgYsIB/SCo6fmQqOSNxzKSeIL8gWZU9RqUQktx4ooT 3B0Mk1dnYxg9xXGa2FvVSEoOSrMWNDWIlQ4Y/8xDIhQsz8QWpTdf9dXcddEvndks11753smZlP92 M8M2rdrHtxR0Kk7806RV12JlvY8usePF3riczqpx/cAo8k55PpP3q1pYAJ5+MBQYgEqm0d66PuYz iKPIbSGU3VGbEOvrUd9wqOhDIa0Pkdnr54RJPiSY/2C8dY/IrpgajO144xGMgD9rHcm4EIJ5j6/Q 0QkFc/xkZ332Sd3tp18KmGZ6f7x++C3TQHMT3Y9e3xbnbbYZ3VGDRDV+mOFYCOUmtSeNhGspyHUn CroZlWTVC/rlwt9kR5TsFIofpsn1AZ7Zjn0ZsJpXqzpRtrfG9z4iBbLDKmkK4KvwI0nycd9O0Y9V F836rkVifCUgEgcIdBae3UvPkc4FBEZQCTcAKUR7KOMwunELX9NCIlPv9JqFkMLYt95nTljXlSeD ERd+mvK7Kx9AORzS76ZB2tXWZynGVlNzIeHOzbueXqUJ24K+rvbQgkR2xCXOM2pJA2ojg409AkZs aO5InssuKtJcB30XxwnxW0VhBvnUMSfhaF1WPvYiroXofWts/igdv1+HVsRJR5oevjipu7R2V9K0 F8WSL/ocniWNOKsRX/QVn6PbXKmjPPXBIlFfKlSi/m2hcmeRR+iLGAPOWAK0h5HYb5QrvYmmkisL j4vuhNMmi2s1tj0AbaA1li1dP+INnj7DlopEhbk7u9QPd92L9+rjuJxrEG1FkHjZEWBe5WzZ1JwS scuYR5DbTcaVukwXBkppA71hcJ3dXJdiYSAmoFWNJdYqTPwAZnRJH0a7Xjdb+fvWnXdcdFunDhY+ SkO18QNP98pTfsUTp//UTQJL97Unbt/WtW9yxdE/dpNWxzqBF/1c61FScTymz4SeeBiyCw5OihSG UXwX1KfwCUGTPIFpKX3rtBfobrVsB0OiU/uuqmdLy9iZZkODlf9H7QoCbDHvgL9kcgpRbXOovT1J F2+xssYVprXafJlA8UZt3HR+KKUSAq4nuZx/olr4cRHIbIkDpUWqkbK2mtoRAOBCqHhNlCMbg4up q0Gs5zQ4jebBPeplSJR4ff9gYyG696VQh/06IJEQ477ODkDVDDNi8f2C7hgKRKx6LCrakhqoEbYi cp9EH/SKiM+56qyTxMxtbn75SuqVcB9oIx7C5HuP/607EtYvCpdKZHLbJtmISN2LXUyXj5mwmat9 BtRKUfIyVCRVKfRl0LKRWOcUMZiG5GNzhc7xvlYLy290FxULipmqWtN9ib82EJRg4fjAviV4vSIH IQF3dLXNtzYoPBHIY/lGYFZ8QNy42R5ry7TA9NzkGBQ6/CWKdTCIOCSiieOtWjXVWnBi3WyO+D9J h1h5jxO5GD2dA03ZejVPJEvxBB8AQNqKxtFsFbmDleJ+d4YXirfqbsW4upmTsBohVplF+7aqrAc8 k676LwYkLoVYFGMcWtEocuAbKjZjmZ+LRohj/hj7R/q2ItE1BCmd5v25kFyMkWkZAfWwqTJznECS ttnIcfoOiskjgobZGwjOiPS7esXqBUCb4/ABw1uDflm45ofbO/yScnqaBvkhz+Pq9g915I/LuXVq TDLs+5PkM9MajGWwpNkwamOFjjBTOz3OPn/ER3wAQgu0WgVkqESDwFzsxrNkjl3nRrTUlvQ7E1lM YCTAehz/ZM8nGpVu8EVPEpjWhZTaw6efM+pfytTp/jMmhX27LRWSHfEdyKNalA04w9fgk4EpoRFJ 0NNlZknEcY/pBN6Ny5jUWfbbKlDk/f82B9pFTpfLFiQollcpZtotcxQnBNHt1MWiEAP/T7SIsWky NvxoIaWkHPYxOki5cbey4tF4DhgKAOpmVYT5WgTxY4Hd3RSAB98e2kWXU836TvIqpn+cl86ZApxp gceBX9LC8+wx+SUN4wADiCyjmjTGi64qM6eQqUVazKy0F+IBdEww1FEMYzRF2756+z5B2CwU8lqJ zk4J4WssA1hFggfhg3Kn+itIkzK2hjomh/dULgvLQgQWIRMSRadxr5pJwLPL7+NLe+Y5ukjVtLTf 6Sanwkn6L3d/kLWPj7LlDMLi71zY0/sub5QHi91zfS908Ro1E6SsKa6NpQkOHWB2K/6wEyiSa/6e 5VVAIETkI44BRbyo8FSOPSAucoGseN6JeGk6N6R9Df80B37pNuzSotZoXPuXva4NoLWEmlVpAN9a ex+D2YORmoESQFkrxmhtyNGfkBsHj5s35zyiYUTo59+WrJNj0zn42MXoTetvfpCBLPAzhGGzsENx WpHqd8PuLWBlooEMT8t954MXCzJ8Ix75y43Nzghwjeg3dpZW2rl9pu8v+zK9zqqJrW/rLCvpOXbq EympdKVfkftPFD0aMZlNZZnu5lmreE7t2Ql0XfME0HKcUgbUfgFRzA40lWjgS2W0bBtgEg/1K88h aTlJ8eWtZZtUj/53i0mFff6IhhzFJ/FDZUUFrsIsKJR4/YeEiLTNUr4Rwjs/qnPzPBG+HYHn6lGw 0fVedYP/VkJnSVGel3ySEpn9HK0HjBVXkiqRehh3+nVQ/68nfhxSHIThEMHbMnFyBgGE2Z+svGvB HJ7A5hdxP9Z8rWyLNSijDlI64VZSFsmXNcR13LVqwoGtCsOXHjJVQ69BRW9vQisDsWhmvrvL68cv OOfhVGdW1goe0C1T2NVfE2Zsw4EbnGcJD/k7ficO+NaztXlKXeShv3AkLA/FzU5lp7W5U4U3BbG4 mZ9QM1Tnq7G0y5C2AneftijS8HkHDlyRyDFAsZZHisPaM4hkMQg3ZQW3uHT3XvYhhkTEmPntzFVw Kvmv6saG2OORkUS1B0LpXKEboZOc/6/20mNkC9VUa2VTF1bjULGOJWm/55kJ/x6Ob6klARqxwufK OS57SGTLpeTbkMVtNk2dsBEmWdoMyoqexs0NQSyej+bh4qkl9OrTIAJDBis5RZ304CZ0BV3z42M+ DCrMWGurB8DWZUj4kjPW9GnCN/ghvURq1rhAEhX1DFnyyC6mL0duYq2fiL45btvXDSfgzzmk+xg2 8UphoD2mjGuVelgf/aAt4S6EODBFL02BVW4t5tYqGVwpVeX1wC0D0U62aH9hSFqx1xp+pso+ojuS N8x0XZ1CIaXtj6R8ZBCjn3kLifjllcEQ+n1QjqiChn2u9qu46uno7hX8H4ICWZjhMEIghfMe7RAw OhItphqZ3q0lEcnwivkBf/79H+k908LTvZDDkujsdbLdwbmTiySNF+PPpQgUgsA+74FgJd93ADGw ECpRMYx3bR3vUVzwDBy85eZB42L7BLGBBE0WuHtDR3Qj/ngSolO9YiZM5GID0seczVmfm7qTqeAy PH5tfaOwTxZG3G3XIGbs2xgUMSJjGYBk9At4K6PaAIxuU1TU0R1YVM5N9peC46STRzgLcYcPo4b0 oqOVbCA3EdrKUoNDwIjVJlnPF/svgzyLM14JU4skE/pnIphjynaN0IZXRDkMyuooF8G2WkanN741 HtstfJct1WULAawcLSSMhlYRmBLtOPXYYwnRkWMY+Fqmg6kRXvOV2IDhDIwiFu7feb7QRymaAk7T tflhahX/AsINbs7qBZIjSgghkjtmLGtUY6qVJrYkS10q1tqttIec6p1E3jl6ZHSfO+RCSjwOwGR9 Dp2vUkYcBgJ7OY9iyRqtAH8udekVf6F812Rn2er05oT46h5ibTFuaRYygC8WX1TXqC1kH2GBCRf7 mdK2KdIHml9Vo7Zb0/S6WJ0KTak66fvRMUbImYuQZcoz2f74HyFhpjdvnv2RUd+HKc8wVot/AFFs uyLRJN8xencodXhZRCU6J/aZsdqxTYpK4mucX6H337kRlJL3uzxcHZEJNh23jqKObBFbjtIPU4Du r46PUl/jcuKUWoddnP9JsHUazbdq2LNYv0t1Xv/dq47w7qnp/ZPJJnlBkEdgj/jV72HK4lRLb63l +UEdHweFgqR0tKVSyLvDS0oYOKgxtRNDB8vd7mCZiQTAX9rrsthDqGlzcWmwiIjwYFHWYTQBCxJl e0aPmUdyvzul/my41r8zCu7Qx+TvDuw97G7fFfgx5a3M4/LbOjNd8XSGOysrG09hySpcVjkgwj10 dGpXYMyblv5KMSNSKD1AkQBaOjnzITcTnlWILuD4ATDZnrgPvgxPZYEBgakki/a9JCD2HU0fqRVA 4Kw9vDPLp4PqpA51u/joaDXgLv5cr88LTrZCzf+FW4xdprRWKHqfs80xOyScLMcQmbW2OJkkZDQc 44mh+5LTueFCXP112v55S4AagKu8W4/8P5CJrFBAvuHRByBQ/H+U/YNduulF3gX6k9eB5+hO2sJD 1vQOg2X4QMUK0Ry033PwgBbercnTzfg2w3ZA+kxPlFFH0ozGrMETLEQi+XqvfvdyWcjX0Ofh/mYz uFiUa+4K3/4Pj1mP1wzEs38f4yIiiUyPJ2Ial2TPWvSVKmi/Pm89z0geJdBpoVyFM3myShDlzKHh CRm3tc0ogiD9dR1hDzJx6MSuR4YdGxE7BIEy8DsfBHUDHgj4fah0/b2YHiVWVDbQfpiPvk7fa7wY 6a+Iw7XAGv9TVP8p/9ocBX01U/5+iHkesQVXrf8stURBDPnPWGnN1UEvNNl7/Mjm13FmKlmrh0Fm DqdAmTEFfA01qf14oLkqAXCPgoBq4C4rarJONza9XaG4qSBofzsN8IRoLZONLAhWcHCQmB8cI+nq BEMqA+2UHfJkTIXpUTBn7DclNaoYo7B3SiQ8Uj6lQrT9RG5+NTb4Q32aeTQzWbgPqM6Su7YyTZMT PTOTDoePJRRMyGFpGHNNWMn1oaLCrFLMERANNsa7Va5zcsPwBBxvDHf0tNqnnW4dRL7C8XK4aMwH 10SqWZEgN0AxKiaUVnncRaTXqPQ5cN10LYOfLrPYojFiOLutoBOlOEvf4QTC+PPG3GWX+c5EZwQP HnpefxL19DJCOFVtpDieJE3B6bHG3feNz8OVW9kZac3bVMVpxIFdZOQlsOrjxH3BywvF903ir3gq XwSohE0Qq5oV3xGcq+5zVCHKhkG6fRCxNgCe6s7MlXyHChZUkRnflU8tUrWxeQ0AhqFV0eo5AfM5 V3q/NlsQJqwEpEPfITsLax4FtyuZUFdeReZsExo+J4i7GNdZO4X7S+h1LZ+6hSCwhxwYX6gHviSV DXM28eU38qEqwutMQleUQaOq+eSpilfzBJF93qHy/Rf+3u/OVXeegid/1STUK1mDwwCasEuyDepY 2p0k2n/foBox13lhG4jlFTNxskcJaW1+l/dLkzt37FRhg9oneq4pRfs4dmhKXKPGP/kcdZLCWKJz CTuelsM9tSj8hrXbnnJ6aH4pG4U80rsy1PiCZEXwBcZXySMD3KSfQ8uxZrTdurymygdFXIiP64ZD Ga/xTSpUJumr/KS/EaSNAgrPs7WsXgZHmIJlMVeLIIaQhUmfKcfNK0ENp0+3quJApriEcSziNX2q Ch2Qw76+MaqYIyLoM9w+z4ccc+7i+VMixVb4TnUxNN1HtpfK0/28S1JukxEQvkzQuch+BVuoFs2n Ye834OkPCWTX9jO24PBYcdZtjYOCs1ib3XoZwVNdn4fHKrJqnqg+woW+K9+JJB9iVafkxrA0UH+B PqxbVnPXLL/QqV+YE5g8qXmPqze0Ho7gI0/YtK3OETtBrrbFoZl2wwhGn+e0ZXy8TJAw/Camaypu kfoDmwH0SpZw+JZTMBCmEehZpAhZBilKLx1HYRs3P76yJCGkLMq8GT+gcfKyjBWg8ZUqA7mRiN1F 7YJ6uMyFYoKwAmwI/Jt6zg327pTL/W4oz/twTBFwpPsVcAGhruIy+rAwAdKGqxsAz/nyAWCBGdgg rRKBONLjY572w3blWljOwY8pI2DGfKO/++whTK7qtD0zUB6h/x0AueUs2UbO6WuZ3d9KjnfiOhA/ x+nLYLAVa5ZHS10Ef8NjT5PQdqjJaiF8tlAaKfe5quonCtE05J8qbxtwb/Sn3DeTZKwCq2FZqaLI 2u+si1xtqE6CaEy73N8uWEYkw/E6E0mY0VBMJSEahUvYJBm1T0zwQ9z2We+nu/VvbagQoPwxxE9+ gFY1R30BVcHSAfqawA3WbnBHb3p4sxkB4P9QOKLWtLG35YnRlp5qVN3hQNQj/pZm+pRpnDS57q4Z gW95r9O08n5FxgqH7yR136Sm2zvod+t++B1RRt4JO/U9CLh1iu7a0D7M71dR52CT5sKcxR4MZYg1 1vl358eIHOnHUauWx5QaLojURhmm42vrealUDs4ULjJSw4E80TZJV7ftqlOq2e+7RgLYBAoHqFPU Z4SqSJeVaUqpjopKGZIN1wU8aHBKJFkVgkmEVPsWkMJX+cJaZnrM5e+j/tq1InB95cQTyn55LM/y uPtOPwDelwUBJsT+RkzcFJssN09BGxAC9ZWgnU5j7qbOm/yoP7geCFYCm+vpQ/+LMDGyttAvhQAo eCIO0xVO6VNQuLbuZwV2eRzD7/GqGdzXNq+kdV//mNJ0sxCXRx1AAMo2ZiewMrygAbHFqywyo49N yzhKgI/UbhUPKS9sqW+fBLD4d6r4rGMWPxSRaMFVXldIKtDk4xzi2T2uK/PPWLk4QVUULmDDxyMK WsC5gGy7YLIyRqt3bTh2ZmER11HL+CPCCak6iuPaIa9vHZLuHEm4cz+QDhIRFn7vOnww0cGoqZO8 nfD3t8VrOSapCkPdL+gEv1fx9pVMki8S6XDxYWXIXx/ouRsrTAoA6YstBjHmxGE62q1GnuJ1B7N8 f5e/RHOAjZSWxiq5QUkyg7gBPXUUys4JH8Xx5KTaAfI7UOYmVb7kGWuu3Dc1pT5yc+vouxhaK3Wc JhpKOewIfh/q8e6sCCgk6zKBZxeR6rAYJzMVgN1YEKpcKHq3fqF3+7PiQrqOTaWvPtbmZ+VxKacO vf69nhXEioAqDpcUzXsIz6IZd+kGdshucLvvPK2bqxKPsXyjDrX/95+O+BDxxPsE3of4//oXe1L8 /1TmO2m50qsGPtgDEUdJca5MW82vOJaN/xjGWZ9SKpjqr4RNd/WdA/VydWfGH7tG45UuQf0wHW+O 7q3RJ/bgviqn1kwOLMh2EsUAVw1+3m83o3RV1rs/vowMPzl1S0ReIU/vuA5efNTRbclc3cq0/YTy BMh/zoXjXG5Os+GLraWBqb7/NqLRqKC4Qvgep4CFMDmXfVRpeSSXKH0Br+7DvJIzkXhejF3yboXA P2zrP4fePIyo/TzmYTGNI+ESctMUSElnAXTU7d1ZYv8L7TvOxSErHSCNFHgw8yEQYkxuJcpvgmG8 cYUcb9+XPIC8Nm1rgNVTD9UlDclj8an+uq4gfdcUG6vBzTc3D1/kxNWe42S9dXeS5nLoX+/M8uLw PXh22u1fTQPffKO7xUtkI0uTde3ZmCBVd+pmt+a6kwl9T2esTL78ET7hJkR1kiDhzQ6BBmMx/Xaz sIBQpH+1uo4PlzstC5aVacx4ivyF1JKZPEypaJNCXIwp9DwZlmwSdAMOnUNQhYKlgOFkGex3uIbC B0tQEnYb8p/ssjy6NCipp5QxW5nu5OsR6bJsJf/FQkNmyCsUec4Qd9BR2ZK2maKGF4IF0dbVFLAe jJ6KnM86jhI/7uz2OWKjdkEkxfy9UdEbL9SQ6k92BYsB+lJJ2xKdLyrNmDolGDrPioHzXgfAe8k7 P18+PkXEToPcZ3IT2aUQAFuws57bsBrOLrGns9ldcW5E7FjVnGlZbiigf0Ms08Lh5ceKQAI+7wsQ s7UIF2Pe+/YmWRSxkB02sx2vTwGWTMI+ZTvxX+LZdrQgCpG0xFquqekt8xPNEb7ZOPblw3ByjuQN AItzQ1Vpqcn2U7Qro0rE6KFSEirRFF+5Le3w5FqdGXDXrIRKPSfiWfmYr+neZfP4Rl8ZlFrQImwK +fR8K4YXAMtGyr+v6WquV0MbT3TQ63c3WvB9a4AAkzQoMvMO6/sIip4etwpQRlsedMUC0K3I+HQl J2JuKpDZlP2YP0djQscLBh5T3TJjcsB3diMcZL4cmdF18I7TTk0+ue7wbTqbBZTedEg68WGjoDpO rsS6G2X/KkDyxhVpqEM0NMrdaUiuFSju0tnWOHn7RFU5Jrv7I975fl28syAby3pJt15jM65IBb1U 9Wf7XwTPr7fCG0c+cihSTqkNgT3PyLlPf3JEMCXd7A/HRHzuPwYEQv9+fBrw4X8lfsRgyWP6ErTk ExtT8QQfMRrdzD/C0tKudP97X6VpUkp36v1+1froNmuK3ccIq4JcSw5IfFxIru8gjTg7unNAFcTn bW4zay+lqZyne55la81fQr4uiYnSm/JsKRY09NKjEuXH0LfKfxZPRUZYJF96d9uvSBjzNPW6gww3 wXxt3JGG2ZNLVs6Lx0KYYznGJO2ga2+XQlNTdYsNkVIZyEk50MS+Azv7ZJunZuQSt64pIf3vZ0em wf8sr4cZowEifZKAOYcbBg4BNI7fdFxMGHkIP58YOIU9PxQN1BwK2zCTmc750uRASFySTWO2A7hh f5EJdIoBQkd275xSfS+TljAhGDUtnBPtQfvPILNsMSzAvQgyp5n/EExIgpmszyWUjvZbMVrFEHmH xkZZJxbkAtFCf1WfjRRnkWmeK+k3oBbuToSfBCPbdItncHmjK82SsxggwNc3veZNXuL3zj7tQfHV tKQBah3Hj4KQouDspwHomhzdOruCaSxlKSO/D7AW73BPd8863oTTk5Oru9hC4LB6WdwQA5w4qjY0 Ctea8DZYAUk3NQKD2WKreq30Uj5nWSbZaHcWmFR9adcNvolM01++nBAxVnZI05w0FYJiV77ZzDyY Nqi2gJBWv/g6BPbZe1BPix5Rqxu9W//9jUNYYBuJoDIyZWW13FSOXdyJqRGxacwAFFidQ/0WLRd4 aysPglbnAiyNBUdT4wdTn+atrN7in4fJdb718h4ZedvaI419aPbV/DU7FBwQErtpyvwyMlh9cfNY YqS4tJH+CGFaPbbddhUi+vps0kSkYSBbNo4egF8YPcP/D7Rb9l+qGdOlZBieRNRe8b1yZF56yZsk 72Qrg8cwjmgmGNGClUh41Y7LT4E0M1KgKUAb+yIkFStHwiYuJqGyqSqJ0xZhlK2VTc2B8wMY/McE rUHUEVKpJuM/nhc1EUSgZl0VJDnHqEPnVT4eYuiCK/8fImS6C99vlX2AqtFXKij9kE3ZM2DR4up2 CvPnU/swfog1lha9oa+APtU7cX+dV5Qn3QirZLY1i0W1TVLbOMZwFbOFjF9RLjVeBPUiE9fZoOp5 ewJS7nhqhswnRoCVytCyn1Se+JQHn8yBCyYn/M1OYKX/BYTy4Jb7dImKBJWU9+L7M++wII5FcLIk 7TA2EzRhE5g0LOegjokC/cXvuc01ZTh+S7hHjIg6HMhEx3Rs21bJ25/Pti2SNFcfR6rxU1CwBKJV uz8/IEFko5t+Z3iuKFU3Zb6bk8gMs28ol7u9HODJIzFVGvMc/QDmtbLNf1YHcOaPDaUsvi0LTUua 63xBiUYbcgDbRGH9mIP4bAhvylTdoPcaCrFB+iJb8il9MV75h3jTMLqT1kfOamBQe5aQRq/fev5N WdyeTqlx0mhn07qOrthvxGYipKFtnXDBjhncPLbmnm+m7UN5P3xaLWTAsZvp14r30ro/JZ8E/sE+ O3N27QnlWnrknVZas75IeT23Gvc6pT0yxVCPRLxJO+7cDTyblfj7wfaEnpnHr2YtQ6aSwZzUZM1+ aQe0zczhDG11gFHRYD0VGs2PiI44HTgQw4gwgNzOPfUgMK3jDWuRbpbGMEQolq2H+UFC5wp+LpKs y1cfzCg4+nHn8nb5jDBEZhuw1U0cOSPyiHLO2l1NDCHlEIE8hPQ1N/6AQosIqeTwMnIXkI4TbNhG easkxDJpiW6UlU+/tXCiUENMt9Q2UpZl+qeBHgMDH//gdz6Pv8/YEwkhr/8+0EgwexF2trFJZZZr /h08Ebdkh6FEwj9i3omC7+NFPMu8cAdEm5MrOWGMWvWMgjX5rg0NrGzN5aeTjHBbYU2vA2S0Gaz2 J2VP0ZmdgrO5EX6loYyoHIfz4FHSusZRBVKtIhD3rGA9Uf6sW8RH9OZyvCx5emc59PJOfChJAN+H 1Wec6YbP4BIPWuhCsll+oi+SCRYBk26T/BJ/JdNMBiXHRPli48JU/h9hdxGs8opA9GOusNf5+kaG 0zETVjCW79gFTare2KE2QHbPwCPQLJ1pUxlWMGvGZtlrTWbOckysaPQtWyUO5ggcLQmaGM1XdUnP kLIkDOMHr6i8X3rBw+zkpdxTWBgbB6EPN0gMgKbH1N9GoRuftEkDeBFpjoyweyh3dNAYT+Kl3bmH tsmeKlcRu5J5n8GdsNY4W3X4eJfCjYtiGV+CAvTgMpW/9J+bnw22cJOeq6GGj4iIQaho1lSYY0Nv uo1Vv2A4WEdvOPHaczxZxpSWWSOUTS6rmHcL8qX4ZcfXs4CZw4ids2w0pBQDzcdwOotaKR0qKcEJ RQpwOCbxwdNOjIWHBgRbgsBel3oXJM/2TPxS7IITHUbVxjViJ6E++Z7T2hQuMFJo4q9h3nwrDbtJ AfCT8okBGdX0DB/h2H5H5wrw6oiqRTh8UnYnQi0pxqOU9MvSmitzO9VaOrgcPiZ4KkTentYGM9gV xH78aiPLu4btLrZfeyYvfRMkRmvzvUMCqP7eETm5y0R9m/prPnhGgd8Jyr5Ipd7G2AZUB/AAyg/m dxSOtYXZ4N1f/aZJ2Doy5hJiRUYlzCVQCaEytzos3pwHhgf0MxsxzgT2liySepjN+cVuV1EQHOG2 ePitTHKmvjiA8ZhnzCAkpk1EU1zPCK5aqF74P/8HyCa4yE+qCQyLIOsn92q0DtJcs7hLUKtvuPWk G8+lXmPTaJfTv/fNEE3p6CPHiO+VzYe+P+1JYU7B+H1GMANcv4Nozi08z0yNv2bIh439Mp5zzmBI A5lygCksg1Bi9sOk4+NyBPu5c1Yx+N0ExhvfCDtfOytwWlH0qfIi1StUwWC+NtivALdagLY56Rd4 HjDglk8/z7398VRP/p8W42rmtyVYZhA+c5YPhCmtNbQ700kZMTfy8Ka1dnktIBpsEi1Dlb0bVu2U XQT/PbKRImaJVBGEgixvvD6h4K8vaP5ZAKCTPcfI095e0GHAo0OdELOeLDuMTXX1DYC7on2bfVoa vI7NNE42MQS6i9U5gnmVMS6TtbcuYl3Zi2Ljby0mLSNj8Fxy3nVMHbHuc3M03sqVqa38ZCf+3w55 Aenc07NQBZhpYQ1fQy1/HC7DdTe0Tp+TTteFleFQem0NJ7zDOdtRuOsme0otWNdC3CNVrc+EOqG4 KunGY/tyqGsaULa+L9Q0BQTudbx1HRt9L2SX4LO8pLXoitL/HmEbXSoBhjNaSprHmuLMIJz3N1AI Uf3o60ovtW5Qmydnj+VlzZns+y7l8OQ9L1VOLJ9BEHWbBHsl4rg0nTG+0LCBXlQjFeh0Zw0i8G50 uPquIuBlyeaFaJpQctzZRbzBUYHiKiqYoAm4mdmSWsusjtnby2//FCRFBpyeB7y31HikzncUWrhx uVHcRBLM6MwXTGexgLmdVkp+DeDJowRcb9WIxqeeICCH/1i1iTIi0Z/4nELXc9rrsV30IdRrqfKI TAsfQedYZVMq5biO4OSB80+5vK2HQyfvBYzfAQkRl7Bgk96Jb+3RvRnXBt1DYYjCrXmaGQyiLGfp DTBwjPLjvZf8RW33dexFZ8BDFynlYt8bMnBIMY6XolkBTcRnCrtscGfMN5ZdF5TgB7MtLebXvvV+ r+qE8iXi7fhiNh2lHZLVAUpmzkVszTUK9C4XVXrQZGGFIoyD5pHgGQifmVY7xYG2Mwv7UEFPAUmW SvvoLlwDBlX+qkmzs76H5WFerafcwzLrMYrQHDo2AU2NHzfCofRcX3j/xfZIlTCvFu3OS+BOoLyn tVPRzuw+TC19PdIm/4m7tDVCww8BJBMdTgcjVz4+LaQoo2OL5+voku0ouAI0VMFFEuk0SDTp/eUZ eNU0JFtabe06yq42SqQMcPOMHFHaovvFwUMfXeGgrJ5zhByxPkOB7uGh5JnYXF62x92Ppyn6H0kB yF+sWm+9VhC9bdn4qTB9RVihMXXghdsAQRoFJd0/AyPBuTHloIhD8ZZVcxFk6MceU4soI1f5dbwB lTu1dgOafh0PIsz/lk7NGFAJqEe5UvI4wyAX+wDREku+ugVjgDf7EhbJgKO9cBJfsF1jjz3GIMtI 9OHxwPgwWnxHg4PgkYFe2CXFAw7jRx3Dr/ZPyn0CUeSFX1702Mx4R2bivIZjyi6R7PSu5sYa8AU6 Yuf6sWhcyJ6wWoNCj2dyQ32vVj12TdqgUA1YVdZXtMFMKfCFgXZYbszur+m0efs3ICFcaFjdoJvy kdOsfU+Wanu2nk6VhN+lCXkNWC9hCsOqTeO3Xrzkc13ns1vZkoyaXsoHOGfpO74DD0eqCXUpaxSn 8MAqOofxSSW8/Wd2GIGTtC6ALGq7cYkLAVxAl7lLLK+QbliISggCB4xBBZ6gO/f/FU4aoMsFIPQP LnB6v7XQG9UdHDZ+G4D7kdW826Fk0qXtWEUnmptLZGuHxFfjakgR2JJgxg8yuH1OQ2ZSpmTnL9DA aFliv+dyjcDMcJXCILIpSH4Y2dd9LBdeviRBGautjovpXj5UsfqWUq9Ul2+3kzwaY2YOsjBRrUA/ svHCEwq+aHzM0IPsFMyvbDcQc74DjdBX6iso9alM0RDe2qg9khK9Ph18VtZb1I5f8PxfFKu3OGcI 3K6KQj4yPh1tVa+fqLZLU20aVKpIXrHvf1GCvAwH6Ne/StP0hv520Dx1K238t5PZWgducf5ZVOIN V3WAsnZSRPiuW8d4ovlR92I+aVWT2x38fYq0oFrbOHDG0nMyvPMevsWaGmD9c34oM5eXXzf57N7z mABczFb8UaD0KGGNULK7uHc4S0+DA0I992SbdMIasgNv3fNNSTYSJZd44/RU3xXXKUx0WUkkk+uj A/ixDhmcn2jSWTi9uD3p5u1/W5EsQU0KVuw2/peHbuHxbF0kFchjU4tBlGQOHx4HQk8GQd5K+3Aw kW/AzMvaXL0uwUgXpGtkB8djcQztftjxuuf0xXwrWIKdZvE64LKcFcs6392f24wYXCvhvCt0fipz s7JdH35dknuS0nFWwoDZVQUbfj3Wutwjui25d7oOpYgst7x9fJunLgHm005omdMl3e2sRQc13MUc OSUJB0tyUdX6Zt5NbTeM482Hwjjp2bN/sK/B6YIQxmyrzeA7MnGPwa583uqutJiQE4bv/oX05JWP BuejY/EVkcTUVbB5g/dLVKRQ56MUFnvioSWRF46tIIXmiXa9Vw6IAJ5AitZT33oqtk8eNy1Ry9aA ksREdhKnxsgIeEm7wbs1wKJOMYiOoVNJpy12G+tzu28+MBFJpat56PyP2FfOAQT/UFPGtOUZ3qTP KGbJuJ9LvUr6fFo/egU+/Fy7gHW9A5qzPhxI+14jPkXiHdTwxnGCb6qrcxgTMFvU7ZihmKse0geV YtSJEeE20tqYxcEhuexipyEn+9lftJHvuLCFaYlowKk72X42Nr1hK7O27pEtSsfWUBgxRp7CNR52 O5HZDDtnhATzKqkM0BzUbeHJuqi8cSZFjMyFFb+meolOxuj1+1HTrRLQArEdgoddjhTXdR918AsG GHC2+m9Lh7nuOZfH29Yl2aM9yGVQZDELU8fNjUUb2GA5or63niiiH3zTpuFcA8TC5P2C3110PGAM 1LCxGIzAlLn1/8PuN30WkOnSMbrpScvySSlXQjYouBhpD6bgGA1STnFPSKDkjK1F7Qvh0B3lYbX7 Ubn5yGZjIuqN0nLERpuHkg3ZZ+CEBanT+PZxCU+yhnCxfbBe3Hr42aF2+f931GctUy0cJCBscGbg zQOTRaJ9AkNaQy8Dq/1pqc6pTH8aAxxtJ5MVIqAwbo6L9WbEro8YbOOkZrnGxLF6whN5YDDvvhGd Onr443IAmEzeVxLOMMl992ekJHYRTTFGjqRgsdpLRzl+R80Ykat0PnOc0IddzO6bob39kQ9bVqX5 NE8Nn3tMlRiLdQZUGyuDvpwBzBFG1o7sMB8UyQs7vs+TylovIShSl3Goh8Fqu1yrxd6UdI8oa+XL OssdN8nz3b8U0faLobbk0sCZm7x3yaQJumFUObjL2gxfxAuxB4hO/GHUVVhGPJzf3pXbYCiacwuW uftSelIsj9SgYS9CqAtgwLDSjSDkhePwnDn4NBNx/8aiz/MThDitHEJo9HSFeXtO+952s2bH3vqK GxrlDSd6pfesHfPji/aIAy6VfEt86MovgZOMGB+19mWCSGNVLPWA5mt5jmRz2/2SHEHNwCfCh0R8 qk/LJav5tUW4G5R3wLc1lNaCmUOsC7uQ4OhFO4jiIcqPdHLB2R/LTVnRU7by4M6u9FBsl71NKcJJ xv4T/SjfyxEfyIKnqwZ1pwPtkvkKOY9yND9P3iqoJW9Xa2i6h9cWvWnXCDjRIJIknl7SWWpCmPwb WHuUibs720qIBsw+KFiHbDCoBc7CTMlufJqW3rEdPG06KvsRMs13CJOyjMYUHVc3Jwu2UYbKQfHD q0TQ2etrNLrYalxWz5jrXIU1xv1KS/c5OEhR6HnHYR4abpbsydREQadReau+qhjKHtjcezleCOQ8 ldbFyzf0WyAP8TQ/tlosmM/MNBpeUpTrKCNrdd44D/pJwuWEmEXpx05+eWwZtXoqVtGFz5sn26Gn /adLl1R6aYkHwWsqZCD3dJ2pZQeuIa77w21Oc4EK8mGsDEz9+6MBWwjcZAqZnPOmAR98pUdJfagF 0ikGfBw7/1TTJDYXzrNYiH3YcoPKWI5AxUXUtWTylMns74F6IEhIx/mgfIaDRsUsEySpq4KJPL+t fuLoWENh8apBNgoV9KltVqf473pyMVxadxvkNVM0Z5Qg/W2G13QNOflHIWqTSxx3IY/ecpy0yCQv sw6X8A3h49rPNsfNuXBB5cRZ7huzYeWE+lmshMeJHDGOVGs5afQpj1S4ABLY3VexK82164IGUSHJ 0v2KYh6oDeqQvpb3xkSEWBVL4/A43idEPFsNRVCe8Bqu8LMJj+i2fJEPfL6V+eH5xzYjfP1ZsaWX hHf32tYHccV1ANfxTTYioGg5CqoEdtYD6VJvahocf3RSteLKZdUxh/YI0gLXm9MtfEbe8Uiq0eK2 /2PfdhI+mqQG2o+Jw+eahGftz7xCrNwn7HmVQZv8Sf5EYmE4n3hk6vwyXGqkII55ma0TvMqTjwyC 5hQ/oyvN+58SNtjMQS08kGKzl3CBwN8Ll7qY1wdrerbieEPc4je/Mwa9zv2LweWdqgu2HS2XHldz rJSbtZC82k/2mNpqVwRzoVoy+Wz8GKZ5+0GA2MGQwyDzu9SyJKF454uEs6Pq1unS5WBrZN6jxPP3 VMhZqn57HgeVcxjulMF/vysL/80p/h8CsC+itGk1lK9A2LNDNmkiYOilVEEE9e/hJe1a81iG+njA uIBdQyGpAx5pk+StDpU66tUQ2fUsuVHQN/la04yeOh8+9KJXnH/tE4FBVa55Bz6mLQV7f96Ohw8l wU+qF6VALIqDN6atXo5HTPx3fofBBRUVE8AGMK0SDa2EYzq5GK7Ifl5ehRNKSTnvxPytbT6DeYRj KT79Exh22lZSVrFGbvVGQvNJ22AfrQK92xB45mOU5Smk2Zi1s/jHw7ZIIv+mW/Gsf55S3ZKZ/t6n 3TDwsaTcaa/RJV23rznIdJIQIHshFBJ8i4U2lDBEPIzWWvYMraD7Nl0b5TD6Lx3dxTbMEF2ig+ls wzUNDBBsV2FazofjfmawvqUdrOe+l+u8q1vJVlPrAjj69Rhw3xhwoF+Lsp/C9tQuJuXbNXQ3wwjx T6bBQWnYJP3id2tnDlUPcPmK3oIQcbdC91Y2pze2cCZBetvC7BdflmKAlVJUPSTq53Noe2oKgO0f 3inhnGaGkafHVNEc1FCvztTJGfASS/JzGDp4vmxOyLTs10VgLUoQ9FEBILP2ogv6Ivt61ynG6fpv 1zh8e/mPROYwtgfhhofiXpnI7b/O63e4NxprFTmd8OMmTvY5yanNOFEMt/6DMOa7mfHMu24nxVZQ hvLTKc69mwlmSFM+f29ptSSVn/Vs8OnJbC0pfLVI2ihJf7uDl89ugiSE2GVdWN0hP4aMkXl0pO8J GpROJ83Tn/KuhLcpIswotcZmkyp3D9B6rx4Qa7h2GUK8rCZwCAHjckn/pZIhVSRuFEQZKWh1FIaP uR8av4wM757jA+6NL/kV5gYU4H2CcHtdWw4aqdEDB9AYEstO2IItF4qaqonIFzdoh6jqOyvj3Ono aoFtHIWzkMNntWVNtihmzMuCGvuqhYtEBSK/CTurhlGy7Qsk5znxD8OO/ECpUnrF1wWBUXgeDaik Ixj4IykdE6+AD0S2pny4xPWst+tBOiKboqsFtDDaIOCTG5tZZdVGvDNQtslCOWdBptGNhyLMFZIp 9MNTshJUe3Fmz/PMIj3rRlfYyDPt5fjBf8MQwfU7vkZZYmpqdJzfrpyCtl4V21SGRkmd2Fq4vs8N QqwTir3i2lyS6DbuTgR0I3585Lo7MzvTbzZ0GpVenbwuFs7caLfCVX/oOvxyx1NCJeP0XnKpWQKr /s/u84uUgfQmXBDPr1MtBzvc+86C4mG8zRDr2h2S1mhxZyqTIhCnLaLP+ArzDOiHh/2xRBfFc0S8 yJkYv2PSJiQ918sar/PJ/D2/SSeAmeUxmw0RzLnBvedhgfsVQQIIQU0nnltvNRj5ee3UG4mBMZHO GLbfW6/VUsNkzHabnzJz85Q03gPGPePbAtTkZm77uz2SosNFFmhQDpJ/NECeCb7A4Xwt/iKpAezc OsUA/YeyeXttWTeA+s3Mhjz6u1Hikebvzqy7NP6Y7caYfTWtVXA8OZHLnekAnEcaH0sss0huVx4H 7Bf/zudCfVyl6M/p5stLdqBrItqvD3P39DXrSx60i2fXPvhlBd91SBjEFjFrrApEdN5EE/1nmeTB WMzWcGPHAHizIHRg2SzW6qQIGn4UmvqA6IFydtVzw/+ZZOrfzST9IGaB19uE5ywY+MRkl+AbdiE6 TCnfwRRijesPSzFv/WcSY++bAsl7S5agfi0P4A2COaPOYdLMTqOmgG2GrfHmRIrEwHRT68LcRzA6 0aWtUfoQrBo1dZkVCBCSr3au5jnUhT2dm9vIQVUK9CHs0E05fQFJGNloyVZE4pMG+cP67k5Q9Gq/ YasDhScDcuObIatMVsfNpkPNBmdhQxQ6g4qdyx5f+7r5eiPms9sCQkeYiZ8c8K943xoahYj+VRyE 6Om3pSxZVexFR42G9a1e3RouPZEOX++z7wF79v1wY0xqmS1hi1w4hzgfWGj0QtVh/+j8/R/4Ajnc R6OYt5onmTiiqICUdbUy3EOe8zrNNdAsg2VBjk0wpERmMPTWU+Ky4FiEGIUQn+D1cJB9HG+FWYHJ W5cpQ9XT7+IB1VtRg2XPhJlyHHpiBc60SKcuYuM9IsDe117Q4hHmmlos1WKyuBFEzbODt9arjw48 XOJ/7ixB5N0PqIHloLNcTCY16RN/D2+yJ4rw0nsNk2Ht0fFAWgeva/2TFA4rYrzhhFmzmFOXsfX8 8NpVBfhn/ylF/1ohf2r8MW6qWs/e0rxVZDpRP6pPeKcMzBBlj2JeAwpdM7dwERhnc06gwGNRWjwa sgy5nRjUyGjw63CdPF/ZwY5vbmI41h3fTh/ucsmZc3nWTO/+wwHQLvFMeOitLWxRWwGbl4VJWSZ6 YNR1UVv3iAUtVrSXj9AS/ajV/JsUldYhpNdf+pMh4oh3KJkwWKuDVaXFNdicSAbbzaC3bqI+mZOp UzsPgDKiJtn+WvR5iqEjM3pnIYL0Lnyx8OHfWPYTgkjkV1wuxYvP4s4/cF8YiGf40Gjp4KKKYc+p OcwkzaVxd8wqV2bZCUh9VPt5afTg0nh8M0+NcxSnD7o4JCKcLys2FxF016ZVFbWW8AMu/poHsZ0+ ijgvSN3W7qAyLl+7tMAzNqovGfahCubd1KFjX0+d6l2KQUiNhh6frMUxUeJ+GwSO7pRInnfiPKmT v45qcnoLj/RBAmAhMs5D9xpfgQfZiaTxoqKcG1fkfk5NiyF3CQWvKGYGtXDIG3kcZyRUatXZB60q +EI64Cu76gXdiXNwnz8sfm8DFLB7zQ9Njvwbr+ucdY+MSy4+ZzOZIlJfAZUtCi+IKc0mtFXcBOyn N4e8tw2xA3+9VjlL9LY2j4Y1aI5RuED8e7bUtkTdFj1BriXt1gVUMSJEKObrrpd5aO7sHCpkd1Xy 0vk7vpBE8I3pvhw68Q03f8ES8tOcLJa4XT+BhmKfGapy6172spY/2YgACT8++TmIOyBHo1ryntks tPFYgeEewsDo9VbP3FiJeuU38Qe8JlP02a3S16T4XvRT/f1lsrxlJgAz9Pgyf6mSoW7P3SnpbPbG 6OFA1vj4EDif8WF9Fgy344d3EF6ujki+gYVo+pgirlJu6WgJLU62mfaSo0ep3y4mh+pTRpHcoKCI /4PMH1OicwHFwcOIgs3etrBwhH3lenOIBPhlkkzysSBJFQao1qVonnekPHlUpKe3SOGVXgETvJ3s NnLn2qKO+TwY6PX88Q35UMDkaBZWCNrq4Aa/HJHM1FsJc8z1FpkXCnAnAX57fKlGEfuQwm1IqoGB q+HUNMShiMySTkTCEjMYY4wfvVUtJsD00SaF/2fwb9wRjMi6OOL9KYDzHoJTE4C0YjFsw0A2T9/6 cAw9hZS8wK628XUAfVZJ2cnSvDH85/gYSZwRCuEW0GZ6wJUjqdhM+SEyk12iCkG+dExRRiwN/tds oDdivQi+zm4pIaO6LBSCJkW0617pYBsLO6zk2KD/czqyAVHJGPh9H7rG2bO5o2mDPyRDXllvk6td PIZlAvcvgRyGNnYRSrQa5Auh/WJ/hnHj3H2nxQmdxkDmZJfX3RFRupPEtStAavQc28KxmqGkNLM7 vP9dFGZa6aEvtRQmwnl2CpqbNKfZmEUi4MfIJOOpPk+tUOpH9joI6gGMYG0xfkaetthA3ZfwRIHU zIfRNhXzneAfcFKLw+hqlvyRyvmE6t46hiSz35EZvs9VWttMc8uzUU2zpAbvK6hAJfOwKrv1+QjR m1/ek9ZOuajRTcka0gb1fhYg6sRIyXV6ZU8KO3oNsd73lFo7TR6kgd6UXmgOV0dEDdBgDocKes2o dr9k+DjWB7et/qbR0fxMtRZLT7pR6R8vv+p3by9qXG20qdW+BmxDypnVda0OkNeHK07Qunf4OHTM 3f1mNXm+XqK8XvPsd+NklgI/U6hXmI2JnRr7YTLIqrj3MtH1LnanameAuTnMx+mxWcQhe3/1c6RF ZZv3oVHBBo85nQ9A/tGazQnDaYiqfHKev+N10Obft7/lfOnMssiH5Qhp5RUmAeEnWq8liMaeLs+9 Z8AAU5bAUGFMMQLTUDRA/hB2MFOXF53zZZ8v3QXOwe9m/95Ru8lZY57cjZSsRN12DbYV//zI/3nO GBZzWl+8dLhp7DW5HVFA9vGZqG6Kc8MnU1uYtH4f/hSxQrlKkrw2o0b9IwgExhO+xISQj5x6s58N y3v5z8scZ95zUYJxF/LX7xDnPjl+wU+Yifq/QPRY5YTqprOQCIH3fzKHIO/F/jd6JBUaAvD/gr43 OzrVigeACIW1wOSbaGCDYLCk6Eam1GTkih73Sm9KUTgrf9x/qkx/Qa5QtTgW9F81axpW1ifnEapm ebijlxROMpmz+1MI4q0bBFjfSt2o3D28VJmiGltEuDMBoNLq3hexTNJty2vm/W5oRfu+4XU0d5cQ 0S44Bn0dpijJ2Brz77ysFd8rULQHaTfRadOaVIoLEI2CPAeqXx+8SG9nzUHU5Kd9ppoF8kwn6vYN ga41iSInJ4BjaU0WYDE0HXmltYIFIGtp0D0a6LcQcJdlPuHJeqVfJNqW00y6QmfsPlwWifg223sX PA4WeTYuUOyWMor3daZspsQu23exyjOQuuiTDjs/7LWL1/V9Tsy2bQ5UU3DC568oyINxSkBVkyGh 1HrrlfPE9oF6rc6JIao0BhuRcUQm01B9cGpqirq1yRmOKxXNLkt51a07WLRSaMjuVZ8FS0ILJNjc TrCwE4tDiFYgLXXa0skJe3xE7udHvU8bsxL6cxdk1AT7ILCfxMbbGsFzywvb8bY01UlDtphK0MNW 4z4qQXX21IiqCwmzIsOjYBiiL+uPD4qfsRk4SR+asTDYLY40eGJOQeUPe3sK7GwUYeRz8TMedM6U 9MJl/IOS7INHLKQivGi7R5T1BST6YpS67+tznuf44+7mIJPP85n0pMqzoNZJXGdCLQZY6JtvdPmh wn2hmDbNB6BJGQVt5RfIt9TkuYQ6XBDk/VktfguwA8CnXjnp2y3of4oxbaY7reKSS0d1MFHjfOQq DhAsLcQ2fm82XChluwLPixHCX5u1ANhyvWFRITDiP33upo6LIU1OiAuPFvueHoy8pOEuI8iNi+rE htbzRGKH0ilGvGkyPTwcaa7YkikdboaQnEHyr8msXt+SBJ46z7AJXLNqy8jqeGUU1JZj2k7A+JgU cm1+nQSbg6vbqpfJN8FpjDHq9/Sp+TJ6rw3WE8WJGFhH5Voa81B6J5sMyfrU/P5Mr869VIer00sV 63fGFVqcDcy0uKWaDsi47XBuorLDD+RkwISnxfQkq9VJmcglsEjC1l0CRbAxAgV7kOrxBnu1A6TL cJ++JIXQyh0uQuXrrkgz3X3yQ/4bpa0wDE8bx/IReAq+2MNlKVJbkF3+cKcpCuWNRkLB4Yg0UFvJ Av4dMdhYZySIw+GkLHjNmyZVP6E6mP/ryf+smA5fUugJJfqxyykU67dAwBZGKlkmL8LV0azxB+pJ /dSNRuiS3jC8vVYG7FxZToLpwF6DWLGUXX+eLzDsmhAPiqWj1ryJ4kkjkrSlwvGMB1VI763jqPW+ xUC0B5xJg+aMBytNeWK5iai4QGhPUo47uqXPvAnTPpde/GSbvWGk5DhB2n1poW9JBQDKXe9wH775 1zsABNgPNRRf7zXeV5/jMc64hIZzyCGXOwoJah/KWCI8Kj85hV5BxKz4cAJR8JjHotMGFiArGVC4 bMVKBprWsbj0vnWCB0Rd/nZJEE6H8DDFiuoPGDqqxxIJCsg+aKwpAQlr1NYr6X0ElraemHpIrxH2 mM8OwLhoGjHp5v9Jld8nDN7H8ijRJnCILvviLV52ObzcWBkZpLNtAm2Cx8q+zbjES/1DX7A7oIoV jKw2AXFcx2dbrvd9nrNoA/ekS9HuT+D4xSwH81nSuhrjpdNQy6B0BmpJ5gGZRvMTum/73UN5UZSM CJ+EFUT3uNSIZ7imhgu0QVs3R72Rzb+titmee2XL9cKjHDuOb5NUrWcXwvSr+EPA2ejOa2reG1G3 QdiHOEGiGUIflC+vLxDqSH1m8HNVS5T0GT7VvnuTsmSSnEICA/wpVzQwVL6PoUXtSk5wltlnOucy H1n+fgFORSpihLs3vco9xkinRtPKjgt2QsIGrEQui6Nj2bK9kTnGCved6EdHRHh0jQeSCgD4GQ2P VZCUl/yu0vxV+Yz7n/4xF8Mt93dfJajLZiUetjvmCuw+GddkaXSxFmxZYyoqpYTV8Z+J9kulrJ10 EF8UuOxRoV0cXxvXy5tch+RHVgkE5ltZe3FB5cRE6vBGdEIf0xNMZugNlfqUM8XPTFrOUl1YE8tJ /Y10IG+XTcQVfLZO6BuByE8+Z0RJGavcahPc7Zqd5oaVrYhqo8Hsd0yK8NVVzyiGKpOVNT+Rr99z ImwYiqQDuu3HLCofaiZKA9DCqWEu2J+EZZ86gwkZUsk1lEt/zoP3OyN1UnHgMIbQ+lseTze1lAWo 2QY+zn/83OQZG4ro8bPo3IBflZYyJ+My9pXB3eygd3CxzvYZynU4eSbf+/JRaeICKPFxwn8L0cxV u0mRiXqKrqTvfJnnC90MHmRAvToRTzQilZA86FrjZaBgN/ul8sc+ZC402TsLqkeUg3ieTpm5O+zQ 9tmjWH9Te+TWyjvn/UnmyeHlAyx3PmLNzjiFhzettVjIP8kPppvk5NFZsMnC4BQvaQ2NS8Kbr522 oRhPoHbk7TfEicmvk3FLcXuXirk7MfPhjm4yWB0f3l86Sh2GVCTC/RqdNB+hEKeq5p0EsVBxMA47 OW3ulgq+KJFu9k35MRTOeHvoxCpGC5d6YoDLmc2KpI3vRmLk9fEaWoufl74KYwnmhPEQTNXZUTE6 vEyMkIuJsAtSD4CHFbwx0nrWgxlQZPXmAypcJrfOYpwvzoGgGsez/3afzbmdki/WrnjenfPg7WOX fhILo9AVa6dOGwGiWxdzseg0bR2xyWl8yMCWQoqTpO24Xqbf9kPhvIoWLEtq3yHrYtckQvRVsK2i p0idLaZgJRarmX2uRuF8NPk+2qb6QS4DQMo76EQjRJoVbn47sHJjefSaEOkFAEdMr4o1P7jwztT4 XgTLuO4TDhC54SMR/mb0+5RFNGBE0rlVvW2XV40+Zugg7iYjT47ScGvMHAg5z1yK2ztuRSf1FQgl 5uJ4s6CRHD5fdmY4iYYE236HgA9Nujk3BA2BTagYWoqylqXNxIfXMRXzpuJ4c28fCL7HIGOzQS79 QMx5Izcs1oTN0Jgjc/VbXTKf8j9vGQAGJW33z59j7EPz69s6agvjCWRH5629NVDQMdCfxvuZAdQQ NJG7ZbGD6PLkXHtl3XBQ2AdNpwnHXC3PqflOLYzILacYMPAcxJNixUpmFn/phETw/OT8AeCL3jhN U2xPBzgG4jB2AKu6kGeTcLjhUJLjVoW3NqhBHj0nUR79tfC49U1AA6HEHQDVEfIK5RK5LKjqE2FH TDr8c165D5eggX6qRP7lI9xUU2YrIq8V2JLjhEwKfBgqb2NLSQVxksE1fGUGeSkNN5I6CDIHMA8v NY5KX81YJHLttfcT/4oJ9+kYdsyGUnmJIqILRtpMPZnlUPUFHfn6qDUtMJoRFmwgUi2vvg74YNGy eZwN6+zIlyorWTPZbTFk2473KKK/sgVq5GLMwS6rXfQ36/+bBvwxjkkjBSxw/XF1qetcXBL2lrJv l5LWIAvy2yADO1SJw/iKeHeHwFocBl3TA5vipV72hOh7VNtuwjprpcnBieO4WPf49+LmF2eFCaDA io0HL1lUJ3YvHLJhVSo9nYMfOpWSmqD6CUAh0Hp4AB7sfwLDO/092OHLnbAmtSB23IJvAhqGgGzU qtkA/pUWTGUZ0iv/bEVhqu/CuFMrnzUniNQ8YVbzDOkeC5BqekrbxfdO6pvx5moeEBxic82aS3M9 5GCswdq6LWz7VCQXFhRo4nn1ot+gC9sGSxEZGrZoXolHzgIWxSjyDWoCvivm9rY8vEKcrxd2nE+H b8W9FjGG97KsukqfE96zDGu9Y4RwH/xmY3Z8iT0ZVZdZvH1FSM3dkRNHxpSNay7t4EL6j/B9fDIy L/x1233hXjE2X0x8c6mn2MHYXjdITho8/KIvUz1rj+lFEKeBjP29illrb0up32zRhWc55TOuzLDl LLUxoUZtWnqufYLk07HAdyhY5y3QQ//rL8yi6iXL4Rwd9tKS77HQMeqezs5loPTbyJ3ow6LxhtTZ CVpePIPR+vZnTn9ek2elmYT1lWTxUevf5lVnKWe5gWgueO4FcQmeNc4QwLq/pS1dhWr7qXaMnL1d GaDV1CGsyXWHwBwZXgTffEQ8cqGP8EByL9u8qIMWplbAYU7Nmill9VFRYtAMLrxOPOSaYFRI51QX 3sJAQn0AiomNCzMZaYUP86f2/rX+46i0tzqg46HyE3loOb+jqz3yTHHrkR0NiUVP4pNiSCC4Q80f Pa/NC1B3TSmc+FohyOVtBjtt50V2Npxj7/hNd36Hs3l8if/x9sQjLdFl39IzFX/YHbYnvm6LU4Fi 0CKgnRNd8H18qDWDylMLCMT1D6wcWNyK7Xq2TYb73gk+BD5WAQIiIomepQGrxuCOuR6JaaVyWMQN 4IPjs5i58a8VVVoBdSnnQzwbdzkcLBd9MaIc5lIMkSskArzriIOC3b2sGF8+L33oESosHb/tE1Dt PAgr5as3lMlRzzUx+UWncOT7dAtrg2mAlSu5d1QfGZGsN9l2Vlg8zOFHwaL6+x38WkODh7XNVkUD USmfxbKLDy0/XCt8DmY7B3iEln+UgwCpr9XEqX1xZeRO5Tkrr3L7yYY8dyVUJxPA3zJzIWXMdC/8 KGbWoXqQlg5oyfxPCDnsVlmMihjRUrlebeYvmF6JtQ7FXW+Q3DS6Xs66w9GUDYBXmajGtGvcNRtQ a3swdWOG3xBNuQpSdPFS6Hg8NPr+reJImAGVlAB8Z4cIKNxXsICgkOnEdXvebUltvFSqgE/jkD7O gDmyQjirw8DQM+fPuTZdbFBIub5xI9eeh7Hwtka2uonf6GnPcz97qknJny8/FW0YLiA4Ym8hn9Jm fzk1V6sRT5k0Jg1FJe96p9vxSlm0KVqP8xhA2A2YXN7x23imfp9iMLg6K5RvRHB2zPHn5J+FBgh6 jTEtkC5WBTubJcXqJpw/6uXXd31slYR9UTkLhc5vRUGJLKP0ZFOpwT3MfXA3VuVyNeg5+gMgtz/S odk4iTAwWE3tH1nlRqmtEUB+EDDe4nCxB1kMHp2gIGLCNdZGEkzLnRBprDNGin8zk0vwYQ91ACN9 6gKVbKs8AWH2qWASMWxOKrEUhRrH/EPk586oq2o/UfZgFsr6eJtNmjERNN+gW/Du5L5fiRH/wrBP UQog8e++4tgWrQ0dWozUU4J5pQMzbqDrM+W43X62TuKIRSaiWiQI0ZrKBgjkGLNuWsXUu4GRyNGM 8nzyXfTivw5KdTtLnTyw/mCSyQ8dt0adviBtTwGJE8nDQ8Sq0hQU466H3oIYUysqgDiFUTGaswzO JLTGWFBcztSVJNONk/RF8W0QJ9BXP7yjSQmTL3mx5fQbNJ0rUoBad3/x6xMDEiDdQ3P85nkO33sd Lr74P+Y/kAq0RIsA+psefAxpKUJyND6ukqSH9RkdeH9k5w3g9700KUaki9/2WiNXPYeg7+ymQJVQ tKydG24Fg2yLxDYc+jTd89tuVnptZcuIjUmgFyTozJHiyExy6cXLzUumDpB0ENYwt0AGGVgseWSN yaBZz9pGZhyompg3AYVjvKCxRKJcYOIJQ7sifaoUWy42XnYdtGNsU7z6Ck9awCkjLrnDiOOWqGqz UFjHjKk3lK8Pd8wqBEXM8KtsZGnWNuX23i0xj1qLRxuxQTVxawcvN72GbloqD/saDtNoBQxi3wg2 6CvLZ+lVRMOjmFRF+tKFKRIhDQhFQ2GYVrysoAp0Qzph00owYcsmXIEqVOHVeHcqrHBsegqOUASg GZBPADk2DYqzqfDM7AachOTmW88zLUJg7CtXaoNpRSus6A0ACBD+9mRmwrn127S0HJTy/Chr0BIQ EVMNiaBFtK2/UF0Ytfq6xcnC6UiCPZWuIefEg2YbAYnaW/7Gqwd2OXbgXQRmx7NsOwOYDEQyYHpn 4gHPoyTGUaL8g5ejG4BD6x4hl5OtPj+P5MsPaec6zn8kL3YLg+b48lxvlLSjSwtyaubSOHG2koNu /BuUiPTcGbz0FN3+fpBMTI0ZA0kvx5JkcVZ1UUG4XloenfQtjaQFeHb+lCj1Ag7JxZcUqFBEl31c HrezByaCPtmvnYGjqKkd21MgKpsf7SjsDLjUZrIa9xuLRcShbgqvg2srO4Br7tcJ0A7aW7y5jff2 e67f4n1UW9937gnos6OCbEERkm6UXJWBt6aiC5OfteTN2biJ+6CYAbtdL+tCDIErxWqKRoywDC2v A8jsSqed7X7DtYKQd7PKu/WB9+5CtuCPuddrhVRzaO0Je8sASL5MM15IGqEqvgtSyN369g9+p9cg ORHufUvrDxOlApwCi6ItdDADAwU4303M+su0ae1baouiABrcHdrf7uvVkVzjkrcE5mDJsAADBOH2 TwQku+CZ7ujwOC+DPVItvtFfu5xrzrITn5OsliwoQMvPX7F3zm9SiD/D8EY79YehxHd6RNr5wxed e8N5nsl9DcL/TwWZ6VBhSREvOzkkgIO4kNK/qIKGg0QPgxWiy+Q1ArTG9Odp46b7H4TqY5MBVR08 XvuZW0/ylnpiyIC5kK+jbtbfP6qq1WQAg1+GmYogu/HkUdzd7XYP99SUZ6Pw4u5scDzw1JdArEUj V7dzgdRJ4iTAU2bI0IEwEVDjjQVLiw9yFU+hcQ6y2lc/JYSsv1GqZj23vUei7r1hlosahWdvugXK tAqZzyAVgLHzxX4uPdeitETbd5AROmZf8AjMMiqkzCt0ZvKi1Oc+A/dXsFQsheBmXsFeFSpR/qMI wYoNaEbFvGAyufZlJrk8PWOzFk5a5I/k3CTIwIDj9fkCYxRNk/n6RL/pqoE3L2n67OdQxbT7cguv 2PxxhaqE44E+dXM9qurE35BCSLp4MA5ngdZ4P9akdaG4a3tbPkV0KQHR7+puIdu8XM03S6REYQsD fWhqEOlv/P6qZJYj4+H2/WLHrHJS+zw97wxlc8OXbozi6qfkc8iq1GxC23Gl+UEFGR5ChdDb85kw aWh/V4540qXGLp72uOJimW90A/hVjWRWeFBI5zezRYGNR+nHV4QLDyrx9DgVJt/Y+AUztprDS/Gc 1ZFQt5Plbn4GE/MYKkhYWYPsg07qJ7aGMGqiYNowP5lzDYVmhFPP6K0or4LeMTLlZwuXrIW6e7mV VzK4RWzjC1EwoYaGFAXjGzTvnT4sjSEV/UrEUq1wg/ph+UK3k9slZLY+AdHd2fQG/mzYUeSq8jV7 WHjsfupa/LQUkauHZ/T1SPUIxPmK+0HgKxjhQimmJKsxxO3OpXNY566+YnItzoAVTknTQJfjRHIU WO+hQuXlIxmCd5BV4SqgressgbB69o5v42tPM0ienCQ82KNSSFxoDc/Qybeu7e6B9gKMhxEJT9iB A44c3PbCJxla1XjTf2EVwoYvKcMKgwmXH6tpopnvpc4zNXjdhpftkyZV92RRmoFITJcYLvMKP7CL 0JESRHRlJGOgwR/orVNt9Q+I/iAOlORB8XyV1wtPNMEwJnGZsneFaPsNUPOctL+jnzFOcbgmw4nc oUXpormwb+nUG0OEWjgXCwoBDOgfWuJwb9ebRYdbtvbdjPR3LsE9nqHKkLYBNRBsIqLC5oyilN2H HZlRSelygd5n018CpEzqAUubUmrBg4JChWPUrjK+Nmy1YpUtfv/ekVZnfF0FX4Z6zEtPA/a2Xh33 HiQhCAeHFArwlfg6Y0eyClhP09xmb4KFl0GWW1kS02kCSpKe695TQxWP4RBICtZyuIF6IMg2UNgv Iqyv4L3vVyjN6deGFSKpfpbadzIEXDW/+Gww5uY/7E8s3nb20AjDa2l716syMawtjiy7wgKjEjWM yvzPXAdzScuNSc2TJl5TqwpjXykLu/oSU8EzaO7wdZXF5XmXJHTkA9kFVfDBWJc11bzeTTu9Q+Md bXA9BYvvA7JVcEQM9JHPhQZtQlF2ENNwsBb+WHAwidB3TA6VQr9Xwj9QEHqbkKE2nHZjt8V8z0ya T3SgsS/QSHClZPpZdXW2oSjNBxFZ2Tvd60/BkFnL87kS1+MO8MJuM4QK32OPhsSwkJ4v8AWXxF0v sClEZwcqXD1wbix7Io5wjbLRpJopJgTZFNi7Hxhm2wtT2GOfyBBK7p78WlCj/JO61Ty8VnIs2kYp gni3pMxFeisuNiTH+2t11EZ2WUZ+g5Smu1NVyNpLaP0PUICWCuPZZYs/9qYA+Iy4WCH/vl8rGB0i bJpXRx+rTr3rNrAZvvbxz5Gsh6yVZ6OEwLBkRg8eNwdq5CV5EoAGhKRQ9JFpBHJS2g1YIQTuw/Zl n0ifQ6Rep3u6PTDf4j2qlDuLEOATaDRrtgIAsibrc0HoRAUBWvcoXKKfKxdJSBHC4pgstRTcf01+ q3sM3fiKKtfEhCD8ogPyWlc7OYxOuJOBQMbE1ABHw0vWPPpMYhxzkde0hTL+G/4aBXuuf7Q+I/8b i8QVPtZDqV2Ubd4Z5guPbTXlKNB/wzBueg5R2p25eTvv8BYPuqtbWmfltwFLwt2w8MUtflkiEOhg Dj59I9KAtrcQ9d71y9fcgcfMtpvLzHvP6nGPuG6Umvx406PScENbGpgZ3dn+vfyCLo5Ioikz5O8h TYS/ycnE3BBsoQpD7p4/v7botSPydFCnECr6VeFzIWluE1icyStEUdOyRnMVgZNtYJGeIUqLyly8 2aNL+XgjK1HX6//TnNCAr6mPy3GXjc16l+L7JtKgkQKxC8MeoWCTIEXYttCo+VIlOQmzvw9/M964 jaqQwqMHnhWu1SEEk/tsR7++B6ASj5hNoUipXNiMwaABYQRdiiXQacfkeptV5mI0qIqygLg7Rfsz uk2kpMLpvY9KXrE57YM1qvWwKnSvmghVb0WWneePdfMmmUxLSiOc5FMpBmeC7kX5zpktPUi6MED8 Te7Mc5LJUS6YVR4QJ8PpW9Olm0v3LogG4vMcmMUlnqIV5wZp+5Mpsbch6bWvfsZcrVJYtxvTTw9k 3vm8MaT1K8NgnoMfskrjWdxoQpgUrHmFVSi7RWoBQAwKMDMalfXMFaRwB7DII5aWwaF5OUg0dZVx 9d96mK7d2wAWyuMKeSZoPXF8HEkgMs6nq2ywdzmslo0xHrsVzKZF9DWQXJiS7q4K2gX+MhIa+liO 8xl3zkI9hP4QVcpERuYfRicnYqzTxjCl5HSy3eyhIhpzxLuuPKnXUS8nLD1otIcAHBw3Q54/y5u6 QNkPUo1dPC71LCQsckyZ5+24xkwWztqOE6IWQV3uG0JoGhd6gx7MoBucYXpl427lNuBFvwYYJE5T sLgzi1Ylw2ZMYzqTvH4lfX4mdT5JGR3/7412QImzhlAxG2fNEkAcfVZWleBVlg3y9ActP1EPrfRv Bm/ABKyLtM1kv4icK+Eved3047UUOSVXvmZwhS2puAvz7beFsrB8h0+C0FoOV2CpoYAZG7ze7RfU Q/b/HHkQaa9X+px7yF/l+TxsPs6RxC8iVMBZk+nGLdVytOG/f0qVXskeqgbWmW0p7q47X/uQaIoS JorMaC/0NPGutyV8clumRh7uDbk/egObg2oRECaorF4hXRH3onyxep51Sg2Mp9faY2ncnN2a+WN9 JiFWbqODA+8+/tytKm3W9LCgPtT5OVQACVxa1jrKZ+DM6VZu6JbfvUTndzmvsKbM1DgOQj0mYTpZ MHAsX2WP4Esn0mHXHFfi1YeHtg4oqOyZ32CVCB9f9RKTTk/Zvc64p8EuHmFMFsnFnKGtFNfUzBzD 3v2QI2zu4ZTtXQozgwbgA5DzUdAqfMiC+NQuJqSz7E66+Z1oezX6bNUUQqpC7fBbebOaVe9hIXSU RabQQrW+2MJUfgpR2NNdiysKRmMUgf3rCczbT6jGhB1UpV6iTUOStsb0826qOqvJU+beSKl0vv58 cODtC6rqesBnNaa3FgG1Iq9lQ3+j9kMrTNskpKve9qQ3TSNyMbmNuGi2XkryO7jLSNi2TFi3+rmq yjDrsJ0QXDGQwmGPlLprZ9z2+22UTK0RtQwUIqf1BmjZ6WbgTGMS+WOmWjGdD2LTnSeNlJi3lb5b jfwmImv3mOQZjAxW+eIFMt47VDVTbv4nnX1SA5zyGFb4lpz8uFWIogbghgVij5pc3HmPwwlpZIcj Op0IULzn6CwOqIkEMvKixjNk2b4NJ2gs6q/TQkzBmeqR+bjdQ4kEeuo0pzftjuYZbOtx8GFgHuVm ibLgrhdeSx+NYmuv2/Oq48zNjf8PEUWBxRYzXCNROw+w1DTO1NXqQIh9YCl6RQJDpWjCQOSxv2tF BN1ddqZAtsD1dHYV7HUwgh+MrrBIih0XWkmUkdqcZ+JHr8szGsaxw3x2M8i1n2A2U2gPpM+eXz8T SvRBx3RIQ6ZMASeTIMoet37bZagwrRBZmX3ioRzGxK4FNjJe+LzAkAM+u0iT1bn4pwprvNVfKiyw iKB0B0nWAfbBv/KiJWdMimBrUwhZSVxQIE31Aynm5KB3LHzMcG5vS8F0I4aG+HtwOgR3QBZ4C7yC U5xCT6M+Ih2urcGNdwVPSOBc5dMRdb+rqKUXQOQ0q+FLyesxOza5IhYXw4RqpwBk0PinaLui0PNR 5UimojDNSvzC+zegzr5wSjW0MHv1LnK28TQADceyaZ3WGIixYmWJQvTP2wAkjnauJECxQMzqM6aT 6Hp3j//nehDvvxueQG0+WEVFDe+pH+h5oYVgXh1Pm5b0c1VAjm8UeAJ2Ye6m5K1LjIvV4cMqt1Fq 8XLWeOTFGfOL/pFYya35P7G4IavdPVuXZPPv12AmB95Hod+Dx7Gt5zkkj/g6mMLtFGo4i0+dyioF 5pMV8ZUJKjz6lJORr+WTlMKgDbgt92ijUc38nMviCqROuwan7HR7QhJVWlVcXGBDIgserMn+6y4C EjKIJsqVt2IqEAw0CFEXBulsA5tTV4fS6PlXPBjLI50a0OFnpayB46yYDYdR4v0ntc9mGgym6dM9 6HZKtSiTc6698zAQsCrSNC0E/PKgtnCcLOE4P6TQcRzSRvTVPyP12jMG+staHn4qq0NuTC9O7172 vRNqXW45+c2HMsOMeZbqZqmCndxBz0M3zLBje7l9VCQBCa7pAg2R4CPaLt9HQwEAB3O5f5b2Eidz /qQLppzMi2aOO0NQlHHxdO/CDpabm16YzA9fMfFJypq6G/hRKeQh+Wisx8wQv2ycbTge9CCDg1qk RFWG7PlxQw9Njs84pylSus5Er0ipyRf+mNmv12yraM+Ah1PgFifvY533LdJN0JCWJY3G8uxMdP/Z jEvzc0l1VcZbfrp6YdcpKMn3olTAkx/8HhEtW78vFs6mdDqMHHefsR6ClVB/GbkW+e7LyMmllA8O XY2lKWlR0XE30M3rYYoQ5FZv5lH83Uh13L1eWxKCLvUs1zCq0x4yXuBZqaSiuWouSAyo3pEK7DmT dAZo8vCa3EhDIS4QsxGlUJh8vdnHWMqjBEyBLCQUrFVCUAc/3KYyeZwOo873pqRh9Jdk+gmDk+v6 CY8aFz0W67GEq5+HvIFIALgiscn1qSmFBKvQBEtkIDgQrsTryDSIfEo/U6TZZD4/Mfd/mXU6YuRm yR1rdmKol7CSutkSqzrt37uHdryryMEy2C31QINBHa8xQ7m6q9hDDV2LlxXlcUGht2Z8xkL/vOW+ swnqRAWG0z2HIaDJ5wFJ+KQN452fVQfBlOshBzgJGuqCZLFVzRYHKlS6nLmFM+l1I4cfvCgT+6Up K54o1WZ98iHVIGMAY0GqxfY9ezq5aoRpDSHaTlSJSvaDC58Ajr+Ta4GiatAYES24L+Zk9MI4EugQ N0FtAOU2k6easJWnGmsj1Aa5upfFkpIcSrEc8D/PuyWWOvV0gR1JQUxQsLRxm9P7a73MQqbm15PC D/QvCSECe++kvpTg0KT7zo7S2e1KLrtkvtvktPnjSfUqORz1VHn86p9v+mGFihc7QTPMGAKjk2yu 3x6zzZX8YWQNS8XFEMm4sF1oQ6duDpylYz8OJz3PasAzSbbZ3mKSTC0qDAcyHyaSxFwrrzS5k3Hh R0zmvqdL/ISDvq+xhGji1gGdtZGiFKPTjwtMzJANoFN1UPuC4/ykGHuMCmaObe2hTc4eRP5Tt/iL JnphvYSziU55tuRv8DYnvA4yM9AtVO7iiUw+fg0jsOhvpDzgpJ87/SWMkfVwsn3z804a2wH9eaUO qgizTj2n6rOcUqxU9rZkgnMNBC7sAPg7l+zjt8WbnJ3n9FOBMqGRMeToOmAj9jXEJpWnvdaWqrKL cTcQ6B9MmCft5S631sCxVP5WtTXCY4p5VhtDThXV6qJVu6xxzkftLhGtRdnwzo6wgA2kYLdmomGi 3d4HhSTE5YQuE7nWeUHwGu0kt1Z7Ir0cf6knGRZAaHqC+xeLdd8fdQr3VKJDzSUeqEs6fa8NO6Ld FCaztD7qkmWadmY8YeY3fhHzRm7kB2GJx+uK974mvmh034Wi+k+etfVuQ1u3srZdrtMntjiyvYUb GC1Tid90fZlLzjNN/ro1/6506Mj60jZpUX+l0zKU9cI/KlURGgNQir/bAa8MNugAVO0APPL9W795 IbaCk1Sm1s0UG7ZUWZ+J7U/h+vFJFTbNNhvvoXZnEPuOrqhZigqqtbFAPuUNXOm8k1Cx/X8c5c0Q d1ltCGDm/B7xtnrmt4brY+S+5+bQhu35Nt899UJFK7jh9zBbUkV0Q5gsiwsYgzJYvj7+xkspnTE1 Af2d1PVyoyoiro0NZRXhDpP620vNictR36R8mQPrGJXqw72vL5LCAoFoH++ogcasC5uM95fVRdGu QcH/5lyILZJ8MOmJUpmOUSN6UaTYT4B14qp5/mYxv60soSsowm2oHNzsj/CC2Tdnqg2pElggTJ8x zUKtNtn6JBrNjfY2xqY48HvM3tbH0GIiUIZ+uSjE4pz4SLWpuJfDohEVkPkm7nFKrkSZZmoJHyFG q12BmPH6I2bqqwoV25OeYFNjzejrXsdi1BXUCyFZ94X2WSMr1IeCg33oNwXP0+TNrp6CuWJ8fvOt Ehb6DviRZxSy9t+n2n/iOQZLpIbG0rDmKSz9Oh0U3robksH7DpcFHZBRRM+DsEVi73coH2jl6AO3 CHcFRx0vAoERaKYqwbkjh/vKsqoGNlL6G+z2dJoH1vSyTJGHsgb9jsCIQstlAbhpIVhkzg7Kp3xo RQnrD0SAJZ/rEmcxG68A3mxnLMPeGGBCVdMRwu9RXwB6RHgYwyQk6C+/WUPiXGIaWeOtkO99zlnS 3sLJTIjKA640A3YV6Oke/1dA5Uaq7dSb+qUdwrN2vhWo5mcaususnen5TLxNk9K6u/mp9kF+0QtS NlQaa5uBgVVgfoeluxUwTWQ6A2SYEpUJA9gF499fIqsmaacUHBg2MsFJagAQ/+mWBBY8t0wdnaLY ljG5x/r3Qh27UTWn5ShmtqQwU+H7p9fLqhIS0BHjtwhQvLYccXBankTrFMJ/MEfq8ForCcrU1mti xqmvp8UqDZGKSll9WbUbzBaJWciO8qTwwhbHF68qaaAeQP8O//EaTe6RGbET83yTvCdXpnOaMzZa o+jzBAalGPXRIEnuqmuv/gF0+J8sg5p8eE9QytL3SO8FpMOL4cn+C0hQeM1KxHbnE67wH3O5cvkN Lc7ac+bYJcYDLNpBZxBF6XYp+CYpkptifHViG7Y8FFmHJTq1QMxCDg4RKFVF2hKk3ftapvbgKmKn KVCFMsZshBcFu9cb5RAT+7Ers69h0FwI3oIOdGPscBUjmFj6BxuyIhdtsswgLsLodhkfxSEALdOJ BH5T22e5c8Trmgqp1D4ZyVq6bsou4cDgk0Py8T1OHcczgz2UAzuZKpLTbGen5yrP5mW48Tq/dKZH bC/vQ98tIpZYc35UZyMgzPRchzkp1lGIzpxowW8YtAzXh270uBgmOLc0lpTHTT8ySzae5pFvEnIm rwgrdJw/zrHKseU7sNS4nXJC6zN4qckfIX3YU11gzVluIOieP+42gL4W4lwKqmdzQByeuno19qla +CPR0McTIBBF6n4AWoqs+O5xfztm7dLbWUmIzbfpJh/C+FSFslz2IhN0Vcfc5aLa20bDyYjuR3WS DzeiiPgeUebdfawFxrQSXJ/JitYp4sGTf3e2X7EAuJLT5kCFRan5yRc4XlnD5QAyuGh0bNYeBHJ/ /FWl3j5bLXztZZo2lgH8CPbkXo+LovShXSpo82VDRsl3jJHmtyEUm4HLPt/JM/H0SrkXdA+NoHX8 qX508s+6qaHXtF8Di8jTjH5Ph8IH4ptkRA/TGdgkZNYJo0FRsEjN0Oa9IelyPKNU4XQUDKyeroR8 cEhaoaPAjY4FltWmRSqWKONUwUQV1T1fg+vy7Qi801xDX+Xi5tD4zd0AK7qR0zupx6hMVfezTiIU uncwS4KHIditlzz7t3ZN/ma5dtWSsRN/4OBesoLlsR8VnUsHFJTWhEDbHvM1N7pohYu0nRPd9pfA ziU+/kg2HDaqgB+Y4YhEexsmgAneJf4Y3YPfUVK1Y+JLGBfMbNetaRdk/wuLr2gGTv2a7x4SOaHJ 4x1CMYltvnDZK3HNJetOCGCdSCK4MrX1QlBqu+QXzz39exVsc4jqCywpEGEmFSOUPN5/ZUXKddyI LrJGlGZMD4XYkXyCR7q+gq3ZZpvNJoI3TEmqmFjdwIMlwgYwY2BB73R2/9WWbfTwiXE2og9BMY5Q aV6RvWWWVlfZbbglS6Z9KYF+cSMcA5BrO150OmNOxcp74G2XktUlisvzTYcCAobdjkjxQf6sQ/dS HBrX2QuPXibnzySP4FEV4DK7rR1HG2UUkh2BVEd3kGVlI2C/fxYTG519BV4Vw4T7r2xb1kY0wNOh ODgKF+SjPgi/QtK/QT9eTKabO3Waj1BluVdNEwjjXGNNNQM0oH0s9zJJZIytFWok6TQP4bl5tjOK 7a7poRXfGDJvDqvy0ZEpH4RJEdw0zp9NG8nBLDIL1id6xCuXBCx1xQyCLfTNbDw/92WMqkAVULNm CZ81+5NhXEzY0b42qlCav04WgdFuZnBm2hZYqSZkQWwj9Wy3Dt+HXfrqSh0wD8smSBwA8UyxRqiB 7x8wrZwliXvsqGhNze8fjNzCcuSXzoXokPbwy9zmBbiZXMRC39aj1kB5Ck6IX0TKqUG8Ax35XTn5 /U6m9Bmvco5Wr6L2+TZpKnav1Ie92aJmZE/DJkfFW+T+EH19t/3I/Ke1x96/cCPDU7JtoFidALaL 6gdDh+9S4SCLMx7QsaB/uMofKSIeLA0Zjeary9erAJdFvi78ChgJrj39A0FzCWYrXxy5+KUpDJQl pbt3+GSh0qxNt3YuTs8BRuPtk+cxKiMODD18MTuWxKfnDffHyF/XuWn+ZNLTCz3BdLwN1w96spD5 d0ozDLr9B+tXlf00SytJuwG9GrKqSzb7Oqq6cOg/Vec1y9teZGX4laRl0Xw1R4QNjPSy3KZyUZDD 0LnxWyHXKzdmwqJ0GgHdH+9utfT3FOWvqJzAjqUyddAs4r99oONseMh+ubQrKAK5uFg5cX6iZBnj xVpSVjsy3pAGZH0jTKvyRtJUqwlBNc/6o0ZMB4dORmN8fr5i3QHIIEVAmmM9uyPSmfeCKz7/4Opq maEZBfKScNb7Et25Kpdgob14AKxAAIzV2gDg2PY1Vi5JB9LbAMs26PBAwaOuwa6qNk0+uZF5d8aF D+Tj98U4qSypi3lAek2yCsrsX4cY/yVb2fHIszqGCwpdU7EPK7JP1WFLgxEOUKvJ3ZRYoXaAut3B BwXElb/3/cdU+NsOhVETZYSzMlwL4YNtO4tUrHbEcVzCsq079nQWhi563WqRcHEUKdWE079q/2xb 0AFHGD7V3IxBSbwnNs7ykb4JYdaEzVUnQwo0KoqBV5KIzrSIvWRbaLyF04ZenRpMPDgx6+QlOOop Oa/4quwUHNyQeQEMB7zG/59bPhtW85RnSrkyPlceZUch3qjWIfRQREHng3lGqrEE3gOiDCVnfPe5 PLTpCs/tEtWICSMae0KDhmKYmmfQVLEDiU4FlSA4U3qooPZwebCr+AF/K1XHluQhBb3rfoYn56Lo tgr9DTUfFzm9kY4QgAaJ0eyR6hEqq8FoiLNiMmgA0t2ozfhRzpx+F3J1uUuJ/duZcitfikQLzYJ3 sTgbuwcTPsp/OgWx8abLLu4MrvdatUMchfDONVs9QePK4YH6Z8irdvpHAlGR0AE6ECNtBocdemvR HmA0sPet1V0khyyzX+Ragf4X+BcrJwuwngsPscQPo6nmN6MUdagWKq+95mJkY0A+j+2qS93FLNPZ XCT36GPpves05cA0HPM2ZuRIUvHsnQjR3VxNjnWVX/q6XbsGxYWGfFk8ldVgLxhEOTwcdtI5DRvA 1U5NJVEQ1gKnQpmrV2eGAk7FA2jsJ3fare3SW0fPnOvXihIX1bHCFvxGs3EEiN+PBd4mSV+gRjxH zrWTuWjcQ1ZZEJAozqormMd5bPgMo/0nOdOvbI2f/mwHwB81qP5vWZ/FELFu8pgsPS68q+DkcDQc 14Rllvm2sUa/yvfWfObt5sKDpQqh4Z4Gc4F4KRjbqOkv1w/eS48+CVAzwJeWaUNq4Q/VkLUvVi4c 7O1iND0eJ37FhJMZ8XNm5i4sHQN/5vQK7hIdfN9tZhjtHgjr2czD1/kBYH0MqcHH+aCWVacnvKv4 6Rqu3dfLy/IA8y9xb/3JW6lCTHRbT8qOo0VlO6HwP6tPoReajc+cNCq+RAEToxINfyGf8JEpNahB OvizEXIxJJwXCniIaE19ZRnO74hGmMqanqi/NGp01WU56k3GwMvzYYJBLAFHti+uDgm6Flh989C4 qYolzZ+03L5o2kb+UizsKZGPhSsCGDVFCQplvVJD+EwcLKNVBprgfT36vH6HZhp5Kv5dDAp7PhSq sSd6MhePbdRGY54vSCWv14VsMc+ozn3aiDpJNQwhTTmI/D0wKcB2bg1YwC5zJbMuQtGfv2zm7+Um E/ZzcnHpstoUy5Zpl0KMZEFFjTMk77QzaH6Glecrd717Bq3YYBL2FhKEaeWbcLv2TvDDXsh36NMU oxf9ZkDfT/GJxNFUOwWGUt0/tA7DCfRBdFXZG+7j9CaMHqp5Z6Eh58A4nAdKl+AzDCfnyZxscKLd o2dmcVsM+6asajwLwbpIX9vA4BteWzDGrOxcNLGlMiYSZHaSp5Wyabi86qIj7b8mhmWvUZbEwt3p 6kjdEta1+KtpZWqczUUrNHksVpLXLEW+EVX2TiktA9uboad8KIYq3DCA5lQFlD2qhLJmO57WDvrB v6sR3XAbh9EAgRakyfFp5LS6hoSdm/uE+Odo9ZYEZ3oyRW/i7JJO3+IXrujkJT7iuIb7XqhWqg+M Qw8MuzGveB1ynE9bMHm4fsfHI61EzcHY6hgbuFJIQ7DPPBZeZPRo3wRTlZIz+eXIA0vyZTNzytWn fc9iSBdHwh5CYoLjzqpERkxN5SoJ3M7BK1ZFWqfM2M4MoDdcyQc+TXyVUPL5 `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/ip/feedforward_ap_fmul_2_max_dsp_32.vhd
4
12777
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY feedforward_ap_fmul_2_max_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END feedforward_ap_fmul_2_max_dsp_32; ARCHITECTURE feedforward_ap_fmul_2_max_dsp_32_arch OF feedforward_ap_fmul_2_max_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF feedforward_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF feedforward_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF feedforward_ap_fmul_2_max_dsp_32_arch : ARCHITECTURE IS "feedforward_ap_fmul_2_max_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF feedforward_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "feedforward_ap_fmul_2_max_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=2,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 2, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END feedforward_ap_fmul_2_max_dsp_32_arch;
gpl-3.0
hoglet67/AtomVGAWing
src/DCM_C.vhd
1
2563
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version : 14.4 -- \ \ Application : xaw2vhdl -- / / Filename : DCM_C.vhd -- /___/ /\ Timestamp : 03/01/2013 22:02:23 -- \ \ / \ -- \___\/\___\ -- --Command: xaw2vhdl-intstyle /home/dmb/papilio/projects/VGATest/ipcore_dir/DCM_C.xaw -st DCM_C.vhd --Design Name: DCM_C --Device: xc3s500e-5vq100 -- -- Module DCM_C -- Generated by Xilinx Architecture Wizard -- Written for synthesis tool: XST -- Period Jitter (unit interval) for block DCM_SP_INST = 0.05 UI -- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 1.72 ns library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.ALL; library UNISIM; use UNISIM.Vcomponents.ALL; entity DCM_C is port ( CLKIN_IN : in std_logic; RST_IN : in std_logic; CLKFX_OUT : out std_logic; LOCKED_OUT : out std_logic); end DCM_C; architecture BEHAVIORAL of DCM_C is signal CLKFX_BUF : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I=>CLKFX_BUF, O=>CLKFX_OUT); DCM_SP_INST : DCM_SP generic map( CLK_FEEDBACK => "NONE", CLKDV_DIVIDE => 2.0, CLKFX_DIVIDE => 11, CLKFX_MULTIPLY => 21, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 66.667, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map (CLKFB=>GND_BIT, CLKIN=>CLKIN_IN, DSSEN=>GND_BIT, PSCLK=>GND_BIT, PSEN=>GND_BIT, PSINCDEC=>GND_BIT, RST=>RST_IN, CLKDV=>open, CLKFX=>CLKFX_BUF, CLKFX180=>open, CLK0=>open, CLK2X=>open, CLK2X180=>open, CLK90=>open, CLK180=>open, CLK270=>open, LOCKED=>LOCKED_OUT, PSDONE=>open, STATUS=>open); end BEHAVIORAL;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg.vhd
7
84412
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- Description: This entity is the top level entity for the AXI Scatter Gather -- Engine. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream out for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_INCLUDE_DESC_UPDATE : integer range 0 to 1 := 1; -- Include or Exclude Scatter Gather Descriptor Update -- 0 = Exclude Descriptor Update -- 1 = Include Descriptor Update C_INCLUDE_INTRPT : integer range 0 to 1 := 1; -- Include/Exclude interrupt logic coalescing -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_INCLUDE_DLYTMR : integer range 0 to 1 := 1; -- Include/Exclude interrupt delay timer -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in usec C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1; C_NUM_MM2S_CHANNELS : integer range 1 to 16 := 1; C_ACTUAL_ADDR : integer range 32 to 64 := 32; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; -- dm_resetn : in std_logic ; -- sg_ctl : in std_logic_vector (7 downto 0) ; -- -- Scatter Gather Write Address Channel -- m_axi_sg_awaddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_awlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_awsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_awprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awuser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awvalid : out std_logic ; -- m_axi_sg_awready : in std_logic ; -- -- -- Scatter Gather Write Data Channel -- m_axi_sg_wdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_wstrb : out std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- m_axi_sg_wlast : out std_logic ; -- m_axi_sg_wvalid : out std_logic ; -- m_axi_sg_wready : in std_logic ; -- -- -- Scatter Gather Write Response Channel -- m_axi_sg_bresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_bvalid : in std_logic ; -- m_axi_sg_bready : out std_logic ; -- -- -- Scatter Gather Read Address Channel -- m_axi_sg_araddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_aruser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arvalid : out std_logic ; -- m_axi_sg_arready : in std_logic ; -- -- -- Memory Map to Stream Scatter Gather Read Data Channel -- m_axi_sg_rdata : in std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_rlast : in std_logic ; -- m_axi_sg_rvalid : in std_logic ; -- m_axi_sg_rready : out std_logic ; -- -- -- Channel 1 Control and Status -- ch1_run_stop : in std_logic ; -- ch1_cyclic : in std_logic ; -- ch1_desc_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_ftch_idle : out std_logic ; -- ch1_ftch_interr_set : out std_logic ; -- ch1_ftch_slverr_set : out std_logic ; -- ch1_ftch_decerr_set : out std_logic ; -- ch1_ftch_err_early : out std_logic ; -- ch1_ftch_stale_desc : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- -- -- -- Channel 1 Interrupt Coalescing Signals -- ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch1_dlyirq_dsble : in std_logic ; -- ch1_irqdelay_wren : in std_logic ; -- ch1_irqdelay : in std_logic_vector(7 downto 0) ; -- ch1_irqthresh_wren : in std_logic ; -- ch1_irqthresh : in std_logic_vector(7 downto 0) ; -- ch1_packet_sof : in std_logic ; -- ch1_packet_eof : in std_logic ; -- ch1_ioc_irq_set : out std_logic ; -- ch1_dly_irq_set : out std_logic ; -- ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ch1_ftch_aclk : in std_logic ; -- m_axis_ch1_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch1_ftch_tvalid : out std_logic ; -- m_axis_ch1_ftch_tready : in std_logic ; -- m_axis_ch1_ftch_tlast : out std_logic ; -- m_axis_ch1_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ch1_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ch1_ftch_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic; -- -- -- Channel 1 AXI Update Stream In -- s_axis_ch1_updt_aclk : in std_logic ; -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- -- Channel 2 Control and Status -- ch2_run_stop : in std_logic ; -- ch2_cyclic : in std_logic ; -- ch2_desc_flush : in std_logic ; -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_ftch_idle : out std_logic ; -- ch2_ftch_interr_set : out std_logic ; -- ch2_ftch_slverr_set : out std_logic ; -- ch2_ftch_decerr_set : out std_logic ; -- ch2_ftch_err_early : out std_logic ; -- ch2_ftch_stale_desc : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- -- -- Channel 2 Interrupt Coalescing Signals -- ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch2_dlyirq_dsble : in std_logic ; -- ch2_irqdelay_wren : in std_logic ; -- ch2_irqdelay : in std_logic_vector(7 downto 0) ; -- ch2_irqthresh_wren : in std_logic ; -- ch2_irqthresh : in std_logic_vector(7 downto 0) ; -- ch2_packet_sof : in std_logic ; -- ch2_packet_eof : in std_logic ; -- ch2_ioc_irq_set : out std_logic ; -- ch2_dly_irq_set : out std_logic ; -- ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch2_irqthresh_status : out std_logic_vector(7 downto 0) ; -- ch2_update_active : out std_logic ; -- -- Channel 2 AXI Fetch Stream Out -- m_axis_ch2_ftch_aclk : in std_logic ; -- m_axis_ch2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch2_ftch_tvalid : out std_logic ; -- m_axis_ch2_ftch_tready : in std_logic ; -- m_axis_ch2_ftch_tlast : out std_logic ; -- -- m_axis_ch2_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ch2_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ch2_ftch_tdata_mcdma_nxt : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- m_axis_ch2_ftch_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic; -- Channel 2 AXI Update Stream In -- s_axis_ch2_updt_aclk : in std_logic ; -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- -- -- Error addresses -- ftch_error : out std_logic ; -- ftch_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_error : out std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic ; bd_eq : out std_logic ); end axi_sg; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant AXI_LITE_MODE : integer := 2; -- DataMover Lite Mode constant EXCLUDE : integer := 0; -- Define Exclude as 0 constant NEVER_HALT : std_logic := '0'; -- Never halt sg datamover -- Always include descriptor fetch (use lite datamover) constant INCLUDE_DESC_FETCH : integer := AXI_LITE_MODE; -- Selectable include descriptor update (use lite datamover) constant INCLUDE_DESC_UPDATE : integer := AXI_LITE_MODE * C_INCLUDE_DESC_UPDATE; -- Always allow address requests constant ALWAYS_ALLOW : std_logic := '1'; -- If async mode and number of descriptors to fetch is zero then set number -- of descriptors to fetch as 1. constant SG_FTCH_DESC2QUEUE : integer := max2(C_SG_FTCH_DESC2QUEUE,C_AXIS_IS_ASYNC); constant SG_UPDT_DESC2QUEUE : integer := max2(C_SG_UPDT_DESC2QUEUE,C_AXIS_IS_ASYNC); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- DataMover MM2S Fetch Command Stream Signals signal s_axis_ftch_cmd_tvalid : std_logic := '0'; signal s_axis_ftch_cmd_tready : std_logic := '0'; signal s_axis_ftch_cmd_tdata : std_logic_vector (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover MM2S Fetch Status Stream Signals signal m_axis_ftch_sts_tvalid : std_logic := '0'; signal m_axis_ftch_sts_tready : std_logic := '0'; signal m_axis_ftch_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_ftch_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal mm2s_err : std_logic := '0'; -- DataMover MM2S Fetch Stream Signals signal m_axis_mm2s_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_mm2s_tkeep : std_logic_vector ((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_mm2s_tlast : std_logic := '0'; signal m_axis_mm2s_tvalid : std_logic := '0'; signal m_axis_mm2s_tready : std_logic := '0'; -- DataMover S2MM Update Command Stream Signals signal s_axis_updt_cmd_tvalid : std_logic := '0'; signal s_axis_updt_cmd_tready : std_logic := '0'; signal s_axis_updt_cmd_tdata : std_logic_vector (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover S2MM Update Status Stream Signals signal m_axis_updt_sts_tvalid : std_logic := '0'; signal m_axis_updt_sts_tready : std_logic := '0'; signal m_axis_updt_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_updt_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal s2mm_err : std_logic := '0'; -- DataMover S2MM Update Stream Signals signal s_axis_s2mm_tdata : std_logic_vector (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_s2mm_tkeep : std_logic_vector ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0) := (others => '1'); signal s_axis_s2mm_tlast : std_logic := '0'; signal s_axis_s2mm_tvalid : std_logic := '0'; signal s_axis_s2mm_tready : std_logic := '0'; -- Channel 1 internals signal ch1_ftch_active : std_logic := '0'; signal ch1_ftch_queue_empty : std_logic := '0'; signal ch1_ftch_queue_full : std_logic := '0'; signal ch1_nxtdesc_wren : std_logic := '0'; signal ch1_updt_active : std_logic := '0'; signal ch1_updt_queue_empty : std_logic := '0'; signal ch1_updt_curdesc_wren : std_logic := '0'; signal ch1_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch1_updt_ioc : std_logic := '0'; signal ch1_updt_ioc_irq_set_i : std_logic := '0'; signal ch1_dma_interr : std_logic := '0'; signal ch1_dma_slverr : std_logic := '0'; signal ch1_dma_decerr : std_logic := '0'; signal ch1_dma_interr_set_i : std_logic := '0'; signal ch1_dma_slverr_set_i : std_logic := '0'; signal ch1_dma_decerr_set_i : std_logic := '0'; signal ch1_updt_done : std_logic := '0'; signal ch1_ftch_pause : std_logic := '0'; -- Channel 2 internals signal ch2_ftch_active : std_logic := '0'; signal ch2_ftch_queue_empty : std_logic := '0'; signal ch2_ftch_queue_full : std_logic := '0'; signal ch2_nxtdesc_wren : std_logic := '0'; signal ch2_updt_active : std_logic := '0'; signal ch2_updt_queue_empty : std_logic := '0'; signal ch2_updt_curdesc_wren : std_logic := '0'; signal ch2_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_updt_ioc : std_logic := '0'; signal ch2_updt_ioc_irq_set_i : std_logic := '0'; signal ch2_dma_interr : std_logic := '0'; signal ch2_dma_slverr : std_logic := '0'; signal ch2_dma_decerr : std_logic := '0'; signal ch2_dma_interr_set_i : std_logic := '0'; signal ch2_dma_slverr_set_i : std_logic := '0'; signal ch2_dma_decerr_set_i : std_logic := '0'; signal ch2_updt_done : std_logic := '0'; signal ch2_ftch_pause : std_logic := '0'; signal nxtdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ftch_cmnd_wr : std_logic := '0'; signal ftch_cmnd_data : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); signal ftch_stale_desc : std_logic := '0'; signal ftch_error_i : std_logic := '0'; signal updt_error_i : std_logic := '0'; signal ch1_irqthresh_decr : std_logic := '0'; --CR567661 signal ch2_irqthresh_decr : std_logic := '0'; --CR567661 signal m_axi_sg_awaddr_int : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal m_axi_sg_awlen_int : std_logic_vector(7 downto 0) ; -- signal m_axi_sg_awsize_int : std_logic_vector(2 downto 0) ; -- signal m_axi_sg_awburst_int : std_logic_vector(1 downto 0) ; -- signal m_axi_sg_awprot_int : std_logic_vector(2 downto 0) ; -- signal m_axi_sg_awcache_int : std_logic_vector(3 downto 0) ; -- signal m_axi_sg_awuser_int : std_logic_vector(3 downto 0) ; -- signal m_axi_sg_awvalid_int : std_logic ; -- signal m_axi_sg_awready_int : std_logic ; -- -- -- Scatter Gather Write Data Channel -- signal m_axi_sg_wdata_int : std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- signal m_axi_sg_wstrb_int : std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- signal m_axi_sg_wlast_int : std_logic ; -- signal m_axi_sg_wvalid_int : std_logic ; -- signal m_axi_sg_wready_int : std_logic ; -- signal m_axi_sg_bresp_int : std_logic_vector (1 downto 0); signal m_axi_sg_bvalid_int : std_logic; signal m_axi_sg_bready_int : std_logic; signal m_axi_sg_bvalid_int_del : std_logic; signal ch2_eof_detected : std_logic; signal s_axis_ch2_updtsts_tready_i : std_logic; signal ch2_sg_idle, tail_updt_latch : std_logic; signal tail_updt : std_logic; signal ch2_taildesc_wren_int : std_logic; signal ch2_sg_idle_int : std_logic; signal ftch_error_addr_1 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; signal updt_error_addr_1 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; signal ch1_ftch_interr_set_i : std_logic := '0'; signal ch1_ftch_slverr_set_i : std_logic := '0'; signal ch1_ftch_decerr_set_i : std_logic := '0'; signal ch2_ftch_interr_set_i : std_logic := '0'; signal ch2_ftch_slverr_set_i : std_logic := '0'; signal ch2_ftch_decerr_set_i : std_logic := '0'; signal ch1_updt_interr_set_i : std_logic := '0'; signal ch1_updt_slverr_set_i : std_logic := '0'; signal ch1_updt_decerr_set_i : std_logic := '0'; signal ch2_updt_interr_set_i : std_logic := '0'; signal ch2_updt_slverr_set_i : std_logic := '0'; signal ch2_updt_decerr_set_i : std_logic := '0'; signal ftch_error_capture : std_logic := '0'; signal updt_error_capture : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_error <= updt_error_i; ftch_error <= ftch_error_i; ftch_error_capture <= ch1_ftch_interr_set_i or ch1_ftch_slverr_set_i or ch1_ftch_decerr_set_i or ch2_ftch_interr_set_i or ch2_ftch_slverr_set_i or ch2_ftch_decerr_set_i; ch1_ftch_interr_set <= ch1_ftch_interr_set_i; ch1_ftch_slverr_set <= ch1_ftch_slverr_set_i; ch1_ftch_decerr_set <= ch1_ftch_decerr_set_i; ch2_ftch_interr_set <= ch2_ftch_interr_set_i; ch2_ftch_slverr_set <= ch2_ftch_slverr_set_i; ch2_ftch_decerr_set <= ch2_ftch_decerr_set_i; updt_error_capture <= ch1_updt_interr_set_i or ch1_updt_slverr_set_i or ch1_updt_decerr_set_i or ch2_updt_interr_set_i or ch2_updt_slverr_set_i or ch2_updt_decerr_set_i or ch2_dma_interr_set_i or ch2_dma_slverr_set_i or ch2_dma_decerr_set_i or ch1_dma_interr_set_i or ch1_dma_slverr_set_i or ch1_dma_decerr_set_i; ch1_updt_interr_set <= ch1_updt_interr_set_i; ch1_updt_slverr_set <= ch1_updt_slverr_set_i; ch1_updt_decerr_set <= ch1_updt_decerr_set_i; ch2_updt_interr_set <= ch2_updt_interr_set_i; ch2_updt_slverr_set <= ch2_updt_slverr_set_i; ch2_updt_decerr_set <= ch2_updt_decerr_set_i; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then ftch_error_addr (31 downto 6) <= (others => '0'); elsif (ftch_error_capture = '1') then -- or updt_error_i = '1') then ftch_error_addr (31 downto 6)<= ftch_error_addr_1(31 downto 6); elsif (updt_error_capture = '1') then ftch_error_addr (31 downto 6)<= updt_error_addr_1(31 downto 6); end if; end if; end process; ADDR_64 : if (C_M_AXI_SG_ADDR_WIDTH > 32) generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then ftch_error_addr (63 downto 32) <= (others => '0'); elsif (ftch_error_capture = '1') then -- or updt_error_i = '1') then ftch_error_addr (63 downto 32)<= ftch_error_addr_1(63 downto 32); elsif (updt_error_capture = '1') then ftch_error_addr (63 downto 32)<= updt_error_addr_1(63 downto 32); end if; end if; end process; end generate ADDR_64; updt_error_addr <= (others => '0'); ftch_error_addr (5 downto 0) <= (others => '0'); -- Always valid therefore fix to '1' s_axis_s2mm_tkeep <= (others => '1'); -- Drive interrupt on complete set out --ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; -- CR567661 --ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; -- CR567661 ch1_dma_interr_set <= ch1_dma_interr_set_i; ch1_dma_slverr_set <= ch1_dma_slverr_set_i; ch1_dma_decerr_set <= ch1_dma_decerr_set_i; ch2_dma_interr_set <= ch2_dma_interr_set_i; ch2_dma_slverr_set <= ch2_dma_slverr_set_i; ch2_dma_decerr_set <= ch2_dma_decerr_set_i; s_axis_ch2_updtsts_tready <= s_axis_ch2_updtsts_tready_i; EOF_DET : if (C_ENABLE_MULTI_CHANNEL = 1) generate ch2_eof_detected <= s_axis_ch2_updtsts_tdata (26) and s_axis_ch2_updtsts_tready_i and s_axis_ch2_updtsts_tvalid and s_axis_ch2_updtsts_tlast; -- ch2_eof_detected <= '0'; ch2_sg_idle_int <= ch2_sg_idle; -- ch2_sg_idle_int <= '0'; --ch2_sg_idle; TAILUPDT_LATCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or tail_updt = '1' ) then -- nned to have some reset condition here tail_updt <= '0'; elsif(ch2_sg_idle = '1' and tail_updt_latch = '1' and tail_updt = '0')then tail_updt <= '1'; end if; end if; end process TAILUPDT_LATCH; ch2_taildesc_wren_int <= ch2_taildesc_wren or tail_updt; --ch2_taildesc_wren_int <= ch2_taildesc_wren; end generate EOF_DET; NOEOF_DET : if (C_ENABLE_MULTI_CHANNEL = 0) generate tail_updt <= '0'; ch2_eof_detected <= '0'; ch2_taildesc_wren_int <= ch2_taildesc_wren; ch2_sg_idle_int <= '0'; --ch2_sg_idle; end generate NOEOF_DET; ------------------------------------------------------------------------------- -- Scatter Gather Fetch Manager ------------------------------------------------------------------------------- I_SG_FETCH_MNGR : entity axi_sg_v4_1_2.axi_sg_ftch_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_run_stop => ch1_run_stop , ch1_desc_flush => ch1_desc_flush , ch1_updt_done => ch1_updt_done , ch1_ftch_idle => ch1_ftch_idle , ch1_ftch_active => ch1_ftch_active , ch1_ftch_interr_set => ch1_ftch_interr_set_i , ch1_ftch_slverr_set => ch1_ftch_slverr_set_i , ch1_ftch_decerr_set => ch1_ftch_decerr_set_i , ch1_ftch_err_early => ch1_ftch_err_early , ch1_ftch_stale_desc => ch1_ftch_stale_desc , ch1_tailpntr_enabled => ch1_tailpntr_enabled , ch1_taildesc_wren => ch1_taildesc_wren , ch1_taildesc => ch1_taildesc , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_curdesc => ch1_curdesc , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control and Status ch2_run_stop => ch2_run_stop , ch2_desc_flush => ch2_desc_flush , ch2_updt_done => ch2_updt_done , ch2_ftch_idle => ch2_ftch_idle , ch2_ftch_active => ch2_ftch_active , ch2_ftch_interr_set => ch2_ftch_interr_set_i , ch2_ftch_slverr_set => ch2_ftch_slverr_set_i , ch2_ftch_decerr_set => ch2_ftch_decerr_set_i , ch2_ftch_err_early => ch2_ftch_err_early , ch2_ftch_stale_desc => ch2_ftch_stale_desc , ch2_tailpntr_enabled => ch2_tailpntr_enabled , ch2_taildesc_wren => ch2_taildesc_wren_int , ch2_taildesc => ch2_taildesc , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_curdesc => ch2_curdesc , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , ch2_eof_detected => ch2_eof_detected , tail_updt => tail_updt , tail_updt_latch => tail_updt_latch , ch2_sg_idle => ch2_sg_idle , nxtdesc => nxtdesc , -- Read response for detecting slverr, decerr early m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rvalid => m_axi_sg_rvalid , -- User Command Interface Ports (AXI Stream) s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready , s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_ftch_sts_tready => m_axis_ftch_sts_tready , m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata , m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep , mm2s_err => mm2s_err , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , updt_error => updt_error_i , ftch_error => ftch_error_i , ftch_error_addr => ftch_error_addr_1 , bd_eq => bd_eq ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Queue ------------------------------------------------------------------------------- I_SG_FETCH_QUEUE : entity axi_sg_v4_1_2.axi_sg_ftch_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_ASYNC => C_ASYNC , C_ENABLE_CDMA => C_ENABLE_CDMA, C_ACTUAL_ADDR => C_ACTUAL_ADDR, C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , p_reset_n => p_reset_n , ch2_sg_idle => ch2_sg_idle_int , -- Channel 1 Control ch1_desc_flush => ch1_desc_flush , ch1_cyclic => ch1_cyclic , ch1_cntrl_strm_stop => ch1_cntrl_strm_stop , ch1_ftch_active => ch1_ftch_active , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control ch2_ftch_active => ch2_ftch_active , ch2_cyclic => ch2_cyclic , ch2_desc_flush => ch2_desc_flush , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , nxtdesc => nxtdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => m_axis_ch1_ftch_aclk , m_axis_ch1_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ch1_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ch1_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ch1_ftch_tlast => m_axis_ch1_ftch_tlast , m_axis_ch1_ftch_tdata_new => m_axis_ch1_ftch_tdata_new , m_axis_ch1_ftch_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new , m_axis_ch1_ftch_tvalid_new => m_axis_ch1_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available, m_axis_ch2_ftch_tdata_new => m_axis_ch2_ftch_tdata_new , m_axis_ch2_ftch_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new , m_axis_ch2_ftch_tdata_mcdma_nxt => m_axis_ch2_ftch_tdata_mcdma_nxt , m_axis_ch2_ftch_tvalid_new => m_axis_ch2_ftch_tvalid_new , m_axis_ftch2_desc_available => m_axis_ftch2_desc_available, -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => m_axis_ch2_ftch_aclk , m_axis_ch2_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ch2_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ch2_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ch2_ftch_tlast => m_axis_ch2_ftch_tlast , m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); -- Include Scatter Gather Descriptor Update logic GEN_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 1 generate begin -- CR567661 -- Route update version of IOC set to threshold -- counter decrement control ch1_irqthresh_decr <= ch1_updt_ioc_irq_set_i; ch2_irqthresh_decr <= ch2_updt_ioc_irq_set_i; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; ------------------------------------------------------------------------------- -- Scatter Gather Update Manager ------------------------------------------------------------------------------- I_SG_UPDATE_MNGR : entity axi_sg_v4_1_2.axi_sg_updt_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_updt_idle => ch1_updt_idle , ch1_updt_active => ch1_updt_active , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , ch1_updt_interr_set => ch1_updt_interr_set_i , ch1_updt_slverr_set => ch1_updt_slverr_set_i , ch1_updt_decerr_set => ch1_updt_decerr_set_i , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_done => ch1_updt_done , -- Channel 2 Control and Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_updt_idle => ch2_updt_idle , ch2_updt_active => ch2_updt_active , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , ch2_updt_interr_set => ch2_updt_interr_set_i , ch2_updt_slverr_set => ch2_updt_slverr_set_i , ch2_updt_decerr_set => ch2_updt_decerr_set_i , ch2_updt_queue_empty => ch2_updt_queue_empty , -- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , -- ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_done => ch2_updt_done , -- User Command Interface Ports (AXI Stream) s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_updt_cmd_tready => s_axis_updt_cmd_tready , s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_updt_sts_tready => m_axis_updt_sts_tready , m_axis_updt_sts_tdata => m_axis_updt_sts_tdata , m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep , s2mm_err => s2mm_err , ftch_error => ftch_error_i , updt_error => updt_error_i , updt_error_addr => updt_error_addr_1 ); ------------------------------------------------------------------------------- -- Scatter Gather Update Queue ------------------------------------------------------------------------------- I_SG_UPDATE_QUEUE : entity axi_sg_v4_1_2.axi_sg_updt_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_active => ch1_updt_active , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Channel 1 Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , -- Channel 2 Control ch2_updt_active => ch2_updt_active , -- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , -- ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_queue_empty => ch2_updt_queue_empty , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , -- Channel 2 Update Descriptor Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , -- S2MM Stream Out To DataMover s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Channel 1 AXI Update Stream In s_axis_ch1_updt_aclk => s_axis_ch1_updt_aclk , s_axis_ch1_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_ch1_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_ch1_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_ch1_updtptr_tlast => s_axis_ch1_updtptr_tlast , s_axis_ch1_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_ch1_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_ch1_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_ch1_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Channel 2 AXI Update Stream In s_axis_ch2_updt_aclk => s_axis_ch2_updt_aclk , s_axis_ch2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_ch2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_ch2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_ch2_updtptr_tlast => s_axis_ch2_updtptr_tlast , s_axis_ch2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_ch2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_ch2_updtsts_tready => s_axis_ch2_updtsts_tready_i , s_axis_ch2_updtsts_tlast => s_axis_ch2_updtsts_tlast ); end generate GEN_DESC_UPDATE; -- Exclude Scatter Gather Descriptor Update logic GEN_NO_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 0 generate begin ch1_updt_idle <= '1'; ch1_updt_active <= '0'; -- ch1_updt_ioc_irq_set <= '0';--CR#569609 ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set_i <= '0'; ch1_dma_slverr_set_i <= '0'; ch1_dma_decerr_set_i <= '0'; ch1_updt_done <= '1'; -- Always done ch2_updt_idle <= '1'; ch2_updt_active <= '0'; -- ch2_updt_ioc_irq_set <= '0'; --CR#569609 ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set_i <= '0'; ch2_dma_slverr_set_i <= '0'; ch2_dma_decerr_set_i <= '0'; ch2_updt_done <= '1'; -- Always done s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); m_axis_updt_sts_tready <= '0'; updt_error_i <= '0'; updt_error_addr <= (others => '0'); ch1_updt_curdesc_wren <= '0'; ch1_updt_curdesc <= (others => '0'); ch1_updt_queue_empty <= '0'; ch1_updt_ioc <= '0'; ch1_dma_interr <= '0'; ch1_dma_slverr <= '0'; ch1_dma_decerr <= '0'; ch2_updt_curdesc_wren <= '0'; ch2_updt_curdesc <= (others => '0'); ch2_updt_queue_empty <= '0'; ch2_updt_ioc <= '0'; ch2_dma_interr <= '0'; ch2_dma_slverr <= '0'; ch2_dma_decerr <= '0'; s_axis_s2mm_tdata <= (others => '0'); s_axis_s2mm_tlast <= '0'; s_axis_s2mm_tvalid <= '0'; s_axis_ch1_updtptr_tready <= '0'; s_axis_ch2_updtptr_tready <= '0'; s_axis_ch1_updtsts_tready <= '0'; s_axis_ch2_updtsts_tready <= '0'; -- CR567661 -- Route packet eof to threshold counter decrement control ch1_irqthresh_decr <= ch1_packet_eof; ch2_irqthresh_decr <= ch2_packet_eof; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_packet_eof; ch2_updt_ioc_irq_set <= ch2_packet_eof; end generate GEN_NO_DESC_UPDATE; ------------------------------------------------------------------------------- -- Scatter Gather Interrupt Coalescing ------------------------------------------------------------------------------- GEN_INTERRUPT_LOGIC : if C_INCLUDE_INTRPT = 1 generate begin I_AXI_SG_INTRPT : entity axi_sg_v4_1_2.axi_sg_intrpt generic map( C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_INCLUDE_DLYTMR => C_INCLUDE_DLYTMR , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION ) port map( -- Secondary Clock and Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , ch1_irqthresh_decr => ch1_irqthresh_decr , -- CR567661 ch1_irqthresh_rstdsbl => ch1_irqthresh_rstdsbl , -- CR572013 ch1_dlyirq_dsble => ch1_dlyirq_dsble , ch1_irqdelay_wren => ch1_irqdelay_wren , ch1_irqdelay => ch1_irqdelay , ch1_irqthresh_wren => ch1_irqthresh_wren , ch1_irqthresh => ch1_irqthresh , ch1_packet_sof => ch1_packet_sof , ch1_packet_eof => ch1_packet_eof , ch1_ioc_irq_set => ch1_ioc_irq_set , ch1_dly_irq_set => ch1_dly_irq_set , ch1_irqdelay_status => ch1_irqdelay_status , ch1_irqthresh_status => ch1_irqthresh_status , ch2_irqthresh_decr => ch2_irqthresh_decr , -- CR567661 ch2_irqthresh_rstdsbl => ch2_irqthresh_rstdsbl , -- CR572013 ch2_dlyirq_dsble => ch2_dlyirq_dsble , ch2_irqdelay_wren => ch2_irqdelay_wren , ch2_irqdelay => ch2_irqdelay , ch2_irqthresh_wren => ch2_irqthresh_wren , ch2_irqthresh => ch2_irqthresh , ch2_packet_sof => ch2_packet_sof , ch2_packet_eof => ch2_packet_eof , ch2_ioc_irq_set => ch2_ioc_irq_set , ch2_dly_irq_set => ch2_dly_irq_set , ch2_irqdelay_status => ch2_irqdelay_status , ch2_irqthresh_status => ch2_irqthresh_status ); end generate GEN_INTERRUPT_LOGIC; GEN_NO_INTRPT_LOGIC : if C_INCLUDE_INTRPT = 0 generate begin ch1_ioc_irq_set <= '0'; ch1_dly_irq_set <= '0'; ch1_irqdelay_status <= (others => '0'); ch1_irqthresh_status <= (others => '0'); ch2_ioc_irq_set <= '0'; ch2_dly_irq_set <= '0'; ch2_irqdelay_status <= (others => '0'); ch2_irqthresh_status <= (others => '0'); end generate GEN_NO_INTRPT_LOGIC; ------------------------------------------------------------------------------- -- Scatter Gather DataMover Lite ------------------------------------------------------------------------------- I_SG_AXI_DATAMOVER : entity axi_sg_v4_1_2.axi_sg_datamover generic map( C_INCLUDE_MM2S => 2, --INCLUDE_DESC_FETCH, -- Lite C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_MM2S_STSFIFO => 0, -- Exclude C_MM2S_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_MM2S_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_MM2S_DRE => 0, -- No DRE C_MM2S_BURST_SIZE => 16, -- Set to Min C_MM2S_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_MM2S_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL, -- C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_INCLUDE_S2MM => 2, --INCLUDE_DESC_UPDATE, -- Lite C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_S_AXIS_S2MM_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_S2MM_STSFIFO => 0, -- Exclude C_S2MM_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_S2MM_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_S2MM_DRE => 0, -- No DRE C_S2MM_BURST_SIZE => 16, -- Set to Min; C_S2MM_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_S2MM_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => m_axi_sg_aclk , m_axi_mm2s_aresetn => dm_resetn , mm2s_halt => NEVER_HALT , mm2s_halt_cmplt => open , mm2s_err => mm2s_err , mm2s_allow_addr_req => ALWAYS_ALLOW , mm2s_addr_req_posted => open , mm2s_rd_xfer_cmplt => open , sg_ctl => sg_ctl , -- Memory Map to Stream Command FIFO and Status FIFO I/O -------------- m_axis_mm2s_cmdsts_aclk => m_axi_sg_aclk , m_axis_mm2s_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_ftch_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_ftch_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_ftch_sts_tready , m_axis_mm2s_sts_tdata => m_axis_ftch_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_ftch_sts_tkeep , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_sg_araddr , m_axi_mm2s_arlen => m_axi_sg_arlen , m_axi_mm2s_arsize => m_axi_sg_arsize , m_axi_mm2s_arburst => m_axi_sg_arburst , m_axi_mm2s_arprot => m_axi_sg_arprot , m_axi_mm2s_arcache => m_axi_sg_arcache , m_axi_mm2s_aruser => m_axi_sg_aruser , m_axi_mm2s_arvalid => m_axi_sg_arvalid , m_axi_mm2s_arready => m_axi_sg_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_sg_rdata , m_axi_mm2s_rresp => m_axi_sg_rresp , m_axi_mm2s_rlast => m_axi_sg_rlast , m_axi_mm2s_rvalid => m_axi_sg_rvalid , m_axi_mm2s_rready => m_axi_sg_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => m_axi_sg_aclk , m_axi_s2mm_aresetn => dm_resetn , s2mm_halt => NEVER_HALT , s2mm_halt_cmplt => open , s2mm_err => s2mm_err , s2mm_allow_addr_req => ALWAYS_ALLOW , s2mm_addr_req_posted => open , s2mm_wr_xfer_cmplt => open , s2mm_ld_nxt_len => open , s2mm_wr_len => open , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => m_axi_sg_aclk , m_axis_s2mm_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_updt_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_updt_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_updt_sts_tready , m_axis_s2mm_sts_tdata => m_axis_updt_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_updt_sts_tkeep , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_sg_awaddr_int , m_axi_s2mm_awlen => m_axi_sg_awlen_int , m_axi_s2mm_awsize => m_axi_sg_awsize_int , m_axi_s2mm_awburst => m_axi_sg_awburst_int , m_axi_s2mm_awprot => m_axi_sg_awprot_int , m_axi_s2mm_awcache => m_axi_sg_awcache_int , m_axi_s2mm_awuser => m_axi_sg_awuser_int , m_axi_s2mm_awvalid => m_axi_sg_awvalid_int , m_axi_s2mm_awready => m_axi_sg_awready_int , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_sg_wdata , m_axi_s2mm_wstrb => m_axi_sg_wstrb , m_axi_s2mm_wlast => m_axi_sg_wlast , m_axi_s2mm_wvalid => m_axi_sg_wvalid_int , m_axi_s2mm_wready => m_axi_sg_wready_int , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_sg_bresp_int , m_axi_s2mm_bvalid => m_axi_sg_bvalid_int , m_axi_s2mm_bready => m_axi_sg_bready_int , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tkeep => s_axis_s2mm_tkeep , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); --ENABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS = 1) generate -- begin m_axi_sg_awaddr <= m_axi_sg_awaddr_int ; m_axi_sg_awlen <= m_axi_sg_awlen_int ; m_axi_sg_awsize <= m_axi_sg_awsize_int ; m_axi_sg_awburst <= m_axi_sg_awburst_int; m_axi_sg_awprot <= m_axi_sg_awprot_int ; m_axi_sg_awcache <= m_axi_sg_awcache_int; m_axi_sg_awuser <= m_axi_sg_awuser_int ; m_axi_sg_awvalid <= m_axi_sg_awvalid_int; m_axi_sg_awready_int <= m_axi_sg_awready; m_axi_sg_wvalid <= m_axi_sg_wvalid_int; m_axi_sg_wready_int <= m_axi_sg_wready; m_axi_sg_bresp_int <= m_axi_sg_bresp; m_axi_sg_bvalid_int <= m_axi_sg_bvalid; m_axi_sg_bready <= m_axi_sg_bready_int; -- end generate ENABLE_MM2S_STATUS; --DISABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS > 1) generate -- -- m_axi_sg_awaddr <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awaddr_int; -- m_axi_sg_awlen <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awlen_int; -- m_axi_sg_awsize <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awsize_int; -- m_axi_sg_awburst <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awburst_int; -- m_axi_sg_awprot <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awprot_int; -- m_axi_sg_awcache <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awcache_int; -- m_axi_sg_awuser <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awuser_int; -- m_axi_sg_awvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_awvalid_int; -- m_axi_sg_awready_int <= m_axi_sg_awvalid_int when ch1_updt_active = '1' else m_axi_sg_awready; -- to make sure that AXI logic is fine. -- -- m_axi_sg_wvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_wvalid_int; -- m_axi_sg_wready_int <= m_axi_sg_wvalid_int when ch1_updt_active = '1' else m_axi_sg_wready; -- to make sure that AXI logic is fine -- -- m_axi_sg_bresp_int <= m_axi_sg_bresp; -- m_axi_sg_bvalid_int <= m_axi_sg_bvalid_int_del when ch1_updt_active = '1' else m_axi_sg_bvalid; -- m_axi_sg_bready <= m_axi_sg_bready_int; -- ch2_update_active <= ch2_updt_active; -- ---- A dummy response is needed to keep things running on DMA side -- PROC_DUMMY_RESP : process (m_axi_sg_aclk) -- begin -- if (dm_resetn = '0') then -- m_axi_sg_bvalid_int_del <= '0'; -- elsif (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then -- m_axi_sg_bvalid_int_del <= m_axi_sg_wvalid_int; -- end if; -- end process PROC_DUMMY_RESP; -- -- end generate DISABLE_MM2S_STATUS; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_cmd_status.vhd
7
19774
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/hdl/ip/ANN_ap_fpext_0_no_dsp_32.vhd
6
12143
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fpext_0_no_dsp_32 IS PORT ( s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END ANN_ap_fpext_0_no_dsp_32; ARCHITECTURE ANN_ap_fpext_0_no_dsp_32_arch OF ANN_ap_fpext_0_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fpext_0_no_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fpext_0_no_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fpext_0_no_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=1,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 1, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 0, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 0, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => '0', aclken => '1', aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => '0', s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fpext_0_no_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/ANN_sitofp_32ns_32_6.vhd
6
2642
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_sitofp_32ns_32_6 is generic ( ID : integer := 3; NUM_STAGE : integer := 6; din0_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_sitofp_32ns_32_6 is --------------------- Component --------------------- component ANN_ap_sitofp_4_no_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_sitofp_4_no_dsp_32_u : component ANN_ap_sitofp_4_no_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; end if; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_dexp_16_full_dsp_64/xbip_utils_v3_0_5/hdl/xbip_utils_v3_0_vh_rfs.vhd
24
157786
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GK+B9PZwAQG0AijumSfbCugpYhcwULsoxpdEe41kJbdOvZ5J1nq4AhWPTePhNLqLZyBbfYmxsIZl Kzz7NcppbA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc9rX2vH3RY42aoriR6ztPTcqZ3ndb7iB1z0rAP/XXc76vu66p6pBS+TY6fgUWjogz4K8V3rQcVk QhbKnNsq4R85/qIZX/owqI2Xbd/dA/PL7WzHovQfQ2Zbv/FYpOTcbk1GlvA4SP0qUPoC9F172fdR bmnSOlCifs0w7zFrmVw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TLARkr6nHml2Oi3n5stw/PPzVB7LbOYkShwuslqxUidwZ+zXMopRNQY5lJiwJLSjHJiRYifmHfrw 1j3pLKHylIJVGwwneKNlQUIEC+wFjTqZ0yAuiOyhJf38AZ+gdgxm2CaJ3fBX7x4vceudOD/tftHy +O8IILkavSBr/DqYddVCvBGT+au3etiWBzsr8SSEyNG/lJTbDK4JA7vFUA0c+/p8kmR1k7gzgea1 LBaUKnLUiV7JGUwFE/NhXwyQOUCGmglBA06YamX7h1THcGtlLA93Az177ZMGd/ySK/UhnBMGCitu M+aRnd+ejseJlC/TV/RRTDxx24ieJfkWvHUodw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SOZcfpI9WzYyQTjPteLe53BWFPZc+91kF34keudF0ftzI9AfaU+XvWb6i7/0j9NFuqQKcqrO1mrT mCJW4XBC6rtaSHo+f93/clBlPzNqgtx36jyVhhwaXJBq8NOhuHgbnb/nCxFVsG94fWluz1T9COXk viw/Cwn+UZigS75GXwg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iag7/uHCfg3dlMRP5oC7s3rpNUzCn0pv+HfRxcgf8SAWmyxvCg2B8CDf9KiNCUewbeMkGKMGe3Tb R2WV4d/gItKUaNAw4Uf8kShbJmd79axzwnLiskEgzh0j+CUBLA5R5vsCRJG7/bkZDHI/qNavjSAk CR5yrk9pYg56DPafPJ95uuMckKWjlrj6IWIGVOdp3dHDL4emrILmp4AK+cXS950aFNNLCWzyQKzN +FlCVg2/0I3FhHgIx3xQ2Dnq1sUKOUKp1ixFXKZ4q4xJYeJTLNIPGu46A8oV/Dt+xgcCjQmID8pi iLxuw3lWUwdrRNfmEI5YFE1fjCSObi+pLLVLXg== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wo8k9qSNHjnAGR/g/m5L/ddkyNUQ73ZTe5OnVIGlwWehud2ibAyKEn5YmcrbfNYu0YZa7A4HM99Y Og5OjbEZe16RUiTwAS5/DcFT42yfxzDUFjxNKukT82hs335OEyhTsOjtOrzqBjTumgUGgBJmZRgr mZ1oABh53+odWx0V2EYwQoXALntoYWhr1xxtglpek43rHi8oau5sK4Tms6Gyqfj7c9WpsIKE37YK EHC5D7h7fTHJhmXpQyTEwa/W46hwUcSV/ADv1d297c2FRqOHwlURm8vTbqNcrI70Qst5/7vqf2JM KHfcXGDQ/S5SfZ67IKYlYTnNR7zkgEIdy8goYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114672) `protect data_block +p6IiOq494xySVwAEUme1GpicgVWFs89D5IanJw/OkkVwMV1NbryRNBWot2FFyqeqxLNnGFA4AWu AU2xDfDvTiXA0hq3ryDXrOqXfjLuxMfeiA9GUc85VQ/zNgRAFQoTR6PGDDq+rLVcCDXil3NseL9i p5jnALSn+dJHRhysloOD6RLtRO54zlUWAHE3wZvxQ7TLQRk4QEx+4nq3gpj+1yhXsywZomn7Ia/k FpOo/nn66pTJ1F3xuRknW7LPd2ejbZiy+80pd6zIfAkAqZ5o2JbJ2DY3CmYFIIGtLQK2vnCoriGB dt4fkeMnIOGu5hV2xVx6q2qjLfX8Biazi55MfB8VFsRGwg0zJ6/s9RYMmESGBvsP18xmtMX5/IEz 45pL5C4+mdp+FG+y3ubozEsyhHJDYfXpwwKIEg4zybXxJWwRCNFxomYkdUqmw7TkBP5Yrohhk+jH WA8/zoYb2bHC3mE77ejM8rTYal9HNMToZa9qsMYF6mSraRYjSnkYVwoYFlLDh7wTBQSafsql7mBD 5Cw2DCy3XQzokSdw+yRaLRdrL20oE83HJyXpns2zQAteH3ExY6aHvcCQpQRadTDxBPqadwy57wrL XsTXXH6HE2XUWqnBRy6NCAQM8a9YpVfwytZODn7zCkvYjaEq4hAjcdkF0XvvhJfIPxdGrmP7OXwa EdBfyqqivYwf52KW8C4IqEhtoFLcdzEptOEx3Eny0U6NElM5Y4jdUNotdwSgkCU1t3xAIkVggDW1 HXA+XA+jdW8ufTCCFCVKtFrdr38TNu1cXwSODRyc1BMl5YhFdpXCyfeidLEA4uDt+FcLzI1ILMsQ yWPKzKZNzgZt0lbObs0vLkn5xcgSOLkFb+ZemSY9lAiICs97jFI9fbMF8CYBFr4FkUOssuxgyaf2 ZSjnyNw1WF9l/gtTxspz1vO+m0cWHX1Te8TcHIVmM2Pxt7/I4FR9+ij4O1J2KU6/XPdZQdDOKLZ4 lf7GOdflI0DA+UK0ONwV3XF4JAA9iGy+A4t0R1c8VpBrfQSZIO7cLSgguFclq5KlwsrolXWnG5Md M0RJD3iYQgfdveFx29CLhfaB+LCJYGYmpxvwFMM6Ltw9kecrKpQ8nxfXD8kJ+BZ6QNrUZdYqLtzt W5Fatdge3riDKkq3RGEKthrsHRlS9dCMQkPx4dDXFRrPFIzuTSj11qIyLAhgws+47oNjjwJ2V7dU SYiZ9090zHk/5mwaJH/mmJ6pjy/Ebmaitn493AjQsOFYqt7LRjTakRDeH+80GU9QzK2Q5q7hFhmy HmwIo9L/QMSqNKqqJhb9iJnSt793PHsn65VCvwDGLxLr4yIZXRdbDUnWYWu8lzeOe1FZszQFTseo 8/UD35VkyJGwYNhTqvazOHtidoHu1Uxj/z+oOa79XTDg29Ez3A4flhZtoWR8Xigv215F0YUSkfTe lt3WuzVShqpBMvgZqKbI4GEvlYEa4f1N8hRgA8d4Sf5yOl25Kfa5yr61p4o92J+jCPNgSMeHAa7X HEr5EQjqwEfLZSSty09HeiNKrkZQn+8DO85q5vdYXZ2PjWSXCyeo73UME4l1LCyOFkDPwGvX092K IBlyxF77zeYdLUenRd0M6Uw/WXY3iioYxxWLzlu3N1493V6lZgYpR4cju2H53PSRjHxxIx9/kF0/ SPyl00EqQU1v50LG9jGPlhnRgrYIM7jMPB6gpxtuHDaMr3YfmIdFWgO4AU5JMYMCFWqltHxBbjpH w7GiH60UkPmAzK1/NhQjxYfJKBKUVDezLWifHTv7LD/QmMPsLuLPp81i371WPkBEGDSuAAdMmssv xAQ1dn+OifJbxbw4r8CQ6Kfj5hl+CPN6571eYg8yuzsEcqm2ZJEz/z2dC5OIbGGPyh377ppgB+KJ YsUGWOOCOMlP6efNOdZNuwqRn6k+dAtnTsCn1ChPJdDWlDTcK8TV/I+jbjZ5QHpw1PoMqUXZdy7m zYpmNbQsMn+ZW3Mczd6d4onIDLJ/4SIR3FY61x7wUi5pr5m1LDIj7j+d8CnZzMOEi5fYqKdn2JCg fiGG300wfAE/W/gVXKERiBvAIcotA+Jf5g7HV158RoPrJQczLuvBbgm5/L09wxFLA6VZGLfBUFdq 8xk9lKNtkf0aJzg5U1i/mlO9YCKPg6bsqE2jxNWy+9wJyp2YnPBq9FTTU5dNSXzcam3DswYDE49z uUtvizeZcJ4rJomxjRQUW14lm2mYrlV7ltbkELtvUbjBU+jFgJDh9oNB1BfeJ6ysriXH+x37Nf/m P78suE+a+hZqPeU13D+YUA4ilr7G0Ra7xcqlp3Uav+rbzMcyPhGNH7nlUiKxKJviqwpcdX9BOVJ9 NJQOHG94WGbr1f12kbi+HEIT4yuDV4KARvKQ+MtjLrjIcxyitYROFgomB+Gw1POPg82FwjSTjwt4 95yT2dIUVzyO/h0/S7AiR+OvhT0MD237OfkFnhKbDU3IiCKgv/12Ak8hp8YGUJVoeDz87T2flJ7u cO4Qrdi/ghkn1gjTrEKwTM+2DxV+lHI/BXPpExoEf7Cnfkay0+o5TMUkxFQH4dZYiKMM3nUpMkeR 35OT9znj7QUX+iYoas6NoNlFR7aOr5A3haG/2nsY7ZuujUKD8lvUKw08JJ2MjbLCDnRs754bweFV UcV0f7b57EDFvgs05FFJox/oE4SAbTifJ97LcvrHzCcFjRX/Lv6JaJs+tgjiY+5fBuUOPFnTAH8Z FiQdGd2mg5wakhyIDDz2cNmxBxZbXTaAA8MQ2OApEjusfN3Hz/vsxM3S4rntr+V5ffKOrBU2yla3 x/DQ2FNgZFRXUchpQdq9kY0LYjPii4WdUBU/QPC2Kfakhgvn/5eJmOwGHLkTeVlBwB0KUmoDHjun lXWSWiFEtJz0kZDG54SSWNP9IHHzqrvNzo6eeE+T8kwKzxNM7z98ksK9yx4t772p8fJGmu3tfJxy Z2UXIORDUuyLzzbpAgEDMhFMUsCzP26Yh5M9ly5EJlKFgvEq7qty5pnlA+r3eO+NxC8FC5Xwx3Sw VvIXeMHJLik30yrU+m9sxhO+3CF13SjmGoIp6luw2Ynvipsob8EX/TDtzx8ebDFB/dhheL1OvR9I UOn81nv+yhnrNg/P0yWXD4b9HGb3F+et+Jq8dRZCvqxICnOa4R+poMH9gVkTohE03c/yzF4dbHcL /MNqm5j7EgXZtwWDi0ZICzZJ1dCckzq35UjhPvmEaPTt2KvYRrs5R8zeddX/CN81PfZQHyCPQ6ch Xl5ygE7/RLR9tp92UpbLJAPgQIc0qoV4ph2DvJsgZcDdDsw8xrifYVG0yHFG4DL2cAA8ulQC5kdY 2WCdaSdRvTMvfR3wAzSgHH6nycvRyNyikz21aZ7cOqzLG/b0HfIvDPiSodqwN9JvsXIDem27keFW oi+a38ADF6InPtueG4zHlGODc6gYOt5wMsu0vZvfTs30hlF6VlQsLsx92qy6wh3PUQvN3u55nDWL HTaQ+rxTuMwHblFqoNp0jX4dCkA/jqSSKVfDlAMKY9EeMzyCBykbL8Nx3A348fhg0gd/Iva6TSzX 0RMXExSAuwZLwjrYlb6UEAJqKGFfL7N3j4sHlEFB5HSuG86Jh2h1GAOjmE3fv7eHT/G+qgUiavUB wO9yxGuL3YwjyfXX968F30RRTTFcPCiDrsXly9DhRTdP3kfMjJTikHXNtTOL3jJGE8Q5eE/2aWsh oKFdWMO55NhiHxiHUv+QfYy74tldIINpw6nuxHqSZlmJrf7sk0gh5Mdj/b1Hm8aoS+1iUwz5wF3E aPgJpd8AIbcEot35Ig6168NHQIc+FpcePMfzpaSnc+RTMi2gVI0vh6BIdOrOJwlvNlp99WgC1Uw0 pR4enqdsfjgK0Arf+6NRMTDw1q2P6dJOTZ4Dbh10JAyVOAibv3msx3e/lDuz9o7kKncPw6up69K0 qHHizSO7TJg2X1SfZY3JmJCEzxr23HjaczN0bl4yhBQpi//VH0nO8gX61wvEIdYMqRMgOeZnb3BO xtqwXp5L9pEzcjibg9nllWotpAVqTMbju49jAHMOVcTFyNXlYusV5jC9ICExSBx8fk57+oTVOuhY 5sCZ+kx+CMIQsmRcOfqEzopKFGH9Dbki+AjPPeX35VX9fzKtB8S2/jXeDYWESug0UunpJTG23Z3S t+EVJv1fzHY/tK0YRv8kOtxKybSNjPGzf/qhW5dwRkxXBIax9MbcHGe5ryXdPeynn1GBIIK5VlRL SKwwbxFu0VRWeW/vMgq/2gLogkNiYXCaPjV9rMdvlYAYc/12Jq45GJUqBJzZfQEsRqjggTzcvKeP eiudACR3/z+D/5Ay4f/3+LqzOKUTObJVF4fikmSAbIXj2eONa+ZZE4VDc7qU4or2MA3HtSv/+8dx x2M/aXA3Vd2OWwknDtG4N1CtaJrJVUtHYQnevlc9nLxDBTb+pR2rMG1bvdi07u/pC05vxhynp9yU V0X+bVF1PlZo8SKJNVBky2JLdkHvscKjylAXUVMf7gmE69Sr289jJrf8YXcIeSyi0U/ur66Cp95q UzH4keTjPJNcpnjV/0/5zgk9hg11rIyhTF7ot+ggm2WjFeP732Fxp0lIh6lCa0p9Lf/A7b995I9A GQj/pEtX+5vztIfthIWMQGTlzu7GvRLLRDlX8tfPE+5WTBMGc7IlBIEHDv1i979OYqPv6cViCddH qeMegIwRavPXaVt0Hakb6t5M7PSr+bhlMYUMuS3UrecVD/7CUOirYcAzEo8k4u2HNcYOJo7c+Xsq QFYXlUVXBETgzLLSC2pB9wLgzONXwXmIs1Nmr+6YME1S28JpUn80ftFhItTi4bVSX58WtK5Sv6a/ ebdH7zIBT1A/vEKr0bexjwl39keID8AY9trZRZG0qyQcJeNJxM22gGM+shJjO95rgCMmNQ6XveY6 8uzzD9yXh5G96IvZCg6yW9j+UwGTYWHtmoCShniRYIjDHx/tbcglykW0qMqLOivoKJPezTfZrV4X UzQaJCmy3EO9k/NC28Ggc5jhKnzwpI9TbAEvAHi+WsRA94isU7OylYT8n8WuHEtwA3DpMIQs0rkb NOWztgvFr+61INSLC2Xsv82K8qtLmyYqLnWXS+XbYPnNr9BwG/b9Ge+2BN8m8Hn53hPB71S854g6 3iyI7egb8YEqf6SUO905XY2u2EBbe/HXeZkoZWQRmKyrIE7V90/NHIAfkYUZkbcEtFxHNHzSIn/X QsFvP3ByHr21AnxilABKJ5kBdP8WayAPp9caRxfTmImgpfQiwlrhpYqZOWmQMzPUQNKTUE2xMpzj Dx9rHJIaW1++AuX+clrzhPKCscRjFCm8p+yAvxs1rZWCO1n6CCxYfaL2k75gY+tsjQh/9+jgf72P L8ngBknoTPs9dFOK99vtpTWOjwcHZ+vJcprhwFpX3zPxC2fT3M70z3US7ppOv4VUCVC2+JlQ/E0r B7zaB5A0TyFTyJAGjlUMSGIetlcyOxdJTRuodO/UOcEJ2zqdi4UJNvs3t4J8DYz5HaOVji3T2v8d KttP5Re5oLPrD7rzKRRobyMpwaMUd66u02h219oLTJoORfWIflT7DEfhyiKeHLzTIAkvuQ9KWPqM RKKmJoMpzPapxIX9VwI+iP9NzZ3rOoIs6GK7V3a/zCh5eTWcA2fFG/YRU0vD022zeB4HM+CP4lsD vFdN8CLIbDxaKtxt9vqS97ZN/CyKRlGKOpTRdNajV3voIc2exgl1GsVhwnGwxkwrNR43iEN8j51X 9P6NT9qg7n177HfJsmOEpUlpCp892ps0+PfZV7speF4fTZxcAb8513nTY0KiQ1pgWbPuggHBOELr koEWBj2fkrE6ybOlIEiuo47UA2qQmajhmYjSjM2VsQ4CDHyK+ZvFrdNM9XvJ/1KFcrV5Lg8dvKak fSaPEVVyVLEt6N81cMhnd7Ho5vl2UdTc3Kqj7+3g4ysVoGVApGlJbWKbJYjSsWtgW2draTtHma9J CvquAAU8c8AY5MmhSitGuwa7BWwpr0PTj30OKjjaqvfuBeTi5UkFTJU7VVYgXlOJR9OBoQi6gqg6 /Rh79qqW/wJ5uGSfcRLu/gk7mUc660XTXLzJ9U6zXWejOPoLM0eAu2V/CSmxasgx6fI4S9PwOcqG qISt0L4RI8ImI38/Mq705nymHxGU+85EjtI1pZa8HiTmZ2poKnAtr4h+rOi6AI896XLBslBU6rBK T8GEj24iv3zdwXv1K9L6JczBcG5GpNcgcBXZCMbfQEixmHafE10iyQ2iurUEP9I5i7xUBXvUF7ZI bRAXftc6NdSb26WGRapXVRyQDCMAkxLxOoBnb/cL18qiTZ9WwFDK6AN4we/dJrhrbhEos490ZccU jmrOQy+VS2hkymj93FAE6OLWpeFMbI4VMSmvb4RhvCBgIYF1JRm1QvbMiqlpYZPNFYNElecYIvpK bnt88snO72Vvh6FSptN/oVScKj1ohawzK/uXbsRUyWb//72K3SQ/puDPmaLiBPE9RpvD2mqdlYo1 ggERP17KCMxIb9G2j7J/xvXSSA1p7vy8+CpRHT3+4/i4d8uCs7dodNFKH+2V63ED0HhiiSwCa5CR UY6zMnjZWiC/T/V3jVgSORaVM47FIqEIKGuC4FIwNfE9bi3Y9eE0BAG6y7xeLpG8ZKLPwBrDz4nE 5Qv+6TNwpXVrhNxk2ZGba6UQQ+rNERt3Ab8D/HPsc930WcGom7cUyiyuThczdzE8xCk1vSw/KMCM yiVjjJsdtwm7h+tQTnV7/c6BZ9jhaW9tcLUhItg4n/kOctqtwbMeZfZvB8MpXvqO1gET4/BiAscF FsVYBozpFIdDud8QSfAeDVXPVIASP4Sp7vKovcGx+IzAIt9s0rqxoP0Fz3lSZ/uWzjmEBVNcgK25 /y7O5QveQFwsbLXSMEVh62aNpNl43Uh1CRew5saqJZSryylsV5J+RazgfFGEW4cYujMdVIf6K3hC pz0DItgseRd3jhGsAqIJgsH6mOUsxdOI0DpJ3ODhAcB9bK2Oqa5YcYmsL0aGaA5TsM15GaPkHtnR 0Uh/D1QHgKA936FzolWJeZFwOyTrDxzJTxCeoXb4k0SJm0+MtGjUxJrrCjs0uS+SZnkCKvIifh+C Th4hYJQoFco2bA7g8KNkC/6eOZjWfcHR/D6+QMgvoULScJOo/0rLmYMb+MfXjsk7UvzYH0meOm3n PtaBp1X/OZEosSg2VShrePoyVf6UrXJOBsOBYAKW/uO7tp9yE7VKaquFJPUmF+JaP+MMwGw+SdnH cLCEuM35es4/kTmQZULY6eLK5sy614dt6obA/EPfwwVr/OeQTtfn4m+PGHIrV517WUktv2F+1yY9 jDWqCfBNFbxuChbx/O0JqrNsAnwNoqJvxZg0XkaaPW7t+AvAM6oF13gF/NwizQcZf0st2dyu0m4f kagLN1+3KDHUwO+z7QXZ9/ZnuiWBrIYMI+kV+DXOCepQ5gAVXJ4+N1xW17ZEM7ZehUn+nArbmx8l e3C7z4hs6VLjwZg8cQ+JWJG9oYjoYz21lQ/IxviYZT0aWhK77Ov6z6uvsH2/HrCkF9vlQVzNBBVi /Qr5ZZi/DgntNZqp4375V850zhey0d4eoH/nCMq5h0iprIuOtl6zPti1Kh2i7zndCS/OfmVgSDM+ ukXk86dRI2NNtBXg2I9ajZOr8Zpr+4c9TjdoDBw4sT5XCbKIOHw1Bjke1jJm52YCJUH4+nGbBo6F 8SszGKzPnZokmNE78dtX5Tyl4osEGxnUQutk0yhgPRGHKEiavFI3bef7DxDofJTHNrrk76C8D6Oy Xq1pwuj1eqJHpT5WGovIByieDbcr+ik3k9M3eWsZ1CT9TWisXHSZAVa8G2eUkoBYZIN3RAHIAoYS MsQEO14leWhRMj3JVsHlrBav2CoBZpqJfunmjSYYGdEg3Mu7NutVaUD5jKOjVoOKg8U7mqX5nyqo odLu5gs9dn8aElV9TPXXBhMtoVdWm46NXEI60VqxETrBd6aVdXucDjacgt732Lelim5fpA9oudQK qZGDc4hKv+9ue4agedtfFymsDgOuwlaU0W7mONfwsoqZQh1JI5Z8be/iTfLQdoaW6sVkQuYSQTEu k9DrbbW4N+Jv64ZB+olTwLFgbkMYcmD7XNmCKYOqRYdrEZTzm4NwSpKqsh2oTcO+l9GZOExxPWn7 QOJgGUOrht2J79sdLbqNYiCH74olf/AFgaJEJLLfM5ps/WBjPLKFoKVdpLBpQ6BJrQsGXXrSixXh Futaf5no9tJPwQlHnuhuNFdp8j4JzWS5LaGxiWpmcAVO4mcTnNpWNSUReV8iH1WJqHjZQeA72IpG 8BoDEnd+uSOoiVCN5yH0NevRNLlYIDNLr57merCXgP5WL5NofvqgCaKxqewcZXMDeITZdcmOpa9x eECRLRx5G4oa2UqvrX3drlR8qIDayvIOSwr76voJFbnbq6xlrev5C+7z6FRWo6BOzhaXlcN7I9EL QRrXZbbayRX6VIMl1NsOr7u/hwq8u5Y9+WMT4e5B3fTyxBDNQT/siRLBgr20gr2WLJcGYAasD7wv sxacIZAaljmR1nBWwOzpAVXslgzmH9Snjx0b77RDlbJY1Ch3qjRons6BAGZ5LjWbvVrZqTvcxSY8 CwKIk+0UYSX7uay8hyPedPovaxPMO1M/q9j/cMiECbPRSDMTmdhXXctQtZacYu1dbZPqO8Z+uhXH crmTYXjgkwJjHn5IAaBVyPwUqn5p4YK2tEFy+CWCyPzxCXuNypjZOqvnJ0bu0CFriUJvlln9EUwq pEVdofoqgdAyMDJPRYXqV4173uVSxjORAtVJfJc6TOWQiSq2W2E01jAt70zsPwSpv+f197hFcgdY GrHj1juZ4q20xUQ5JT+ewx3AltrGoFOmSgs/DAZb5QhMgEsqhd4LtRXBBWqQp0842fNb6Umv3c1B h2aEWKNln+JquDo3Bs1AzdySo02az+1uZNPs+OwU4N7REtNxAQ3L+dwHTnYDT/UcdvfxJljYmb7W pZkXoOEKEb6eQUTfuOsbBiFdM0pK60bq08d/mZ5aujfjhqWulNVCd/ZowcwolzlbsqhYc8Q5Rpps u1GE1ZsQJPyDGJK1QN2fzeSGmqseheFl7/IIQOQymI0dXKWib/2x0KxtolamRsvT4AzVHTvng9Ik IIlYfrkoz120tvK5zcUHOamqqy5yTi8GePKK0F7aMNIoktGJNp7s8UlSke/ZaqaaAmKMkdcZPxbA UvBTcVXR4hLHSWPGBjjgJIWIbEUYQY7HMtfa6yev25WrGZr/7ADHO3dOiX133dM7Hi7Gi62pE2af cqOGjjJTpY7nihllS3nX0WBay4k6r5zKhYHSrAcOEErdUjCg9yr1FbthslM4apqaF5GcX7+LxF2g ShC2K/i8RxjF0yq8knvdnGlYWT5yBsEW6LMjLFRng3cIhx798P2+T31xpHuHlvK/4rV4x9yk3AnQ gvd0xf4LxpzTi0EPlu07uYPGmbeU5byUHs5HCs2qM3IdYemP8/l2Nf9Cu8JndWGF1hRvz4Yu3gOj QoyjO1q/H0OZhIy9ykzqabiY3WuWw0hxuw1VNxtTQky1527vdio71jAM4hc9NOS2u1OF70CbvFMZ g3XBo71jdoi6K7PhbMReCU03viFBqEtCwCCU018CQhB44Z4DAJpVX7/NTKDGU9YFVCDm+R43BLVf bRo7pmAuOEDDf0shPUyp3XsmIRMEtCtxNljk8ibFDopCm2NbUkcq/kLchy8wJJ6sDh1irwogfZI5 HktXMBLdxzJDsJXtpql0xoTmHOTmv+830YX4DAh9ri4aInJcyGa9rmdyP5t5+b55vvEdbjzNkaXC xWL6PaiygATg8egkCwvNORzkMXgHJuW9C453NDnyoSdM7OdqG3fVLHcRlhyqQsgdD4kqnvnbLpuJ LyWpI7e/gbGJDqVbc2Kaui50iXWJZyHvoraNYt76qrhqEFRlAN5rOQP4MyT21Kyp7U28VratiA0V U7xWalH7Yjj6OLRWMVZ1QJMbN5117YFmIsldsikUvNCLXYGst8u5Y/7VJmxqzFk0pPQyCVai8Oa2 uWIEjmtr/KINxJ9ooUE9GFwpysQE9ezoLehwmuNjJ6gmqZh+axc02C5TWPurEZKOpyA8g9063Qoj 3QW80eaN4L+uP7r56BypV10H0bssvlYIJn4NNPq33YYJfvYb/BxbnkBGJzJVNdbFu3FJZsOxMZi1 4iP+T+T3cSXtxV3ZpbkQjWFyAbNWCkWamr0rAdpR+wBs5/02E/JOVKsFQuXuXwRzFEnvzYF1wIgg Cw9fikA6N4PKO0OpGeFB/+qLp89fVcfXT+tkkPIdJp+2w+2Ud7Z55OWEhQRbVMHHF9k2HRuYko1F ixga1im6Mtukb2zjVPM1PAKKmea9BvKr+lEdccV256KB8QYyJ5P43YWEcXwc/XNnEjUaieJ1UeZl cz9L62TK3spFiCCsyxE6/IkuKypLNS373iNmraRn/A9WZcgnMapT3wj18w53ewgm/SQ88YtkkdlN 7S/CeOeR/B/3Im8xvMvdNv/ZNWeOCQK3InV93Ad4Cpa2Sl1SgHBBw3lxVQCilRG63u4WyoH43KZw nI4oHJDEXTADKmmON7lFrmQ76sHMz+dkhx5zW6qGSw77zF7ElPP/0e53Q50+emOwxchIg61OaBSI eWe+gK2CVe+aR/niISHcJWpEFJ4RfCPLAUcgdd04MhlLfZh/PjhSQUgLOIFPh6hSC2Lgia1bhVNJ K4i9ti0OAkMVJ5ZsQuWIe/P1v7PAguw/iQTIFR89SAwrPofIexR+MfF7j9UrRpR5QRxMvW9pgI1Q VmX0pU6PSJJ8XSk3iaEIr/L81klEB24VVk5n+ox6Fx7MQx80wabRbnnOm4a3kjecJpTOdF2xtAXI ynpJGjzH7PjY8d6g8AITo3q0fkHBJbxbn72hN+ymNU8aMjp5XpmFadegv4YP2RccZ2O5Re1ZloIS zqpzAEQgYST+zS5E9v0y34XPNpBYyXnu6c7dugKPuo02A6ulHkcCkrwfLxCv5nk+JStgQJTCqDVx yWz0yQqNEbjvVZcnkVbgieGj0MB1tvnheXybxcFKiRsYXooIMAGVRCW1kqByf9Q/7ND/L8P/drEI f5GgC5D646HCpHzdAJcdxsudm81vO2lySm0jC+kvRgO2MMBHI/I7SAJBozpPD3HZnIrNnX+AxlTf hDHck1c5n1rzhxfYiKEC8bw8aVzw2ryaBI/OYA4dlm5NulLEcR1jOlDNGFJfDKYE4c02PEPNABoN EHTdKfpXkUn/I7R3WRjL4d6Ax5d/OGshs59o4yoPjT9yzT4Cy9KR+AKsg/jpy0rlnLM2tUEfSqBR s2a8QLpFw+PzOgolfD6vX9caMLW0K5+5RDZTRzR9xQxIVjtoL0e+RdvCXnYvYiNvbzOoHvc2N613 9d3cpooLnR1Mr4pKs+NADZ1zMpNvXyq5LLOJcXtxqIUAFCVGWW8oHTrP/Md4AhZJYfHNzeydlyir cAof2W3LI7peugp5vv9yetf650pdhr6VTcCHwMT566T718JUxW1XPSJlJEGtND8nUZMaLAVg8CZt CEmm68rzI3buHw8t6pjiImlAbaMFFhbGzCFG5s2Hm6iSwtAkR1eJjoGdtByuiHKwV5J5vIUN1N8K x544p7CloJiffYF7iT1RyS2+mNmnQyBsjY4xw/bDhk8air2DGk318QdRHoMvNyXlY19SxOoIpf2g e8PIMjA0A7KzdIO8/t0JITZCq5XlI6RVjpS5nSEI5BDCUHjKRra0BRhe7GhS4v/BuVSEfIgPb4OS EGrWu8CL0DU8ac0VVva/etIsa+GKHLONR1kU6cjNd8pLs+3M+LpFjKXpz6CGx68p+yPkXguwZfMD dqAdCuJgBDp2RGXw5lR1bDn3yGyMDgiKjU0UqUR0jv4CXbYiOhKgdPXL1oAEBNHM0btMjxHcKfgT NU7FXN5BHFK7rvQUhgqFTq6Gv+NIy1s0OytElJzfV4Qh9r6YtiMZMwlFuimByWSRquormEVufGy+ p4RmkFTNJ/JuwATSUQYlq80sJuKkzLOPJziI88ZcP4Z3E4CRTpIFZjPhCEW0MNWeaBiK3+ts0jPI aKcOvnY6lQjZrzcQT5nYq6zNgTJCHXUJZ1CLhlwIMTTns3wkyYmj1J7Gq1gP7gsxFPa5yssRXSf9 x8AaSB8OAL7qAkEIwuC2fuYdgYM2WIhH+mo0/BJ+pn0iEh/HzWma1xCVvK9MmTr1JgoZvGjM98t/ RbtTpPESXuTTmFtgfC8I4wQwmQY/IEDAPsZyiY6q7ILfI7401g0bFinmxjFLUlH7H1sFzZA5WMhM WZFnmQsIsjkk7CCYTPAkqO7BT1EBw/i49oilD0cLAqIVl9f+pio72oW+8FndoGjx/fWhh2D5QTb0 Gb8DQGXDXehOtjXOTmhWMC63a5v324G7yNgZLcfA4dZJh1XE64mbYLlBtYfA3FQq9e5jLZ6+qLkA EFb2mdrGq9FDYG/fSf5+qbm4AYuIUBDQjSlPaGMTaycEQeJ6oEv1trYMbjOCcEd2pQCw62OIiLCs DH5LAO1cw6mn1VGO3K7PIbrcay+p+G2KRPxDTaHeDeshU1rUhy73y/Ixp3NyR7XznnoYL6rX8Dkx 1ifX+HysZ8JfyRZtQ1ML1Hq8gZam5CjYQQ3S4lmIH5wjiKM72s6OD2STrsA/UuBonJsh6KSewJ8f gbA3QA/LVNcWX+pNEJl/LfFlULGQcHsozEW2sYFStlylCQZ2c405UZcqeHQyKMfmVQAkXw3LN8EV BAT8i54O76ex83Op/yfPmQ6YIPJFWHvNOOfqKp4xzKQDAQafRUTh22NQK3GLN7+dsAwhAiW8NsgZ G5vZSEon2XALGX2Oflgxmx7cyuAge3OwGgPExU4fL6wrw4xEL2i3XvQBUb14QfnkldOsh+5fqRry qDmwWySAu5cmDnRsjR0pPu22Xc2dQtR6rcrBVE2X0neVHo0lG/1d3JeNXfSTr8y48xOpmEwxu0bm QlZrb3CbCRPmJL5kXvvJ86VhpX6O8oKettv947TMguBK6z/jL9m9KsdksHrWlFjUMo5DvKnv3dkY H/a3y6DBe8gRhCOrvldj20sphkirlKeSpdwPcYsP9Z9uyPlpZdNOKla4bv0JTPGRWNHod9zzUq3G b7wkFvJhC3fpYc8n3C1uMiTNM1HZEcvN7fLjxUwYNWhEWblkczi73q0DJslnCrbasc8VvIWH98pu 9GcFHioboS2ems5K0JMkS4j8wRsxycSYEI/LaqGPXA9xNu8X9aEdRxlTkTZfEsOE7hew1qGhtcWz xSKnc8kio2u/B5q4AJNfTPqxNgim8vYkagN8jsFYKOxFVDYB6JPuzakJiexnbhPrd+qdL0Ko5+rr W5HT/nurMLxkQxUzkoR5nEHUHkuQbSyZP3cIWbROp2fLnoG1dSOaGvlAR+OO3ptkQMkDuN70Ql3H kkcc6ln+apy95E7T1HSjyPTQlmqlbcqeNt17Im+yiRNCodLgYkuH9J4mMPOFu4rr9nILHOtnijDX 58rfzd0x1Ad2U5bvR5K3RdwlRxlZUy1VfRnT3MdTK9qxv0XT8yXfe/60TVIzxt+9dDlaUUoxQlMw eHyLPzFJizXiSDMw21Zg5l2kx/yHRobDv3Aq/qKJtsAyaetS/NRE7jJlZ/rkIzhZeiItiXl84RbT t6sxozTgvWITtPCnRNHQGYdlelsEmPThsFQvu6blrE8t78U4zdWYNsmCOUrv4OkwGojyBomtb58+ HR4EYg0pbZ30RVYB6zWLg8hdjrirGd8gOgI0i/0ZHCuG/GokcsxCtZk/+yMUscwt5JHUD3WT0MPd a3a3Y7CwDGQDVE0UcXmTGJFL3YvgeI0YwiBJcqovMZLS30UFVjz3ThzIWscrGDvbHbelWGghRgxF JbDR1qxCImRCKKfXXZhie4zmSdZwJh6c4n1TjaZJ7CPiY9vKyehk1AxAkRTW38z6wKbCxD+GGKsw +oq7ajlwd+YxgaexEGVfV9sQfPIKmrBGp+esN4kGetSSzUswgi3PpsLNFdiUeXck+nqfQspkTUqA gdgv8nP6fyivHDwKhRVbQy2N/GfE1F5KrBFFnYFE9k9OrxfpZJyhfnnM/avJ2x1oct9UU0sNySO9 bwEG6Cq7dErZve5AYTRq+5jaQdKxmR/couqA6SMjomd2OLmupsEBeOo+6l3WVg0xMPD/TuHXdLXc fafH/c3DeXpaVKDtz4CUIQ5fZs12rM/S+C3uNCCT5o8E2Rxv3yJqvgSq+Lxa5XPRV+cX9WeQLDD/ xo6YouoTjeIyGcuigE2ZWpQXiK71zJ+mIYfykJcIOkAlQV02yfoewSe0OnjzRxPN90piLStXH9e3 7drbGe0HriHusvwaVdIUSuK8Y04watn4zZUIuS7hgRfJl3fUt6m7Yv6mQiUwKmhmFWKamJKkEPSc GFa0Za9ljBJrPx6kB2MxPHW/H47iKML6KJG9gpeH2Ng5y9rMKWnTyRqYajFTjIGprWDNpUhPps1L gMbchH9q46gYBboVLCB5ZNS4MQo8qbW6r9w3tSBUh6sofu9MoAUpgza/iGsRkDSc3wE07n59jDV6 A4pRWqSGOXbUAGGrTGFObY5SEGLGqwMp0lkdJF+sq5sTq/Kjf43LLMvLoVDqCzY5rSp5AZkI22E6 sQtZ7861JwDRi8zL6gstYAehSej7yHfn1iPG2jJaWcPWeT6H68tQbmn9GfhRExnthz2v6Jt8bXZZ XdXabpJQ/XcGT3oS9IuuQ2tt6WICA+m5KWz0TZ4gwFSN+lcZI9mCdzI0rsXdjxgEcdkRJ64YCWNO SrPAsG8JqfZ6qwpNrGIa2x9NjpfuekJp26oyRbH737CbTEtXlTfScb63/IRn5LgLfWexFS9ZIcmB jqU/FWydZl4z6jL+nzyQvQKkar3thZNlAUnyD67Xe5VouA/nD+vP//LlFmyzMdYF8f+fNQGIy5h4 NcpbIYmoCOCv5IW/Ylnu7Jb8zX2/zrBvMkOv6QnaMNRRxJ4LREg4DOZZV2b9kcFuy1iOLdzAERR5 MXfffobto0yPiDiUcZ/zzUJ9+DkLVcd4g2PKHeFRreD+kGRs7R3Tiu6wIo5KRvg2ySgpT/9OPewd mPxSXCDGt+95htpAndK4NaVVJBVvia6b61G1aV1BouljRfb/KdLCJgU9ljhtN7ug2P8YLYyXn8Y1 Y/k/fweNqzjNbghi87AewxFJ7IZ6CyamyjfAB15I/K7qainzktz4m9IMcXRU6VeInwKeJiLhqsVO bD/ZYTB0IJjtXInbFcg2GYQHpcwkBKH96z3w2iWkVllf/quOJbxS7yfCO8WM/Agc8e7cjkTHxHL+ A/pGbmPM7+ryNzyD2HwNX9IBIv90e5lkXmJDA168l/deXQWB3wogF5py5qPb0hrCs4G6nK431moa Pwu6XLzuMrC0oz906o8TF4CgP9zO/3PZ0mMSUJH7hB+kukrWVViq0cyvruL/nCnml0whsM09rcRb RieGcYiVE5gs7qh0zj2MPdbudfGGUqaWzZ4Xpah1xx/XsAwytPeDtQKlQK2m/S3/3s8MKdJrF9so TxkQ1Qxw0wuS3sv+T5hyQPl2NsBz922Gjm105Z0LW7qFApKqVdayuHpMdFcm2RdbbddE5K5/Ut0b tjpApOwy5qZmXc5QN/SmJSttT2SzCnAEp5l/6jlkywQxKmGETPQRGGaC0QJeekbCAp0AYvpdfRqj ud11aKhNOTP3+Chw8K9ZhGxuFF2gUDVS6VGrA5uFKfVc7H+1nonJk8CC3xkhnpWJwnOCI/s5DpC5 gipR/8TmqjcxK3NKvl6UbcnFenqoNgobKKqwYiaDVG26GanxTcnQ4yxVw1erQeE3I7ZyIiWj69rZ QU/JqDp1MPycB31Bub+gqnz9TwrsvJvKjSZcASsyGdRaWqRvF9Q0Pz4leMdgJ8Bxlpc+sInPq85z ZBClM6faLKDf0SB4Lbpg6ZjYtP/Dmc4q4nkYp0FHKvkWuGhqNOsZ0NPdyrB5XVoNLsjsBriOyD3n E7J5eUsklTEbf8070/qNyGX7TU/kc/EWr3YJXCxHgRMAKrcvy9teeRiX+LCoMaszSiA0Rn/zppCk xk/WP/gHpK3FQJnu1tq4Ka+wKlNNQN2az2XVWMh5bt4muNBxJ7gNPK9CEdzkja/p9DpwUR/s6URn tdAeIODZjZdXkMbCFXN/cLEUJWAT4FDBTKVJz/KazrfsG4XZ8aWSJVvDCZKhP8LDivhE1cWjes/z wOfnruFPbUT9UvGQoRG93hkouFaV2O6jhRFtmMrugSKRE7I6mMHYy74ei/06Lv9VVspFjLYS7pFA YbPxjoVUBhpUxzGDdL49T1ZaS+5vK57Lw7g8aiKSbKF1RJDOefxXrxsESCj5PGX4t3JrQZqavmrD W4iDEHujWnhaDADDvAfUK5nUqIxGLexRyfi99lOWw5RpB/FYVX7UvPghUHkJ8j6jFUzi1fvE6X4q gqmDOnU1S12GpjvofUjKArrXHVYZJDTt3gVuRHHWbREyxVDjZfy2UKhimdz1OMcTkxt++lO31fH1 QFeBn7Zw34Vv/3rQbx9Hx5p+3771xwB7Kwyz/Vrwh4o/iX2sXNfZ+50O7AKOYc5YCO24GVGWl+gZ KPNHp+DTHvz4aF3NhKVXrjX1ugYyWDIVG+yp8CzV8IXHXoXZ3BQMUNNToPzefgzojMmMfskJkS49 csXrzd6+yz+kczb8Mo5U0Af5v2D9ZK3qphG61sfFPFmH1x7holeQDf83qqdcCNUXVrThQBbr/py7 qficlJavhg8I1O7TthYe/0NkRVelp1vxzFQ9VsPjmb7xkwBN/qKzaSTG3kvtTiH73IcgEE54kB4k jiwXiB02UNQnJa/MxkkhNfETE4IIviO8Jm6zbRPESUFTV0IVU4Ea323tnsWwFEmymUI5f3jaD73L wt8imHjUFR7GCQsD0tdDhnzsh+eppWujIO3gOY3KiF0NLWTyoTQYwwvMTnxZQkz6yFRCrc4H5mMQ MDSlciCZZgz73muaSUg1D4zwizYrTZPSRQ+CbKeGwdnedxdEbAhvplVHUytUUbnAnftPCNaqyBZr m+PzbYgPQLTjYjDtMLkUKsTDAsj9wHHNGSE5TQoYcvt37mZ9ircFmVmTF3efLoQo3bX3e7ke5PX1 SzAH4gzNZpxgU+8V25SWaSeFW6evd1ZH//NFUHyGHuxW/YkW/x1zfo7lOVC88YKgmZiX8OER4V9p 05J8hfLbV2pr6yeZjqGKPFnGlSaktX0h9p/U4VL4+b16sC+CL3YBbpSPE9+V1JHSEjm5CONTgJVz uZw3YfradGMipVBoZx5/mCr/BRiIaIrV1h5o11Fl6298srTpyqH4TUM7elVyMF0P+YTo7r401H19 8d72/vdRA1DW3lgTkpF11QdNcT0W8t/B2ATwOyxtTeKYM2Yvvp8j+j0RdH7em1ELHVX2asjRu+3t IpRC4B2kKGxGhrUZW0V562EowGKPCK0B40x9vu0NtHMkjiPuqqdLjvEM7TGx/HMUYmB+kfF2GDKi JKBx6LTFQcEk+xIUsZs+schI+sl2Ogog8Gd5vlgMEKQaGcV7QpXC6dLUolIp/8FnU1GKrvmau6mn v4wX+Y5uMQsZzlgZPWEDQgcbWr3odfJZ8Q5KToI98nsDp04XaFv48ARTEFjJ4q2avgquLwbJ+iVu 59RGk74mPSEm8y9HoCNwQEs6Tyl9OIwzVr6s60imeSaEy8nGRlQSpvGJ9gTrhBHvvAtCWhCO+J9A b2haFdR3qAo0q94cNL6/bsHucH0OTd26Uw6x099oSfyMhsjoNcS1Os5yl4X9bAc6Nmwt3RoYa1Nw LTg03rczmHMmRPBekeWqS0o55y8gbaCRI32yq/HSQn/7U+UUbIBEmYty9pup99iG2EDRkJIdG/UG T+UhNyQrZupTjax+3uj5gSGNlSk33agtqYUzW1Xyi3gByKhqpn6eQ9v9cYK3it9/Zn4YANHgKHjQ xl2J9zDE0jV4Gbw+rX6FD5cpRuZM/BBmrhuSaT1QYhY4O0iIfKies5LgPpivxSreOT3UtXfC1T6h fl4wNOXqUufL/LZdosvpntOd/JP/aQ14gw12vV5wZc9Y5ViGwnxqmDJuoI1XK5FZ/MYnMjpSqjB5 9P6edwa8oYpg6moWsAm/PJ7em+UlWqqksbPmBemwMRLo6q123Ff33381bPEkx7yGFCmTauIr+OU0 ri97I+a05B6Xi2sdjXqEG+VFdf4vhk4Sjkg77rpjdpQx+6DWsf1V8wTFMczwwWlllLXEcBeirPBk wSbtjPbzFlhvY1lXGc2B2GE7hXbgOaTU2XasF1kl0+u2Fa2Ne0nnWGoDbo/LYDx52Cyz2vyliTcr K18hJmpWVTNYxz9y4PDydSpfu6D0fkiocnCcqAfro3qLJm49nzKe/EWIwCGkvLJ+h0DvJUpPu7yp 6NtFHLju6FzWOQgfp4nkVyGvMnNl1dyh4XwM277PKR0CvmRFiz8N8MfOGv6qdI4T1CCW4hoL62Eu tcxPKuUNx0uyjMpo/MKlRJIwkeninO1ZXAFeT0KSuxayria7hlBbxTPi7FOBpeawv7rtXGkPRYEQ +oVyXHKAxqwBIRplvn1f/tCLDxF4UZRXr8BrdhsEQB+oiA1lAU3HhyCeLphjvHwjNJq/d6KzLAnb E0syj9oyx4wTWR30upCVXH0M0XAs/a62v0Fx9FjAbQ8WtK0pZTiqpmFx0juDcLfDWn34r7xyDN6S Iv41SdFZ9ZgeUzM9yK+McKt24vtBoliGNyc1lx3F4FhDtQ7pVnCunF4l3SdaCTCSu8qWss7r9KSq 9jI+cHeVVh0iOZYpEHywwHvj44WntWAoHDiJ/eR4d1jK7Q9FNW3drmEESB5nX0KkCz7sa9uCw7bX ZUj3BH6/HeoN5Um7dt6B5e+3/26SXkynX2ex4bNIQPAPzpZ5cHwlMlob7lV50vlbwEyVYjbU7q+2 xjjiTeAghYisZQFv3tPuO4f+zEQ1KOdvPY23iYnyaftgKUD+oATds3uEif137d4vE2M+gaK59Nj6 sUA9d7XCvOqvYPuIFoRoOVq5AABGfAQe3yIdcdXjpshjihS/qQk7qRAtHkw1flqwDVpRLsS5gFt2 YN1YfhGp5laW2gzBcVEQDjpd4yW3J9aYhd5tRJi3Jc3u0Kh0S+/OagVsILl1G8GEDatLBr3t/yOH A0lEIaS3Yaxog1EPiU/CuRq9SWnGUj7h5c/aIyo0uXpnSq+aC7QZEo4QETKdvUse5Z2LJ6kt6dtJ 39UAGQjy56L0UrZ4KuU8+ibkG1fOBGcEhK/NPnJylxy8PbSczgsfXZYYRk0PKtwC9TGseUGzmkHG SZld2841ff7rbUrqeJzEVjfK1nYiAkkoqW4rHqIRp77p/QUfaG7eqm8r7+sHzKPuNPusJlAgTapF SxaStvvBEqvEyOcm+CF1Wv9Q7/RVhKOt3dOnw1eC07pVDmsGWUSKnPsAnT4F809RlGfc2ZM3ZA2+ 4J+y3+/j+63ERxKG2UwTSXZ5PeKXumpL5i2lgtx2dSLVbMATWzKsPAlYnDBBKtns4YYwyRJjOQrn MjeaK5Yleqbf1iMWtTfogN7BOOm7ePaLQqq9qsG301L8QxivGFAN5Sn8pQgFfeiNbZ+mXBZEmTrN migq11z/IQyX9VmUgSsNbHVjBWv46zrISsvO6/0wVVSnDTlOvSggKKdPWStxe/juH6Y+PSkaHAvD FY+BGx38PAABUz12asmV/N03B4HLT930/LDsqScOhpdkv5hPDg0D1Qu3x4ZTccxR7NQCa6bKlGmR kzCTNN8BNWHB/WOE2TC16MPFN2+bGZT3WZcioCAEYgqWsrGeQCw7socLxf1vNkEQSTJtDJ0Mj+73 la5maiiViV6hpqGm9tPGoWFxbaBaIiGlrG0DS/GAHQB4Zn6rYrxkTnwma5IlTKUaEUQkVx1+z8YG oNRpuzK62HwXc7jOR5aCYc0wOIVuICnsXKeY81FxDjRjmAXMKuPMQyaK+GYroJbe6zfMRJqEmsE4 V/xQgAn73j93DmykEfVKGM4aUmMiWnLkTlOb5rHhj6rbrPZJsOVyKqjVM7X+R/9/scxSVjoiPT8/ kjP5FKp7lIekwBPa2GXdYdAjHJaHTZZQ40S3bl8CeDUXBNPjGow7n0LWY6UnxLNLZ7d51MeH6zHl /9eClstRgC3hjA+fIOVE37SWMHJ30nhs3EXFfB/k/IxMGyB/N0W0KSicRmWffe6QZ5FPKmE6J0nA 36ZgHC6SBzuWkHroaGocz/7LhNvBe3bt2zbDYp4PHAyLUax8MjGjdFZHCgHoV4orvQboGkhNfwt9 gVujDsP8d3KZx7I0srPFAFJ9LWJwrORSCOjjSsVe5LuxDRGrLXjE0z/i0PEw3k3nmn8Sj/jEvCUj CSCbwuJxOz6tLP1a4NxrgtHKu71KmwCPY0VST+6dR+1/t7fSH0PWIKKWLtNEFcYIKbfsRfJh5zaS NE02d2txxuRGk7KmSHniaP5pJMgHs2bb/+Ba++zktX/56B4XkSEABg9oYHxcEesQMXFdQR5yVtjk DM1gwt7GtmCd/SuNUK85w5YH08K51NORSw+bwpX+8PO4H1utQRxaQ9JN1bZcqKtVvn8PwSVohmJb SbFu6Wa22t4d00LVcP7GEqd9Dh5e44fanxipOW4rnE9jvo+308O2rC2w1kumxGPKOURA2qlhOmOu m/tBeC1EbM4remnKqrKBx5A1/PyhYXPyRmBUs6IraAop4BEqsvNLKOSXWaMbfm523XHd5hDeHRpL MLr34xG22v3JxtfjTvN8FlL6ViLWgsZu5XL8/h5xeo8qCVUIg0NXbtAKogD6CPLeM4mkecngxfgC 6JkNw54wplaEu5YcFXDupTCFK8GOTmV1GB126oNRYgN5vhR6Q1FJJaSXF69QyR/g85yX1RLqjQrw D0MTmVjkhKSEJeC76FlKdgyt01lBHFrLS67PRwMYOpOa3J8Bv50xwlOKDLbiSoB3Z2PtNgrXBr6M +8wsjyQGysiTpfBKPsiKKaHykBZkGHJYcrq0PjxfckQ5+4FtdLjhtxTgL1iK/HrK7PGMtVMnLxsF bdn4/xqNvZ6QPjj6kVyaqe5KGPaBl/JRXAmrAYMX5Hcuy4pBbc4z69NWmMZvODgWv8Vvq5c8dT/0 9YsSiw8jVjd1/tHS9DJgaR5nsGqBfPPIz7nnVu9QPOEL9LoIspIFGUnNMANl26upNcww5cwClGlw h3dJtXX1Zq5UzpN2NB53Z3s2YKKqaQZV9n/y2niQDS7+jWjTe4fJtSbEZJNGicIt41IzIWgri+kC YhmdtFvKC/fEbbOziLwx/VREIigJv6LgRP5o4qAo8R3HvxBgGHY6AQVtWnAY6ZUvXdGVDI8ZeN+9 bm6I5RYdr+5/2PNv/EUlaLP4FUv/jd1eNj4t165y4aTRGoY9NLnAPFtDzoRjNeUoA7mv36kn3NTB YDBW6oa37+FUiM+yoLkZgjTBx+8Y09tloUnH/F9AqZKuYmPXsT0QAav+xisQLLZ0jI1B1ufJx9fT dy8yfiFWHcvs2sVmAo9eghkwsotARwMqFlPlr5AN7qE/b2yfJk+Vc0HjQ5LYTm1hitOuOOOhrnMN i//mI/wpMYGb+60yPSDlKMGw5bV417bT+cPP8Ugosw/5ubCVE7veKJ++s74hHbCx26MdPtC1ZX6H wgTYJdFZbJ0fKipPEt/0lyXOtJh2V3l2uQY95aOAieKFNzsfwKKvwUCeJjGBY39lE+yoGJuOT9Jc 1CLVJ6ieWO3B1wQ4utqFpvndfwGbwta4RZfR+/JLqPdug1mnuxREoviB8pflAuNWj4HsG6ITNkBz zBTxBX2fEUpEoMygmRUZtcCFl87ncqmYLxwE85wEtppOJsrp8F/IW9TJ9WbuLNhlVCk1yTFbsWj7 Y5YJbLqTEpZuRK3mStO9H0e3gCfJYygQL2PFOHL7adQQjOtM2o8wR06gx7PGF2AqFQfS3jmXreeU ei+CnUA5QOWrwrphZP+95Jc5zj51NqQ/R50Bv20/vpBgcadxyLaW0uLyWBR/Jt/rRsImiBPbaw9m wGThCRr8AobNU2ReJaCsCeB+nn2IMzg2i7Ge3xB1EeX3CPtsTGtdezDkqQQqFqPUsKaubUtOonMl LjB1M41+dtgcqRW8U4u8RpaiwD4584hxxKWoZLxjMrpjSdYN2y5Fn026kqEGNrJ7pQG719qL20D1 im1HJa/qyt4dw7a7N9M0YrHszWlA/8i07EzkQoU1/aDWPpd3mbLiZBI5803xrq8VxLFoD2WPItWX kVCGeUSdfDKmKQIRYaiI+EP+aVTUseFf/2hGiSRtS37nVxD7ZDegDkbMRbGTJx8jIRdua9AwR4C6 Ve6TIwBrwqYlxckqTXa81JNsjWRoAmpd6Uzs13KVLrC2mftBqfxnSaX+zHsxoCoMBFDuYdUxg562 K+43tf9fBYW4qNBRaz/J3J+yplaaFeg7Fnjbg+GjDiGeTK3nk8cyjxvjIzsRx3bMoicQdWib1SoP 7sHT9SseCJbmoF2fqdzYhwuAZuYOKytjNwm6ch8cgPil6ROnlm02kKPBtH2FwP5cP9mGIxkpf9qU JxA9120+2F8s3gUM8niUJacIxuE43ZDjbEvpfE0x4u2Eq+Su5MTc5676TzZhyeI1bV/bgzsSivuC H8kHWW/rlnXFSTUz8Pt36QqHLv/vsi+jk2Ss/vCAugU8i4fxZLHB3wJMIAUZOaSycKt7P7uvLL5H fnzkrBbayZVRtr6i0U2iF6Rl6VkFC2kjRaeWiGI2R7mUunmxzxYIwyzLegJdX25f56L2HUF1Yv93 Mt8gVM3490qUnS7vm9zQizIKRdTEt7ywB4Ka/Ei/xylqp8gYAWJwiebbzsGPNBpSOYVVQL9WVq8L 0t+iJaIaSxO53sB17tVwGvC/kioo3FD4ExIdK8crZM7LZXxvK3nhg4zNByfzX6yeaGlWIW5XK2y/ HmkH/DSEZrjyqwa8toXRnUYOb2aZxDNLNNM6+hZmi25ZnR/SUigzsaGN/ArNXYerDY9bi/sUBwqh XrLorkI6I1xCjr+SV6FnQRm6RQFBEKhsn2emzhxEFAq4s3LlYKZNUU/YKUzTM3SS9Tu3LRo1Zuc8 +n1SW/qoAZwPpeez4v1mv1lWCKHW78ux78Ou8loqi30khVr0aza8nFNMmuzR/X49r9ITiMJoHBz1 B62MO3KFuZbzSDA+qbTa5k0FUR39+zUKqPXr/DYE0kP27ISIUqCV8eI+s4QwUL9N8tNpxNFJyj97 fwSXqrnkAbGOuJtbeOECIpgFF8TqY0mk+bfu/uXwggxU8bMyzPUf8Av0SmyCElkGF2kEvuSLEG8u TpL5t2ooyRPhTTBg1uV7ADoFRyHLQ+wc8ePQsZByZ/HUY6VEiHHHzS4amvalt34xgQq2/tIUz+3S KBcc5UvcOlW/2wJgTj2WYP0TzxvRKT2lWSCqHRJMpN8itGgSTP9hNLzzqI4+XhmKD8dpsNlpDXg4 HDTkwxnZsELFHkMKhcyg4DyGlXr/phI2tD5n5IL/z8OFqUvw2LgjDHJKpGJiNsHgfh6jkOVTPB/j 5vkjMFPqdcrAwZIySGnnQKANUYQM7wH8XMVtLJ7Nc6PF/hXcY64j85TzYEgzaMrXRnfL7O4DbGes YzzfnvP8o+2OEbot3wNsTk5Z321N2Zew9q/tDfDswvgp/ZvC+hzK9FHA2SG3YGXUP/JuJ1QsFsSc 13KggnMVEDiqbWu2RqA1VyCzNUqfC8rdBrFm4WZe+RPI657C6m+ZKF57IIaIQxmSazUXqYQp5J0n GrOQF501GWCuJowJx4hg49wx9plb4zB+fpE7sdWqr17RJLwdURlXZzsuI0NOVsJ+QmZwF76qbZpj TJV9G94VfO13S2IikVuSWH6nVmEKVF7/mL8gD4z6SxtpWMqSh5RthpM5fJ5vUg3RrBVYVNRlNm9R Zxe9G34BFf6wnif30IatVHwgp4D+AAh4DfUDyGEbhicbQ2eKOdepZ0OjsIfkrwD4R4/lZNfApjD1 CKx3NZXCdeJzZVlyYL2jOPU9YrwjE7sB8YmennsQZQ/xQoyvQkF36ShlTjFNKPhM3n/1vDo5b2Oq C3TTGrXdDqzc11GJGTtj4Kh9AjvL/dSNq+kgcJ0jQWaH18H23g8JEuR7tWhveaGs7VxWlzuCe+IH wqUUlu2dkg62rndVyEmEDo+rebiht6WKPo9BdRk1RSNDQ4g9VK4ui6+p7SLswSAfpEfhUOkz+tzn oOhrPx+sVjXMSXSFRnjkLsiUAmCG000WFvMyBtv2jsBvdD58GxqliYKfEx6A3+eQra3CNpqZZHkd rgrOFxvroj7xgnYFbfB3fMY3HF+WQql6JLZHITmuu1R+lRPEgfJ1zZE72YNOgR+4A51vobOiz08T 2qUU+jXYnFIQ7idcrojgrR4jg2O9UdhZFlKhiahQVUn73BhQTbbvuFkeBzCwKBOzo3Or9a2uEAqG RbdZQ5Jyutm37j5YLgcjMv/wiBFv3VXxVbzCbjvcZE6kq+xqqnaqjLPosvsTwRPlLCb3/Ma1rJcP T4VWvH79kvd7NIcjA7DriBNcp4PfNBrhxWQebJ7vheVE2Mcq+e8S7qK7ic4je+64O44Sd+B4x1Ep EZ8A0MKSOn1Who2sZ1avRk+SiaLi/Z7PLm4tbpInY0Neo9RhD4E/cSj+f48oMZBocNTTNj35f9YV uoPcDcYg5LGniweHMvZ+DDOcox9EJID4aFfO3mnDLQ5taBREwMcfsSLPPi/nXaFs3e5MW8ILd8dO 6BtJGacM0yJcl3oynB5b/d+TD9NMcdDnWK40IS88lqLf/W3sKHSHxWRoXPemh92cKgkdduk3wwoI G/sMCAddv6+hvYkrnJ38MZotHUKA3s3l3ZRC4kkIw7I9wHjvY6HWgXRdbZzQlXX9KmKDNPUbYydZ LAhpRgeX9x5Ej8wd7yyT6q7odblXplA4eQXXwECmYxnghkWSX+bTtrzN1/FH/LgZh6R9hL7cYJhQ KJ04PYNV+Tw2LX6R/xqdwCdknKR3pXPxt1rqR2hH9mOLuDtcdrlYS/rDdpJVp7Ysv31QAu36BeDI idnh7mfjc9eOlZw+iBJxKTwTmFk8WFselzsE8ln4/q7seJj5MB/RAOViN+IatninNKHtRfywJzJo ZSaL7I83JJOdJCw8vqjLwcpVCibLz03N3nhup4HWqcvToG4SuuARnZqnF6VRQvlO6deqW9iRbUha XFuiXVnkI2FSH2ZJsAX9IbhQVJUC1xWm5Atc6rNWYjrv+A/Uitwdlr7vz7u2gC0TsM6UpkYorMFt kYCQikkmrChoLFXf55M3K3EAb6txcHk6ExSIoyOdFhSSI+HqnBTFPGix/l6dYaqf7HIN55ihbKSe 66moaNrT3yB9jxzEMuLfTZexxOXmjU4LCn2V/fmkNSGfP5nBKO4th9DpaiD+9vFPFBzL1JtV1K9T FCZg6CfR1TcEhc9XwxxQjSJH+vixPH7FDmFLrEuVYcoeUH6572Qid24id5a9oVcKdYecM2+gIX4w fjhzsFmj5kR2X9YwLdYscqB7RTTFZSDn5isFJ09glc/1qha+IGj99X6NDRZP6lkPq+9/M38i9Nhn PYAR+9fuvTclPXk/yWIkHN9XTycP354Ubj0DNy4ReoOmpHyMAFZSnprMUKB2HqHsF7Gi8bt0FYcl BT9wj6nQy3oWVL/yBwSoXlgg0ff2fgD+IjXmW2xAj4guDhtX7U58LWzal7mR76xdTTM/6Uw1KeuI 0Fgf0vd7/opRNCB95lFirXaxFOpfNv1FsLiamt/VcMsTPaX1Sgxq6tRs83s95RdEqgh6VAtWGyYA fGe/+D8ojmKaLmLvDUOTu3XkF/U8Gd1O4vMQpYjROemB1XrcC01PHyJVPAA3Y7yF39pWuo58mjaN gvzjCkgZBJoipOvW6hiBiNDbSxJJLqowmiKIdgj65uVNhJk2fj30JG84XxnYKolV/gVkYb7F2wK/ LwqzxEfDAY06u2DGkyGvE3kIMzpWSz5kG3IEMsmI8Y9f1xqjZjiCTGXeaipqj/ji0ebLa0DpQ7b0 hyxCfwF/CZcAh/UOpF7qdPW68N/tNOcjeULLh5OeXVk+kYlIqczenG4fuXe76MR3ixf7gUcKoKP7 Ta6AC0Vqf5LdjH6F+Q5eB7j4y6Sn0QovydMe6Zv+yQW84bSGkDZrS1c3F703MqYsd060x7W0X8io DEnIeWLHG5yDMMUXz7Mhk+SsTLYNOfs5ctuIyUNZD8QC2IayxDfgPMlToLtrlvNbSbEr4cmxgtcQ QYET0fXgq+nRk7IBGHj+4jnly7shGihGyQvwFdtYWW1fhV632XuZZoKH+hhs2giLcVq+76AcNCdy mj9xsIzUrjM7dB3DzHOCKTFLSlwMmYD1Avb6y59i6f/Jz+j5W4bLNtUDZyjBniwQkcsy4dxYTcJe J9Y5vo6mF4M1rgLDPJkL6XKaFPEnlXu6WuIOvDu8nhL1palmC/4LfNOz5tDEL9NgYpZj+Qs43zvH ST/VFSqtdg3mmyTaqZlISOaoTprRPIAUVPjJSpeODphc6bh7UsVHD6lRHH4zYHPD3TackV5Sr8nL dwjbAvH5yTeKEtul5DiDgyLRIQHpyLUndPfUHbbhRH0fbzHZN11g2DzXjxQYte1VdGw4nQ82qp2z tP8JSK8InRnnjvRRnaUK21F9Z1vZ4yKMZDLoZ6i7YLRmLBdRl7C1sVdlcjUfu1whYps9KhECk0VX 5b119BBx8GmxbBwI/gLuh6PwK45yJHLykCJfuzcnHcoUaFlFv31OLucTxemvq/bznQ5TDp4IhRLb 4zF1eDbUW2Bh4d5tUAp63BTTwHg8S8QfFy5yrc3Y7qaoC5lNiFjN9oDSjLtjRbnhDYTcjyOmv10g UdF2saimeGDSWXzy33+VMuCe/jcCDW+ogMkxhqac1S9cEY4/5BTfOTNwfZUMmuY9iq49MimkceU3 /3M9BaunFboF2dINEhwtx6syJ1F9+7DdDqzM8FIgNd3FuEOy8JdN/DNQqyvuSxfNfOQgwxbeDGPr B8wIcAZzhlk60cxqXaNuddXMf5I+3kHRvd+FMNMY+SoFJ5sn1708Yo+FJZJeln2ifTZ0HQG7eUIQ LqAT0EH881/z5gsObhgvhEeJ3jLLA58BzABgVs8VYw5ZLws/sJ7HIsuO7jhc/BYv5gzqLoZSe3WD iDAABKx7ND4uMkzK+O4ff/hJE9ZlhfRJeS4Hcl+xT9Ly9NWzNwN0xY8RMd9uJTGlqTuAr9yQjpX/ EKtSAONSxwlNtlBXNdbz1cSt5eo2uGO2W46BlQu57qjUo5s/RPj7VXpHsH98OUghVfh5boyBBvJp 2ROyD77HLsPJV6/mbl+8IhKn4hCZgdN53OrKTFrUM/Ge2ifznrazJ4+ZG2uU4LH4o5iADfbfc9k0 NUnP2uJWmhzAEnSoQeDO5EklqEU4nBtOr3Zw+OBpiiY0PQsOyG1i0FLMO4KFG7AjmP7TYkJtpDrv XD0whEb4PiMwtuqGHBnU3ib3Mzqfmm5SDB8640Pewo+EL6jBXP8IkcOZRCCnKlMF9k1lEInlZKvW 0HXi1fNjJ0NZjh2oLX+xI4nm4rRvZVxmnDMn6SVN6rnXLV0m2kMKMLJ0cxAboM5IqWJ0GZiDjaRM x/p15bufn++VRaJoMz9QgKErVkpUGo6c0HDoof3ifnJs+/l0+qjFkX/EZFmhsvdzNYPHidVpl8ko 71R9BEOReiT/sBKcA3wiepBcBLbhuwGPp3geOnHeNLjtbb2cXdkAC0Gc0ICsPmOh7UrtQCgs9QmJ ps0O7fFQheJmhkGGlScdNI/AKuQxilpD+65OA6+3W66Hkiav7p9aJ+CtM+EBss2GarhagMeJ4gth NXdaRy/gEvJltUqloKdQZULLxHx08F5hhh7J9H5dUkWvMGEen2mOkjaq4IyzWl1TY4KEg9CdWlgf pdfAyOi59ZLVOJImFy81f9ofqqSGCnatr+j8gRW/K2SVHOkMktvGsAn/87UE0pmuqV2FQzvfyNHS iLg4xD42DkLQjNVEwU1/y4Ujzyfvsd3a/gW4FehGWnBSDsbtSMIT6i6TtdFAXMQyE57RBlJrU9nz ZcWOTUrsWzCZHPBuz4c6ElW18rIRViDNZ2dgR+3mz5Zt4VRTEvzE7PytoS54B2UqPYNd0aak4+vX CW37cP+/7MKJM0eOHDNXlbiYB+6fAfvO0w86qhEoTm0YIH+uJp+Z0NdlNPomeLLZbmSTUPtiqI32 rEUjEGbFfKLslQdJxBJwdTVEwpuc6vSMaaI1SL+C6zxVYATjlnbrXbGrCoJ7wke2i4LUmHAlEvDQ mA3E3oGhbRGERSCved6yYzTpVq9lUQHidFH5WsiQReABK23Y6TIHZ33NP6LzWpT8+0t4XgEc+iXD IN88F8zyGAJYAeZYC/C2iRBMiBFRhVVLvqW0OpegOzZwFy3Ruy0FfgJgiLOtIkN4ndVQRP33lYXS 6aIyuSrYOGYqPY1vfcwrTzPLuZZFB1IyijBM9tTsRjaHu9GifA3H7vb80/Z5CnWTUjpLw2MWSdbp LITrqOKxvcXVLUbqlxHo0l26vUj4fRaSHxDRpBvBhDTQ70coXRHQNLOHR7NV48bu3lIoCR9h+Fpj K3CDxXIyvaiemkELl46jgilA7vT1IbseI7oKa0ON32SHLB84q4OrmnWPMnsawgVIqbtLwSfbqM/1 tqQFXflTESMUAC4T0WXeGCON8wh2UbduQ2SeZqcQRAh2JenM6g4E5l240gnqZN0jefuH1gAO1iFF hF2mV1k5UAnuTx+XHrflrsMCxp2Sh54QHowDKHwbUiUixpoopTrLN/pTHfLYzOmx7XDQ1Af4hjc/ MfWlAo5yjqzsCx/Dn55dEGzHjru5eeTsqEkAuyDTNXlPPg5LZ2djyf5EwDJdRkhWqQTjdM3TuJX/ TRN0XXzOlmt3qsmxmM7e44C9FLe0wyM9Zb9qPcnZSdGxr9Uroqep2SGtWJqWBhP2KAk5n+J2eDbr xXgsHTL8b6NRleoCgbq2BDiMzR1RL5t461ZYtX4prAdGQO/HcPqUZvLnDfZB81LFDTVo7Es9MLG7 enK9AZOiy1+DJ0TeE3mwpF3zW3wu17RI1DZ/j0f3XPftw45mq/af8OzyUbZDKOzauoqvCaASZjlA lZ9PMGBJaaXdKFUiw7OcTLYvKHPC4vW08lMXDwdlqXjilrbrLAje2NLl2qlyVm8t4M4Giftj/y/M VXQ2EclzUKxJ5ZMshD9hbHzZib02IdpCSCfXK4ANhn2f4Gmkooa49la/KsHmBklHONXh2AlaKQCO 0D9KSBILw9Ek4jFQwK+lBBOvRCylvyaskpwTcK5WL7LvUxntVVT2LXoCYjeeANsnW2PkVlu+R5nn HqT2/hI8Ok4Vey+SNldm8Rg/D2hTFNREvPl+YIsVo87VWtMebifKFaX4/Pnmm9fvPUhMiYwhYr36 /WiyyqYNgeOYK0W0P8FKGA10GAHD7+sIpvvfaNZZFpH2CrPo2nGV5YXwFuHri7C1aTdiOyus9tVG r1l0o4KP6MNJGplQ0gCI7Qy+u9Yn+BDGmi24VdDU5uS1tmS1837HtBbzAjLyiAOYt9/j+WHE5wTm krWiw1DElFBA7W7EwfBLKlbfY93hatSfkt38w5R9/BOTOotiGhXGJNcXiilz0HlSFpw91JdKHPz3 BDzUwAM99EwRkFQvQmHcm72OL94Q/qfN13zTL3FsfQx+BbOroyKLf9E3NwiWiUN1dMmdkWhSrdGO Uk0ewgc+Z+rjXrURfm5maNVm4oSlXu4zIhHYVlF8LXyOFD0nHy08fibrcg8ZoB073cRiBkJinxuk jkK7/aO6QEizj4KJApz1Pplwq+PZ57adXl4zwG8jkCq8QC11gzy8U25+7RB5a7M9n1tG8w0VaPFY 9ExZbGAtlJ+VHihfoueQZQGWQqgR2wcQMa4WzVFMw9b4kx8bYC8mLkq2i1tOecx5zX2obSZpmEt0 qh0tFkM/qx40fNlIRO7gl/a87fr8nZpws0qcdbB1ZU2TfJg66s2mW43hexP/J6IUHf4tI9I8inO0 WqOxQsH+K+xgPw+dSdbr+1HT6aG4X96eHjfLl2tJ/mJogXbpapK8RwK0LrzzI5UODrtHcSq27zFI K6K3JvGxaQCExCLxmWck5aw2jXREADYXrXPjwVGQoRDPzCTxt6n/LZdGvMW/9GFdpE0NeQ6EA5R0 k4X+jcqBdGWu6yVKGeF8/+4bDgxp4pZj6kwvH2UCLBacPLUMX45wNDH2vydSGsoF8hvg0wfaattN ahY8xXGokK89huJPnB4FIfCa3eMsGDhMDHijhBNrUL5mRqVJ3yuVAdlcM2iXQE5Kufaqr9Qyw47M +SJax7fCsiLz7uL0qYofBmbvOTfp16rOdigDMlO6Y2dylamYNIE6heRL5fpENfIWjme/4iN/g3Of J7h3VgX0FLnNOF+fZ335pP6YLqPLWomvfq2av2dbLiQ6GPtVDpUd5UV54RXQLnSDtqyswzbHI0cx hq2eaQLIpCDuob4HVCmOU3+tWu1l6wAo5DKuoky+5KNzRez3S3Ro0vF2RTkpF/q9Dc2iMO1sCzqH TXM2sw+8vdG4WppYWROEiVQzHyNgkL7BqB4/0RRH+yoKFlmaQ7+tnKf4LQY5dqtHm7KoKGo6p+vr xwvfA/4GDqJoJbeurXwdlSFBOquh704b1RbrN49Vmi0LODos2rtuKDfQoiYB/LWWzodpG1UieRVp LpTzfqkt6j98P6wlySNcDQTj2xaH6ak3VQeiVVc2rGFW61YoifaSW270CyesSc29OQIvC9hqrH4E E8CBpDxdK/yC968iriYaVBTUG3/JMy3M20/5Fzh073uVrsI55YEEigfX9tYB3VT3IxYjRmuhk1eA Py9AGaHin+8qoc+MNqpb7hP7UD5/WL2tycSRIz0KFuyFsoZ7KKq3yQpMM373OG6a/t4DdabOLtOv 6KO9ICrvu3Dz98Sd68+DunY9eORB1/fGmNfuaIeLdGcco9dylftgx4R/g5p2VGglbY6oYj3rjT9n gCW/BW/tvbPdaAAc3WERPlyBB12g4EgYRraAjGNvTZRtB8dDJwI4bPa+GR351i7sMiToAtrBUADR rRICYK3UlCHAuw3XBG26cLUFQpUvT07hxJiW+Ps0vYE+BT1RQNK/101+uo6n/vZQ2CmveqYP7O8X LrYbq4OHS62qkURsIOiY9Z3w/g8CTU21caSKhAIgaMCL2UGG41bYCNRJRDH2MjN9eqKF/6UwBv2B EtLsPYKgmxJmHrj+jKVrDzzcAH5ZEkN91qSLf5TMcZiC4lUPAqJxhn5L3usF6uDsyGx+srq3A8HS WVLaFfA3kD12CP8VEZIIUqelCtr/sfUSrv177jzq7/c8VZ0VP3VQqWsv+Zmz9Qe2YEbpQEmqEme+ LUHg2vOrOV8kf6e6o0mr1ZydKXseAnZYE91HRVIJEOVzxXUpHnyFDlKLvUMLqx5QPoaZeHgZhArh /EAI7C5pnhKbKQyspPNZ5P+J2xAgQWihAmrmUBpFzXoDAy7B9jWZ52u3kciRvRlr7tnblsgQpgjq FWsOebyzOPrlSfFjKyDtibctNZi27ZDyRiiaZxwihd7kKUGzK0Dh92tbgoznqgTNcz+z/WL7hvNv 4df0GtxnE444efxAisPEhVGD73cO8mh3xMxHupGVctR3UuIMXe7Ri4uC1CdM2jEvbbyf7xZsJmmR o0fohh3zaEOTOSHlIxgMn9H9b1TM/mEHRrnBKEu4/K6EVGJ17xzp+uKrFvECa0gdA2O/GLJWIcBX L8RJXX2l1B8n3t3cs658PiNt3Mu2VHytMT8XGQHavJeitD9HcbDDpfVk2QpWYukVmhnJVJPTcLiv 6bpys+p/oKAHuCE1qHMiVYd0dFOklD3EtKEao3CsgaaiQ2mPDDLFTrcxZwwT0B6ppZw8u8U9JOuN xyDLyxKuV3vPtp+sgPg44kAvSk7fW61miFBmjzMSE2ImPT9XuupVzOPAjaOZS7lcPzrYdflu4OX0 nyt3A22DdLoe+8TUME7+Qnkn2cJu5++t5wh31oYGE37nK1w8qvtbatI8UsI3xHn3SzgNhHaOaUxN Wyhk9dGFncIUudNNFaaabaQOhphsW7F5GQb4T/5tUNWywx76zeXcSRKDUvN1iJir56wZW9yZ4WVd R5eFWp+o0iF49rAzn7f9JTM9ZXmOL0LEPvv4P6zVpSLssx/5PmvFZSuA85BOmo4zU9bfZ/KLzrYI /Gya8Faixn6Y5Zj7hPtMwPc9+C2BRcXIONREAjaoiIu5DIFQhN6lTe0+I2CON6lymhQQBoh4KteE 3+bdgFfRIPeAnca0UgVBBfRU1MrXOGsRxTTkN5Sr1hsjh3Y603rUpKOLdGxw7AKuA8AHFNrvL5oD Ixqy/7Il5uRcRHu4oGaVG5wkxJTAk2QXpnHVyt1iA20y174pnOKQr/A8bI/+NEMifTdpHn6Webtf vBAxXCcFmJclMe9UI0479jH8wnVhoeMA/DvcM+66A653bxoCZjkxP7ilLtEeWr0Ew6PJzNJgxdbn WmBPiEh07CL1mgFl0hI1s0bjDJWohiMWEUWhUgfA5jYE6egyy6v5gryu8RLPYjVu/I+sgFFFTSXP AM+CUgiUDlHPm6Ja/8ZujPljds/2R5HX4uHzkUuB8sEDrsmLb83hICyU4V2iKPzVYln1bengguwx 6W0xzYMJPwxZrvnT3Xh1StmYTHHkHeQtbTDZ5PfrB2HXtJsruTWXLuKrOk1T1NbR0mdGgwCRKo2V xN2ITjryF5ingJ0ma9N5HbZ0vEnSa6cykjV1Bfpr43QipOsLy4xbzORvXikemfCVwEbHV+rvZYet zPqX7pOj+E7oPl822SVqdjeU5Y96f0Id8Ah88S+jv5L+WeRLRqkrJpTLwA6679uCQ9iUaHI9FpOq XGIaVYmew9k3Q7C8OUGDRxnW28smHE/5R9EUO2uHDH4R0cBjIFpE3fpipoJBRukbAgvGLt8lZTyy 3mZ4TCK6AcyZPIwz2/pauV809vowHXgd42N2Zxv9ndpVIB4seYMrS8ZHvPqsNXBNqu5eTuWoPmxp 8McVpc2j8CCl0Da5OPvO87j+HCGkn6UHpEliZ2btp23q4mq5UocHXvq2eRBlpZRQe4xnmDxpCTiF o5cn46FEv/LK229fxynb0+kwmV1jAHS3GSVRskPxCUScPrqHD+t02CCj5J2UHrwNwYi4AzWHOg0g 883uYxlur9PhR/oNBn6HP3wSA8yD2F2fUm6aVXxpwKbwFo3fE2FSHj/QhC42HF0aPnKXoMl1gGUu RsrUNmIn/FdbhKUceOOX/0r9C8YkYhoApPglItDxed6CS6kE2kgGNrwGtRktKJEVV4bFEwdA8KJT tOIwO2c5IyTgETTc9z8WcxIMPZKxCvndwj+1i7Df/eob/dxo+t1/d83bjAGhhMtQhOsnK8bIWq0c AzGLeWnBH0PJ1fNuAjG3lFyH77tWqZT6S5yXw0DXMpsAVbK94X8MHuOaCO1VGcOSQ1WZ1zaEBs2i vmKSJSNsQvuYSvV3Gfk9AKTsVzZcybkM5uE9BgnqJ8r+Bp/JT4b7I7oHKXoIqFmYWf52BC1wugZo sY72q8UPPXNoU8eoxBJAS6D+AKJzBJe5tH2X69xAlob3e2ay/Oil5Jy9HzIQFwAbOn5SPeCYsXBc GM0JBU40VuErDB7Sy10UWAp3ZI8+RlOWHZpJe1t7pCi9BFFq03WUND8o3Q4omcgVPlxt+7DpR/9t Q/RgARbB04h60Od2r39LmXvNUvRil+6oE7p5JxJ/BQnGxeKYgmjwsSOY6bcPA4TnkBnfUcMMMeYr DBPEtPjX0iZ0lg0T8cO6W+Rc/OBqvzPFvgYmgq6xPZ4zEKHpJafuw2o2RABuS7eltOkgXnRuBxkD KspP5SBY+KdeQ+yQuV1T7WxFKoBNtQsDTyB7u7GB3t4NJuDGCgf0I3HsD/1ZnEvh+hTZx5I9tLkN bBVgsrdlrHoUP4Mq+TqtEiSnXOJTcjjW6oOmYe6Kxkb2KDdluAEfV+90XSwGgU3dO4scjSNRwMVU G6dtynNwbQuySnRfJolvigj+yQmzxDmv/qynlsnJBSfHSFOE0uOVUwLm5wxpaFV8wtokh7T7Xz2G 3AOc3tT5gvPLjcZ0S7Zq2ZLFPAWxoQCzaa5T/IKbJFvSorUHyRkx8h0AjHRGsV+Zb/Qmv7hb4zXt /X0FE2x14QiOnX0s1g9HG5DXee9gdpkSSWNDnAcrG3mra6HRPXyoHEwOq5yvHynn9KDMRe0G0SRq F+aBc1gWfeWZrsqqhZjxvvnkYge6+srRd/Q8VGJSY+ZoXSqq8G8QkW5AUQPLvH9HFcFkI1H3VDK/ DOzccl3iqTFuhXt8+pCFZoFA35P96ld7lukyMJmTM59JDgsPIkn4OrlDexe+lgjlFX1TSMYk2Gp/ UL5eFF6GW7xpFPCMr0VeBHC65MBrjaIngJG5Q6XGgsJ+VbIWCzUGQ/8dQV1Erqf0TYN5Qzsf3ac9 LwgDZBqkGUQbuRxe8RckEhOXiWeztEPGG+Bchv0yAdndMK0Ws8x8TB6c030i5h36c3/k5ufPCfuA mxqyl+1PzFPJVj10C8EEGUVV0HN9SzFVPNzVVOl5A0zKMBUbfF427/W6ppWGBxVT+Ts6/vTmPXEk HiWt5s4azYx3a0zS4nJpafTKJP/w0QUww9EHJkP2z9xImNqMfaQ3ErwDAlaSMPIwLhIRVo/Ei8Xt 2NRgDGTiSiKyAi0MEAf2eQR5UxpslWXTZEXzJNG8gZQB9bkulX9jWeeKeqEOgDYnl/DcWQ9xssXe /rClRxDdD9UvZhPTu8fAuSs4KVV8g5YBhsE7QuoKq8kD+jQ5hk4aAfzLg+akxlhyhH0lgwLkz7gu cytLaOHQXp8F0UWNd0w9vzTZ1oU0YbDZteAuAzgMZqn8wlfQcAeJap2WLLZ8Gx+1uygItz3wfXyt YxR5dUSPWE2P0M6puHt9qAxzBy1DTvZdPu8B2FhKzvUvfXL5p34/WX3i9Gsi8OXUD2N44oMUk79Z oHVWyYIXkJZYn1IBfEU5krFojx5cfWo9GHM9xpgfYPZhVzEp+yYoVS2/8D59HnJGpe91UcISSQJW 8rGfH6GgJDhvYfe+mQK5qOnZ76zTJtndXnFcXD+P9xZqGmDjQyxwR99Dvuy0WOZ8DX+p23dDEFcN gdUGvMmIgAmSzO5MEuT0f6cQktgupiUWdlKo3o8YjWrwSV+bxrS4a6quaKLwvQT1LGYh8Q4Y4lek 5tQWiKiZeaiMSEMQnSS+HaePp7WV3KlrH8f9jBLKI5XR88t6h96YCvDlU2JxMylCWbJOBKklk5L2 yK0vvLQLL3nWFyhEhIA2qj8WaCLm5kokxiq41nSuRM2L8OCDsvR2HYdU46LrDYNvZIPYw6zICqx1 nwyePlz3I74nl1verBJ028eWEyBG03noT3NI20ChdprdFq39ZjVvIY3Fj+doBgDghl7EXU6rZznu nAht/n+uo08on/7vDMsybFF77cgNtcBVomJz1CZO7j9bOP2Q59nOuybFyFbWKFSD51iyMeuDQcP/ ss7cP5ATr9PbXvU+w+/5uIe1JL8w6yqHmFrdrTYr3JWHGvDRDVRHQ//xb6A7F2RDfydzxfffaxDE mKxAYxaORtyR6KzUyQBGv7OQmFssTrXPJRPiZ+ZWFLMoWW6fdPggyzUz/ulP3XUrye70XQQafMXG 6XJeylq9c4hW4VRSjFHsl31X+OLX28u9iJhF6kQ6C5wxh606WziNMNqaDRCg/04gO2LYPBvnCH2w kGqpPvIvt1IitVKfgrUE1UFLh3l/WOIScik1fpDAdqrl+PhpdIf8GCCEamfU/Pzautju5RcKNS1M Ebh3lSBYXj4Lt4hORw3AhrDZ8IDP+jGF7W/9kh5yMmCsZ+dyRcMY1Kae4Qs3+S6SN+ON5+MWznh/ luasldonrzw2IUMJno89S79519dzKzmKTx1jnb+f53yToASdKuAa0KxXVPnaLn+UdByNNMMBW0J5 9H1+1FhcPz0eEcEz2tVZy772r77TMlnAubxpqdOOxAeVjNPHmrGPtpECDO6aA6TsfnRY+6BAAyXx 9mFezY1R2e1ZDNDxxNlRpgPwzk9YWFquuZE2ICTVVTtFx7FQqhKeZ1SITp7a1iwfNoQ/aVFVd66M gkPiwsQo5tfdaO6wOiteBLQSbsr7ndks8hEp9AUYugDEbiedNSvMm1UslaNzFLaq4HLc0sJX30MR HZ5rE6JCl2/WzjoU+Mw/y5B4/mEj75Baqr1eVp+WbT9OpQC8Tm5hRgHl+NREhxIgIzJ+Vz0BFUQj l6GNwKdDeOJ+iROg2/tVH9z4csR5y7wK+tJ6LaDwPH/JKl5MixkqI4teC3JI8fZnIguHsQqJhph3 b1uDMbKrjRpE740odcid0LbfMGcsDgPLCUBTOeoUe1XlCEYD6K48jRHxOqVr/Zolg8me0ZW/5uS9 DMxo7ie7qPpVFyjBqvlzJOEV9LeDuv4r7fvSTv9MtViMcOErdIouceUhjwxEUEBKfbB4R0OMY7zO 1tqgbKJVQ02wADdMkrKOjj4QPVnq2KbP1Sj+uM0QfMveI2t3roG2U5GTORXVxSGQQ4a1zdj/1CqW FlpeN/wK9ikV+1b4J7FsEpT/Lu8ibn1RpkXPpTvOU4TAyaIYWY8H6AOWhrCHhAaBo+0txYGgN8AU IbOEF6EcDvpizgrHWG+FpXbUqZlKUBXHCpll8FTsQ/yD7Dlbn5VzkkUlOmZlBifhvYPN7psEMmq8 xYgkavz1+lRjlOw+bRoepI8oInmQ0+ozKAwSzkuyu173H0D9/fTe9vX75YTZEh2sa9EAfuj36PUL 8zP+E5lRUs9Rlo1GayWHcQHggNLTa/37YlH+WypaG1sExpuA/Mut+6GBsSrUlCmrkz34KUhtdUEK Z9TDPgn4IGdaEDxXwzc9tXeM4BuGQyAKCZVKG0DkKpa8o6Dwo1CfcsCoiUDZ/VemD6KivJ33aGcy X9LmNRIOtjGao1fLxnyQhur0kJzw8ldqYqN068WzIOhfsPYKjICYhLoFSf1D8FRkkdm4Ajyf/l38 7KC2Tkv6TvgqCz4DH29TEX/MjujO4cpTJifCsOtEAVxlVJnpAbZHlYjkkf2W/EQJPRD3vbx+P706 sbZOGBGUNciYuXAb55lvtdkllDZQoYHfoOQZJ1/mQEjoLeSBDMBd7EmpRKNFHrhKAHC5zxmjszo+ 8Ufhcu484veN32fUpfQhAcX/4ocvRIjwM8cKMM7udrVLYSdJrmxg3S+SC/JpdEq+5pkkonyS4DQw utQdFAqOV5l7RzMDkSo3kWF4oRZZD7ReTf9V4GK+dIgOq94k2yUbBo43cfixKjKow+FCZTDA7J66 6MwkE6ZNaKyGmDxTQKtXa05wOto3R4nAkmsEE+w5qVAUYYzp2n+Ka1xSTCa6BwEAMWQBf86uKgn5 UK4q1r2iXeUrjKn1Ss6FLQoIaxBslqWUpu4NYYgnjl8lWyIeVpLBPFDWfoutlUcP3UnJxamcLdUP 5HMyhm9g2lQfHaAfbhMLGOOwfXRNtRr0O1YcOqS4PkR35scHH9Ctzra2tnGEA1j013TLTpi6LBQQ n/V7NPGXQPKbPj+14+D519obMSqxz3NRmUlklRgZMIQG9xwBuk0+xPCdlFetkNnLUvDhDr6qYaEa W/544Bkog4LnjqtaCpyw0QIJf7sx7eYXOHsqbazny9nM551Brqtxz8m9wxRSxhYg7l48Nuic4Q7Y uXUzJDijlYrVbuZQ/jbEmDxX/NsGFmcFz4pGfRSgcmr+X7YKnfT21HjxTJ20YE7lFoclrWV+xObE 1NO92Vu7D9xWQUL+F1+h6mZP8YjhsdTTwxSOBQS/ptvxymxhLP845w5xIHkXwLqIu3u7yHyg4+dz Gvxl7qUpGS7hve6IFR8MATgAPJ3xyKwml2QWPPaPr+99PvlF6ky4zeyYC1sM0BS02srAvXRyK9y5 e/vuzY3vBqs6lYT2UWOaf2u5xAqAIdYOiN+6YTgWlk/lyWDw7Mqr+TPLFQ7wiMquoJBmWA8ygjUf lNF5wMJ4+WD4SULLX1LkGd7F62IEC4Mo+84qtMVLzabOyjhWZvgmoFnARLkiB/xPPYov1B6oI+UO lKKUjTN8K/cSCbPEBcGY0EFSJ7kPmYbZWAoz17H4IABwRZQEHvvDDxwnqERqfPjBWZAZofUNM1hS mIfQRY6A4L1AGzLNxnuKrxRmYgA4t5Sdf7vYAXteXoEDmGGI7FLdbRaZTn4PuJOxNL5YIRL/ZC64 oujGHgbQkbuVcKHeGq6Lbz7t8PoLLpb0vPTusW4y2RuFUdJrCaAjpvFxoW4GTSMB5nuiEwDiXouy JX4xLi6FeUdkOMb3Y2dwWCPFlTvuNkBDYa+xcjCza7S6ssz+2mdkJAnX+YKJ1N3ToYmXTFTN08pW yopz2YkSMb0C5ypR+PxIBijWMvZrFRF2DcJl5gxpYEQwN24TZ11ny77XUE/fRq6lz27wMtHPS385 FvGdwDqei81IUxeGNM2Vzx9USO51XNule3npOclS5q7SKLx242xhCH30DEVEQ/4QA73x90ffmyEP n9CHfIPVO1k3ye0N+PWxuS/qyw24QwF+QaO+id2qsaHeZJjE12CowmnlzKQkydOV+164suXm4uwc A8GBQ9cY1ICrpAFP+6KNqE0GtlGhwkclp9qp7VSsG6VWALxMlzUE3N0He3B9XMPsVDahW8/WbNUf MOLaYNid5EVPFT+xBwfqV+VVuyUFrqCKSFoAJDccJNORxDIib8vADF5sDY/Cg7TdoNQ2jOvCSRNy 9tOorX016QZqAb8PNvC445sWUlt0PXXOT/J5SV2ga7V9hdKM+pVEiX8DHcwz+orBiCYRgtCHcNZx ay2HIEurPdGYMofFA7pepWxBD7L8Otm53EsFcRL7Vhe7F9iOOhIWMTyUXxV+LccJBdKOpsURBT20 hcEMCsDX+Lgebo0wClaCPJ8xQPgVE2RGDnAtusbr6MxOPVe9y+22UuTC/zYYU8r4uLTETqQZf4WG JeCeiMu99vx1Mf8yaDMr9PoLSoKKRmeUv7+BIwA/6xEvZbGr9FLdjxu1PgDAFMFVk2lFX0ULRMj4 hdDLUpuWfKfzovPkhfg68ZD92NNKH9gKezt3/RZw5ITyGkDBdeaymXRk6qruzQ/R03uUetlOYB/2 iXUHj4ymqNqw1AnO9vt4I1/Z1EGjW24eyekOWREhEsRp6xlEpWr+snfZOAp4w0Y7aIXqp9ZrP3xB jVXA7YoN8k4ohqN+HjW9uRYYtKrTWBNnQ0JPHt7Yp/oqhadqUoELpg8ypGvkJ60Pz5sZ29VAZP+P WvbylECA+tNdhduMCCDiFvs9V3pDOUElSf32VDvXxbKCkd0b2Z5NGglyqrvfCls2ehwR7F8PZRwm I7ODuwMZ0FScvg/E1BxpJRpQZDJFmOA7FgtO5AiAJxmLzvODuO6hPrh9wU0q4vTl4hcmSo3vIMpp l0Uq9JEMMlQrkQvuGKJsdNtTK5mH1XtiCuuAMqi/Ra0RspWiDnAkSz8+JwMrQ9obHd7dAhIUyCkq QmDJjFMJ+vDYCfy8jQAZgF57iZNHF8CmkT53Pa3Ri2HLjf9wKKsgEPdcFc8Q/jPoFZ0/pVhOEJi+ REDJ6t/mLbUE3obRS7YGaLc1QJ0Sf0pvClddVjS8LD/+aQq7kc3hmWD6JUd+m9BVATUp2ngGZRBV mhHBJDOBVheDQIaOVIhZ2wpySVrbVEBeNw/foPNIcNZsLMdf+MUNJV3n4jmLptuqKctndv8WZsKf cMEeG80d4blNsyTi9hGKtGkScRGT1x08jTIDBravuWFgAIxc5vtrzqPjbt0dQMEY7G8/XTJde91p 7enI7PjqR9So0nqgq0DnnDyD+Zwc3JW89V9doh4jYMLKhW37H4V07ct5bCKbGB0FhgMdvD2iOQ4v wiqrgIaQAtTSq/Jg0ZlnuX7n2iR5HTOFx8Dbuiblbz8esOYtoNr/TPWB5YLJYBg6doIgxF1Q9AI1 NIKR8cV86yPBeZL64DKk2AvwOtr/jZw37/Vj6LhCzi7Zcx/z4E+q7mFnzAzMRvnoop3etpwxSZ/+ DiDAujLPj5S1lhj3dKs06XGmlI2LVZELbCa5VmPNY0LLqiP2TffIUQtcerAQMzrGe9I2x5sQii6X lnrQWG2hpzNHyKKTerZW+Qg3qEdFt+AiiA1YC1Dtt6vLMevNNOKZO8najJ3m9fLkMVKgHYFFqGyQ RyindNVUM6O5ggp95Aqj6FdQqj9V7h9JNktTEpxv6iWIyAFRUbS3QP2rcHk8QzVaS3bg9E1a1rAa c36kYu065JFwExP8YmWTEokxCiLlVCdO9TL5A0ES4cMbZT5zVU0GNoBiRmzO3XdsLXlVusLnpeRz /LobBxD+cYVHtrejQ76YUUKk1B9eSGDNndXGFYre13xeTEI4NooYZehIo971bS1rUwcau6XSAyZ/ ecEAdg+RFzYD6Qg2plDaibbSS+cVlgPjdME0iT1hFY67XPe4YgTRxtYG5FRYvgwSYQYF1OTbPvxF lDczE5kSgE/QTaZu3jEpiz7fUgd/DBGKx+MiQ+76qP9WcPHUzZDQ1ApuZeQ2LmMZbQGg3PSQt9Sy ZlVcTS+SORSZdyhnUAoxl9Pdi+15YQu7BWM06eTFfJqVO1lF6FbYCU1uysHrGmhhCDTSMbalZfcK /s7SrUbcJbBrBqCYv2ufdUV2XJto0xLRcBXpvYr/j4tssh1rvtnUMf577nou3M5XB+AW+8Xsx2Dx diIiZQet2gVHq6hGhDjSx+WTZDi9SSh6daRPecaTDpG3NhLtQBTkq4xvipyr4zsBtTaqSgg6S22j 4SJjKbPHvfeIiJFnMtqDlbOIejNpqqAtXVkjXJ8FZx3j4rnQgNkJQ5bPc7QpROpR+aQBwNsOym6j K+7lI8pA8MNmNMI4NcURWaq4fqK+vu8F0KDblzWA/CLWoeEaxgtuWwSnolapPK+g4rV8/pDV2IYq FaqIj+DXs2hoso2RMca8zdiIllEBolefr+G9WpKCtdNdx+8eiGpQm4Jfg6o9KILTD9wA79pmbarZ iZ3f0r+lixuqe7Gsjxev70tuR4+g1M/dp21Ims2QtazqM1Sx3IJ2DakegIKPf/l1LY36be8v+sz+ 8y7VuRfavE1vSUGSff46KKCwr/K4WEiUv85fUIgv0mCUdDWnyQRYIca98OAKsX0nANF1DtGkF6OA FeIenQLWRnmqkQWjzGtQfgiP82B9vD/QZhHO6eE6XiusYC4mYAKs/c31zs/UycR6pT1WMLSSgo2+ 3AMEx9uwMOuTFac8fAqGumjppr3GWn+1iojunvlpJ/CpjXD7xsWx4rlq6Dp6eDWCD6qk/e6JYb6B MN/2kTsho2OFkRRhY7ZcZFY2/HbfvT14x3Baxi82bYWwzDxtJTBI2q5hIOJrqC0/jd/2JOXRHg1o h2yS3u+2Rn7/Ln+Cx7h/XTPNohh2Nwok8y0hHr/eICZkxKwl5opfYOwKaqSYS3dWS25BTq8A1KI9 n4FE8cF9udM03ZbXlwxC4rmBWZ7RV2a9+i75oy2nvByror8hjp87ckChYFu3PxNDwGqoco0pr38f JhyQnV1IqXYFZb0Y/3T/EpvF8aZ0wxw7bQHfdlsCXEGYHvrselDB04/WlveFYKxpphNrz/YLN+1X EC7U2e6mCjsd0TTJX3NIr4PKLMJwi7sPCcBHnmDth14Ix3Hosd3LBArYZY3T2jXcqZduEugeBqhn HalJeXuhJZo4bHqA5fRicyu8CxKzqFhPKQTCE7j2TQrWjOnMbarQWAkLpe21wfCONKDPg0+BtQd1 84Xj3UhJRqcYvsy69f7U1N3YrjQofMsn704qZLD2hJ3RjkM942V78m5yx8HTPqrlHojttBvMD0vq b8Yg+MCa2R7bqOZ7QHPUNnRZpFEWzNYK9S40AmLln/Co/RU+TB0By1h3uM76uW5Yz1aSPn2Miejg L2e6+q2j84J9zpZ361DGDU8kybYrmFvujkuncQE73PE4NBFGVARemqAVeJb05c5gblfh6EVWdPzf ZOxKdf+qMuMcOUR+unhgihofauyItSwGwdMhYtgZmIZipUbbTmRAu9/LApvwpv4ucSvCbHTGPsvn i3ePvEbnz2bEZx+F9ES3gUDmaowJ7EafSviBs0uvm+/nNvtlZ+IZSrp8LImC7AceeMvH+dg1yxKk WG4+kwNw9AxZKYeiZIA9JDQv76PpXQV31/cCtpIuix+JvTqHWQdLlud2pBh69/PQ+dcywQ/rSYs1 9F2oO2WbRkqzgbr98+BCteKBPndRtrBS+YIPA34iVhl5RpcylHH/W9HR6IJan0tBp/0AE2pi3MfO W5oAoX6Yd0r2fw5mutnNH849SXwwCuyDjIbpYGgKYz5KBHFhxch1H2hmdB80YDH3G6uOHSsyzuPO 66mfBNKKJ5j27gyzwSkChJSCEqDUvXn+NUKJWHCpK+fqbBomsmmgbNCVaZdVXpTXkDPnX+ysXSKb IeYYRIn3j+PwT1ETzBT0w0nK21gq5zU37Gth5INePapGfuh32P6sdg1JGCCiWGtomiaktJJkqbNd Sy48+SVNoAKXF1gL3BY5qGrXmktLjm+QnCFYVspgwUWUVASoSI+Kh4jUtbOLCTtSXC+ZsyaFcIP6 qKXW3pUwvo/s/GFrvVsk4gGYWv1vAJkYu3rSjOqc6HQBqvBEXdiDACR6kFooK3kbbbclDije24Lf IEpu7O0cHoYU/GCi8k6llt3vHKMZ/qb6Ky1q9d2OFddByfm7qOQJjwCD0GgDqR5ULPi3B7zADnjm XTpWFnRH/d/Bk9VJG3TiD9g3ZNPl/JDKc1BmtuHkHRcMUCqAwOCww9tpWD0BbecRnp6JGEsM3ByL OLgECbBjFGaibh1ObRCDXv17+W0tSABFGe2TwhbDnyUiYCnKZkGhAR6mUhbsBXMAwBdPI46pWu20 adMEgezEHt79VP21UNG56qkoEl4+rOgaUWuFhNtyEzNZx1+kc0D9jo1SzicXQ6W0KtfFgjuaqL8M 76LZOS1SJL/izAtF07YobPD0NhR0auLAMAntQOO+WkmkM/Ral0CJb235TonQVckIAJQoZUSnm+Mb OEY8aaVMzEdu0Lm0janCbniFBjVHIEdp4gcNdEQlfVVkrxuTSKqDkFVy5BMGCs0iZGncNiEthHXK mFxQ+Tsyy0KqtLG6I/6Tt0MygC5nCBLUjrkU3D9VknZDf2/+rtAw77FsS40WvhdPP20jATdAo9YQ xVioYbYttIU8u8r/bQoOt+IEurt6d3g7NVypeJmR6MgbcBsJeljy+FHz8R1Xho0m9LubUnqf9awc ci2Oblnrd22fRR6gIz3hoovQ+40Ra2aMGaA6LZPv2VId+9qveBK3QJfr9PruBKn73PkjsP/oxZvk v4FIiCCD02ThgHSMVSEqdhnbpVBILWRscmoyTrXSrao4NmWBcM2g27r1IWHHWWU8VldWbtSjF2bM yjuN2sL8pMQJnrne0HdRp4xCHqJKuvdHAHH/5FjUPnneulxxjnQTFXVTesf7z7wX3SJEaRSOc0On GQ+GWaGzJobLTGQi54mM/ZdGnTnaD5XnR45E1H9kcGk3G9PohUd8g8zGw7hL2V1SRr8l4q9Yk1Ix 1TXSpWKNRvVvrFk3Pl0llW6FWl2kc8Vx2h2AYqa80jdLI9bGyquPiQoDnoeAniwNgpLtVbmPKeLe xMDxr+QWnpDgfJ6XNs9j/6QC6kxaYcZaa3oatytGUtjVLPAsrO39qUgETGbPXHSBxsA2u4T5GLvM 2Os+eLuoWbIEESDAFOZog/tYrNcJk38Y+pSb3HmkrIQhPpPmHWpK/B7FHnty/Txxhr3+GlfjskRQ yu23MMWg0FO8knrmdLfWOpg5C9bWGLKtmxzWSu8VxzQ9dHmqfuBTnDdT2y7hwCsJ6Rw3CpzqjaYg styhyR/WSh/SW0dGBKWyZYj5DjxpsIvJDBlgFpwJR1vuEjmoFoUFwz0S9Gc5s7zqDyGf9UC0wRkC mcKuLhpIcrTcHv+v6aoM7Uj7UlH6ZOOFzepiHexe4lKad/7p5cA5eURU6OzbnTRj7jxnYZTp5x64 AT6RwG7/aZ4ozAxZNe5r+7jczlFBeuKJ2se0DYq0GjT7FaRNaRW/vsyq4x//xpUvi757W7H2QYnn wi0K2rSYkNxbnjlb84Of4cnblCioEgcU60hMPhOIlKubR0XZ/76gpyJ2uHsOchROeWtH7oCJgud2 TCkwFL1nWeapdp9CCGG+oEdwJT2OtdV1Q1pZUYjlGHiGIyf5TFKz9ljXnf1k6CZq/xgRM+0WItSe 9+qlaHkaN1QdtQqrCZwTVBf8Wt6sFZhp120rFq3MVGPu0McaC7oIfr0Y3RR76t+uVzGYBJl1vyn2 B+eRYNopAAZJX2FCfKRuTt2nd5XD433tCjbdoqpIkMtERYPlL7ogw0Q5trzyLOia+R6rOz8ZNynW TzcAk5TP8AsN/jORSR94RJMnCpVsPXcFwDlfZjZHyMDH98Aih65vo3lWnNiuXLl2Ld+3AyYmQwnd 3SPc9+qDDPWEKe5lhOKgkRpL6FGF7adES3GjRMLsqbKK6UpTwcYFb6bNICwREG30ejgonWh9X3Kv bEGXTJM2yTFcQInf3Ds9OLLS5w3ABpTWG3bq18ocEj8QtUws8GpTBvT5+oVhMzNqZXAOZms0yQly 5IuiSQCFNxKGGwJhjHpgRFHhaROjSzwgkrUqCnzennh6M5blrKWrGp+9CjaFqbsDGRgwYltv+w8T BERlTesafIbUSbdcUNsFmeQawBlh/AbgBNzQPproj9bVF5q5w7UgkW8gL9e4Mnig4ZKiNAIq4YdG A/lj3M53PF0ORE4+SlDT8A98z7W267ncT+FqKxHMZUaTsNc55+1f+3OJjHusGzKBrA9TNj7xJsLf 8GWaClhSFFgiGRT3obHRFO45XOzoSg03VCpvJhdL1ULzUQhhmOudg4H9ebCpfy3DWcucxXT8XlQF Vro/0XstHjAllAUDJ/rO4J1/dSiL0lBzbglK8jZUNuNDOVmZGxDs/pJ1JQFmHs4c0IWO1mOvBm3g A/A93qqAaG3Ng5DXXHoR+po0O+/vR1WL1a/JCaVetYAUjb3/l8gCB9cEPWO9dLxTpE32X5wbw2LG r2qkCprdILMUIZcx2P3/lN7PuQxGngMb+ez8YFkMUUy8UR6ApjprOiKslo7SIA3Fvu96GbPk5Fg7 QNjlKhFKe3RLDdue3KWI4J7hwgaqcQOiR+INb3p/SAaREoReBKNzvRsGRgTNZeM98DhHgNu4JJXT 4kfRfPLf9ArsusXAMU/KlR2qD6WkrBgswMOwynQh2Bw5H+ckMIPLMnwKuFSLz7ElM9hGZSAUFks0 6P+3KlH2qjN4PQOg1+IbCkbu346Ns5lYTyfu2OdXl2J9j1wtzCseNMlC9FMP2fRuIgEZk3XqTnAu wWlgq7Cv/qU7s0eyFRgM8rtaj5ysjbuBQVkOwJ0jxdqWFwkn6Du1YXMYKhyZJwlEUKF27ZsC08sg UMeT5e3dtFsEf3F1fy4PWc2nCGTx+qMn2A70wi1UT3aqiF6e4eNcATyAWeva4tN6+wNjSdIl/QsH 8shZY80rvYOIejQKdpPxDJxKBZCznQKi8dxhCa9wvtMh24wmvnvS9FJwjP22JYfiB1DUbeM1GHDp 7vYzRNhSbyORJtUUkBnJZeSATuj84Zg2KK8t2132gkTMhwuMtyJewFOfhYoKyYjO9/T7DZmzAc/0 f+5mvH6ma0JHNBlXAMcb+fgguG20gBzmHx9JUzsgbgu8CfSPVV2/8u24drWC3/jNnZ2U8B4P4CZr xgJ6Bc1JetQQfWrzA82pfDYuA6G7jeDOPK+Y4xjeoHrnT4uuKbblueH8ZnlUh+8ypR7TiKc/9qmS BN4dZwueH6gIMlSs2LB4cXasGryMoJ9kGuEmf7oLBL5+p5wTkfT0PLocJJNWkwlAA2gM+OVhdQpA bgy3MtNgx3W4x+7gO0d6uHphrLAkjT/ymHM674bV/OSysMqgO166AwKcB3cvo8ZGqKIag2487Qj1 Vuo9laUe+ppWcxt2HL9WJT6KQL8wRMdilyNUFJX7nDSimhMqmbTzvN1hiyjjkDBl0Hwg8CN/FN9a 95hDC7/EB81Ut5IOvrNhADhH3MurLmXyzz4dZ9MY5o90EiXGEPIg37YEAmdMo8fjF4uDgv3Uuav1 v/ijg+uW045rsxhd1RpLTKLwI+f7x4QtJmu80R6hSFHaO51p2/yMm6pKLhFeuyw6SFow2LmD7aI3 DxSmuHkp4ulcpq2gTDKgQXlyFdhY0/q7id9oGt+A/MYCPqY1Wyo+6iWv4+VlCfFl++iWsVFRsoy1 KUJibppbohTZ6MjwIvhSYNOHwlxaE4RbQNuneNDrQLG6LSWDm/MW1Wh2NoOs+lZ1X67WfNUXjW12 RVIlxxNDWNkyQsAPpFCwMIeT506guPh2s0nkr9Pt7PubhNqUE5zsfWCACYjWhzdw9gdjZf6hRHjW EzSSdFeTzcyliAwBHrhW8gg+zsW4ttcQywwaKaLpkRGfTkI2u71vn+6zWPX0gj/5Z4X2fT0QMlIi v4wncWP0BXvg5TkralJsXMtAn2SvzTQOhEvZDMb4/6ftLo0/811BnzEhtP3ejOUxHD6LlfJJol+y qLrTt/TSl7bY+c8lUiIz2VW0vRcyeDZN3TXZWlBgnDThUMXX6AVNENEDguHLGyckE2R+BICDRvUw UG0nVuT4VmJ00/UH9TBcA63bYuevcaiy1abX4vYtRU3/UG2yE1rZCpLKp07UKwLIqR766gCcs2Od AvUcQDt6mLWUxtLslQP84nWFoKnCDKPKAAxO7geDT1DjZ1vL+f3xnNo/JIr8T9L3cJWU4Hlgr5rS cLEDPiIBb+M0x9u0gEN6vNr0jHQHKrw6lynBzTB94cueNkSkvcoAVntya7N/ds31gBLQdZh1T1CS zIEbO/8Tw+mAXB43oUYZWXlrhLChyBO+c9cj7CBJ8vOfQwEszMiPM6qc+3SYKf/eVaYZOCAKlLhe 6qQuBvo+FLxbVsdmj0Y9MLLGMQaRo3USJ0yS8wHOZiIAW9v7tvpBv5BYJzlBlhC0Xh90Ie/T6y1U Ge5tdJfpGhrcvaOx/VD6B1vfGhPMQjHM4ZYh7gR4kl/nEyO08yjRsRcWUx+1UzRg9EwJ487VIet5 y0Z1Gkl6uQCLYVDOI1VT+Nr725Le9qVtA9udTHRPf6vU9MpQPJfnc3dlAgS/UUIQTNrYdGus1ZYc ldM5x7rODF49WznImm8YniNTkKzhvzLTlrzi6UUv0V9Nkk4CsOSnH2r3i6wJfupf16sB1Drq56kD PjbOzhjF5vrZLv6xxr35RQ1Soi5WNwWrd+LeioCcOH/Gcmmry9Zyg0/Tfrt97RlEPXru9Gv9uv2b 6ElZevxsfmMJBOp7ogc3qYXAsROodAOo0BRMyDxAbEM4XGwFHW3EQ0c+ybuRYx7nBlBmQQOxbbmD uIxG/tp2YjUgQlGCL4XMenB5Bsyb4Xtwjw5R3HIwNqPYLLrQHW5yhfqXp46zrLGN/3mZAPh4q6yO 9uSij4UdUQkZ0Wub2SZycp/4hpkBVgql1TEZTt9DVc+r+0H994TocK0vmz7SN+3luIftJsGRSSDr zDD5RJrIFPVHRyAAFW+diTmI+xz2OriuuMCeUGkkGNlKAzdDIzCaXT72+qvpzJ+e8tzTYjBOrXQ+ THTzKpO7Tj7L8NWz8foky4VsYok9ixh2W3X4ci4vSTn6oQoi+le/mTyjz37stZ6tF+hiXbBnpTf2 sWKXI9ogdvkKo+Sxt2f68J7FW/D+g6OJMU+A2sCKe238F4J+qj+ZP9nIQ5vDQk/9vyeGK6PjJtgv +5iNr3+c38rqgq+5QZ7UpJz6YaLGPjVYF71y1VFNRvemG4VWRnNFOETZ5OPkxhCiYQkvcSzVBZUI DEonEyYA2GFtzstpGNsBrbT5vJMS2B+kVJkZYvC+ozy4V/sZ8KouJ0MOaVHipWF5iGeT0LTkG3Co HMEEHlizjTqLNReJLpgq+LLc/vqIlJpgzP6gUnu2puJdeOjbsV99U/+25+6IQciMnX/mQr62vqlc 8dXvwdQjD/NzGUMfeFeeW8qLIF2cyB2/vxXgb1kE/ItoPGPNw38pIB9RLQSP5tOlzhw8bgoIAt6X Aj4AMlh6TwvG7Eh7UlDeKDknuoDZp7wQBexc9fiW0heRgEG4SrxfpuA5waVV07LPz9QYz1K9Pa4c hkNCfx7DoleUfFPz+OytKr0Ne7SQi1C9ii/9dHoUrAvwbf4p3qATvALIvIM+iN0CsZv5zUnSUvTc go6ewj6knlHskPoT28qc9wp+BrMzE7gCCiMu/3d+3qB0oqG6QusU2Gu5iX/nEK3ian/LoT6OZm6D KII6poGQUVAleWdtLetogMvdYMSoyFiLnP5QzAwdyYfbME1PN06sNDDTqakl2ThnDx1dT82bCt0y 5Q3ERXENVW7xFR4QSOtkJcvkiNkvvhOnlnBGh+eOWx2OJihScxVEVxo9xKjs2qg/noYvK6iQ2HQ+ XVMi/t0Ytt3EW2UeNpL6+nhc5ytO5ObB3Irj8XS9DCq5d7GMZTkqOQWF2uG6ApFuFxuuENQPRlPy BWuU9iCaph91meVoc5l/offbhjJCJXPPdLej4r/RYQ6akVcUXgJjm6cxBaOhSEsmEa5/WKSp/dLL Kze7UzKZ9DRK7+2kZWa9qA6+5JCHSs+pVqe4vYAJ+GbZTJmn++3K7y8e+yevHJov0Q+iVa6WEU0N XwK7EWdt0QWMiEWzht2c5+r9VHZfc686hhrJpneELNOtThGfwweQ1lXL7diWcT2EUj+AE1gBksO0 GVOv2lcCkbcqgJEuU0RjCUQDEVq4f7hv7OrPSY8gUc0DonPRbg2H8yIRZcXeDijwpYHYBi1DGMbK p/K2MTO/td+B5ETpZSg1LA54oSABxBrXK8vU1wczHLxl61dG5dasKEZMEQgndQGbRANtSqA2ywjm VuC1SL/qqnRYC92PDtxjLXcRD/XNcKqHz4cdaAtDS/L5TsgSiiRx1oAKQgFOs2QW+qW/OXhlwh3y LXi7Uq5wizaq5iVHr88Ya0ysmJ5mA7uyM+zcjj1udXdF7B+kpdDY7mZ34R2D+jKncELIDYIIc3xK MioD0wjrFDPQO/h0qcHj6fgicbV7RReaTXpepmWdHttDDsOZp6m68ZsL3jubZ1z3iOgaMkPRKMhE ooN0EdqPJqWRyOu28+F2ADiBkgCrzxGfU7uQ/Y4qAZcY6peRkgwuIiiaD886YwzOfuzViPXKdERv eXRA2oyKXNjeLXUVy0yqwNUh3hTN0wBHu3sr1HhGPkXE+4wu+SN0C9ZvsB/HRpJQX4VbvQbsvEwP eoMCvV+pj7aqR3333dJMOV5u9+/j79HSz5gXvzI9tDpDsmBU1sNzYh5mHzIp5LGZ5PzwzXVWvw+w 1BB4NzfLJJ6EYjdxsdtqnlSFyRs1m6YJbafDXWBOKVmWd+SKdxqCkaVVxBPQaTCjoPhPzSQyNx0s Px1Pe9RE0mbcQ5Zkxft42M5g3a6xrn+hbClBjlwoTWkZZ45rBXwlHYw8Xn8/oWyF0GjVvIytHRZX /zKyuVRfB8OYIizpclIYtWQi6KM5dIcJtXQzF5LLeNuDOn42fKrcXkmjUMUJW1iVSckIOGK+NUGA Oy7kVTqi64yp1z5ZgNjmq3nFlfbpyUeN6bBFoaExqnu+Em02IGKWAZj6lukreXxQrsLTVIajUVIn ZkekFeoyvF7X/AJilJ+70LiuT6f3pwUeI5TJ+1od50sxUjfR+SqQz/5zzniPcNm7LWbxanSyWYKA Cml31svrHbVXQInWL2wArgWGERzkd8AEmijKtgCUpt4UF8oedroe6OUgrng57SeE3885hQGfo82i UZJtgnRBMa1izLZS2WuHteUeX2oxDd0H5rOlJvsJDEiJycbnNTphI4eVEEpafzePpF0/RxijHaS3 9Gm3uam7IXQ73Hyc24EapDO27X8h8Gu3af0UNsbiNw9EkNV4voKy+J9oPBLJNKzvMhMO/AxOwRL7 sR/M+v1G0Py+ggmiYiOQ+n8OOUDsnZFWEtoyi4ciRwctbCnEAorD01kJ3axpO0y0YQlZZ3J6MFOj YOQrmifB1emaJs/3J7jzsYd7Kxr8FlTPKQ4fxzhvFD+mXPT4J8Akya6KbaI/v4ZwrwuJc1S5quGG kjoaU6hyD1AOuawiQy29u1uth6XKJRez/EnWCUqg53s/22haKwPEhvW8qgb8XvBpbdqyygRWKL9v UWdB4LsaiUo3wxJfGGTZCtTKKZZOeersZQoyBTGC2pcOu40cDVY7JNoAlnIEL4AjjDUJB+LjRo1I Z5uXpcopbptkWK0maEVOlZXYd+T9BY15g0I2zyj0j++tTvfNIW6/byOBqPfnkaG25f+LAgoE4k1C 6wVe7tZGNI29jsgw98wqZu8r61d4Go96fFTB+8rxBZ1lqSIh3EIb7jablFWQOqwuGE1a7h6uro+t TnhkybgFbj0jWSesYHdObSqxOi75BMhO7HPCAvyxgOkwPs4jngxHH3M8eICny6zw+LLlr6G0Yi2I A2ptnP/5s/paRq6lsPFRDza4xjs8w50u1A4VKCO997Pep4boDa+krHjm2RK7gX7/h1czggnEsWGV pejoVOOK4sYhfBvOuga4Z/ihHTYnK3ZR/l+z4CWdXyLwzFb6UNIVMGL19yuu7SEY5fJsHLNuGazN AvYE1Tsj7B4T763TiisaifK8ET5uONhfY8v9dVLz5sXW7cf/rCSucY8e8UfyKDimNNOWOy8zJbaD zh2+9WauvTbMAsXoEIEzkocpyuL8YCDBO6NCke0BmaeJqbT+0Znb+oQKdEyISrNdm/oSlq3AB735 iyBUAvgBCkve2kzd5mUfwJPMunOa6u5Zd6lD/XqYI+FmF3LdmfMuNGdO/eTwhjvf2iuEYsG+guMR idgIm/aPdX5OZlrpURDxQQ3EW2+DBQbj9l321m2BTvOIYxdpZj7j43fntHpamzNFETtynzFpbq5e PcSXfrJ4beYTb9q1XGSD+kSy6fl9GPBtPGpTBqlsUgZlTxYyfMrBK86fDw3iJClfy5mevAvzCco2 4VcAkvTLsukJ4mlqsufHhbwM8boPQJJwT1VAt9ogTyzRzbLk6mtLPVjCwvxBgIgJ7d5g2ZNzI2mT LtnLmDOWH0ODai2DJ3xYxFGNM4NQegkUesXDT6ou/K+zRUS8MfbrF8//VMD4bcnErTJ4Um3wl5FS 5khUbTAHhKBrA+5DXRbZdqmrKPJFk71nNfRuU/SrWYXhRKtvG0wxkwVgZzV9JzT8h50MsHwZ7J6E JQVOoH1TWAxRo7QhXlWjRBZ+2OwsL0QK2jaz2N8GzP5D6GPj1jrv37CpcDRyTMxCuuhIWpI8ugQm IeuckdaSZ+YhjPSSh+rxuU9iNSenKNcHbkiptOzBD5q0oQSAkIGeuX698dHSXwFW8/JSJZBA5r3w dnkFpeV24aJd34ejBouM1AXtKe77dT71XB8M0oHw8QZ55FXYv0SHeFlz2Gu+toLC9A6OFJK1HFvz 0KYygQo5HlQeiXpWc8+fVOAsoyS/8HsRTKRzHWJ7XDOuvRGc3U2hGqLBzTWIMXd/yRMev/WJt0Yl MyGUe7wUdt9RiOyhPgUOVB6WynC/43dO2Jq5oI2HCukewleM2KGY3VDVmurHCb0KMoc8/GUf/6u2 fbPCz0jzpbt3nM86t4ynBRi9KLHk/rmLS+BEL/mfhvbe2LKQS5ZfTSOgHXo0OAOURm5+rHm6+lGz 6FGckNV2+vIlNrRnF0q19WxHjjwABonGA39gs10a2ubpEJldr2SWb2DuuFJXp17I6JhYswfWrW2O GBYQm51FesSWvRHoDCLesPibSShi5CrD6Fpx7kZ38pVhAxLYLBwNNY/a7H8nUhpFZoMf/Bqny66t KwLrzgwJrgZs0q2N8uvTN+NpzUktUCIYun+KrRaqSj2gk8Swpoem+q/3wNhltfM4ZCadZ0D+YYvs BqdNrtlX2G0w7uflD9FjmT1jLgd9X0OJWJr/8L453SWJMQlJT3NSsWuegN+OcXYT0KB4lvZWJV+G c1ExCsk2kBi3m+ArNjKS5LNKihx+SdfD6pUJzOoP9NWYq/kDJrCOv2Z+yIckOYI2wPJZtTHBl9Se rCecPwycVW0Koxo8Fj3U7bUJeqw9G32ku4ym0WHuxZ2CbQWOp6gDUQdGvHjpi+iTVRPoQCyFyY2P phhYG2Kg4g4Th52FaiWx2tWXT9bC5RQXdyNUKLc8IfQ8ryU8zz4+B0oeGmzt9xqdSeIAuuWCM/Iw dhnFl/ncLHEf5hyHHY1S92xFV6m2LmERIcfGWsdNYZX9D9o3SNnG12JnNpjBGA0vePY+BsfTIli7 gk/jJ6Gwc4lygZ4lVOTtKyjYTBonR7Nx2mRQrLZoT1TiEeJ2s7C+20SKRu1W9W62CJUV6XhsGfrg TGpI9UEfriRx/Wi+a2AzxHtCE0cksbXfmWWuc3P/xF4tpgoLBCLlVm+9iIl9iAqExczlDNZzqvEc WJGGnq8ieUV2K0sShig1xwBdsFnM8d/FIasatAiw7Ic7N4uKzT3VGAVbuB23Emi43hbvF0G8DH3O 4yOaeD3eFgeB/cbzjQB4w+WqCFf5gpUQZ2PKxfEbUs3xaCIgShwJgo1GuaNHi1KDRYclzdP8JqTI D284hGBSriBkgBHbl1kstpS8TnYNyEZacs6gU2ZyPf2ciEMQki0KxLSJICqOJQkIuq7L0mqbqfeT b6PEr3NTr08MbtBhMKYtMosoSEDN2vebrUjxyhH/ITRPC7/9Zmz7eNOxzYlNE6wEL/TcLchTDewZ /dUGKw95kG0jPG/MqZclpWrKCZjPvfyLhwO7noIZkBbcV5hwsC3ZqqZPdiEFUEKmxwx0NFRFqEu8 syirbIW8NevXveI9kQ+Qxg6YCNDJkWyW3ikeujrH5depyBmWBr9/VXBXRCUygx0EmEg0iOSOUmA6 NWVBjGmjEUbbZjsKN21dtkQke9EGx4W1qkyoxtDQns/0q/732dHBJpvQSx42FEtC1SQ+SDXkVgkn XMr075IcmX6DVKKpH3g52+GIUIIn1gPmO1H6POATumnjSNM3uCIuRpvntvulDUyyFTS38JZYMgx3 J6vLf7DwBB1dTUFJgFxdz3wMTxSFGqp9HwOx0nce61kcZrAsOIwF8yYU57fp8bev3q0EehXehc0g PR4wgGIYp1Z2shfj8/G/eaaMHCefBjXffc++As6ve6ZUqWJJPO7ZfdYaYXhXTyzw1BJquoz51G8g h9nc2yYJlMq/v833Cp0lKhfemg1LPrs4VhXI3+Bf7XKdx0jzrapILHlneWlPs1bmu2+psusmImZm j0D0UTwq4QQhlbN0a8hller8HKdQycT3I/aXEHuz1w2RTSYl6IpPtTExnJ+3zH6pUYmv8irViwJb yGNayP9GCvUGnfjxh2SbKRXYjoJUbroHzlxLMllGhIjcVOhZPxTdkOOR1as/iGS8LKUOIhp/DUCo smzf7E0UXQWOjS8VwTqx/4n/GGP1IG1JGZWuTrcumFMbFDHMzDx7g2IfYID7+mNBFtDSC1QNYna5 By1LdcuGvMernoA7jQsnN2QTCaHAdeZqvXb5zbYrdMvjINxkn+vjZaAU43mU/s6p6dDdAbupG8Tq 3pA8mQl0WSsG7DVjSR2Z4QlreWC1kkHMDDQHVcU80A2+YZIuYvM1bVl9u4cdotpaRo9NzIaFhz8V t7vhbU+6CfLspBVjbgInMMqH4MI6cLz0Z+/bqKHY958qiOvxGi1mwut0YDsV9zQLR4EI8aTrM7Yt abTHeJqdhi4Arc8SWXQ2gMye8rOhyd4yAqwjtjcVoUoTHKaxyrbe2IxKwOFuG+zgC/szbn1odNvb 2GNYBzysxYR0ASEh/AEAoqB2UwNSL2rkUjWiDsHfSugUtcWdzOy2U1HUE62GISs50YZ2YCP2bcga I1dViUjlTViDJA5Syu3x9JtrLJVj6ZBf6TEMknHeYxUbEqsm3EsRp9KMpNSZOZcexFPCNEUsFd3j TPELpwAlQjNbUXktA4QZ4WFM+ZQnZvjWkVl6oU8Nv0cty2uEDhDQHNXq5grDTZY/6AqStsrhyYDX j9gXOSE6c0PJ00TmglMvkwIE7WW69bEQJOE0R5zVWeoKDjG1tgJXNKs8q9ZLbbA3Wk1d94Q1dP1G sEQsUa3/HwZgkQi4wP+lTIBFeggw1SrG/b/oXRfkmgF3AoUE5CwSWTFQPNz8EvK6052iVfq/DAIc QsXT7XOGk40eNxEZGrtBawke9ZLH6+9kvf6DlZBFMt49ABkj+Wtbw6ZBiywRUVD6fxZBAJCA9Zhm 8i9FIQpoKopxfw6hB7/seXoWg5sxE2cyS6VBsJv4oP1mbe77WCxBDVx/qudIs2GEkw13Vgiu1+L1 XYqYrT9mp/1Idvm3XUE18LkTUP2Bfv3Kwz+qULKJQON39nSLPsY8OrIEaVu6FrbrP3FRY58wa2WJ AoVqxIqx0Xzi8zlJR39s7nKFdffqbzOl2o8FkVu6/m84YkfBq1ifYyUDb1PPfySdMnwFKskW1GZM /HTjYqZzer00DrxTg5VcSeT2k6R5ilxfX1IWa0hZhj7D+VDxrX/DjBDgcD9K7a3zVtPR3/dasml2 d5gALsqYdHo6uXjk4b22MXmhMploRdM1QGuHgGBo1LNiEVZhyhrFBxrld3R5Pb7MhAwB9DtwkdCx VsfYch8cmZVbGTxYSLlR+VDfg0xPzGIhzITjX8o5qduZIYfbcfx4AFi8vgMi74BARfJyRiDKN4Vf eWZA0hm5kxddseJq2JpJo+MmUiS/W8wLLImXxoMgC6MYXAUeu220q3AyZgvS2++FWvFvVMOMHZTa 4pkAAO2dF4G4EgO4r5zszSCfWgdcK5yTfIydIHfN31ufXkxedJo/XWgbXo7Hf6OCSAatU8N1XA+Q +RK8KlnG1uQX3SBNe4+9Txhx9rvPyJurmGg8ySK4y3TrA3X+MXqEZFnh1hmGUYejEkwGPrIGDePF kerfCgs08iXgVLVsrYlE0CFqe0Vw4lTcSa4VBI1xxVITsoXzYNslSoYhH7cv5Is0MOmVvKrRcdg3 A3v4BWwWidD9lL0IikuZVCRxEdYPSfFKArb2Q5Kw9A2nc6j1jXzX7Bym0q5y2wayyYST1uJNqo2n 5Jkk86+Utb/7qqagrkbET7nk63vVRo686YiFWLgEGQ1Wzwnw4EkGWMukZKUCA0ItTfLBFsMNP13o lFRo0qAJPULWAiuXBAFGkY54uHCOC7U/QYLk7lwGcmOJpqrNwJc5MjP4qTCL7lzTzb2ebJ70liFw Mf4mYwbnbQ2cx1i6J5NfN7IjUbjCRiWEbvv+fiXoXxjC6NEnoR+KXtjfGnYp1kODSX17La70UviA w/PryVo35HnG+W+g1xWVT0M/AQpQnrQCNzPyt1ss7MXvaa3f/yHmr71dgOESS2C4xtbq9GquU1/v BL2ZAN7sQvlVfGiPhk+ZdZzL+1+u03DCShpaMp9ArheZcSXpra8rGeg6e3BysYZxvLJ2BJ4QM48q hN1KSlTVmw2BA7u/BAbF+mP1WINovgBqOYEfezrYcbdl/YIeDFbI9Q4s9cOUfhIhUTqK6VyEZZss dQvOeOcnbT/eJbDx0iKvltEreuWLqoIN169LiyqFfQ53Pyu9vn8wqLwUpYyvMR7U/R6pFYVBkIOn nh4DTfkWNSW+C763cWkZRJfQl0fMITqyYcPlMlQ+ARp7zN0XSTPPNHRoFcmlHyqntWreCEFmjagz 7NlkE4F6R9Dhpjs8jiG2bxT15EnOvnyaSVFpyzuxd7qdy0jdNs16XQtsV/kRoyR4UhKwY4zu8vww V/tKEm8bO/nArf4pEGpG+QcoaSZg+r30MZ5Dx91vtPcMkt7aI1z6BtquA+/o1Ared8PWMH6R8EAb 3lI2wcoys7LpnyDSLMHsKM2JLlmaagGyCg3O8oFeZ4BRRb1g7BwRGMrecXPVUKq8znJE0hFK5vxQ Lj/Zj2gn2xu+bMSEWHGtmnda05Sp8oUpL2J+kPJhamT18Rxmztw6F5PwF02t6jzKLVyLg0sFTkIz n4wQlWWle2V6eN3DWkcGKdwp9xMasxAqxsLvr7Te5DDbHI1WthFX1nzmzqpQLfZZlmvwyiMx1RJ9 1X2Qe+8HjD3av74G6HmOJ0U1QarBcaSmLK3d+kpJJ8ma0yBPZ24IA37SwX91fAKDmURvy5hz0fJW dLasmaC36zN1ELLNxgv65h06OVu8r4ln+DLIENKFTOE5RIjZRbkTe/lMDBabPaXckdxZ5wznyHme CLIE42MHhHpb48zWQw3Cup9KCVdITFOpleJ84rq8gKq+CfcoeTZ2/bVHqbbFZFn2vd8vOVe0KxBg B7FmvpDZ5VAQRAkBXHPVfm+wyq8u6fSFH/pJwyP50NdkI/99hTKVuw7mYDdAmod8FFTmWOXLhVy8 1TcT+eunaFEUdDOzSBZ1R9Hr+6lSmGYSrlgynkqSLKI/0ISSkmcGZHnzb7o0gkUcwZwzyNW7FpNr RWepRjP6Tp1Z/8yInfQSUcAB17LQ++BlBRjRjKQzM+aNOvqnWsdd/1RsLOZmATPK3iQLXvL2RAia DNRGcAB6NH4iycbvLtRMvMzLYRBX7T8N6r+La0Rk2DOEyLP3vl8XXlobKnG2A7Xx25n8XcKpk9vX prCjrX/XZ6alWceczzSofcWYW1Up1Hrtq058C/TN6PXqTCtsoDhUZkIcMoV3yB6WNK2FD2dXwt6A oX3HNahNnEGej2ZncYPlKVnsL4dteI49rrpoTEM8cRLmuzANOMqkl5DQqZUpKf1egREcCLJ8Y8y5 23KelDo/J5AE/2rW5+7ifC+L0yq5aB0jBHueeKj08KpCaegP8JgS2NxJIBgGB4cQ29FFR4iqShR2 IFxVo37wg6KSAu0h+d6m4wSCLTLm4UkFdBDXYsBzJenYd/T4+4yuqyzaqa0bUoB6LCJihEnvOG8A JxHsAk6KpxpYcEryniB6Q8fb6wUynvVc1YFC/wS9qw24JKzXklFGU+NpBivlKDnY+zofdOO3lOxP 2HdM2b20QzMrFsf9ox5VBVg1IziM/HvdfVDsKanmPDvMz4j1JOOWbAwRkNwslDDoRhvlIW9GF4gD 5tMPUrIdYXRDSKdoOpbfCnlahnpxvafDXCWEFr6tBCGrGxXnrH9x9uHsmuJt3Bd35YRaXmHPazvA hlwv0q2ZV1S6LAlAnS+TiJjlbyOB0wJC8rYk/lhRnMqmahTJdVIgjf8hOI9jlbwERwQG5s9BdjFj QQLe1LwY52xekJF8VmSZ6AHA950erxtS7JFe6ygIMi+2s1Ri0nZNoeqdTq5Xg6/AhBPpLkmvYrnC V6bS1i5NklwJrDguPG6cZmpgFZUz/0mYhRcdLzFGo/Civ9Qlei38tvrN8oxdKpAgkShp6evXir/8 FZwkjmLnkOi1PXr/ZDatZYnoVA1uwQSsS2Muc1AenBTV37ec20OwDlTDx2nzWXckWQNV25kFulIX SqyZeX1/YCSot2jDTVuCsFvIrWgM64yPuCIIs88qOhLgnxqSPp68BVCYY8VKLRWmtwTRjy+X5myM GuW1lvkQqP8Ulx48/OlCJ9e7Ih6an8gZ6KHKT5S80DJVE59lCasuCx88FuLv17ODw66SrIg66QD+ Kad4nXNoXUem+zrTDefCFkjpM//YXSpd2fIgh9eXEeJfiVfuEDcP3TfivPoV74TBC6Qg9mXvJLNv tEdJQfbT3pKHnv1udJ17+1WhtgRoTg9/coTqtdzrncoJIJ6eS6GJcbWBQzBfW7RkvHMi7i5DMbHh 4d71ShIr6Z3roBMCdh5aX0F3oXbfi4oZDeKkBbh3i/FPlHLROFrLpFXoafLIKKCWJrMT2pRyYj2R ebjUMS21zIDjkZiPKGnGUnvgdmWsk9pIQVxsIaWc4PvRw8ahQfSx/sZTaltOgHvOIZW3+umvhV8j OhFNm/BT6yJTf7sTFItGssd1VIdCWzsqz+c4lWoGMS/PHP4v08rqYZeAuMHHVmkkfSA1qs+7Nn+d +diperEJrHphCMLGl3G32sWnaaHawSSdrOACYffVKRB2xfpG9TbHVsnVCGD46eKIAkhoPKs3ihcp NpsuvfYyfbkkV/hSJcVGDF/DfyxMaGMCM1DBiqYNuBLX4ncz7UxRjBM+vGE7jsFA5iKcbxoICVkV ps0Is2DkgRW1H/o3uGMAE69+tc/PkMNTXDLKP3s4G8OD79a5JExAcrEHeOYaTfuGO8B+jUZNOvdB b6BqkklflDjmFkqskgR1ut7RJrn7CS0nMPeMrrttKylsZAhl433AupGgt1dFhi51jZrlUDNF0Uef eKQcq15j64UaW/PIn6PZ9jFkiy7TuxA4PmmTIP/Ky8mG9gwu+hNxLfxiNNN2cjXxB3/cSgSKWtpR k3Wvu9fWTltwUxGhCIT82rqy2Mu9Dwc16yEJDuMhQ9hBZm5NTj0hh5mnyO5iEVUggH2Wewj5mD82 eSSK/0kqtXQF+HUHoz/3b0yI2bYG34cNlTfZlUVQ31WrD/+0B6QJSdlpOTgtPOmzHfdtMUJiI2kx 3gbUfHD/9kTmXpF1f5sfkwJT2u8MVSlceXYGqO3FmKElDu9Sgx2vcNHATvnt7O7xDDtgDwXFkGL0 A5xC9Z1/g9teDaqvIOSf6Rvzucz15pBWy8AldkFF6eEYw746ASoaKmX65RMkipBaplivRZBtKaGm wav1gZybrdlRxqLKpkZRwXYT91HLjnP4C3gYe4NEgSgMN9xSBp29NxmmFzdAx15OtZhUvd9X3ZBq hRUtkYLRKvcueuHfDtWY0yW2yIBd383w+ZTRfCAKlAD4sXEGvBsVNx6+Jg395rwHYj0+jeSxOXze mWndtBQmT9s1ObK1co0el8UrxcRzjdhwDUMEor2omJvjobgpJQnBYfVUXtjgWkmvRuYJkvpeyRsK NVIybc70e4lzrhHsg2lisT9/lMyU4dSblI/x0eYXyNLPEvXEPlpARZwOT7XFfhQDhkcTcCqEQqJR HvJDuVPX4m3ABUx332TVey/dY8VBdJJOccGdTBv+89cgugDrIePOoRYQu7XkI2fyDhnuj4jFzxqz CIdHSbF6H3DFBOliW0MYe00IUEHbMMPwL++M5YCkkuyQQCWFLS59NTIyW/Auai18NzVP4tvpA6rr bkTDKTQTCmnia4+ingbX6z2zOpG70v400GvystZgor24Z5be9E3twQ3j2d8L3iIwRR6ha6zWjC0I kFB4eGTmLSW1oThlDtYDaH2m6uvGbCXBZUIqyPsJqCCf69PBG+Hg/dVwFKRNLKEascV8FpU10WvT W0pKzw3v4C7sd+UUECGZCBP/kISWJGUaihOQUZVeqI6KeS5WbpuG3V1YBgz8Y2vG5LKaMdj63ph/ vIhhRU+Nh9pxqLZ0JBckdmuy4Lbt0SOFSTJlAXpd0tosRN9NdckD6RcoURnDXArrsHv7+S1q/stx TRLJknXikmZhCIfqjrGpHKs2Er2ElwaH7NFEJ83/p3F6S2G3Zo80WSmKHPN70/Vi9K07eutguqwJ URWNdXiA+0t0vpArogI44rAKqAgkcXYICW80Hid4yzfHSh3VyWZtqyjoz805pJxrU1cGytkn87F2 Qijnh//4tvElCfru9tpHdKEyBkWenJcfLY+i6BP6oneOlF+chDXvJQr1aaprxvcpbiljSUgwGKhw B2oclKQ+wVszOr8KoqvGnvhArRXtmCJKg2e7uiw9d4jMvwD0sF95Nwq4Dy87ZsiS93/5RkXNtTLq 2nnVepRRrMhIi7uWLaDmcx21Dvie8Bg3QgXCCZkLTKrE+Wf4MIuSQ7Gc6dEVsHFUc2CuGcfCeJiJ eNxD4T5huBZBkx4woOCYDNUWvz6gsQrQvXkhJjsdvGYPoAEd/Ww5oB6lE4oyJ2ZzTL1pJKwojkx4 lvENS6pt8vdbjFaFhC5kYGEmFI4gPoe8pJcWzSPjDt5VrhjrLvMQZ2F0sFwSvK2b2kjiBHe7GWtS FUB2BN81ktjrzGw9vaguUtH7HCOrFMr4JSuU+WLNCPyex1hpIgmRO42NcKnpFyQcUOXrKBvnjok/ 3BqrtnUshFkzLc84yTp+r55TFQDjeY9AxofZ9YEWamQb5gX4L4AUkH50VT88mmV+ZFevncyZ9LqT QElMqMA1+8ld6+0LFQtFCPIKziMKEjIKk+2gJSuNlRnHb7+usGw7qGfB+cslQBfsBp4q4tg/fiWD WbUP7EHvzyMtf41Y6DqoTLjskG5pgJtiyQVKVAkQ3qBgWF1PjuKqjuO276f4iljOlpL74RCHOTnV PDsaTI68TFbcWZUvR6Wv+baeIGoIOgEf9RDgkG/+PptVafAjOvtDU7Fx7ijOQKbL3Z5S8F57DdHO pxp6Rtk9tU7SiJAQADickRxdTnpB0UN2pOGU97w21zbyrLs7HQ0bDwEbDk9oQAT6fu0akXBlTVPu jjXuIFtQqXA9gk7UmKEch2dbSqGEnMRrpAWq/V24Zaz9BJC3KsIPI7DR5XFSKmp3k9rcdFtJAJHP D/gkvtUosbxuKhkkxT5/QlhX03qiySLNk2ey8+QuADyCSrKD/G91xZeqiYbZKve3Z13aw/oscD+d JAKZ4gAgRyIq7ONIUCPlN7JiOQMlIrNNPvO3wB3hxHLqMSPmP5GVVZnO2NMRQVQH4sLeEVwr200e tTdz2lv1TzUg5QHHtx0yEpbPkMqNGQbrlwZh2Obe33aZ7G39mjYZzUOzI8T83VRGeum62IUouuXq e5Ej2aeEdFd9qIYbtN0wCTHWr8OW3ALn5eeFE0lmy9O7JCu7cUDUFUouzXCBSUjoFL+ZYEP67F+m 5MNNizMUpAOIrhBiIs4hN/gBknC5tGTZhEHqiL0fp+v7Sc1XNEWaU6R1VOhyUusmJJOwBiSnoMKD DOrSAYD3HbqIpmLjRpnmRRmEDDwnuFJ/nvKCPXEx+68/0bUkwe6AQj3VFWtXJt0zujmSyhXeCU29 y845AFU1+LUAprTK1P7zX75/Ux9jOjzwxFtjTaMWnx23NEz8Barvk51VF05MozQ/K/8DP+J+GrkX VbANN6VJQs9QsOwY5b9HfVqXztEFQ8sdaPhcFcpKfQI3OD53H83UDyeY3rdKj9a+H3AFgDu/dhpI LRl+oSxo5V/bMWeWBu1qXIUfN4+hEzXuYDjmWq8Jn10KJ2SnpYXu9Kg8bObrcGEEFAAoAkBCYPOB 8xcBCbHXz1uGV7wms/ZwbpJvZVzfA00DiC1W9ufVc7oboAXXNUWVVvIX9dt//peItzlgfjgXN82b OOfMIhX/xFK0e6gs4O4OCtWxDwSK7I47UwZf9qzaspNzISsGouYMpKkPYELKA67vEhLpIvaB9pk7 gp+zc5KcusLhDRqrOcLr8mqP6Gm6aDEvoiMa8NlNh9W3nJlZeDrRzJ3VYROv2xThJ4yW/JF/j+ZB kKHIIWRwDylT/2Jk93rakEJZ8tuSfKaaix3tY6r8aff6gZQwdBej8rDkkWijCb0GXqYQJVEwdcFs bHXYrIL14niS6JlmDPMkVmr2vRfSpAUwbm1PbjG7ieonwswFiLpfiW8qeA3vOJ5CNrdxqGNXkJLR EbyCfp5jv7+lD+1c832V5xD+sIV/d41zra+j4cU3zrZ77iRTmigBSMgqwKUTyc2vNFzEAz5fiKx7 Gzzq9wtRApGBHq85uxfDtb7jcY0Om/bnLtECoaxB8oU6o/IR6lonvX3ry6nzdhPZ1NVb1219Wkw+ 4sEpTSVk+qngk1OXrqjLXRNa8HRYOl/2Jj8bdbObt9IicibuIQsSPktfGMvxWklxBZGH4tHXztAJ OOJVkVDq35pGkFUnrH4uqgW7mscT4Audrd3L8dMgdRLzgysWxV1njo18ZoE+6a860dWcv65SfqoU g4njuDYvQ3fYgLCMjbNo59+z82CiOy2Y/DvrLjYXP9FEU2bYlTmRRB16Zgw8PzhKJtB6NDKEqi+8 D6kktDJKoOGylwTnhPbAfjsplHkPeC5CJ7v3J/gZHevuZGpMP1+l8QUfynjltZA6Iy1/6ahLrt7t 7GrS0+Oj6wwfBuo0O33HYOOrrItb19ObDquDp4kiy3Mr8sxc6qUaKJR1bB1yuQVptWkN0eA7ul6S SQrSWrtYbo34T1BxV1mrMq3BBqu6Mde9OavY2q+FF+Kk/jLXGzKFIzB2jbCnZIXJn05BMfhhxL10 s5QAn3GJWGfw732aQHh2+m1K9m8WHFoE0MwBj+fP0WG/RbMv6/7xx26SAbfeaNQgfPRjsUPtcmFo HdEuFG9mM91hZNHjjKnr7y7Wp2F8vJeP8UEbF+EOZb7RxZP3RGDEHhfnhfhAqxIjszET1cQKK8+K ChJTpEc3rBveDqn2L5KOHxSRZ2ePRwqTn/WLNvWzLIx5POY6wJnJrMx66eLF+hbnd6X4sqkw+akk as6y9Lj6RG2AmZgU9LAoKCi+TWjxxm/h9pOUk+RfIA/ZtSdzhzG9aQnoS1klyTx9qhbf39ms1DhC zuLwvjCCvrOrFJWvLCUqf6/pdOU//XhV51AMX0UfbBolOWCeVT4vVqfjUmYaezkBxqSPrX3XGOQ1 MKJPaQLOkcJfd4/pV2VR4Boi74O9dweHzkMZCvxbDPUXME6cINGeTrmxGfC2+pHax5COiXC9DQGo czQDTBmEg2boz2OY4Jz1RWLrj74m7LjeJGytx8mi//nSGC+OebTISmQDRfNLMaGSqRGrWIv7sl83 AFoHTZAR2gJZteoEN0Z2Eq4VMu4u3dGWqB3saFkl4IhA04JE5k16AWWcdh37yU+6o8tJXoaVPJJd n1cABkfuzsCqq66V6InIRpwCY2Y8M4QMAnU9+UOG6wCMcrrgczVU1EOusEnDqIq9Yt9Gf4Szgx6z iuUjLyGfuVwfwa6OseeIjnJBGUDamxFXPKvGaWfgoDDhQdHvyyjk0Z2Fk9SIrUfYXEfs00yqCBAB Y2fp6PBUCZnqjwZhNGaLKjFRX6gapDi2zLS/FbIa4nY0x6Bnj/Sz7DNskOBRCJRdAxnmGBVxJWl4 OtC/4JRLusMnxnCY27XC6piyjiqPLw4emncfWNwpO2C4uw9FHZoWNhDK7YvEDSrPKPhEpGh1JFMj EAKsZX9xknoZYJ60vCKJm9MVo9KT+XPCe7KTmhON9tBq5pt6f0SL11422qvYRIZJegn0Y+TcwpTn O6oQnodEcpdMguMhNcSsFT5Ql/bl4cggwO9w+18Xy3DrWgkt6gKKZBUwIaeXaTnKGB5JGj3X+31g 2e1i0NMfcqSSlLOPfIwR9b1o2owGTpWbB8ZtW3Qj1MuVSJ3FOVu1CPeeZoHIs00Y1IYNT8oySk8t Yw1Vb7THpt/q+M8M9FVnCd65B424r3LF0tJ55xoWxQkJ9iETjk+ReaMScLi4aWvYfRuYCeOiy6uh uSvUIN3lhP6luaSrvLs+fU/tBRPiqn62n9gVg72zvoXvgdQbl+1r0ncMKm4R00OIVbkQypPI2r4o k3JGKnePgCnNgvzkYx77sDmQ6ugSXjxApRdb+bNVBnzCmNixSNGCsrrOJF8QR6HlXhOYakRsf365 DNaN2aYr0MlMrZsKRUc0GQtlvKRTkPoig7/zlIAIYz7y294WzSvEPpomc9701xnxcW7IjNYuTSvN 4FjeMjq8ErFq6Xz+rvaC1H3C0VnnKY8Ojk+1drwpZhg4/jgMV3h4Z239F9Xp9Ee0srDGn73fFc2H 6BAwDCthjg/7/My9kHXXjywkpTLFoCxodCBxcifI+UqHHTSJqCFI/ae8Mfi6C/EBMMY8DFpURkft /mBQhfag2ivPkULw+/Htw3MREp2juTMAv37usxJUHAyHcGeYY2hkDp61gQ2PGr0/Ox6NPQ8NxlLu EOX/ISrE8Oz8oRj8dZoWt7gCznHV6Ms/AZCokVkKKQiDMmoZhUV0InAesqnD6HKOCgNTxxXEQN7o Ga97sSbWkI5YbBE2yf0ctsblqGoGkvBJuGHk4n/JXrS8+X4ctwkF68ROa+d36GyyeSfrYCshsZHC bqCV3FFXM+sczwvCdfm2KGrZ5RrvirYkjj4kZOww9fRGoMcpCxCsoKxkvkfeZAIRG7vMaebrTBng sVQgWcdQea+fwE+apJS7l5vS1MEwlmRcKVl33ZAUkESGD01zeFA8ofPTzx9XiThpeg1hVNH9v5so opmH/zfrwkl4PnI2f0SLbjE8OxUHQfA5R4FElHbcKjTicqbuejuDg9SNsjNMYTyKHiVTk9WeegGx sR1AxXB4nA5pPAVlH919jbAiWmLgKP5w11ZAuQdxEGCansAffUFO0BQZxX/TrDXLSD0dhC6wUS3y zF0Au0S2WpRrnMim/kq27j1ZKOvnoQZ2guVuqO0Mzx/Av9CQrkGV0/gMlSPzaEgg4iWpifieNfsb wA4BlM1vxNmOxyZrKaAqztaCrww0VRtYGnD7hMqS6n5nc/LBHoc4ccmHgTMG/EXyN9f/OJYua5BP vXIAGzT55X5xSjc7W1XnSXHe42ww5w9a4i7ACumRo4tiMWHCYdeaRHbTgdI3iykizU1HKuHq4vN6 +edDw+YRVNRRbbGwjLmRrYrCeBzExO2DSuVenO28n6oDH0PRPeRD++dU2mI2/BceivwSzpyIKLeB 53tDTPfCirIQwehZtMZoiBZdqODOmYuAm93CD20MHrrjBqw6bLidi5KwrTVRi80PDItJtetJKoQo d/CeRkLBp3crk3YMRHDyZOjcmM23klxhPbOVvYCEOXKMH/0YdejXlo/lhoAT/F++VseuKG2OS077 iwknuNKYAUNK2GPRVi1YUp/2ZNDN2NuqUa2mls+5sBtc2eAcK7P6BmZ4WSGjdwyFgbVwwchoU2b7 E5311+62tLGfTVWQVEHBDqIIPLvYjJWid2Dyw9w+oj0YmF0vVxlI5MeuWLdXoSUFlCqPOhWHdwOg 17pVWqf50oUmAsN+38rItQY6/spzGZXYFSlCTId2QpwvjNL/ybNh1isqTNs4EAWZZT4v63ZOifLp yfKPs1VkX4HrtoRUvF/BwIe7mNqOb/otY0D8SZQWg2PLS1N1f4dH28rIAgN5J4E1cpeh9uhpihuZ m+rtC0aEsS8vlbxtjTyZKRUfLgsa8yrW4sbpCMIf7B8WQGOafBHiokspwN5XslixXt9uI/tELL19 /NOOk6ut6nUjzelIdUtDYu+mW6yP+UQE9fanMvOec9OsleFH0uFuyqkuAiA9C2f5xD/1qJWBUs9V bXob4i8GYa05C3Bl1StOXWR+jXmIMuvbh/hLCOet81+RrngFEKewYIWNSYm1PNR6cQKBfNArOZt7 qxVXs4j6zYGZLTDCqBHv0ZbnQw3imoQXXuZKBJsvVHGoCUZIPeHTE3W5ovKyWSu5uMfNwGLJ0uHb 1eqtNcZDNqml2VEYgZOeDfDMjiUXGi+ix2P0EOxPOtmmCgj8b8EzSNDrErrsmcXP8DtLGn3nMeRc PiudM7xJouenIsJJ9yNv8zN1GtW4keAWleyO+2y9o5ELk7zaAkGUeFKY18mpMQP26wkUqhHDpuil O/X/pWoqcJGgJv8/+D+57x/6ljvVkCHThR8q6u1YG/CzsXqMoONQBEO3iKfWG+57abjTbWjoxmm4 g7YxPRVFsLUpS6OM7IdXijwiQPGItfKHqAiCH8yRbLzmx+bbJXOpc+foEL85egLSFzmhzkxy2lUD 0ZKd8mX9hsTbq78mRosQOBexoehEOUi6hr/ijrBCb95V5TaREeolGNWG1KgsL/LNWzV8VH7Sk+vi vAQPDn1iPawV/lRUvEokeN3RfbYeoQNLlg4BjziivOOz2kT/fUrnCEryQiKkN+8S1clMVkp1Eq8Q az0eC3HGS85UGfHhCg5wj/bEtHcG9KLxSwflf0EDwZhjNNKGKPYfoG16swI7nPTp8TJT4QP0lkLn xg6FyRUmHh1wbi5Jz8i/1zQ3XQkxFwvtNePatu9Xd84kTa9pQIKb4pRxGym+EnFyXqOMM5n2VAFg 6Uj36KZIC9ainb9ZkiyTxYIu7xvy3pacUDObxpF8ZoBOTFendXpCU/bWlHXpgXxLjof/IhCX+Xhp 1PwcPlRnuv0FrE9kJ6KZrIRWOQE5go+pJe2jSBUTGfPn2Yi07VTntQaJhr+64HK78cJQdkW8+e/t bFmxRh/2CRtT3Dd/QIv0azLYk5th7TX8sbs8LnfHG2UZPCYl8uISOqxkJsCvfO6nNpN3ybra12Fn y+sseJ4By6e6WFv3Uk89a63rjS/I64ESnMiOQDRTrtRKVcV/2kABBGUKJpv7EhrOKkgRXcakBoOy Db4y0VuDuuZ0n8ilg8f6vHU7YZaKJ1/zPcorFTxvK1ZDFHAI1V6OhQOXtl8msQ2hzkmKfzEf9SKQ +qbHgiKdH668QJ8jOs87YzTW13hBCZC9OfvtYVpcYAAPv5UOEnqc62GqHnLSmA15yr67W+eSPuD4 izOep+Koge1UL9DbInX86e7pNXKxfnsOgW2NwP48Cl7X+IpXUny119ciCr77Xps7w5aVoIvn6X0S dGZe1nCm49Mf1RhtNOl9EKqodNYPa7rABZiFHeZdkJnNWTKYfS2nc8VXQsgnCL97rRtlV4EA6fjl psuYjKsUIgA0XrMOZduJEKrmAIyvEkLarILJO3zPdsSN37ZkqxqPNuXKMjVEf7j/AzfVRg4pbc45 Oe/5d/rp9FHAvBnmddw9XQzTb+2wKGQterLGphsd39GfsEmTYlbmvBIVXeHomw2GyNk9rzQszTm8 sy12QQGbgSZhERt/LXJ4d6wB8M8Y+UjpRlzeU1+QOEnxyyFD997r3RJ8KKQmqFfEOkJZNRo6i/ic zoTUt1jXic0jLxsVypgQORGVi/XUOcIsVD4ijfy7ikprsM1fNXsi13m6hrAoSZRrmWw6dLk2A0Ui Ed30JzfjCxWhPo72kVacFQFFAQzBtW4TbMsUeQ4QnWa+s/2Br79Q7OUhiaXmSrTgfjc0s4M+2LyZ a43aToiSljYeW0EFF2jc/cPgenNwVjeq1apEO5BiMRAUt/P3BqdI5ArGs/g7VszHpu+PdI28ZOp+ /hddFCU4AavFf6zWwQjtSZJXggXCmZp24H982CN0FTRL+9YnaHDEBXfFW4b+/rKZvGsEvBZLmx55 MVxpEkV2nntlSt2jHLdb3V19TLEFSkgk8OtOHpMaLM2+/9rUfWUoDyEzDUzMwVS9MvAF8IU691Xc 7U+CVrJZBCxFTXvOQGxTAHInv/L8jm0Kd4PCv6QFSrFrc1aS64N2nYGqMgiG5fiN2aJShROJejpY /csf6UAM8N3ApZrBIIOa/BIEtJG+otqs1HN30qF7fKIfJNj5z6tWNDr/klJtr2Y6oAiqB7y/NtAv ktZKmwqMXFWhFxYsj1UzQnufvRt4L80x9L9SzWQUXVXSuFet6l6GSShhJ0yBSJ3fNjte/fiFFGlD raLdWX+rJVcw1g3XsRTllShGxOJkNmHeyzcF35oIzj1igPMsMEjuNjJ2ki0fvNy+X/G9qFIs9vCT zbR6F4PEFEoQV1kv3qrdD5Gq2XX/2xf/9JUPIWx22TsYRJfnzz9yZpzMJp4Th1mHmSaWxTS2FUjY jqrBIeasOH7yduhT606jXklORRiyADoKj/pX4Q6k5sQwwqgyGppBCVUiEzZCnp+DXiOh9Mi+Cv/T 4m2FA0ILvJan//4KbZuZlkUlVdjP6ufJ2ymfRgcll8Oa2WaVx13QVRcnSgf8GpXMqUWJKoQG05ad fVGWDv/3UkDtnATx3kkG9ZZ+dyAr5SNjrUpmyT7Ajg6swMXCWJpXXESZhwbyRBhDzSVdwaThxkeb rBQPVNl4MeiOHGG+Kcxjnj/ZajLhlXG/U10st10oF7Nq6CjQZ/TXMeTQBSqNX8D3mpe5Fx4u3fl6 6BWWeg0/BP+Dbmwi9qCpcplgaoG1d7UXd6/9Bbmv4wE6OHFBdmiX5Zn7tbou/I+NDiSYHvY0vkVU HFIb/qj6BdHc+cpxA2N/MJodqSPY2SYr+aIBUDIVu5jxUxXJP3yFzVWW3xfw+4RNXArP/hOWlgn5 mCnoGszxtBGfCY5/MES2ANqcyY2t3qK+QVOUPgq6Y3tPSexB954MOzWfsgnDANBGMNuJsBKJg6h7 NbNB9EM9uzQAstOkXQqUJdJ7fUtFTZqz0jdgPLPWmDDzrRxQf2L+jA+hpbRKSfSlcHlp2qMzjJmn WDfVeqMgsvEqeZLOrF05h0Bf8GUWddOS7/8Yck3T2NULU7ZKyuiHtsaSfOpfBy5qekcVUL+K+ka/ 0UwOBLdk5QU1wqUxgcZHlWwIMG8SJ3kOQSANYBDnteLq5dzwyO1JUuq6zyST6X4EdwBJsyvMDUtT CIzO+82Qg9TQaSfxSIoroAHN3iB2aJco/voArYcSAYRJhYPa34KweAGMqdH7y7+PC10cxEm6bStI 6uIER0nelMzNcP5X9T71CMiOInw1um5+s9Szcl2u89zvjUwTmLARLZUeamA72PwCOA3bmg4wq+nG 2FpGaxJzo8d83IPiay19eLZ24NgDAXqLyEdjAEwNicMHfilFVpUxOCMFFErVBcwxAgw69/FwLN+E uuKH8+pWy3hhUvmVxWGynShJDZiOR2e4zeEmKsIY+ZZ/9Yc6nWN153OU/T538/Cjz6J0nGo5mMC8 ob8LBiRe88M13FORMy+/v2ZdL/9ylevRjKQeqv2XrHqVZ8JTmNqo1kYAp1qr7oTTp54i/llwJPuX tZeyVvWlKdEy+IVYIcAMtqIQmTOrvIdIX0U7dl5FiOZUUrrAjzybxMLkcwllBeiiAIuoRhV9lIDk luRUkywXumsyAGzR+TN3HuGRt2JlV2EeTUu2LQrVylc6awcXICxYwFXc0DB3Fcs7OqejzFr+oRBA uyFdzxNXo4pE/vF2IYqLAi5UjLOkWbix++ufqKz0A6DAMRnlPxIQva+3C5T8szCTRBd7chopAaES gcznoXTkTh8MSWIpmz4U3TTRlN0W0GDLAg40n+/zvr0KFRFEmPwRcRraP6j6izXXvlZp/qFwbLqD YQNzTKbthm8FrHld/3GgePUdigVWalSOWTRbpN/W3Dog37cvVEIqMEI97BpvI8mri1j2TXpqBvIP HUln6310OaKKgV/tmL29GBzAARUTym1/GPpOlRvY2Eq8OTuNM6q5VDCZjR83GRqJzrASEcGygMeq zTmpDmLdf5BfBHKeHUhUm5iZHslgzOIyvc2b7xeyuyC6MnLFIHNHSp7Vqkr4aZHEmBS69eFuKwL5 25iYK2ZwfRzEM9pNwhNkJmT+i+h4fIwkFrs1ODVhCm1O+37H2hLMDx0nrnlQlHGMd031xtiSyCky Bf3DkMdpIGgwPmUtEM2C8vM5TZcazIiMsCCjcojgKKKDKzZ/w1wiCb5K2MC9KW8PtQdnIUgsZnq+ FyEMRIO6gfPPYFijGJxwTN//c+ENn23/74yofPdGyBmuj5HwPJgogmCaiR9z162s0fo1PMMH7Ltp Q4Kcf92BDElodlACVciOPqYy3OjtrTutBmArJ/cfIFn8sDSPPer9w3AkyB8FrJLBpufJkaCmUdL8 UCuj0mDI0e06Hk3DxHA78L/G3auMxMS7hv/SHgZNf0TMqMyv9TQZGV5JAKNH9aZmZhBiGaBvuFQx GZdQQBGpgDDqRQqOEUVD1aM5bCxQ5ITMSDCB8CKM/hyLquV8KkrAGsoyiChuestYQRlDxpN5EjXy rq1F1XCSIaviyRd7xZScL1cForwijJUF20Fc5IVQDPccTJLgImBNtNSpG1OJsFS8h1BhgVwjM7yT 8941jZosXXO/BqYtf4lTFYnY/JF+81fy3dSnF0qA4WtiriF2mV97VkH9SaCbL32SDWkBlM6F/LwY XLcXz3ZTA1hv7VY/kHnONh/6Cqzi+XhTfWmanD0xequj/daahEY3k6G59isDLyAP9xSqvxpRi1dv pCbsweaFYA1mM7G2lhbQceAn6NDrbuU/qy4iY/J6Lda6B48qC+0vqYas9MTlCRDwa3ClzNcn5H24 hj/HCxxuug6sgeA9LLWF24DRUnYR77bbWW4i+8JbMVJ8w3GCJ8jWtiBl/vy3D65pq6NQZd8Wes9H SuY9ljATNq/rYwXE2NuO/2K+HzbT55cruq6w3VPjwdDrjg58oYP/7IsxEnDwHVM1LLBxO1JCEzJK cj6vytzcsmMBM3WQ5k31qtNi3na5afRaF7R7pcrMzWWl51dbuK/YPpzW8EmaNzNG74DmgAKL87OY 3c9bAhWgQuW51bY5eGokFn5oIwgbyTqOMosEebkBRGVqKwf7Bx3HnQERezeCS+MLTTSGodGsjYOs DNNs3G0wRrYOymDQa6KTOCx5LbfTfG1lmaSP5EKI16cpWE0ajIhtOyKrpCR8x7oQ4A6R3R6nMsaI kSGbTPLEA/GfCjJpykuWaXjFyL+EK5yn1PV/iVBvA8HAd0VrnRvOT6X9QG4DH6vsG1kdPbIZOdzX bo/lUZj3fS5FVtrQPYbZIRHn2typTXMzMy6S92ujJyERtfncrWoYXa92nTDRCURbhwi1COyWJJTw akLY/Nvls/WQa6zQ4KvAp6DSXItGLPmLS2WmJ/bnAsZ6QwCHwe5Wpar5D7vZw28ZL418N9pVDDQy uYf4Eqc+WACeIyoQEv0hnW1SV4zCJ257UnAYQG4sWiquy/R470snf+2Vzj9YcC234DT+rmSe3nUO jPk+b+vh5DbU6/xfy4CEscKxXDLhdfKvjP3MEwxspcx56iY2EOLAF/vz1C9ebmuYNa7PiTfPFKLb 3t7FH1kYhtX79ukEaEG6ep1EB6qWR22jKvYEWK02K226zA+EIQmrIpqMIr1kXSMMKBFVYFAMeB7O a8z4h5FcnGHZsei3XX1Na5uf7gbfKoEAQg9p4ioe2JUTeMkYjco+7yh7zGieyeWAHOUUvRZJ+voH JLyM3X9saQ68fUsop/dRD7jWnnJ9h0AphFJ/+9fGZzx46ggK2q2JOtSJeK9cSRFVFznfu8fHdbO/ Cy+uP8pekQkm3PtyY03ubSoRSy/7X9OCv0SWWPy22fMh1QAkCjiK4ltXrTQ9CZiq6QJ1mY/kJUTi cZKNr0pPXZ3MV3uRRAGS/lztfJsLltRlJE0/YP2G5Alg/8cB/Q51l6of6BGBqqhjACqd7kfjAC1m e4AZ4jwiHbRYzamwfYnzbknBe3nsQxNvpdLa7IHnCw7ByAuaTCXks6BxxQlqr/4s711elQCSgWGr 8uvb4OmPt/ElsHYpybPM4xT5bM78yg9+hsTPwfTEdws7EZvhprmqgkofHnS7CNtztQ6+GYUHG2le yfI77lW73hPMqHug00uvfuBvNHkgdnQK3hZgLt3njUvZUPvfdf5uOZ7fCi8phhdCx39Y+b60HaZS wyjuZYoDiOH5A2AIEtavzGQSxKvIZqllxExXhWqbLOxjjXkvANZwLjC+Pw5JPNrC6Eskv/yLqDXi xh4TrHV5CSbhocFNCu0OU+TuKZkIpcYbS7biktAyNzvv97lAtyepYcs7b0LMwpuwY02ld9oo6zlx 5KJlJEmcZAd2XtxNX4SbIOGUIts5jLAE12uOUTabcz0TxaPidZUtUed0G5vhaiTJ3wkvZbFi+TG9 W9UdgZ7d/uiFUW68e/gr9RXcpwMuBMSSFbeWR/UnPIhiCqGVHsr3BWo+dR6EAwlPU2dh6aiztdfz 36MR/0mPfeCuw1AIht+JlJHLrZ0NcgDj7Sy9LJtCGSKnHAFLOw5iHKTVE118rNQPBGL2CcT3RbYz qc7DHqXCdXfRH3UVv1wfAhM0Yo9pu1VE+5Nrz80KNQR5PX/Vy80lEvwNkQVlnpDT7JrsCoIY8sNP SQAKXsgeujaLFhtadk4GHmmtWyGDDtyNiNhgW5uS6AbwTg9fljNIvT/h/Nf2i4tDJk/M31oW5e6R 3yPyyz3vGXPoDWfZlvHz5g8QwXF0H2o2BQeXI8BRIG41YnSImsLDux6Isicr03e8wAVzkhM32pzX MQWZ5WWqHZCXtGp3AJv1mleimHDHAEuj9ER7KpRVDf0MXxPcgD+E2hCgR98VpWa5pQahJd1wTSd0 8kpq5wyjLQKMdiPxx6asbJlE+ykuvuOBBIw8UzMTS/xmuf8aYH5DyINW3FL1a0LbRzzMJGaArUu2 1NHyBOGEaICBa5fp/cWtl5nqa7JsKO1n33IBufs77AOQKFahaJJMPLgS7aQD9QsLGKNeObRHRvwl m9qz/M03AXIO+pn1JI5I1s5p6EELgEpgEFujVKHaTrglUv2RBkY5ZjNV861LGigkyoWWuMZWAEbK TGM+m1IufBUvsRHTZSIJq2ELUtzdLAYG9fMgg6I305qncFS+fhGQEHJtR35xxTmKmctfBPQMN5mD r3OaU0JLaBUy07Ghd1+rveneToeviYunnW7XlyvpcDDMa3kAByeyKZv3ZadUApSynXBHOY27ckFi 24e+dDvohVEqVIMo6fpDW/DH94A3DxcLPx5+wtnGM/sm+OdfICqQ3LR1IAf5u9h5PywjtLEPPtso 8ziePLRdwB7BH0oy2eJMKRS7G1aiccGgLAABXpOhy4T4GNu/9K+2JsI/zdsggO/FvmlWFRka7EuD jar+6YmLmll5Z392vwoE72LJieZERGFHE81qof6w4lSHuQrgUYMnL0HFM8Ki3YAp3tc3PC56XKaG j/YkOGgY2qHLP/CAxoeZ0EnNj2wawlzvlESk/UksDHAnd6LDFPD+XVG9kqHdOnPu7K3kJpb28t32 Gc4HqmBMeNYaXb0AonCVmL+Luqj9lWa8uyOTfnZGEoCUkq4jN6svccBFSIXaRUI5MtWOY1xTIL7U D2AD/inxidyqYkhIW53zv5d5kH5ySXP/4IijvZCCTwjGkYSOV1SAMlhvn9hKyof2DI7yl2MYEPQZ V7g5IxoHzWlhJvvXIh48zet/MGO/ahjADCgXqMjCQiAZ6owpnoRynL2Df9ybJoA09JvwNersE6+Y w5Sn/CQVokN0G/uV/dU5wuZYmbCQeuQbRluLqtTxGVfgzt9k/ZbmxH+GrUaivi1q1LnU4Aeljw3h 3d4JbJPxFTjs+LMqxMpuDnkeuq2g3tk+7pyjdoLEfxhT3BnRpQgaYvyyK8VEkXQyjIQ9Vck0m0WQ w0YucjgBKAt4Cz6HrRW1da3IikYcKCDoxP/1CWPA9SRQeBvOmYL6QksrWJtu7afS21RUsVKZSoi3 IC9cS7rUHwmvVZa8+MU4m8df6yNyZnaFfBIxpLEftHBNuN6LnYDrHfZcf4KeVoZDODUrQT0w6sUy XXM0eTveONh90pcqmspf4RaUsl9Tel2EAo9tErZbpQIn26pjc+No+M5WfEPRnkUudbiP5MFyaLNL s7LwzlmKuvPYSObbu7koz6t6GKx3dAyTcJ0UnlSfCTK77k2NUElT6F1vlXs+8xHcIG/irts+Hg3g zoYzu9fCGoOghERLnaYxvyJk7LGdZjmp6eIvX3GehLI5OiIVkvQ6Iea8BFN6+yS8xpFgCO0Z+KCR cnMaDBvCVavtt0vqjS8RaGl3+hjk/ZpVHnXaDHK7/AIOfDemrkX6OEVhGliIqWCnehROonV5orga Wav7XDhivbAvLJaBVwDTM+i+QG+9A3fX6jbKBqUC5m5/tlFhgqOGqgRfrJDW/xZHU3JfcwRFZLjU pSGlRNJ44BkBsYXzMZNkMh5Hj3bIY3BZws41mG8eiufN3BksPC545l58wBqLLsmtHgQ6MB/1QIyW MZVrbYKVP3bDsYSop+eFkijc+tHkDMu73Rz3APm3fGjEh4F4zp3SCtf+UQqVemTt87PabzVHF+w7 dAOdvY44b4HjKp/GQBll64MS2vFAxXJAL0Yrxd0hm6P4jF2WSVWPkqVh5zuoIdDNvudb4zyvP3Xk Btpb9RUrIIwkobkP/iGpfkTaxzjj+O4iWvscBqwx7aDBPrhS72fDIIaFNDiAPjobklNPjTTNsZUj Ba0FYCUYZUxRZ3AWofZRrF4FjOPC2/0BtHxzD3CEqVzn84f+iTW5F/XLWAEkrpivGOYfBoOQa4ha lwllscgGenTNnUHVIVYGtEgHUu8OWMSm6CeG7wKacnk37ibT7qdQGJQHQxuA5CpuifLue2cZSDWn gHf2IZW5svGjFZfcFgPA2+oqIUAvIeLuUJfJMj2ayIG8nFr9bKYkQmp0LkcRNHsPYafFzfCGHp0h hBDRwE8Q4OYo7j+38zYe9/+fSwcOYPZm5/834ogKfCpme2vT4Ru3LE4ubcSUiAZEPUSSEsVVyNqs p4+AH8ibxumGTBeBaa0DA3CSKwxWMCF7+o8cIZQt1ktfjlEA4a5HiJRccdTW1+3wemtm0EfEC/YG e+0iC2pocg9lcBAG7ASjt6YgjUt797siiCU+sxRg5shRm7+3ahPBuwzpgI4PEbhrbYLssCyqJUQl HyNon5AMu0mnQNfnHWNF6BE92ARr/QBdW/I3bIklSxmO7+aBFOAWRnoATXudcC1wuaTz1zRJfzVe uqgr7F6FO16fhsPqeB1QhEQJttISCgk84PosQp5LrdBAUSKgu3CNdPqY2d3R9l+q8oLTD84Zz0/b IMp//Nxrv5D3LECFO2ezw9CZXwrJgs13ddl3M/oLUnxl0LZAPeXJITFlU6Hk5Tf7xANYHtPZ//+S 4AYI0mxJvk8FdUSLqkf5jEp92XD107gfdVmvewTONXGbhGjQmy01Ebzlkys0NL4mQRXDj3MIJSeK ojevyPHMvCAFbPVsSZ5FU9B7jDv18xWykzowOn063+D7vlVh2xXPiGkQIKp90W3hxEZxrsiVEzw2 Xyb/HqDkYSIGkTQkeNpWL7ToFg/zglIuAVywDJT14aZ74hlV0shlCle9tEUFA+YgdU7eeW/XU25f TeW53qdf0g7Aut9AUwOsxtzn5ky/EFNGCJmhxAAylnPCSNR7JqEnI9gAF18j/lwsEvUT7FMMSO+8 prDD+sMwxQdR6LfzqwPtJtRQKWQQZpxXcf/+ylhQmRKchoJ6Z+tpCnxoqToXpI1zeD5c6tgRxX+f 91DzM0Ay1wwExK7QpxSxCJ/OgFkwsj1ZYqnJkqhhP2w07kvlblObSU0P4Fo8z7rh1308619Lvkp3 G2RP88+79p2kFBj1T5OZOxxdAW1MBYIZn1nbIqO+LX/mWD0rot+iGgobLgRfsSmTUbIgJjK6aiWy i1rmUXQBjdvM9jtko64VzVHjXOatkW9zyGeXHls+4b2DoqCJ5usTo+IoHlQ05OzHijE/9LDvNURA ov59o6FjhThgQBfkMhDu8TQVO2WZfrpvkrP4+Z40KnAMbCxjUSrKljIYe2vbOwNYOvQKcEJz8GwW 7EohoECnIQKnaNCuIG6ZHTjLr/3FHsh/VeukQUBvnCiqty82+mt53rzps9gmjKFekEsR8BOQOWki efueIl10JA88Roag43XQnJ2SnYRtCkpJjm7k6ux5ZkvXeOyg662NdwtQBlPP+ADmwMAl22gjPIVu zgbhwsJS+2mh7kWwmoWUZ9/89HQxPymitmut6vfxEsYi+cEXysQiNH3huTdhyjm1ds3RuIGkvM4P QLbpMqoKJwTKJzqYmJhnBuEMCj5i0oj2TAO5Nxxp6q9yRBsHrq+KHfnXmZdaa7f242T1oazmcNDX H3QlchUvxAEMyXgSpkBIQzf41kPOleACPjU8ahYMqxX7lPrlJV0bdmYOeXSPxcjrMjlgrjD9jY44 e4IlkK3/wEd5LgEOZpo1tew171RvzSWTBMBbMhpjn35f7PiR3xIXPf3vbTMQuT8fGMHzqD+0m8lO ANLUK6P/cP3hApjQ0Y2wIU0FU5AfBN3LL3F7osfoATzEZIrM8uPfNPyAc2xXtYXSuu/I1GKq8jpC trtYANbrOGrLz1V4gQSsTs/PkBfnWEt+SMZ3YZ6LsI/pKGchsZqDkBt11ilE6+aoQ0Bpyk/uX157 v2eGB8sSWRvMZ5Ag7NE7eAEfw7swxKRZKU5CACjNINc3fUD8kR5hK5bSbTIbqd57t8n/upT4yaco jThrpQyFG3Fni9bLIDnXAkB7aTJDG2DRAruTYrUMkKV0ndREv8QugD+2zffZmf16o0AFKOQtjGAk W2PHLIoUsCsQQaKk07CtZhVI8RJ/tlZMmgUN01/obNBqMjCQ9LGyk5T/efxsW7fZyo68W80yPCXI pcSrRdZD4/7JEW/RuvBhPfdJZqI3DfBq3q6Rmen3GEwX6vePm9XubVKRRU3TXsZM41nfpcbEF2kJ g+wkKY2nA3FBBr6U2VP7Gi9m6S0JxlSPoUDSSI3Jl/RdKd/wmOoZTzgPjuxiL2/xcbaXLMZmh6aO aTbS7HKxjl+hlv3N4rI91BeyLtDFFPuU735aVUbZo7c0wyJJvKuFCu4B6XxiFlBfQvJ+ZRElwTRi A9jhOQhr/NxF9C+0XrtbSIlTXhOj6ckX5jJ72dKMbKWP/jrJN5hiGbk+bGFscu2qMJWGtd7JWXWR 0d5b85aKmgcuo5PpgzQiD+x2S+549QNVGL1Ckc9S4s7xek1NT3//RCb7ngihO1RcH6CbDPdt2vPK JKFrOmU4HqGhdW/2kHUT5HmlWZQ5sFZ9Cr4Ot9zV8AZGnH6CU9jg3t6X3yxMQzRITt7NZ2/b+5qY G9FBYuXG/NI/76NjpR5lg/3HYFmzmNyH+YQgR2zoNa0UM/S5oDFnYQP3GDE45oJPd4sERj7tDac/ vu6F6wVks4GCrp5M6N/j1m0YAyARwA3stXZfdvFMDRAQW9c0H/lWFUmuC1RvopPjH9hpsRIcnigR AgLYkBnRE+Lyx8fOZG6iHMxRdBnx2zAClvGB3gEe/IAkbJZxcHMPKESo72fKzJsdkudFVXd8zCZl DNtIDJUt/A0l3i0rBb1X44BrUwcG4oBlX/pWazfXYMVkgJFTazeArKIx87Uq46UJ6y1lTJziw+PL oiSGumlSDFqelCS7dax4NTajpKOZN0YcK1UoFbnlGD5Z9jFsK5k0ljOsxb+MvalV11ptJoYPm2kO Jir68PzIl3KTeN5FbNyd3BnTUdlJAdTnKTi0T9+zEeCYuQU3RDB2aTFPkfaNh8IoUl+NIa2IcZLo J7MYbssBLILh9eKGIhFdHPJUj64U8FUotuMNc669Fqg0QojCuse1ku3rwGgiJkiu+7ZbjKu7XIzr Ww8xozptsBUUjfpBFN5GeLXLLtfFCtRgvRh3mIhyUmz+0QVC+0IIsI0acuvSvG37TiCfhGtqPnKT bW5f8q3IBLrd6JPMaq2zoyjdOzbJMhxiaql0DCYoVqrkIHzIYUo9+wPY50G9IeocXCymg4uBlyFL CCnoopTP5o8iGHlHtWnxHs/8K4rle4WUPrtF+J/sBhjqGjmCq6gYy9XAne8Gc0NKG1kQqgt2zL7p xcvl2fZcpFWjwIlG4x4czasMUbf3kfRw00yh9KYu63PlQcDxUkrNeMCCf2HLiv5CdBfeqpvaFuFv 8neJuZ7Fv0kXv2qsIc1Enz0lIVdWVMJUdM+WJqz4T/++XrVnxgR+W1OI1FKMb4Xo9XcPNnFTpNPr Ae5/BvYPPpsiCYed7jk1rzWFXaTtcvbEmpiPahQ/nK9e3N5UsQUvwq75iGzexsoxZVvWRQrIzQLH U9CfElsMbA0FSZ+IOwL6wf7srn8iNUNro+nI/a0ia/5OGbpW89qZQQ7vOiy/4ev6tDhkl7k94jl5 QqQQqZsWEJMwqLXUA4M5FWPzKlt2n2LWDWP3JEMg9BcZffiKyD4G8OmsfjQjdvZzb7d6AbG4imdJ GQNDC+kK1aX3ryWX2qgqchzwiCfGz4ws14Jn3iRPQUorUWiea6MIFRmYiTJRyPBX2FxlJAIvK8IR C6J/BBkqyceRwMGbCmgK4LDRZ2CDG4R0+Cs1r9FqjdZaCQTp8A1QI/OBYnimvmxLgyfrBzwq9Zju 4hu62ZoGpc4c4QdxS+FHcBfRKgtmyi0pI5guYnMLnOvLFFt7WLvoCFRUA/1xh1L/zPB9C4pfQcym jfjfP0JoOZKrmnTnuwPrDgFaVW239FpvQz/h8fQAi9UkSzWXCiVmipPlc1s05n1XVwd+2OqsqRuN ubZfKFzZQ+FOb+XYpd5GRdlS9QZ2x8D9fUoKLnOP4/0VIKrl7JKQfHt75rzbNFhqO8uc5agGjZXo L8EMESHwuLKExpcexLUdR71Hr+P14LKDnOBWZOLLnJh/ibgR936h311mVi13vDOA9JdMGXA/Fw2z OWJBeEhecr1C3otxJD45OCQNWPvWoeeGV2IRQp3b9/t/iHMR7qvBIxqtSYP8KfoNerduH6O5v13x L9OBY/x0bihk2mwCy9rdmwvM6a66dfUB4tybM13RfbL1xmb7hmpnEOvdQpjKfM5qRviJ2L3oCcZS NraeIXg2XOdDhzIDQeyrFzUmzXPtuYN1jPSj8b8ZVJeahLM8fiiRxMuVtfDQmlBP5pKPkXUG3thq 8Fp/44i6Hu9z1Htg1Panf2R2U35aNpdh/WsXqnwtEAdHirNJVTT75PWAOnwwvglG8XZjy7mXvJzC PASLhPu26kHKYw3PIcQlLm1f/v3RQSUfB44Zy5AEsR+Ahz/9wewv2Nl+a2wRmTWcfnrLhn+ALAb4 OzC3qHipr31RaMpntWEQSUAO2+Ff3w5mizoX4dVCV1LlYyQ8y1GRoneOqnhDBCzRAW4RBI3v0iW9 qpBq0gm0Nqo0+xkIZXgNKtH06dbTsiublAqNFIhQ/DjaN8QuRF51OzFvB9E+UQXnY+mvTKu02zD/ zWAHJtym7xrYMw4uQupyWrDFSY9Bui+F0xmYajikYsDkJL7KXKpKE6gFzT33MASrtYCVVl0WvkET 9jscfLTpv8+pMCxl1flfG6JDaSuDmjoeP7xXW3G2gZQDp2atamQ18aaLvF3kKLkm+8eA/ZziLruA 7s/ju+KLSv456Hy8/dv/rWo8DRzq072hmYaf9qp6f+dVTQPg5JKyMCMNXqB0kts9YfZcoPQ/CQp6 plMcor9ZmaK4jA60IQEMQUmddmxSLO/FSBxZM21+ElVBJpTJONRcK9gY0JAPVloWsQKwMsR7Tlpg tthtAfq9n9tHjUYMBokbSnhocRY/m94PWChpsLQkSy1z0KZpxWILtQBveSRkF1vE7cZweSX+Xw5x bgg50XsQXskpafDzr+9hV5qBtHqAwdV2lwzEnc0jGmDiiC+k5DP73XC2+vn4L4vv5aavQBtKSL7P Y9cr8ZIeht0sVJ+UvZctd3nzrJZgi1xcZbb+YdALrMWe+UiivaBc/5aTeObuR2VNt6IODpM/SDDq D22J0SxNo3hYngRcefD5bS7INEJw76KIxBDW+af+4/Nml4qCs9ikVj/4tL/UQUxpXIDVIW3I4dsf lkCmTdYbyVbyN1uWoKcqNMHxNpRIxAFAiE9IWkstouupU2TUmWipIwr19SzMpIgcWB5tWtJHqvoZ xQw8xYlJkakx4LiNnHWBytLwnwlJPpPGRzyyDymVGeeSFXLejT3KcxcLdg9dxq8zzvFkoSrh0mh8 E6jdVUHDz2VsZ/qM4OYHJXBNB2nnxjpvFhWXTFjIHHiwZwozlgEdVGwBF8Udvcl62IXUx67JdeXZ pox5DyerYhL7TETAaPRpxSeaA+WjnUGPeGkfaW9Gzkc0yRaLk78eHfPo0veKD6bKeZmht/tbPweK jePdlQdZzHzeqGDroVN0X83kbGhT8FfUL9S631Fk1e3+qDrClCGCb8EHvR9DL2RHtFmEYIpaRWas Bw7GjncTUTDs7/7U5SiACPJPlf6kPj8XFEmTs/xS8zLugkkyxlhED0ElNMYT1YyBYUyW2aCm5P7g EY9VAQ05DB/uichfsgnDdNAJ4vMCT2b5+Iy0tS2bA2dNbzK9dtVPdPEKLg7osptEWS9kO4WbQ+T2 08d54ABe+3HYi6I6eFAzZ/OXoTzXCca+T1bb2APDasZ5SswKv8OWSFt2hwUcX1XZCpVQvjSnvfnE PKeY6IHkHz8WNenJ5flYTxhApasq5qLUa+Bm1Ne89nt1aS3PQQ02MqQ1OSWO6PNf3kUYintPnB7z r6vK1BrFWBP2PIfb7KBksYgmJJJVwvxbVtQnGJnMGU65NofAvTmjbYZGG3VhOuxg5dMvv2WatinG MvaamoiQ+Ra0LxbcFpJ+H/BpPoQSO8zMo9keymTxpibftbz8yGdo4DQAEVO1KgNEf44NXnhtCc2U jjOUxZi/20cGwLsnju6vMiOpuKvNwiJTa8oDNAVAc609lVOcC9SQu3eByY+zHd5SeD8vJtfhk+dj zBdTlys0oKuWxDcX5ytyF+uhR0UyRnSJVF2UPPoFHZcBys7fST6j/xcXOvD5JWykKgETRLV0TZuL 15hz2hx65sQuZLVu9XI8/ctJSvnzP9EI9XtFJyJAXXYdxGXhibgVnZJN6OxmjhPghDn3JefmOLqC tV76+amVu0IqccWtF4ONMl44JYFe7hWFz7Oabam9G6d5mqbq6d6cOVzJ5iF68rtg6oNvtveiglba rRUlJlT3uCgzozaF3hRfPo8M+EjYylJg/O9TN6UHG4UiU5Y7YD3rTBs1pm0J1pC7Tbjz6oUVPQkN tHzBB7EcoLp586ZJfPQ9aWVo6YME63l1W1t8Oy3r8c8kpB9RUVJ1RHTsbfcBhfTMlnAMs0ucphTx IG5w4SjsA7FSbjgApfzjzIfvwk8s9nwJlQnsgXpCTNkqD2GB4vwmQuNZjC1ccTe4gmuI4W/XhK6M 769sub2Cn5gQJWkw/9ZLNooO1taeJC7slBSLeQVeDOahoZBNPCC36EDO86NCrAG59tA22hZfAtSR ep6Mo6gaGf/+d5SdFvpBJQD7znFvYrfC9lujp7G/e0f1O4bfglWafNcKQitw7egFamfWVijp62qG zqcZS1jtY5KR1iWUzjPJktL8HU1B3SdGcbvqhJZgbgwtp0XY0EC9Tht4VPNFjZPyX3fef+Xfr1ge uM5jHLc8ZzW/nceo9bR6R1ruZeN+1O8JUZ6Kf3jImQHnwhbVOsgfOLKjVmmDY3zSjlIM7/cdIhz/ 0QDewFUwXBQ7RevDfIWPJdAj49Rvp2fJN5ZEWFU1bHOxNlvWirRHP+BuAea3sTp8o5/b/d5YIRhV c+s00Df1d0mgpWvydnh5IjDVhZLEfw3CHkM3lKO8xQJFmLK/cskE4X+fA3rh1GXewhjfkvUnkN7Y M9dCgIFgVKD2poySXHcvAVcyDT7yjcXzlaPQLAKZNyatSNVyysg/lyJKwKAmuLj9yvovnh51foTd 9YU9cIwbJMLImFeUrnvXtsIM66Z0XhA7FzqZzKVDwk6keAnZ7XzUCDt5AuN8tSWxMxuF+xv4Ztdi ouc6XSqxgt+C4NSzbVV8zvoQbXuW/tOKXmBFSa0AIedx6EBwl1pFwrioUtvRvFSHkr4UzGK4TCWM kMe+W0bmqKmRDIICgPMmWNbADJBxyu8wHml7slc3I0tRiSvW9nalZLQJu94eohrlyXMEwYOp/++7 s/wEt9vOTv08qkR2Hx/bJdhYvkJFArOHDSpJEiqB9WNMts4QFJrcSI2jThTQpoxMPdu/LXDm6/4u Bzcw487JjfKsaFpfB4rVENbdU1ABGw3L4QJJRZtuWk+PSGbGiTwlsi+G5l5Ibsmu1J55A1zakRIR I1Q9p47PhuCOeznJK0KxryZHxYxAh+vMe2VO8MbOYdvhCZ2dYmfTVNsNkIr8BchsgC5hkc05CUF5 ejWDJaCDseMOTuv4chT05IrUGb2EUxwzMTcCk4TJ+W2J+LTicm96btzB6J1XssfwYQlLEOOXcphT kbL8RyuDVKrajy3rHFTOfA980zclvM2j4wFUO2uaEMPiPtT48xRZjP0clauaY09lvEMN845Yy54r FTQWWKa0emQqeATtjN2y6UB5mv/xMHmjGnLl6GjArp9ehRaAxXaTgk/35WAC5YHGGKZRKwMoK8v5 yBBxn9P9/OwaLptIwaNxacfcG4+GDR37mVebEXxHhvIfYuLDD4dnsGszDC1xjBi1WpTmGmt+A9Fv m9q2fsw3u4RqJ4vGkKi8TjjtGEmYq6b1n3q3rPzPciN3AWnkLTl9oZCtr8Y8eVN2u1LGJkJMavLB nCfG4EOMP6lGy7c7ECTDwYAxf2efzEg7ZE6Ce09hl5CeCcEJ0xFSBBoq3jHvgt6b5BD42sk3fSq4 Z8Nr/pbEij7ExhC2YnH/FLjrvSjH6xJRcZxkZTe86jpGcnsozjhFjtmd96Mi3PdifqPJSmn11EpG Q0VVdnuYR6BsXVDAdU3l8idB8qAAg6f8y0tr1W8YCsmOALfh5Lio31Ff05+VmALgh/+mVZ6gsNfN y+/EL29XJ2dynz0wRHXt4mKl5M1RIEPNMtYCKdJXoU3nASwppWPkbv7j3SKmH1Sy9Y4jkE1TfT3t NTUL7X+jmFobz4iX2EtPsbUEy4Iz84XGSRGEQ4iC3GG+2DO4XdF/NoJc3ZrEcsmAoOUnbZ2CvW4v QomtXoLkKaCCaiKAdlx8DrXJWSjmFjnyy2YUfd8iT7p/Judwl1D42xdDM/Fu7EBfmwuYTHJCuUeR I6JJ/JXb9lE/+ScQuWXZZF1miJErVlo9kqxNJmsmXKDGhFRn6xisbrlGLTFHTqr1Hy0KrbTi5x1d NObd7LRztnriVOfsAFo8CP0VNcHxDwEOWF3rMpW402mTAoRbDsMKpBTjfCaqDpBdNI9gzuic8eVK BBj6DUwNsLDttRdOJvM4mYRgpXWAiArl0Tj/HLpS3HAM9YTHTaaBTxW9KGCoqOLf+enuc2Tvd2o/ puGmJjS6Iata919QNNl9U9sBNY/UH9tqWH9w8UrMItsNamdBLgQW3h+/tlLBV3TPdcxy1O+xN/AS bsJj1Jv52LG9VfFK9UuZMKwXbCbC2bcMDI05/B1y7HcO3YRiarVDKdUvCuYcN5aKkvp+joA6ajCi PhxFg9PJli962GPmbl7IGaOBYSLdxGBtBFfAFRHV5Kd061AlQhTz/XVvf7jR2BctH+jn5yYVO6vd 1ojVnISjl3GTLraiAoahklv0T7XjFWIFbfHWoiTC7wKQOXX4TeeaKlx47Z2pUhi0+OFlqTYrk50r dN4kHfwLSPFewWKBCfZGfmlKci3hGusnl5cNOG16PC0MvMbuoOHxiEpVA6NId3wwfPumD+8l9yiZ 7YHRKRh+y5NsRPCxIGYXoMpO+IB9SUVl5VH/eYNuRwBw0u6trGCrXJIuMJlMiZAPVLtnDNGlStZ7 uC+iVXhwqEaIlCGsXr+59wJNfdD8gEUtijfG+BBSxT5/EdMQV6hI92HXs/6IUj5BW3vdMwvMhgeT /2AuFtEgGtFCksGp9zqc1KW5RB2Wam2ZN151GDO+FC1AvdFTja9JzYFUeJOvUKzK0Rs7vKLuMiRh SlFVasTN0EBLNK2k4hccgHd+8PQuWWeH12x3WleNooC8xFJTzqA+ya8faIQZUb++nI91flxvI7CU 51cAbLZCCv86UYGis2rnuKkDoBGjFe0iMhx8bpD+UpFp8DmjoK0qltzRzB2yo/6uE1W+D68YkZQH 1lJWSjYMWVBgFhzOK/n6idrrWGmk+zkkMOIMG6h0cAziq4weHOTpgh0Gxg5RB8cps/KecfBWgWHo Z/GqkY2k26XNytV23xSQD4CcWj9st06S/pKF/o8P9dzrahTMWgsOUj19YJesqtXCWrgwXkZGWn1z LIDQy/Xrqb3tw5pupTHfSE3bPMwlPbU4E5v5JYb+bZbj6rDajPMxBN/oIg1YH1u96YD+Zm5oGzqd YSD656AxD2qPQFTHk/5pz9bmIWyDoSSptTWcwkO/f4/EJFMHZMkR8pcckxOJy12U/BQsxHzuVXLP rxiXDYV4t7r64baK/od6iivETfAeX0uTa+VzDSC6mjzjz+IkdxL7A9wJ0719SV8EJ3k8X1OexOA0 nqIDWVeZ6KEbOZlarbxt3PmMDapi/4OrSNDK4XLETZADUo+7DtIt8z6tku3llpapU77w+NkCdrN6 eWSLNqoOK9rFfscFtrBn9/JiN2mwZKrkRJ2UlI2kssIQAMI0D+jbl5sbRXcl8k4US5ml/3A+cpMX 4yZxK3tzf4yRhvXsM9leGbQj3GtZqv91cJUgML0lp9PvTnAps1gHSgzxBDRrCI3Y+chOD1/llE5u CQmermvM9FAOT+ZDmayHh44LS9Z1XZX/Fi5hxAm9ts0EP2Yrs86xepgpRNMx0lgUs++EqxRV7rxy 8OWyEktA6Bw6cHRe1Mc57SCJorZ0LT5DS2tId7KFAwjFKyW7/0CIyB/KMBW17XTod0chN8AbNcnF LOvS12WjU3lpIXINByV3mnxnl7NXItOZSX4FBtG7tTZ/qN6u4stRPTynMjIEWKrREz9YHrbfqGN2 Cc7k9GKkKh1IOl54OLHO6EMWDk3IO3xCip//SoVcE8wIlNvbClamxCBAgdoYKRIoa/2yDEEC298f Fu3/uMgFuC5lcu89BIILbOIMhIHqfsdeNffZaHjTr02yZjV0QybnFBUYxVqvgx1ZB/57wzOXI0qf LbUigKdbQX0Y5S/lUU9I16yL61IpwKl9JTUhidnzYjyPZ0H4EeMrrFC2Ee1xk1XTDc6oyrluhMKq 8wgUArgaWyv18V2+E2q/IO2NDbo5OuQXs4XqfRTHDDdyuTrTtu43CUWmUx7Dz8oGWtsXJT2zSowi uuVBaYs5YL+et31s5RSzg2OPuoru5yuHKYtQ6hO5qh7SxBjVgRt5OF0VDtVwfWMNSj68fUXSITxj b66/0DlRankAx69n3OyMIHZ2ult6SwLZYOeDjWS41IMGsEYqy5T4PCL0abTNOQDXhFAQFGTnwVoo T30SbVwyIaaN1bLy5ayeMWviaftBG8gqvnzkNLWqOV7J8Ht+rj49WJQ4y5b9FCZpH3USMWj7DelX QWaHfb6+PMVUYBRkfKPyMxnl5qQeJdH7nwBpx1G6i8/SAZPNZ3nuO6KsZFmbIr7QSxPiPs+bR9IP BTywglqZn78cmG5B++PVigGCozWy4Pl4rNQJhV8tC7usJf3bekglPW23xRxVo3k5ZvPEiEzB+zVu 6T03EGipYK4ngeBWdivXfWd8eAJawaS91TDwE9YNkGGButu6WxMAhvS/av/0KSmytEKOCG6R+4VK tUfpKe6i1YS5k8Ft+0H6dee8wuenzdvaFfy1UrAslUCJ6LrZVdabygHi4ii/QUYfv0UJJSGLmWEn VQfNqOXLa5sxPCXnSfj0hCopR6PVjeky7qEvzOw2j4kXH5g797RHdY5RDq7mm/IyzJgkFRVOWcHB 6yetTlZsnxcZ2QkfTe8dF3CQboMpJcnm6yAPwP2W2LkctHpF3RXOjr0Ny4x5yQZ8npmmcPTFmTwu N7Em5U0uHsIqQAkklFkjFJ55GEg6DBAjq+jHVhxR0R8zlNoSd3cFXinjB26dhtcm/GbqBuH0cBA/ 7T/OVOt0w7K75fwZT2MZk7gXdGefN69yPRX6ftbr8Mb/Wp/dMj/CmtfAeSjfr65Ato11bNgOf5fg fKEjlAcgojNWWaCEKcK/JShhMeMp3CiTmtIVW5rpl5jNef3zC6SOPWp0MgrhuZBcl84eBoITx0Fe hXY41Uv/wKS9O4LboAUDjPiVBlIZYizNNx7hzJ+JBK/el/ThvH0vKeNdBldpIgaL8OsbCH7ZzqQ9 zqgSuiVRQHxfDXaK1Of9i0eqrxheJwQnvSU3FcABjLLmUsz7/9uILsLp4Q4b0tNc2fm7NEkA2/Fw HsHs1BKAcbaj0PB8sf2wUn5GW90WNDbiQOiLUpJEpkpmhyebm+w/K9gDiDpL/Q3IIIyXj33Lq3ob iF5arFERpvIcYs4pP3OrKdAjkTak2t5bxSo0SbQXOTnMDV3SEp5W8heewNmR4MSYj2h9HbsS70s5 Fv4aD5hNOJXcYfQQ921lem56NBzkOrEim74j6dzkYSeLyKVNFkUevlDV0Ym3mSEqI3GOg/HuY1wZ Too1xZ9p2bLHw4JNtkL+MKLhv/UCv/GOHSjelBFlTLVBxoEf43YmlZtQCKrxWGqvjHf2XyXmig3P X7tfCRSflmvhnFmIQLjERrRuuqyMz9szDqICEW5Uv/u9GEBO71lBOvj/Nmqd+ImbBnOgsLLs4Dz2 h7RxIN2Ab1vZvw562WA4TYY3Q3J1PV3GjzLCaGCVllpkBEI7Y7FvrGV+y6Y987eAFxQi4BC1R4KO VUlA4dOELv7BAwJ2Qbv1MLcIuughNT1hegYu6UypVR31tV0ZuI6Vmc7ywWtQ+1j3HzfbSDKcrnsF tKfM+F5iDwKYDs2bVDYXWasYFPp49Zdgbw/T3xWN7CuNVZ6UUhNPKH79RwE01+Fg2nkyMISUIRRo QCgZVfxs/YFs7vGrq7JAkgaM9d6NVDb7TXmracrSFGL1/kdyYzH4uF8CiEZHb6XRW52fnhG/ZS+a BxYTFZNjmKSRhC27wKYMZ+ezdrHxJ1rNlRsvXz0WReZfVKgldYJl8ovEATPyoX6G2byesZij7YSH VJ5TcKhgE5Fqq66Yub1nHLZuwjOZF0+0s0kA5YUwqYpceWXVlMTcAZTQuTIjzojTYY+FvHbpTfL3 E9zNLHFJrHcEsyF6cfXoSFO1otwv5XZinKQSeTmDcchSVjmN6axbLenmoz2UJnI6YZ99mjBjLYZZ +/IO5akvmYBVE7dtaJC5JaKIJn6DMrcDQZTqk5O+4E6z9BjqoiRsoOsMQ45qGxoqmMuSpKdNyob1 rOkys9EAkWIQ3rSx35t0GwaNa0K2Ni81zcWxsHwbEgUNM4wHc+1+PKDSLfSIH8hbUyMNw0akiCFa ZxPsd3UlhpD4SBwDME+8Cv8VrLjtLhYRr171LCdIk6EDng+6jTS+behr9CM3QjzN7xe8E66d9ep1 wcAG3G++KOwmxTmiKoRRPGXEPqAhpLIcI7RCFXej+il1pRxpPVOUQ68utzsqwvJXHarYmIsqqM1d oPQDhmSZuKBhEtJVvIsxMb9x9oH1pFRbVoOsOco5Gog0eXwXmSlny6QxA1mBGZrgSWjfIfNmRslr x8ISv+ovZirTnQQ10r08OiZgv+tV6+9oFTQpxcDYDC57Jp8rA0bOkiWPGjJOVhi9de1nZ/++T/mk ihZpH0mfW3NBxxqPTbD5dvcJhNGgOZ7Yj79e27NGeeXBf4lM/cJNjEJbObJ2Rk8QUiPEhk1ZqhAx D80U9uVs5qzwo7c2Dv7mSsEqhykMekDB531sptstwbITWbSvj+p2FiJq13Ay8hsMroZoe6c1lcFO rDPsIAAzZG055iW2Pkq+MNR8FXFaT+SBQS7LJDDTdZRxKUfnRE7TEetWlVwW6v7P4tYP3cSQDtG/ jv7nSdXR6TwIsXawU14Y0PpI1Ei1ZEoY7Zzm4FnrPL3wMuNqZ4+0Nu5nCTmhYcTHldiu7GDGabvr X0dXbwNSLMXcNx5TGt+SZm18ygu/YhCe9KdLwpfWLL8HAA4PGFeCLlybC+9+bizjl9nEWhvfhcu8 FfakIk80mqwmIZeUhOfcpQeUFuYEl9bqj/qOHU7YEol+y3y3aaYUZv1iQ3Rbp+fC0Me2b87G4NiG lxLcr/3E1yUfOoBiMq/cdKfJJVqH62b+8a47Txn5F89TgAJYOaV6bvVveQiDhaeqdqs0KT/HTBIs VY6ZvSSMirAm8gQe6uFtNHfJMckMJ8f55hIZ/Vr33fqryG19Z6FyHEMAjzlBqWSqWXiYW9xKAN2S p1mY89IKOSUsj5yk4NffQNKm5HTxEaUyE4XcFZzSKQE1p9fF9YJlF8R87k8+FCdBq6Jz8tjGcudD olhkBi7OITmdJ4N0afs8bMddJJhqiytUpuLml7adPobr50l6sMpWr9NSwYLIDQfFH2gb5wSqH8A2 GzioQTEl9fngALpOWTOdQ67fG/NFS2zR5gtk9VHTtia9X+gSYaIjbWo/DrAqzZ4ij87A7+aiCmtJ QzwU5tWDYL2qwhzouN7qkGqt7pmik2607wnYghmgwDtXkIBcpEapRwBak8IVADuJQYR6vLmhy9KY dtOJPPctu6B8LRiP53WRlkLlhLgVyszxwnskR0JZ1bQCKYNybKFScBDQpYqkAXrWjqDNFOpNt1AY 1Wvqv8ZG3puP+LEvc0JukNp/hofrUOm+M2pQpa3A/h7JwmX/bEs7ZCx6LUVjiBI91fbm1ZtvpKyu jR4xB8DaaLdSHKy1s3Lfgxlcmy+gyZjJLPckpLqi/a4QK3i87sSnOh6g9MocRtl61fJEIm6pZkyT 92zD0ZJtOPvSb98/7GOAKIVnlysgKtszyS+cHTvx/orM7+h51rcRV0xbeU3rHhyJfX7TJ/KiSIvn Z0QJURE7Bf5s+ZIaLfRYEdqKrx1Dbwzq4e5VZO0rdPap65f5R5ZJpwH6d5Dfe1jL5t6cw3M3XVTM 6Ed7j0b8WudLO4v0qEOczPdjKmTXIakzHgzbkABCdXku6oMNt9yTCnOSGviCjMtoP1V1JZhdzDX7 MvOWo8DFI6Z92cjF2ttgFyI3Vd5TRv2FB/F2bgQaYOPzpcFQA1jKfcgJEOc/TET+VLw2yg71adQ+ 6q1Xtc4f+GBV4yMcXzZ8Fpze0CH4OFJ7PuPIoL62pUEaoJM7YkL5N/8uxX7sDBnCU105085NCpzq NHzcA/mWp83Lkydlr3jvnxgM5OQ067k6LzqJH8G+Mg4pkDmqI6jfXkMIhG5XumikOfj+RnRbjoQq aIuboidoqkvm8ykVPqAg3drnyyXY+mwq7NYqtTQ906lgY9e4ANYZxpD0YBbsxUx1dNmWQKDYrBps dhI7oDGZQe98620izflB5iGDKShVrssr2mKuVITy6Z5JdxLVhEUWrcP4HA6H0IxgqqUrqfh103X4 ic+E8VQeW22qUVkbBum8mVZluRoZcN5bgIwrsQuu5ixqw7dgh88EBTKctZot65vIwXHg5KX5xWYc SHLS/vjWM4ThVbnX6zvoYSzByCf6Ga5m3C5dWmBLnlsKZo8rO/BDyD0UIo4i6Wig1GmApvu88YZF QT5c4507sqa4lc4ObbSU3JGDzF7jUZwZbtrKW8tzFoXQp2B7DpK4JXFzZHDPRMS9rF1iKVO9uvOj R9NyrZHM2GYE0GHd00jBo1EK601WpqpytRSbsX7dJbTAYf0vA5ZTzH1vk2MCJXuJsQ/QWzyADLR3 bwxWJPlhB1YSV0oGSZv/RFh+iyJ9EbJj+iILc5wo7b2J0T0ef3LLaUTklFNwP+qnvn7Fq/QoV1kV AO4wZqKnn0nslnnDQjKzHWyyNG79d/pe/9zBvRbYQdmJnsVfnqOu/62k+kYG/0u1ph+WGzrKbVUJ iz/l+265+DC+MroW0Enk8uPP6MiTPInT5pvtfd+3hbvm5/omRiwglbiOXHvjETyNZwn4L3ccoopK eZblNE3ovJ+kcLzjWYaQdlnfBzyYV57WIpm6U0kb1pZs4smfTlzKhXwAPtYG9URFEjxUfmV+90zE hIucXPfnc5kYif5I/WlKBkb9gwnAR2f6ft/OabyJpiFiPoGX72ziZcdRaHJKl+y8kBmYvnkpnYtt k2hvAJNacTl61ahm0vgcMqBn44TnuJThTM5PeuixOKJrfIOc11otFWFQbklvsqILJqbe+IuQF3Fo yqyjdKwECHLccgDst3tDFjG/84SOJuz0otqq2c1Oyd39SiK4CoZuqq5kQy8LUSRrqtzCjxh+2iVk uQBrglvZTTAxiy7YFhD27BnY9RVdKXDp23XoPbE6u7Fv/Ia0M+3h4AtdIQSyBF7dFAop0UIhmhT4 CXxMZg/xIg4c4dnLE2tJE33EKVObbE1IncNZrQxLhhtNxcCaB6sG6orooH3rRAwuU/2FxFzxo5R/ NJ0RmfYREnmdM2RyYQVSPgh4OdcSVfWCLZo3r6P9MPIFIdnmZe8WDn1Evxu8eAsZ5/kkZf/W/4eT gGCixzyeli44B1n3nL0h5b1N5yiHfReZ86KCF/4676cZwh0JVIVEgAor7F+19UGqViubd99uN6VL wOmgku5J1QrfDHfvAO4ZfUV7LFHQbBSy7n3UZaWwvTC+n0y1hHarFUwlXAoeIYr74Xh3DV4MDV59 +k9GuGqLaXQlMYgFynVRcRGGHDLAYlUHGBfDxp11LEzNcOaSUSlv8zt4ARjJ4WSUzMWx9+tDJWoG cF8IiY5dumJbM3CWD7izjDLdEnY3Q8AqCW61qONrR+jG1XTwpuAhIne5xCp9jzCiYlbK2xnBUESJ 4WFVhb6cP5mNCOGvAlBrG/YpWDz7u99aJDgDtIP3wDp/z0vavFKz4k1zEzThBfM5x7HpoN6iKTOT ZJmRBBQTYwsxrxXbnwsr92caaXNgej5+/DBJ2kAY954iB1tub4dQIqnPWBVF18Q+7UACAw3dGHnZ sOJRoL7PYtBdWCvlTMP9c8TjDii0XayIgcIr/iAy3nvDuPpRt+molNx4DCI+Do5SA/5qkt5Y2ZgC HmBXq+WA6Ns7qimU5UWgBsdIwHzcgz1dMFOEYyMP3wiOuin8A+5hZc1p/QuPMDcE7C46nTS+/gPG Mar1DIVoEj2C4xJgwOD+xSIDn2DxIL/3oofpGFTNOfqq0n/zSeQlScI3a2iZ8luLBuRafMzNbYwq 5dJ45K3Qnk7NkHdcDLLBHiw+nEhHvHPKig+LSfObPorLuk3KsWsBefghqHXd6ScKPLshF6MXZVsg k4LbnwFB61pjIZG0CHJd4w33iVhFdFd/TfHYsTqA1sfuyYkJaLv6g/YjfbHDMq/V2B5aiO4d9a10 ulhVs9CDxYdNb8JyXnwos8l9/7kWnMnxY/0HN1AjOFojVdNujWSkRD3iMYJaiu3z5IoCv00vjBZW SJys1hyOF+v1FpSopiQQnElnXPsUqZN08ggc+bLAKr92FK0Ixrp1qzCcC4y+6rR68+yw8hMhSthi EIjPA711sUefOVzpHA789UnMMluSzIVo26qeiaS7qUdFiz9DEZL8qk2hPRTu9d1ysoUc+58fDtIc jQCzAaldsxRMb26eKcjUG40TbtS4nDrYVG7q8QQF7zYv0y8I/y/cjBKIc7IJxy3znRxuiDaGPlr4 7SC/xTf/8GBf5jdUm4YceHo2QR7f0ZvgoBqbkCNAyRqYW5zpnJVP4JGekITqNMS/TQcBrC8TO2UJ yycT3oyQLx4PyYVCWVpBfWZacgRsIwSCbESxiehkM9XDVannshQuL4cZuLQxCIPz+kvyDTfHnx+x 6DxyXEb3CpZwjLKVzuWgj0qjiX4qo6+1sNFHFo6gMhmFjKKH5Fux0Bg76Yv4+ZGbv7nf7+DbHZJo JyQ+2JDCmkDZwb5M6aoH08SjOGDMqmESbOIwLRiH+AUy23TwykGDE/fcHwuyiKo7GQJvO8vYwiwW f4oU4W1XcqsOhuEaJ8unuZQr1xldi4PnsIa4OWrBevNjF3UyNgYvun/XXWnEyzQxv0KCKZzi2/Fo pahvJ5+bBC/trHlroXKNLiSB/m18dTQa0VBsAN8j0qLbqHMkEoRCVZALVg+hBkcD8qZQhzF/aCYt EBFOWUinQL7K/bqGPK0GbqqnBE2cHic8QkKSjcuWqbFGSXJM4R1+KKhSYHxjj1gUlfcbWf0Yl95e qzCIAVqE5JPwrQZvWEFqZR9TN2EGdoa4tH9fqmnol4cAkT/ZeByv0+kgKR/W04NUgVCWjx21IB7S C7fLaE5MjqYMaxUIe8gyhQgi66GJsBgjLhG3Cv+iE5D5r315z1jeCVS2x4zvY2Zbm4daGaozblv2 4osWRRWRLQ0/1Jsy1UD3V2uAPDwB0pkwzd744GGQhp1N+CURV/bvy3S0hOeXQzcRgNlL/g7kFGgh rHh0N6kSKD7p74yHK4/8D4cLSAZWpPmjJhwuuzrjAsjQ07BOGYbiMiuA2TZk3bmDa/3mwd4R7f6I 1CDi8JsZv5EsOU1h29tJ1Mmig9K5wQKyJo4e9wIyNxFrGf27JQ5hkfPa8KWrxZnk8auvXipptl+U Qm3dkHbKU54ZduLJIeGN2gLY47DR8jBmV14t7KuhQ2PT9+UYf4g/AWnrP+aH7q3rePiKvsz8dx/T t7eAq1J3nvuIExxY0yVbkyup9a/g5juXo8isryOTPaldZwTFwLPjSoIXiowVnlOB2l7lsgb7eqzs rQvfxoKFrlimauk3ZpOFtNP87GFZWHh+NbURIl6TPIv26UPQfE2plYgeSTj4W8kK1lRPlo39yDao z2dmXKv1d83j8TFqMFiNPMeFcEVxZb24mKJ6S3+cTxHiX+45mGNjvr2z1ryTguyu2xgSAXxXoRoI oPvqA6U5sEICDbwnC3FjCxUDVRKyhLsydmtYh2Wnd7bRAs6ermU6hMsWCDF42/n47k3d1nfMe9DY +tB5SEgNCaEyL8j65DMp5azYubw5xv759eaCdEwhMQvO8Y6LAli9n/dDI2uklHJlizOlsn3dmpeI cys1JBfD1TxyLkgsQwl2c3lKUsyLLc7UhBVVaOZJMhW/LzufY1SQsFECm3emELYAYPIYls6C2sd8 K2C/egZXvSUpvkCg56Ud3NcrtfaD8IIn477blwZwPAQTQuSYhQCCqyX5vhEi2xIoBapjKR2X0xu4 OAw4ACPtLRe9hOoudqf1MjDjSFQ073EgPKvDc37WJUrETh0PaxdzHhwUceByfU0wQlVlDj8wLbqF WwXdC3+aBZ6SGrjny6O2fuE/GtFZNaeOgixrLHy+gORMeSwfLXfpag/v5z3HyzUXINJUniq1MuUT xChEpJwTugHqf33E0g/4danCdz+vFW4EMNy5FbLp7U7S7BTVn3VGDhP5+yDj2UAS/l2OzRYAvNMX JbVPUyomKW0gMDLdU5YXVYw1zpZxzmuvOU52gYDrDrr6LywKtLq8OM2c+0KPmTyDzcE3QL28Wnc+ lNpkDW8YYekG3CF9RyeBSyGyq6bIhEkqdL97VE0nY8VZOMy8ZrfzD/xKco3HXMtyJlw0ohbsAK6A bHMg7Q4ps6T/uDEg8bnv7lQzEslWpGAFMPC8fw9pFTxy/bygt7scHHx5r+1LV/TbezAXprLoZNlq qmq93hG/umIz1q+mjfDYv5Wu+q5ebQVoeP9GP9S+yKQYfUd/pE8fZL+3CfV4ifveFlRPEL1QDdoQ DPc7q6HA9uhHBwlrlWpk/+xJTmL9wVKmdr6dZ+F6DxSmlAQjPYBjraFYIH95XjnaKvG7tCgHSYEu tbBCRJrthsryIbhYLLOe8HIHtLzbaovopUTjjWnJKW49Xd0hdQx9DaTCObYl7mCEUAQlFWfdB3VR 8Fxw2qzcDs0cEyZyHRNK7r/SDA+Y4ncCvEXfpVq/Oe8WHNGjg2sdLZQTHZDIQGdDrgU7alhTwSTF m11eCJUZK6O0znPGdywIK6QfyXMNbLk4En9cXLECLoD6Ri7WtToOmffbojUwat+NTZBA87HNHuEZ JPHza9/my+D08FMtZbLWDX60KmIRVxPySb1sxNIMmKrVG+JBfkGa2wKWXk6LjuFErX8Vv8DqGbiB RyBUDnLYuYHIrUHqlMoGbH7cIcPof6/BD3GucAq3gqfwIwiHfdhO/PeffgG+VzOw67Gu3S51lDos VHyIsIZP2vjAvrg4DtpL2OXs08tW3z/41ultJrUjS93H4MOmXXwEY+KKR9Shlo5X81RiiPnVdYr/ tp4Ev3pN4DWUoEUTqjsX2dopRVUP6rK/0D0k6mGK+qalSnEyRvrNSQLJQK/X1bVRruvmlSBoSnhb 2/gpaEXDxlkm77yLMiqBVFss/ctKwJ85uYTysq9gL9SGfA5E2HgeAvU0UyO3rLZ3bLMruNPghMnm HSXG6Y0u1Cpy1YWKaF+J31JHfA5xbdCn/udjAfkqGKL2ZaWaYr4hlYLDg4plb+PmSzM1y70H/wbJ WP/9WLHBUCaVDx0XG/OIK2LkvDJ57QJj/4dLbMUu6LLC/yNWAeINYSH9rY8VUfex1aijziixkNV2 WhmbugsK2FIJGYupCd1RcAH+QcnFKjdCYQkC1f5iA6oKDKukM6eqRrDweCCqRRtBzvJVzoPe7TKU UgzZmRniC4oqEp/0Qhocs0UMHAAWvdQbLt7GzW6cfUtlo2fDmLZKce9TeAhqBY1UOkfvSc07ibdL Y/btHAG/8bq7bBNw0C2ipwZEqvr8oc1P1BjEuxorm3U8SqyqnEiWOzMrd64Ffgsx+s854cyWg5tO dka0EYM7f/pXUOgCa/LSvbmcAYXa9PQFrqayh7HYLnNEBeDFS+N4lWKZMc8mQan3MbiAV55Le98M rYePyyudK/1ks8znbmOuKBV6lz5CAjil+H6VLydwdAC2JnVfJiELZzZ5+ZHge5E46xryzf4xMtvI rl3YQRPJqu8+fSKjI1NDxSJo3bT+04QOhX4zwMtoYYimtrPMiegoLGHICpqZxlEW+o6rK+y24Qow hc8ECxdvjhFJz8B01I2NVsGU7NIth6dd5de9SDCX/L2MKlz+E4jNBhbquTi/rF5KqjPVQGPekvrD yJZooeUmWexUQAR0U0Wui/rGE4w0CpXazTi1q9y21x68yiI2ndXgGuW4ti0XAuG9bi2KYBqpzRyy jMiHb48lLnQRtX5C74nVlYNZCnJbo6dsCWJHLbpu7aJh0MxJf6XcLjFD6nd7LU6Aus2ttARAWe/X CA+fOBfx0GIO99dsKs5Jurs5j89OgVHx8sBbbtv6K1HL06YEdry7oBP/74yQ3xd5IiDpYosDGcUm RHytdkZw8MH+v8mI+FvVo5Rmp3o4t/991fb0kog9L3gZQAG4nYN3FG4ZEpvwFYX1ZyfXIssnBxJU 0qTCFK1m1mh57+IrSVjTfKvraF+5mzivyKJhtg57OZp7v51Q8O2+bth3Mb0n4BH0VC9bl80GSa4s LnQk4YlC8ezlQFEmxMM8s7KXhogQm81wdGmHotklLitKIGAuN0Y+3Eu14cRhEOUK4FB7y2+0GK7+ Ou8ulzpQGoL/uxfKDgAotB5tZOnIxSikJdVOYz1HZnKFaYMhcUZGBqMhi0DQEww6fIgZjSxI8f6n ZvmhCqr5j2L6sR22KXLemQnC6wIxyheaENK5MxOjyl0iIT+pLIecSdfTWNAqEHtQSo4YrxHKMtnF foGYrGhF/MqnZ3YHkn58nvcZoo/xZ0qDV3xxVSYi4Fush++Mq5JByIypT6M/PyNl/NMYTUlkzkYT 9mWeBHbezHvkIL2RQXBeXrS6mcyH97qCZUDrc9/pNb7UqL8RD7Ydee1DmLS7YifiQXMS6sHXp9ah sHfmpGjv23pzp4KvVrBGwMAjpgP5aXJxSinkPlQWR0d0EQFU1p5I4loSCO1EHcQve/R+xA3haM1Y Qva7Squ06c9LCi/aRfTAAaayQkG5dyQyLmwFmvtsMAPQPsq9hOcPC71tKhEuBqH+PVysAwet3QcM n8qLEDuVoCgk8btFTlAX5BsHnGu4JWCR+IMHpi7RWVqaPU11phEh4cT797RBiVidJU7FuvLC2XnQ 2FrC+3NaPjCvniNqbCNA9gobl4yz1X3XYEzeROANpplNeEXup2gpHp62zHxVG6BuKaPB35fCmcT2 ZWM8C+PoO2Ov3fWSxRqHIrSjQc603IhI3tTKnNoWUdY/G2QkwvbI/1rPiM5U6loW0L/2o+1dWS3H DVrv9Cbiq0QcbYJ1Rq8ilUAP6J/M2t03N/7sJMl7I+f3hUtT/eufn0u/GANvTKRKqMBi5rnkZ6K8 gKyMoHHt9QLz9Mw89EGTclrhwtJHV5UbZ27qE5j3shHwsnTtsh1SgXrhmRlMLoU7z8lOKw13vUXt 7oVaJfrLB+oYhpPckKEvFJqVR4pmWlX2c5U+j7NQwIwucZdgbVxm5jsYc2mXrAa6FvkpqDCZwd7l sTSIWlkBK/bDl2iU/jgnCvm21ENaczFgfGQp4r4flqpDxFf2eB0w1dPsLOLvzDkDY+xXaZfcT+Rm oBeHg/KgyPN7GFZYdeA80FEseJrmufY+lNiWNbY26A/gIcaXehaTbEOD4urkbC2FhLCoCvggxi84 +Kr/f1rTYSfRxE1lnHZvHhmXIXRED9PsJeaoJr8irYZCfyxDDGpGL5IYTMuzStGgfhBsfhtAqkkg WkhD/VveWjN1hyCu1TEGgOTGknyY3RjfZMxl2PTAdm1mRphhXTYBCafUz3ffinK8LZvTr8M0zJCY Z7AHYlflxrrxrcINO9N3xgX5Pxh1mLMdm+sKWCBvINi4eTftJO7TO5kLDyV1KqXTb2o7wTE+E/Mg UUSpTRu/sGlq4vSXWjzUFxaHDr7h8/Owy9YqOjlGtTAQULqYmY9nclum6a6n7xTKZSMDo+NAECHm zLCSfGJcSDw2P+DY7oQ3JCWXLP33Vbu3R0+ziWAhXHrBoqnKNJXyYVdirC8PXj11NIb47Zso1oPM WCy8I/UAu/xYn/Enc+KZ9xmKlbXr1h7XAW6OQMaWnZxS9B6UQdJYMmH4UgY/v94twA93Bi1Ma0xp sbvF6TU5nBksPjKJqrXpMn93gB3di2KYx0qYmzFDjBhHgyzEpMIWlWgTToyfg94hLffui80k4Fyd DTnFQM4FnmJnh7tm7LE4h5tfBvsHlauD6yvfvjHZDY1Ai+DcfScouVqv7j22hrRiEVxbHGMqdtLc CnsDHy4LxNZ9tncCJ+LicjnUgCaNPN2B+uKx7kV8zfeGY2xmp8kfm2pAza7x5w/WKVxf8XrnGnZi mGQ+mKCw7g901A1k876ZHg/aPcE27lb9DE8i4C7958WfXMzg1Bz+Gw+UCXoShXlzRhEO+++Prxil gdF4xFEulks/RKjdV5UaNgOxgbMRn+xHN+tgjgjLNof2/QK9sedgrL+w+yGr4N/6AnMiFE565C5V HGCzswZ256aawSWYJJnnctYeb30vKunGarKhin3ElIjVoFeopapywtjW/rfRSh7D3SmD7wsSt3hB So2AhgzX7dhdT3NFyOmkMwbs6Rf0I+yZlqvqH7EXANb0k9Z71vHZsUO7IK/Ir8OIduFjUcJgFa70 arv2zvtdF//4h3pULkZa0iFF2EpyimaN1vQLVJcCXOUfq+yvT+PVQvpJU/UCGzxqeeyXm+fhJr7I Yrignmmut1+894OJLbzY/0JcuU5BqE11+N9FRqpNnYozVqNRvwS9Es2CAkaMTajF8H+cOLA6BWvY 7zgvZgAtfCS3+hfkQv9VqGGT+Ygk89I4pTS2tSeuYgeY+Nu2RUYW+4Xwss7WeeWSIDHoRNRed7qQ CNd7I+J+WA5988IWBXftxNztOliQUeSItwJ54GZvMvf8IomnEWnLzjudt5GfYs7iB/WVhnsHnEEC sPAWadmbBJwbUl+sBGkEvH7qGw+8tH5CFRzxhYlDjzJOyXJZxfu5SwrZ62FnUjSsg9ZA4gQuqNmK c+SOOMRjJ7jdXPPvtYLCry7nm9RfW7J6zO6LkC4nb10N3gtDvx5OrYjqS/CfeuvVXX7a80Er0YPB yvNR2RcIiGlKiviUwueZ/n4v0vwa6Z02ts/kP5mJi0IOyg+H/trF1su5UAbVYdctX4UCVxaYYBm/ RUhpUFHADAktBpM+rqUDcnJe8YQopCIwAeNNSMalga5jWpiXYPwPJyRx0/AVgnso46I8i/AwTLb+ dUH3obnxIs9cP1RQF5e9vob+fKGv6llLZB6KS8sxTU/rGvw7yeKqGSSe01G85bc2UergtrxaNqH9 oE7ffMd2T6Ga0SvvqQjbc38VWdw4eLl2EZwEHTuILIzjw1dWsZyhcSY8lCUmYHAv8CZHtUvFH2ML CRfjQF0fg7Vae8NhfExTfHLcxG0T7N3yfPii+FaOBLF4qDU7mmuzjH4s806j5Q3YULXnkoNzjInL ItLJi254WqXfTcYUwSKUME6urGpOMht/r189XlCbdL8/ScGO8El2vlaAvd/R7bjNsLX+PnrCOBLQ ezQgKEGNFSsQqOYmnCMwNX7L+gPxFl5ho9TlSPwVSwwQzzUbJiQUGDpmlddJyyRSubtb5r04VDdT DrYQbHUcS0szyOHL+q1eGS3n1lKpqT2um6UqlKpsdiPyeg3huZ405DN7jd2+9HFjbgC7jdwAabCQ 6Yj8ihbMG/qcJ0vw/bNJAKcvtVvmj+dr+OEi2SbRZpa65RWPq6rkZFAbhylMKPxfLBkITICUWhA1 rSHe+oOc8twVxX4uRHxPo7vi0sATxf+qCSTox2gSM/hzPXlNwAcqhEX696x5ll651FMiz9N5KFt/ yOCvPwR4c+Ig4WfOAZHsK40zmth+Z8m9838VkeBPMRQWsxtZVJc9wKatV2xVjP2Op+AIurYSU1Xi SZBokuOlGuKgxPIjWv47cyvejeX8piUsPYywwZ2Xyxbl1PNffo/+Lfv3Y7Afv9McZ89NcbeN4H1o wzFlOUe2qApsQ4rg5YmD7eHT3hZPOOpC20xwTHzJvrBhSbV7j0w4IjHr+bsgmi3hhnBhdylQzOIY xPozdFaiCvvnNH+6+YI3jC6x5RctK2KTI35eSVy2vYuJTCubE433SYbM2bwRnCj9as/qDstg/Kiw g7szCkjW3OJFh1FxJAGqEFVVuEzajtd2TlVO/RdoGEJgNuEsiW94hhvZmeqBUvYpkJsuuMzFtmhY SEMnzEz6YsihJWojUEuGY/XQpjvFo1pa3qnQnnHSNr/6ZoWrDGi2DZJd1sjiaEedAfgZpmK+h4fh rCmkjRg5QIT0IL9KS7dvsZ7MzQglVNgMpFejPOso8XE49k/X2FVMR8+YLN+b0uDeNkBrIbTcGSvG dG/IsQwrqgHDXWgVr00p6Vzb6g0pJn27kbCnR4+24hH+bc3HjuGo5c/Qh2m0Wmo3drb6xXtGV7wC t+dpg1Cavd07AOXeai7iPho6ewSy78V0B1oWVVDq1WbKwYrG+t9tKBZf3v2v4tvl495ybG/sxv4F ohtq05YzeSzcEPQCQiP+VCw3gbVfo0VIUOSgiFfKAeT+VIiXzAHjiDXWO8gnRx82+gLSKQRAzZAC 03swrwNMsBj2zeRuMZOG3Uc6VE+7FXbGFlNMdoHHBUMo/NNFrHqpbAaOz4BLma2Clm0AjEwW/iw+ y7W9JUhFMq+tVw4pAHNHXj2oQvwVxyvg/vvhp6aaT6tPPQ29q7IUttlGXk3pjztB8V23fDYjxlAH 9tpCqTOSYMO18uly2mrvJn5UCqr9HiFcL0h4bVVhcwQ85rVcpZOhTPYGAEVTXPxTE0YBKLz3iPHz Y3zVHKkQYYv2i6Q5jhs8/5/KwAGgwfBRwq3lIwj0rmnyWiIt9Ocx09lDCzIvklRFmdaVLoUVvES4 0W8ecK1XN9BYheDsfJkWR+CfaH7uJcEc2xVT3+nvpDILP2DZwACkkJjld99HmFAU7P4eS+hIXNNF kBMvKc5G3IvY2Ed8tHLMxUom2uQARN9NvE2DAJbzXmbiBI/6XW9i/AtZP+YEz1/XSH1X3WQp4tPs 4JzmjYemEipW/K56T5mGzN0Mcu4AqqkHvpX9es4aF0mVEPqWiAFC/YareNohIzRoxjTCTFXgqwVX a9M96b8erXUuAkJ3+NN5C2tPm4u6ToAk/vel4XgQAei1V6qFwGmhONZy2LtHG5mJ9sKt5lq/LeHf muoe4meMablrh0Yz8zcxODbdxRvXtFP31eCymSc3f112EHMy9p6CWQxwlgf+QgQgukH4F+SqbVWw +evYrs0Uwz6stgPDurwKbYejEySkmkBAi6QL3RzJIWrhUSDIBbJVAgRGQl1DcMr9r+ehUVX2KsAL jpZcNE72yDL7Y0h65mBD6YQHcUApMUfI2+cMpq6EmOxACKTmets7gD+53U4561BpYXC2zQ/Jl+o9 bT4QEf4ItDhu94xj74a+GcG9JbElpuCCDa0tIpsvpbU+tbNSK/r81PxOp1p6jwSiBNN/daNvsb+9 iYW4x9erkW/F3kcMokXszXj875a600e9GlvAnxQWntukAtY9V9gc5uoKAio/mUE1676+R0t8Yzi5 MU/mIfdUSEQ6ooOX203rdrH60aDzMwkq+9iWBxp8jlrwE/tJuxixPqemhH+tfyQXBq20FWCRN1iM YD6awo6u8rzssFMAmoMr01fv+DUhBCLJHGxkXZv/RUgooGKhIWfcCeGMIfoVyen+BBu/5qCIy7gc ld/VmakcCmKrBsNxHaFLUHjtmUSn6DGxzwd+SqT3NYjgTJfWFZyQvaWIYGZPahTKDY8pfn13hR52 0NSY+UoECiBDdDOmrhGE6DvFukzdz9dZbXVh5VFfxomvlRnOqfuNpvNKODY+u1jFRCrKG4MZ/Mh6 Hu0elz0MHnjRiT4osFbsM6NROnZEsntLInwntd0pCjcslyKgf7pKXFjWg48Dgu46bQJlAHjN6kZS sxtkG5BwMvjbV13VTRprOeh6lQaLxjQ5+wGcffODOKtgFM1NtgStr+FKfzgHDFrwVIqVFexrjhPn cLLgZ3jwehNZhVa2hGfvRd2mKxc2DVDeU/XNJuaRBmjx6yJebys5jIbtyHbeZSs/geFM+RxdwW6I WMw4KoYj00MBkycX7VJic47sv/8WYyCNn3ivVNhz4ry9YBEajY9fAjWRFYzgmw2kCGQBGonYhp+O /JIN0QbTlinF3gE/9WjPa3xTK9KViBODTVvuD5BMdPjz3njUlRhpGjMUs9XcB1r+s5ee1z4ECEEM mwYgUcqDzfpZEu3PimmLuafqA9Z6cvCCNJ+ZXEw5tHx0HSnOq8ubg/QNxJgr5+gKQZbNOsqnPzL4 2kTZSz1BsVLFT3wDOSeno2oJ5+oaHNIyOcBuqFXu8L710uVxyLyBce3MwXd7xJ46ewm25fv/y+o5 OhDB9sNapBFxDphnSN8K3PYpt/d0MLwmbn1q9+tCvSKNkFKL45dnadybGcfID4/89OdTQ2eT8XQZ C2uyfvXitb5GdW/miXG0/ZUzuVCAiCF84A1EMw4/tx/pxx87S82oPR4QdVwARrn44E/9lpySM6IZ fBlfPSzBMf5c27xmvbRHw9fxlvik5+Q/UQx2GPUIHRJ+PYGpRalg+sw3J0N4IIVbCPDIfRRYoUOn sopzrP1dBJMOUEXe5ySHgY5QNTioC5jbQKYB2WgX5ZSj4kiqLMIhFrYrhAjLvmX0OpP79F2ZMPxC FY1YkUzB8/GVKfDvPRFXequfyXi0ZX9J8THI6/32zaDXqDZAf3vfjiEeiv0MboOlAq5ZzGRtvn1+ GOHieVpkHJ/ZL2M4bOJY3emRPbm+LeIxIiCN/PJ0MCO5Uqp/M2jukOMXTfBxa0LVT2kFS+zErR0u 3Kt2TOJMAxMSTX1pHWRtMm8OfI7nckxAYpbkISyiknqTxVg2EUpwsCPZUVsGDaTS3L+9+ym2BocF 4pjHLDPJzqZMlEZNT0d+YuqoIHWGQlC9f3I+P0ovSXhRQpUk27P7rLrob0yfBr5awoGMjMq1T+QK LpVddnzLhZqTMa4rPrhfHtYC/gAq47CcwCnXxKW56ZxJeWlctOyeZ+1jKzmV7OGiBzYMbosB58wd lRSTMg+PK4/y0UdevQx01NlRDIhPAl8jiAz+jfW8J7JjGvlHkryAWX3oE7+id8lsZMBUsjPwGuwN FS+dJWv8iqhB97JZln+lA2+kBN/xNjyz9G0u4XfcAazhnhLONX/1V04592yHWSmNBrkCZ2JWSOtF lH59d796TXYMWvu2CcFpNgwGDO0wBkbzfbt5pW73192Sr4+dnNAhleW3rouzbjcgMUAuQYwtkQsQ xV4S5BYRukGN6aMP9XCbsu683UyN5bVh/PAL/G0Ra7CYfQX4/0thRqr2n6BZPNRHW6MHmmOgxZ+/ aI8TyThEResMXWTstT/vAN0D5zEDOinxcwPZ5viLiClbSj5huWeuqzBtak8MrByHDnNTJjnelPS7 XDFEmpxr5xFtooJzZThSPSYOEqP7vjoKP6xdgluPV+D0nI1vV6sjz9E6UizvjAI0TAXHxek6FKiv xIPV5NkwfmPrZsYdEgFcYalALNPfmNcD6zfhEWsB6QqX427OqrMsIgiugJzUlefTjtJ9/f/SR3Qj AbAfW129fhb9T+E/3eRC153Ev2Di18ujE3703yVa94auADPo5w0VB+5JfDcarM+VqZyYPqwjQq4n Pepg/bDY1Z0uDgRmpg3dCxX/ID0LjKG7G+CjfnQDwGCVWbm6Ljd5lSawOmSOloaVTEFnBSnS/l6+ VkyUuWGN9YJ3eN9lHtoUw4EG3AYVx0mSfKN0C0HZEzXEvIeTrs2qQPFa7XZVutByplcluKWk4ogr jdOab3Sj19MkxsYT/p/WUHJPyhAUsP5HIQFQz7mDm4HgA+2JdXph1ld69hJwR34nHhvRUIeV0fhb C8RO3P9ytMqTOL80anvd2aLJxrbbXkNynlKC55G/c0Kwio/F8+yXieyRp74y7/zTOItJWpUlhICN azuivNtvnGnhJo241vdWCHouSBKZlObKlBdvC3u66SaFZvr9rlerPO7Ap2yimMiy+1zTJW3ZtgJ9 XS3YqYIIvVbz8/0XjL1Id99PaBnVIT/SiokH3sK1WxppRlQgb7GsrE7f2eR5DnYEOnDFZZkIhcyP aJ7WYzXHwC1nLQiS5rayXRb/zj3Bf+Qw4N09C0FrBRWFq+DaSivpZDaXMK7blm3YSTm9bjyhU8cb Gndusse1+jvpo07Lv3GaNu19bR90ZjxKvePMbAsq3h4POKIaXum03gUx7VRcQR3S3HUjXXLSPeth YpggH9H/iYW/hFLRIzQSf/dk2cDnCcnP9dr7KQYjwZlTjtHT5Ne0zYzo2xdMYW14bate4YA06UVx FXFfIQw1+rT+84nqe9bO8SCNWe+j0NiAuH/F4j6jin1tXr4j9YLcKwAnrDtBG+CsDYrWs0LQbIwH zOrhs3wniSogjHG82sT1wBcAMlHtwTdFagyyqCfPg2DMqFt7U7ctiP+zBtjWAwnR4QbejwuZzlCZ LNL/KKOHqr9S+/eY8YZ2eqJitCVpa26LKQfLWFUFKl6GxsQ0eLk1hoExuJ16Ul4hAnIa83fveFlT kS1lFQS5Sl0hqCRctGjRCUDwViKdPfLyolk16sUFZKbEUyj6CkPD7vHNepNmrVV1Fv/fuAY8c4fS 4pKpZfCA6wXDCeymQh9Exs/SipELkYOBzBluRQd5R2/srtj817mmT5Z1QxD9p4c9IekMdd3PdriA 7ix7lwI02J+xTkJ2oJnEk152GUEu+zqyut3m9i7bfRl8e47uVLe+8p5d00y4ZDgg5jQDttvU2MQE nvY1yPMr+vUBse8hYgUeG4EEFVKCep3aLZaHgJqcLV2GkSUfL8mUr/p1B0+IWUUw0VRM4RkVbx6m K6O73LulFgoCifzT0iIgtZSP/9HEyhtqfO1vaY2k5XUDQ6dwhmtqKEJ973tiNi2SK6HdgvN58u6j 66xAgF8NETnDW3uQXJryBmh0EnykKbu7TdwiCcK/E3TTmhu3q8q9wsX/n9ZegPRqwni1gakuULz7 WSthMRhZhKd9w0EwBco+KB2pRTwBHSM/nX7sQJLrukKelaagXvM6VNsahd175sZZQSO3fEx3aA1x zY1w989ETOMCfy8/5Z2b/1TOZC5Unv0937UXmx7S43bq8p2zCBv0Nn1keQHG1RIGoQGE99RIelEk uvqkSKD+Qk7o+PGdqUCIbX/iXvr+UijG+ib0n07j91on3dinDigxG+NeX1Q1Pub9XenlFwr7FiMi JQGBu2EUo2idblcTn8ALn8eK7HJbznFVq02sE7oJsAdvgBexvZsYQmKk3nAraU4og74hQjoaD5kP hh1uxVGQlcJuTtfO7Qsfuy2MqYQJLhz9piTVAUUcNvXclFkmcm0zf9wwI5Qo01rzFaScrPnJBaP9 WtFcpHgo2ndR1IfM5YIZmAgvJchUDgk04RogZbfqH/KcpmZ9Ah2RiK4nAPAqzk+droGXNnInqqgR Rsfylt5jkgecfGuItfiWj/FJvx4Xjvk+rzDK2J4Xk41wSjH7JF6+EWAkcHvOnSSw6EAdl+ZJQGMY EnLjMp8GclgWHoU8SqATCtYZ42ErAIpansd77dkMX2rvoly08AWuSC2Kk8Yfj77sH6G8VqaUkuR8 CE5599Mtr7fx26GEdsq7cLh4J44x3BtGPZVFu70OtToerWd0qqsB+i2XFhCEMGlaXS+w0GdgxQAB 6YM+Hc14uJk/E+zkXVi+DYdGQyCvvHMlXObrJ73+f8dee/ECZjUxa0fVYEcIqEtuDNiOTnJiehG3 zMipRgVHIctCIQf2etFQqW5/h5yFkad5fy9c7/s7sRCUEHk8Cxt9kjEkGBUV9f64McDAIc/XZ12L 5LejTbQhyO3KO+2FqgfkbIxjySIZDzDg8dX4i4Tw65p37PrmDpB3IWzORVJ0YuMD43XtpuRMXAFq hs7BIvc3O1HnXduRtSwlFzBEI+AlkgZ7nagoTLw+2x0USopdbfuEXI6LAWXmOjUmggpdbqTrIGzD NouSbo6hytuZGz2JMkVQ1PqLXWkIjNAMjjU5PMC7cmKNiUIqZe0DckzPywxsz/mdSsCEniryO5ex yGrpXw4OKiico0nqZVH8aIJfdNudrdeMtjSJvSM0q6iHoKg/+3RO8rL/nNfrLMmgROe4+6YITo4b xSHTqWF5fg3Z5b4ZdO3EqEp3frnACN9F3nKM0y2VDDiT+RYRXAjcDHIXUn9inw/ZBPH4WTQYavNY abNtYMek4PTctDIWz6Pb/sdaK1UsGu//p5RzidivxkAA6Ml7TaWfu9qiHe0dwn/AIoj/26Uan0cB ks3p8MeVjKdY1EYjsDyw3XPWBVYyj6X2IprPatH4yHwRgpwfEHNx+GHMXPAV34sJtN6g5WoPeqfX lvn7w/LB6W8pXH9r3khXGNi36l2Cg4EfUGUgs/FwYcKfzccKWkOJvq5Dy5w7EYChhi06TMYkGa3j waajyaceu9DSh4uC1pYYRjJUZHiOT6YaP+i11LcCGCuMS8g2lozVtj+LFd7XFsfMesrcGfo9HpZg H3iUKVtVJuYBZV0grhaW+l8KRCoxmSzjzEklIMjuFpzS7CUmoqB5KvvcSRyIOsgZC2vFIN3MaaBm /4ceD35dQsD+dYTHhonak0K8ai6KExfxqrLh4Ge/zSKkRHsQkT44EJGC25SCOjq93hs3w/qpJOV6 Zm5I/943AvFlBIgY13e46PKRqnBVjpAaGq4mI8odgMGrSkRbxO6SJ9spFG46HB+T/ja2hwcP38Yf y23PJIotPXkUOLxnnCJBhzMmSYKZ+5Rl6Tte1UKXEy0HbAuI7SM2LVikQcO7Y0b11K2uEzJGvfcn MlZM9ZE5tGmgFK8Nk+Oo7teN03Dn2JHwXmTXSy5JsOgWbUVRRHyktuT25jnBisE8OLs/rg5bfjGm oGfIEkaWd753tne+FKa89bSmRAuEruxnS0sY4lfxoJqZzWPOkomWVCpsZlQF7sn9GJv2tdb8Kdl3 KLgs9p7VWFfLTzGbef+RVmWxkfRY+d5w3hpf8uSpAWlvR+BGha0ERVWvII6QGu8/0EBfhxmZCwts psh1gVq8KOQguUMasifH6k2KZ2/dPuG2wnDWHGJ+IBUwlHBpfzO7xnz2N7IddbqhuYdxBRJjEhB/ sGj9sfRl67bRc3g8KIffLrKXcFf4ONAwoU2ZWAggegowNhLZedvmTlkMX4F4bnp+Rc2Ft40apONb TTGQ0iypK7S9aRKn40OL5P/ZiFDrT0QV8wzhb3F9GeXK4vKCpFt+QZn4rhuExetG2KzzI0dkWiL2 Mf1segWS+1USBAmcs/hKAxo0XnI7TtBvAlD8lmvo9ablJk7z5nQYWUVmI/FclA3syrSTEVXPWTWz VG+c0zRW+oSn40w2oPjGf2ZixzH3DrCUWagBORu5AIirm755TqEpjBbh+8GG1+ddd74VY8NL9z3Q TNXHpZOIFDyt+S0AKMwsrTwqY29cz9N5lQsts8KbFTRj4Anhi3/UO/lSgRvVaOEkTEVqFuMZgtEf BeJCbi0jlz4xiJGvdtt9eFcQORLk05xPGps8Ub6v3JE+ee8p8PZlOPnxOBElJqWeP2YCLHQf/CzJ GlAy/Ws9Yb4ylD+8h5CF9AZORXxOYQX8B29YolZSbeRpanE3x0X5R10rKEPUB52c7V/pi9e+qr9Y 9e+la8WVQ86c5cEtpvVovg+5YTOk3cyCYBMjfsSO1S47D7L6DowfDAXRaRtQGzKHOSIyVHnXAP20 fxAmQFqtb3UCwMZdyYY0eL7l2GTHSX9Dr3kaX3NWAawzLjYLTfcGrBy2E4ml8KnRN0k2OXU+nuR6 yAoQ4qerZKc+fE6r8U7KHnVJ91k5HGgI5y00qlMGgDEdUqjrspJltlpx4slj9vHaEFZwb85hbpno ABvPQw2H+0MbmLtETZaG5xvjXcJs1mH6vAY8KxlF7ZQCl8/ksFFqzm/sg5Jvg+8GqoXuPqAD8s60 06kOnQpfmYyElvUq9KH3woSn2EVdTvcUaXu0PR4p1DO9VS2/q0aEQT2uKCKnYs5UYwTk6a08LJP1 czX7c09l7L8obu7kkbo325LPiW/+nvujBiGrfOirm0PMEMdeDxDzy7X/+K09SRKdq0mt9G9Ji8/B 9OvlthSVKIVDS8Ldko8zSV06MnvIIKKEbgnsz8mcRwCsL5j49COghROaHE/7qRDVhe2eX6oll5Wl gwZtZUgyQqzYXCPBMGsJyhv0rOJNovqAvMVeHCEUkRxZn6y5BzqQorJqXMZoWmXBhDQhvl4QdZ2m WOmgSz9N6pOtMWoE6VJyHxBRK65ieHOPK4X+NBMYxIGhf/DMfAx6BxnS1FBJULtc5oDn2rcsZs/T tJEV98xsg6w5fU9Yl/EIdXMD8wDCeL09ilg4wPayscVfzMy+xF0GZCBYxG11F/MA1CBlRX0r9qBF MGRVpzWJEMM9qI8CSihBt7XTs5qJIZ2NuG7/1xR9vkKrCNZ/tOr34E4bNhI1sn9XIrG/L0TP8tl9 gVZT4SMcjQKkUqVmFjvGrp7oWPz9UIE0rktNh7VJ63Fi09q4imwCgloKPM+BBIveW3Ne9heNBUt4 +j//blHX9+jVLDvu6l+gNxcFFiOIoNjiCAb3NqNsuc3O54Ewg87t0pKhxyA5uT8EInUimKxzvxEz GHzDqTjH6d9v9efL7MQjwNdEaRM0dJVYirfb4t7qMHcXFGnI4+FmxU0B8lbLIO2WnYJfIIrarCRJ g7KA4930xS2Z/fUGwN+qeuMHzZca6kWnJulT8/npmqBWXNauyw7BLFb2NbZbJJgAH8sOy9eUx7Xq eJ3xPwx8r9BMWcyP35EOd9S5+GbdR4UWW5+uemjEbiWuF4q+c+H3ZewWTqW4M990Pe9o9LSABBsu cQo8bo1aW1MBXD2C28kf9Kfmelj6bZ1ZZ1i3TzgLI2mC6QzJbwdTYuMZ5Yt0v7u/uwGkOJgCeum6 b2dghiFaK0Zn8q618+cA4mQC11BuFA/SwFlQj78G5tZEPnDtuq0ZcbIbr1YpSD6baDZ+zvGc1781 pkeoYwD6iCqVFChsqsrrnR71sUVKPRF1XMGGkSLTQmndlggREsoUyiSVskny6f95HJTUzkNnMWdg wH6TdQhRHK6lCEWxGDMSAh/ZH4Z7PMy5y73LX6Pfj/AZ/XqIzrIDQrhWbuuRFtQPzVPFp3jyCYEE A+D2vpXwGltIt9+qkw1areGuKznyLoV81ux9CE3lHBVhkUFqIqi4eQKpY+51BscP+vZ1EkvZMS+a Z0/cnL3C//3wkDdwkCKnjeexP0m4q9N3sZzxi5lNit66bzOLk+0LROfWeKJjpi9VgxHxgnG+QrLR jkr0znvTWrqb5qZpHVrX+glJhZe0/wEaELpqGRTNA5erPV7c30WEhn+W+QAG0d5FBFPqbuLkRzaU aVDL2yjsoYzSSCiMeMW2wH+pI0j9WhNijwNZY6es5WDUiAL3pGap9gf+o3fr/GEN3p+caTYkEwe0 XnamxYJPo1zYJCEqpiUID8RfiyXDBMs3BZoVyArtagnQ3EZNQKBH8/S4y7BTV+361uUQLI3ha2lb Si/E6zyrNrvYvOUKheIgVxBQ+FYZ3DJPvKqhtma8bTZvRNnRRBs6aWxD8e2jZHuJtaDeWQrYyGtc u3BDc5yf4LsoeIpcKH4nlnKyyh9O7NmLpSkRetA2cBGavR2dSg7Iy7panWCLzeYmOYu3sQe02i4c BqMWCuc0ijK3PdlGo9VAaOxlkjQ8BZCSgq/krGtoVv5GwheN9sWXjACWnvtfUkSQ7gkfRQGcncto 5b9HYYPkZJQV/fH7j7QawMp5A0C/fq4L4KjRFU71YPislWGarQnWK2tzcMqvlVxCBEqeXpsEnVLb Q2V7uvAoX/XdZJ08u1ueOu237wOc8FmQPcK249z7YgLmoJAeg42PAqIlDX3vkkXfDwgM8dhkYpjc pRNdwmu3JgWITP7pGk2aBrd4al+Vq+lTarbtGwAR22N/gL6ZiRYD/Xe6xHV4C3iPDuwr35OcXC5i lRgfhqq5aNldzMR9rA+NEyRMvdElQ/pjTTbYMGYw4jJ7Z6V+o/ui16wB7pkGlxUpgHgGk3YCpfNz MhN/XRAG7zpvTvkq5zd5dSBSxv38MsEH6Kt7S6ukemGwZZ0BfqF0TJmXB+m2YdCkHXo9h0ogo7bb SBWp3RrAuFZKJbOTJ2xCsPfmgaI67fXVpjJMjMOIAh390qucR6szC72tFSnCqLIjTXprLWtDy/0A 5qsxA+gx2A83O+EA8PgVH1xcTSbvYb8+uM1GNmUOteXcP9YxceEZPXTkfHTiKSbdyINlOKBGkMAC R6t4lT1zhdnRoCJClzV+ZCmSKokFeqaUdDnxZlsjdNM8PZ6cBuzeHI8Vp+y4fkHBpjX5EvpU028O eHDocZ5xD6Lk8LYV7acL9Z8+665vOGWFvIen6PBIooP4CbuCNgpTdDw9YNUfkUhx6xIkzipQDD1L LaKgwasdO96YyjfdjZ5oWOUJIADDkGn12dOoRge9qRxcsmYtlh8KunYmix3IbZpqKa6TR6kNlBuF vLaPKLQ2NvQC4ghJlz+KrNdhFhvCYE+4YeUU9kK7yVYJ4A5oTcgEvRQmmhxDi/USoDmcYjFO9F8g FXIx5mQ0zDFN96t3FCU17JPo91Vwcc1vtbclctT58VDrJvWdv3NK/qutfZotJEqbWE7AsQiy4GV/ EgHtz2QURw8EtLEa4et2s98UhU+C+ycGokUrimTOPnV7VzlksIbYdbqYkYpX6JZtdpTPypvCzSMf Hyn4fOS86Mt7yfME18hhFB3Khs6srj5wy+cR4ob6t32Ek5irizOIZs7auKkR5WKHBrC55VtYs+D2 TfA7R7284atsH7QPVBs1JCLcMlIRWlL2L0Z2904WqbBz7/VEDermSAwHOUWFmgKH3lrc4JGcCLqb NS/D8yiD+UpP5fpB3MyeKXtAUHM3ZH5MuzxK481choxLhX1urK/6sF7BzR9zvYYT+fQoF/VBzzIH orGEhajKQDiHT2BwZLZIE2ltJqmy+7ecl9p8HcS74X//5T0rcGmYwvAfkt2l6ZcQ9XIwzzRjLos2 Y1omyH/8QmhOcYdL2tfJRHSE6Niv4Ymj1Groxt817VLitiGlbvi1t8GdVTO14R5xEpRskUQOH+Zt K5FqBUiXtsqZU63PxQnub26YSW0cYZFLLfJpAprZw8EK9A8gc5gxB2iR0XfS16utXvMuIjYTQKpn wCw04t6W6DD3uLQAhQQ0HJotKFfHFja0YYVQ8g4y9xY8aLFNidd8sk8lF5VWrFh8pHA4Y4OKRVrY to/V8XYaZvyr414Wu5LiiZSzh9Qc4wxKe7kNv7h5JOVoW2q2T4xyddod5XeHjSy2W8iay5rSRPh8 L8zAec+bR/b8IW49CbEAN1AnZfiyiBu/S3Yme7n9N8L3ssENnvckXt/EWREaoSg1Jt/LhtduZa3B djx+1HfuXck8EdTmNA6+f+ynksYvJthKE2/kFzWs8crhzZmn4XIcorASC0T5LfXGlXlhqFFI0l7v zXx+bbVHA/TTREVebTzDmsFjA81Sg6I786JfPTPmm9YAnJsxC9jWnnY9dupxHqiDnlk3SSCz7U9R uHW8MN/3XvULZkZ/QFxysYbHUWIOOloJ/HAcpnSAsYTFWu7KMEcdMICyzHEUG4egnIo0qFZZ1pEl D3GeRqV5fegTyARnbeubLaK0eXMR0MConKQwTL1k1AWs/nmiRH6PSqS2+Q7IlbyHpeTha92hGTIf t0enazXB2Dl8MYfx+GU6Ylo7XA9HfwW6xxmBnNYrXPqow5dCXoq6V6tpBOCUvOAvYHhZnEBFjXez YfVDx7CaSVozQhclhoXOMFXDYZFP3+6yu35fBA952Eh2l2Hegon2vqFq2PbKzZlRjbbOnUs1ZFdN MXOkhyJeVBULTAGmP/5mh31N/tmkvP9JoH9ioq9epjlhDKy+e/ZRx4CUoSX5LEuS+8uJ/V9CPi1Y wx+vbufu4bjX/TLnxfgH3GI28GgTdjgMo6nxngORNMRiaykAIuj1yL81v6Psez+tHZxZWrJiDL4b S9zcdQcb/2jGum6o1KY+PyBr38nJdqZnningx8fJvZKnuR4hum5SADDAApPWDX/glRkOA8GPaLzS 9TiU71gSLBW7vLAucm9mZeXsirPX7TsMouaHTXEPzm42S52gJAPdBtUmDGI8QVTLr/54zChVkUWP mI9bV+HxbR5u1rvFZv6NdU4kcy3W3KL5tWziPF2ac3OnHbFKBNFA3uDAG85jFYUABIvlOJV4B6i4 8AQJWhqo9xD3QPaqP7U/dNg/ZVfbh7pvcg4nUfsaw5Lu/yvomrwQvd7ldko+7mk8CwGdW6/uKP9r nFa7CtXSR61ErC2zlFKGbh1zRax5EQEUXJzHyUGwxTmldl227W3a2uDvc+vaUr8KRGgiSpeF0tr8 1vMypTzBFFjAzFrQi5EnYtg7vZns7lcueIEzr1lJ1kbxETYHduaDP6YeLmeZS+TBznCEz8vpPNAC yBwshIk/DyLlRUeE3/lS1nYOBqP9kwag0BH9i+G8WFdj3BkP62kIriu2lq/qG82ZDURqMvDyXcG6 StzLWX0aWmGTvVGdmaJA0IuJOnYeEBZx9iuMzTtZ2QJOtN7OfRwM6icURyDR39V7Qs7yJROPj5xW qgH+bElsO6buVcH3M1LsNSJD6hLlEOzxD7z9ffcpa/VGIh0NMqW2QAAyCZ99H6GG3vxHlZoZdNXE 12pEkjj9NVGAoc6c84vHgVbZ7Qj9aUk73924B0jjrkwnUr/SfVQmSIs2f/GlU28QgFcyAfV0WDQY HqOKu7lN+4KrCrY87sRjcYQBeeXOFoZymggLi7IgWDbnLitiVYbNTllLFzmbwpzv7Nn2Flov2K7Q Y13pqWZxXlbi8p0g1a/QowW8QLlWmLHCczsbJ72Tb+ieaKzycAFx03FWAkxRv/QM4YRRAEGbBhdu ArPyd4nRR310TK6BN/937bt9kk5dZnsEIV8U4YvUQigQRrPNzmmIipxD7V/hvp4CWsmIzgX5r19C y8dd+ladK9YVBp70Z/h9aQ/goF9DXVTmTZe/wabwc3KzzEswX9cL2JwPVCcOIiRb4PvDjYbWcbot KTgBMTQeAwMvR18sjQgtCwR5ETY7hByg9+tJrE/JhNxsFCIncgjcLA9L54f9O59+Q7DI+h1umi6n E4ZkO415yWeb4Qe8Gt8TM547NwcjJzfFzYIcI4OGADvCQ1uyK9X7CDaBdIEGY1BDKuBFmEO1E6gj VA31fvIq49pN5UV9KgvRabcalBMwqvMdOzWn9xysMUQltJunnmOuXlSW2ofmNdntxBOmzWIPoaD6 aQEUJq8Kw7v54mkKNgu1ISgIxu7dQUFZRJ9U7NE7SigOb4uK9aCYcCeBsdjsK9cKuJ1NUaaFho4W 9GfQk6culDqsuwLiG776LJlehjKcXLRgv2Iuwock1bG6cEGB38FbmzytrlpibtXh8ZkahQ91NzoX KRiFA5otb+7DtmbGOmj9HvekmbnO2hPAux7rbivXCC3Rjjmtt/Oj9sU0/ESBDkVUpi2IBZAo2q8l CfTOgpj5kPVOBzhueOiroZAUKqaxS9XMP7bGusIDzFdoiVlSBzm/x7W21u7KyQEST3JPY8k+qfMS 1MEfAajy6Sv9J/ET1bnN9fnsNUkHK1+4uHnbpzBv7cqDwubrCpxa2lzoBFaYcqB2811/7mc/XLpZ Il25sDdKQaMBWc8HlDo0n3yYyyqo/ThhyjwKHgUj1iqis3XvRkBPMGVtUn4JrQZfTVTpaCNXLLat 5ExM0Rtb7/imvNsgQfcMz4VMBrAMmi0jYkJ1jo+2F5ZieutHF9leBV1jUptbW0ZkJaURrjWfbSzN E+XUU/elcWEC/Ulx6sbcbFS0VXE9cIm40BAyH6qkKw30EfTXAyW0GMukrEBwnYwFxkihVc9s7/Uf J4IL5ryGhFf8NtE48RBxs3DOYCAE1I7y0q26GH9ZehcCoknsNuu/kbkVE61/5AGxTcAwrEwKiYco m1PXbmiSFhRw5sG/Kgc2XQvOUsA/ZnZNWL2xZ/Tzr6pi+hh//+QCvgq8q5lXpi1W2WTZTV94GkNc 7InjyhNxllw/WC5PFXi1IZvcvOFGJjokfl6uqOqQY542wlT0/bbcnmBBtAzfPW9s2QKARny2tSk3 Uw+zkD+7ro7oTYoeeRymApGKziJ4NCQYgm9CZ8JaZr1k428hoTlcvsuUfcayP2GxVe7kVeB5CruL 2V+JreoUuUaNrriDg8HbUjkpiA+9+OXVomHrcizXdUflXhqCtRcVGL9pmVLBlL28Zhjnia1Z51tk 1P44hlkLpGJ/bQoTIs58k5FyX36nWQ1xSqiNpTjvV+IwWBIP8RCy1TDAoSwFQx1ZmWvPgTGSwlT6 hjnrStCXTPZBBH58AuubwKeV9uq/fjIzVXz6xrx1xBPjYcnZO9CBjE8d++6JWMpEyxYLwxSsY6Xk 1k4xqQFnsGg4qOS6CBX1T3y5iPgybZVzQPw8Ip2u/1dJxvMrbLt7ixCq2kWgOS9Kupx8S83YjHwl 7MK61+lO6uEJUY1Ef+m+jjL7+87bdqPBARdfi+ht4EZcLsPX80u5M1/Zsq1LIYQRDCmL6C+23itY 107Z+auleDomEgPYIZKC0zDG1sh+EzgR82DLD1CDtRibM/NJSOSWoMDweauGOFNoNovO8lAUucRB MKkdT4wREbrsDyFq6PKBsYdeeXqBFWhC/gILJWOfNMgc0r1l1v65AOllZkT8w5Cakg4k19AjBStK lLjygFyhJenY5b9TxGIBBKHy2V1GSJCKnNSsmoFb+SWyj+AwQC2rjczEyb4P/T8y/cGOvRx+O7jX yvhV085/fjvA4ogvy+RrVPA2VKzDluAtn1xBjaiZ3VPkvH8Sx9iknlp0EqJK3kezjPL63nstiunE 3SgB8oIPzMvcWGxrL99d2F/eu/lOK0Rc4FV5IAqdntSXvIOu6rO8Vj0CyuL4/oBUJOgRgpaMReKo LeFN+wItWZaGNPCqr/MY8/q/QIuuz2eoxEYKkfS3237AQf+5WV3+/Jlc0pXCCBCpJD5f1+N1f9TS RoUWJHsR/5S9BhBWTzD67jPsUKvzb59MwyhMPubKIWKR9Dhvp1ay4rj63qNmvoFr61UTs7Ywh1Fj hz2JL7hqHqNBQjd9a/8wriGDkBSasR/ah17rDIOvLhiiJyzosoyp7dzgoXlQ4s4OymcZqok2as+r e1rntaXf+ZREwA2c3d612+KqzjYjylHkZvzEpbcLdBFhKhRPkNWQ3Sql6lIFIWguMGP/4Q+K94zW WI9GekMpDtBkNni4aMv2CYr2f2iyGa88WPTKdQvdlzQ9VP3At6nu3sTJeCboVLzVhcLX0huPq823 gDHTWOYt/361rsL2VjDW3YjRoo2ilc1sM9fCKryS3NSvgklh6xiGd+eJq0rNtrUjMxDBVMurqZnU IuZtqfns3jq/fTjOcmihev7H0IaClcbSp/45CuvvnEJ+x0Ub2qVByaFOLol9HF2CPDJXLOHHc6Cw 8605ozsV6TtydhWLu8Y3ywr1jVIMHHUYSgingMnfvCR3zSJPWwUOFs1ZNljJ5S/yGiGoFku3mWgp KyyU0KyBOCPSfyjHTbqVVulL04MUnprRch7s8YRzw6S/vtMyGHn6ZP67T8l0vb3Q54LaPfzifkN3 /LPMnysSMGFu+SBQek0JQ8Mfq3Ynfz1xSt0a8tLKvj07syYMiqlJB03h6aRR23an9LH4gnuTiRTT Vl0/cZCM9TQ0zAm7LQPaL2gR8Mynlv5udzidptzq5wufFh1m2JHFXsABfvojRq7HrRhrbZlAb+uZ JtBIGsrsRGsbbtastRKuMT6eLYngRcwC3yAX/F1iM9mW+OmJW4D0iGaO+OXTVFtQzBZG7DCBxsVy 4zvOUexU29y2UgFlE3rxaDhv0GGrk9Rn99dz0HSTsWjkZ7/jHNn/jQ5b1TNpGvAV9S8Y2/x0sfPC QDKkCIh0XaAvLoQIajFp3ZfnMliyXW5HdLzzWfk7KDk/yXEQyuIKgOMaKWqN89KgvTKw89douBb0 5dvkX6hqBjs7flsonVg9nu573XdmmrlQkkGy4AjEN4YzQ2pXn7U7AtCwlW7ZxBHvHqeD/wiB5Kl5 uvTOSyWwYrNmAC31KSszEGZGr0mLbk8GuyBY0Q2b3uHtUZa3+xrY3u+hg2feygrxRMa9mKfeMgob gpBdAo9sEfOKb2GLeVwSWJ5/Ttrv+901yk2EGtnIsfT+n1shz1RqrfCRpukhc+JHKBXmlXlXzPzH wz+9BpEgE+xR06e4/Aqc7lpIOuy8H/INkMUsoZfTPBfDLn0M4HG5EG0vNpsOx+yfxVijX9PhAkKw AIRDLqFKJt4CaMj3/hG6ODGmsjcDqWlR0rqZ7i3L2m8h0AsmoFCAjUQhdtHYjjs8rHE0Iqg01S3K NiUgbDXfKHZGt7FCVMMqjn2c+XRU92HjWlBqMNaKwuK42BzbKVJ8waw9EmlxKC85pDj31VnSw5l9 4/KomaIeH3o6AaDM2zr5ktJAKULF3JHd88S6pbjBE7LOENBQXZqlqTnlTXy1OxO6MGyWqmNTxdog 3ZnuG8shPSc83IxkhsTeIPEG3J7UXUsjkP53fcC2bg+mVADi08XVigdJ4VLiPDvq2/qN3AIJd7fv dUPVfR3hI3diEPonhl81v4Ty+WwIeEhR/Y5qj0yg7ecU0kKDoUQbPG+nJMhnlg2dmbSFSK9+gqKi eFI0dZwD9ZBi4wZ6aqNXOIYBAt4uqbw6ynNPl56jzfLQUNa/0KDQe12LgCy0QAh2kAsH4GMltnTn 1dk1KLsf4t6tA5icYYaol43r8w08z7oyvOreT4kviRFb+G8zSqx1xkRLvPhkZUCm7CAq2+HGVOES KXLXU9yXF4OW/V+FNwii3ApiaKtZhBQkwu5d2Wm3QUA/tEuDc00XD6vGdr7T7+rvh1Rfh6Z4zFMe z1jp9os/SYAJwvJAWYDOAXjth1J0ssIJJqxh6uKFeS4hHPoXZ4LvAXcxiTiSoJvJjOSCe2kDPkxm ORSRMSNFNcUV6yceNAHlYASgw05lyY90QBWu0m3dOCe8kI+YaUT0dg4lWiaBEfegOJIcX9ADURDd gikvgC7d+aDkBZrb1uDiTMfMv6RQCaCiGa7U4NN80e8w8T+zw8OoLlbCtTLLzdh7lJSYaCBBdh92 UQPdFhYa9F6rTfIcDTefd8x5nDzudrhsV/m9Mfql1PFF4p/7EYE1LbOT2h7byUrACNSBK5zFZ0is TZmBxMO6hQYTL+OrI0TO2iNHVgowDsfEf3COot2e3BSefx5rKlPce/KXUfqqY9VL5iKi940g7jFY dcQRMbOTYJ6U2uL8Vc3Vfd2VDz7fSKBYqYyT8R6zSD3KgRYCEPY+RZX1wHP5dl5sXZeqwKTyyEtq knVuSZ2zqNw/9Ejmgj0X1ffkdlz07H0vBC7GJlGuAyUbeQC6e3GmTb0v28FMimEt5G0AO9PMbFdA OGWAzEpps2LYgSjcDKrqGV48oXEmmqGaxfLJNaCinOMaOidVJhU1N6tiA+dV8oUe+lw87Cwm8l4/ m4DZrG/v9JJ6u6np+C7JPntNDpn12KTdqSuXVdpZCUlINwFZYg5yfQaO2bBJY0LZmSpsB/xiDwg7 b1Id0TD/VTSBNVwLnaLDvs6WcStxyVNw04fAtJSywIDKL8bLsSpeS4fAIFF+b+iK5jzggp8C49Qf CBIaKbwdCGDX/QK8k0L4o3MBY/p0xN/IBSPReslWH71yZfmrzT+lhZlYWtk5iHnY5Z4bNx2LObTK NRG8vwYYxva7VxQxdrG5YFnApxsslloO2cjkP6A3KmbAk5LGYcHYJYSaYRE3JKzN5U8HT0+qyDoY 1ABkZZMEj8xnbIkGGaBvMj86YVfhqQ9zhEWBS919jI4q+SdfQyV905revwFHIzOAT7f3UQYxAoo0 LAnKgUdhpg7l+yIkrQ+YJcaod9qKaCq70XGLGP07uH5xtm6hdExwUBdyRa/NmJAb4/QzyzXavw+5 LWVTeSLZqljDkKW8OtcxRvYgPREAbD1j7jy/Laoo8/wfTNvGDFi+Ep6dTbt9Qa4rVoz4yODQZ1NT cLtdUZhlALOEUmXP9di40Xue7kNf8fgitu0ir1B6cG6hSwJZVz+7xwLXk9rcDNKbDm5twQAH8dG8 9q9GCWewLhxWkjoHXsvm/yjdnMdnrpFIGQNYksN/oP93vQkgFqmJWf3/1WEs50hbZwAzC19LJNTd gTWDkha5xPN2EOJ+n6oMmxWw1++vtNaZEBuyR2k9S8Ww2fcWC9Vpdl8jzwHu/iD3ssshNAhNAz/B iYFXvd7WeEGcNtTnef+YqAy1soJ+q8DHKLvYQhajQ1EaZpev4v9JEnww/iRiGrMomEluMTna7Vbp tk0A/+r+8Pv49+IMzkAUKDBYpWwzqiHcl2jboUD6lowd9AsJ88S9WY8zNfEKwc+DxERqXfj1TQ68 qoDQN3g8k1jvlk45YKRXU/nusOGGv/f1/lh7rXs3SdROAg/oDuEcOgsrAiDM5c5/q6Nij10uAvOL PuhM+ShqAOS27R4nQcF91VoSSD7Gg4+nDMdKcVbYMM3D2I5RXF0Ky6TmC+K32MRL0IVvVVZ1kQ9A 61RLc1jTIYi7igdGwDVZzbAoNmYvSPJwn9LWCPT1DFv07Hhv7MSMiUbxE+mDyLMnCdFaO3GzaIGV 2mTpd/rWIG1pRZ0OTKbQNHMYxIHqa4pb8Ggmi95hMdZK6mhYYDPkiU5X4dRsgHHJEsCSI3OLsUBD qRJvHAvEUse/rBntxTza8ZtLjVnrl71L/JX9fQfeENWyl+vu9oHIsD4HED+EfBmYmYIpNd3S2mle OcVIvDwIWyeD2/VivuhCyfHaQ8FCb1B6I2IAlCbKCL+RL4IPPy9drI2dzaB7SrZrTnOU79lQfNXC oekn9cXn2hR19zOvG7szUdlYMp6/3whN0QCJPCTM2oFcaaH+AqcVbs1DTnx1WPOzJ5cIXM7Lf7ic rWEA2jJIa7m0HRCaRpC4KEB+GYd5vzMkyQxVFS/oHcTRAX/qdqzQAI5fxYXF5r4LxPgF4gcf3ooN OosYxPAmVbpwQmUmhcTpR/sJElMrD0oZxQmgxhGofZ2R4TLsdgiPOfk35OBtUMw5KFoEK/hbnZnc eeH9/cjCEBYoUj8pPqdYMK/rxwfLdqbNkf0jE0ZE270S8+7egFSBPNPe80VAuARF0WzMzsr64y5D yQmOcKEp+Ytn/CsMAyRZ9GfBK+MMeDpKgEBNdhMUTlH3dPwJfjSk0Hw92SFhdTbURyEYeEJIBf88 Uwv1D6zVzmJwRtyQiVAiFc4Fg+1YzJ+FDscsMrzYzyA3Pxw5oTc2waKOSwLLqf58gSMfULRgkBZm LD0hgDz38pliMq3NDllKx8srTxlwgWDftpyrETDNxiAR5YZLJ1ojwBnZWCqeI7F6KZS1v7gqGwAU vPtdKiLt7R4iC416Q6ICfm0mK3OO74LwI95DwIEZUmwiKoaoREyaYf2s3e3jIuAc+nE2SYfykf8N IGGd9kkMwxMKCLscAlZX0m4rQU2eFDIe8Axp8PLxOQ7pozgPs2zztyMdWL7ZRfHoMbqFM6qiyBx0 MQUXeRR1U0/f0uUhWF50V0+yipq/6/KbpgBePExz60cunPd2Q//ZQqOrC1JdKZ5IFLPA/Wejem5/ eeUrqlBfBke/WdqGn1zdI4yKwGhxN8BUtOEqbKtME+KwvnEkhkVteH48LroNsPDrsgvdYpKnuMq6 w7DOb8VwHg7Gf61bDS9rJNOACWMxXHFtKdoyVCQKy9sBpLxYH9+Zvq5RmGcT2MBFJYoNoNSLGwIp RKO7VaBc46FE80rXpaYfhpr1dlSyMHFZR3az19wOG87gBaUHfYR2qMXEjWEvX45lQZwfxXB2xnkS nz5xwaDVuEJL8SwbIWuenDIjidiQYxoLWqhVuye6xAMCsTK+weUTCDkGVuGw7Wenf6FVtEEtGxAX 2MziiBmUtfcJc85shfwDDiO2FOzyG2uR6YQIrh1mtktml/Oo/RTncmrUofJe+TzjKOtCGLCpqYsx hahAEryv7485mcwcu3fVv4O8eyxt6Pg0uLJJjRs8CII10gR581l7M0KElCXYbuCQM+wOHe3UbUuE JSzOH9EsG8Lx97HGUo6j4nUj9H1+P4zRQi0fPkoaaO4elSlBAhWNgXIg1YS2KB6U50hQg48/Hv3K efnPLEKoP59YTjh35zAc/rE6Kll6Hnaas4dzjUT5uDzT5+azVuXe6bKBqBhI9IJIsEysxzqx/Kv4 8JY3bO6ZImp9ZCBlCo9sF0boXXYSuuUWiyNhIvaP2F5pyX8NNo/WxFSmPITRyfIueRspmzpKl2T0 p+JE2qIiYNhsjn4hWT6p0UUjxQ95oBmR5e5uEcrUHV8Xw1s9obvDD6Sa6cdHULdRRsZV4vAbGFmd qV8zIrkSPxQI6cAykVv6rVFQCQH4JicamwYezM2tcakZtuw5lSF7dEp3svsXC8dRx5ZSlZf9K56s d30xcJCa9z2Wzye38X8RTpWtCGMlFQXwckQEQBKabye+sbA6jnOtTqgpqfVSnPZbE1IY8DtwCvt8 gxObdKr7m4kkOan5OefCN4g57xhw/dweoxEeB/apeay2lXlu+q9KN7FaMC3oPuwxCqxoExch+9oY Fo4tC+Ysms5FBC92psCRFrmRtxv9mTxL/wsZFwzTqPkisByDdy7LfK+XgIriEmBQpuDA84HujPV5 /YBBsKn+eNt4lE59FDrZ/KDrXYVGIz4oufC9IQvQENRJM/JqrTIICB6bjPbqb4jaqx9YqX0OBgCD kuyqeQdUcOmTu/mQjVYt5x2dXk6ZOnFt1XZe0yn+MAkdIIB1kifVI49Aon0SZFaD9d/omLBIHptw Ifovk0msT1WlNIEL3jwrNK4m0sgv2DCB6D/cN3hO7ZxD7EDD66g3hcmBYyJz/ebZHi4uSOYaVmnv E4AP7DYfn5JszRQ6vtQ2Gl0jXn8RWS3nEwVeu+KYWJWw9f6v6zng7JIsqpDfgVVcvUeyB6xnyNm8 pL8qRyS20fMsym1EzrY1m92/faPoa0ZuBwSU7nnv1qfyuXwU9E/u/QTPNtB/+83U6KdL3vE76n7L SjgKlg0YhxGwpGGL38L4puzoARtJ01i+78LXxm62ed2Kj2eB2/9MevZQECliGojrS7S8HfOmL6QU wqAdvPrJxgw7bjqAetVWswBRgRZiwu+OgoiW4w1uHtvMlpZn9UvMZdTO7EsnQ61tFhATnBsYkfuY 1QVDCdvSYXsoOMtnZZfy5LWjGkcCicOtFQ91jOvEZT80C7d/WZzvR7L1FcCchZVI3SnWonfZHe4j G2eIRVOYkeg69LathTzq6ZbOvmXoNc5JO/5l4xhD+0eFhTEvA4rBoUQwAaRZ9Av/G7FOE6ESG9Oh zejab+Pl0hbzKVOU9ld793hX1BIC1DiibJ/H+n4Nk1+MHSJRGYx5nfEp8Npb+aCA0qw6mjvtAOx0 qzvlms+cH47SaglBq+OOl83uLDCkUO7D1lDrb9n3ld9QAUBVl1G51R1gt9OK4irOBb2joRSC8onn UXdpb5BYDfWwb1Wx//KgxyapGONq+b3idmDRNQ1lMJkLi0/7C+qOU5rT1dP+vs/B5toE2XGE7Fwi vPtrwk5nHLBSQ06glszfBvVk3Q1qS+g7+1ZICIy3+qbXOJBalexgEIuawg71Y4tbc09mowN21Gqm 88+BrDvE+TOofuH/ZTnQiBCW8mH7Aur1+La0asjLgsZZ9ktgnxnXX9ldguBzHnjnBsTwNhsOpD+o A6X1Ql0O3s1U+lXCmCU+z6qKUz0VMNPj2l4MrQRFiNGzPr4WdNaT9SWWhAMQOo5Eq+JZZd5RjPCc Hm30p6ptFvnejsuJ5Erfgv5B7rxv9jXVU9TQhFOil9OIuZuK6+bxgvnsn1sUPGIh+EV8KkzYWFBW 8khVYL3Z3aXI+qyFba1QwbxhwLXxPv8i8YuZkCNdo/HvWADQJsQJtlLRdJ27dERQPJDHmhofY0d4 O7D4NkEh7nEAXzvdGVLQTmgf3pPVNb4pFCEcDMk/IyBTJRalM4FkKkHsSNccE4M3O0ISxogHz3bC uLXjAmxBuXdm2ZY2iT+QGxmbso6+JwNiai7K0cr3UUwa7S98+Vefs+BpaF5gbqrCL86VLJ+Y6HcD XrKNTKfiTWLDjV76JYkpSyvJGKLt/6gM6TvXhEwbVShpEmQNZHz0eKqGynCYBMaHIo/6iRb8SX/r jhahxW35IdNUuyDJujZ+LCEn87nM34YDiDBCnFxLX2eWP295hhArEEanmQyOQP3qOyrqJg2gATEt HhsWmSfK4P/Vq2I8SooDMIxIPa5TPHPR03cvilyuzpt0gg5qtSX32o9WjxbteDotaR7h44/t8kQr tQ4PMLfwUhlRlfc3o8u++9lMYCsSH63X18xRNu4NyDy78owFoMH9Nv72sip2smry2Ter9HAXB5rz qBYKfRN526YF10GAlceCLYOKSvbx968jrFNTn98K4gnohHBQ5dyk3+1hhVdiY+CJura8BKWd2d3M WSpbrIpe21EkPqTnfl70eW8jBsUDynPxaZ2NrnJk42sKmpeyDSTRkLAFWwhUvxn2bu7xIxNCfpUP boSj+yVqom7WmX6ChZFE46ZrqGx2slfBfFxL8MONtxIIF7OG6zAHLcZsTndkRHJjlQC1EFUVjLSE wFyX/nWxs2z1/aWA3Fvj7XoXjVEDtZXLHD4YVVFQrrOWfw+eO+kRCX6hdSPWn/+7er7qwNPWR3Ct Sw+F0t8RxkoaS+arqNIR0LbZUXtax19uMCn+lXcRBlp7iC/zgGFD8IfLtD/8YkKhZtWMxOZofrsK FO7cz8iwJyu7kNKTtG0Gi7PlyXaEN37+aGRz1hs+c88Ny79+erZ0BsD58alIpg6qlRkaqMRQh6Z9 /bdVBn0XGR364EXo853CPy78OGU2iEJvBF8VdEO+LzQeleNdVkRuavj1sEyjqGMT7I15QI/B1O2O OGyedqYyHmdd3jzb0WNAqxOhWEZ3Z+HtI6aBhAc0pHwY+9fDj5I6xkao2Y8jysbBZgJqZgSlpF7G v8Ry53qxqYGU2IjB51+Y31bdsHttWLZuNSBGDJuGfhC/ZudkYxFS+2xc5BF1y4p+jIS7f/AHwBsW MRoJynJSRce/4MQIR54sg3YLJlkTs7gYE7dXmAE1JsWNfLJ9X+6KDs1vq1FXL10cB80Dbe5A7j4B cYzfFzr8rZTt50kIhoVPZhcScDW+zuYoS5mXY/XQHY5FNckNHHR5z5Xz7qGSS6H2QKbdCrQbb8BQ w9xf0sZXm1yjvA5lu/uMMkJLt1dS9VuQ2n+Nj7KXTYQK9dUKj6Rl6HAZByTKtKORiddessCZKCK2 b0Mp2LKJATstBJFm33H/3FfF59qCWnn02lhyy7GbC4BLDqEZMynvfjVFG7cFzBio3m9VGprQdqZk mQ1uinK2DRBpVTpgIwh3Mua1Nhu+zwrIAlqhXV11JPxMRti37/cgh2kKbLldJlchctN8YDay6ZKO QAp9JEjDnOLDL8VWzjreKIqulJMGMXC1rB++GcINwvpX97xHCxZ5LXW9Ix3+UokkricpUkaZ+/W1 beS4tZpzIDasyUrOe97LOx4rLsWCIBWti7tbC+k/m6OljiyndzB5iXOjTGb7R0jX341CRhKhOKCT +Sf8Gxo/gbQmTXbP0xCjZgmB9+CGY17+jxOrw3B9vGQ3ginkdOAcAkA0/OYPc7eH4i5XNbQFpbJf LiohtlUAhhNXpzR3uG5yuxAgQn7YztS+2AcjcQT0QaVNd0X7IFKhWOL6yT81Trf0DASYV0kN4X7M YznZli604MDmsvLbR7eoxt+uUW0zir+YOQQw1eG9Uug454cu210b3MZC7eByHQECze2UHly/RxNy d109D1FCmYwY8HCBpJEudZg4k4EPbKiZZ/d/dybqDnmRUVJB901DuJ9ibSB74o66SgT16XFgL5jw tH13Kx2GiIw4PlkPuTsjUwsaMohHtiJfoNgrAb7U50C9ajl6jYx7arG0d6/OwggL5bBhUXAkDyam oCRifCwWVmw0LXoU4FhlMkKZsWxkVVNy/xNC6yV3zCO+HcE4npNJIQ8OGfAB4DS+5kmtuseWck/x IYt3jXyl/0XO265FWjvqoeg77FCXA1I6OjakIMwANDBAEG9h5eF2lLpzgqfgK2ZCXZawHXBFsmq5 Q+ndtsTEyB5PsXotBcegxPCrtN42d2P3NAwS9+1kET7IFl9mxNumErJnSTi/FYB8VbWbrbOPAKVk 5rgHNtYlLKEJcg4vH2eobi17F2winDRpNltNJOfKIkk2vUQDxelD0OdXxwNntk1SsBo0hzDrYhTL F00K9dW4ynLf8uqkhPLyFJ1Q+O1Zuai3Oda6PszMthksLvmrAf1CDtfBn2kDGooBt+KIx9+qJrS7 fMHzTCAFGKRnPUlK3KHMav7IBG4Mci+vyepj+dIutwznVPKgGzRW1KcXzkjcUNvCgC//zu5KcEKy Fub6trz2zISdoJ3/f1Tar+nXEzADnF9V8aVfRVeWf7MkUK2li3hUFrdcE96AOrcgLfLPZf26Kl43 WxQJpV+5k/U8YZdRLM05mrE9+1/VPSXUP2oyoy8BfiNmFKhc+J+fy4InK2/zn0LHzPrEbV1z5RkZ bFVhmkpjsYu1HqGZ/cpM6sqnxZquLCQRrGuD30nVS2jlfrCXMOtV7a++5HIZx0L/2kFrfO0tvPh+ gFRMjoBxf88KKt0I48hn0+/wZno7WAg4aWcvRM1r0ri2JqG/zR5cvJjd5/4n3W1zeZeNo1ZQwDTb Tv5zza6TTQ3I8aVvwDI87a5obq1+wmFRmrQaC9aEDVmqE0h3SO8mHGQGBlbO8/mlMIIz9mT5F0VV DhXbffYE4+iIulLsT0brUn0B7II8i/Y6AiWmwLtKXJsh02iVdUPiBPTE/fDIDEL3g9R2+X8Rlfzu B+c3K+TNRkxUMlbS/KiKUuCcXpJJ7JYygn01qyXuJPOKLBai2RewS+Et0Z0Nqct97i1YAbrm1pcD eFu7bgPupdiGq6ypW4eHgz15CtWRe9OLmNCy1ZUM404U0YT8/jDo63Gie40uF7MNrqzi3xjq4XVD VpC2Hr7lcuuU481SSRdRmX2VAvav5QbQ06O9fbnLWd4DWQUDxV/9CqNUx49GVvvv09711cICvpaa SOVhWTgeJsPAPX8m8CC4M6zAPlFEA4DTzUGIOoaY+H5g/q9Igosefqezq2zXHBrQw0Yt2n85Lq5L YOmDdaltVH/vGc0R4jtfwaZHfMicZARVS/z9sLcX6VSZT9Ttwm+HmmjBJf6boIjrgfTbcATCoOny QEgVdazs1zKYNZG6+9q35E0OcsF/mO0MQgb7YvkLwdExL5oEjDXpoMpJ+hm6ORh6xeHkq1epZwMU UPHie6VZNVx+zbZyHzjuu4siOuXm/ooI2PFcG0aPfX4yXNbqbrpgu1X8+7hG5RfISz+rJxk/iFod Mo+kgfGxrzwlSr8SvIOdKg5yb5giZtJAhPWMc96sEouz+kIo7q2DgDeUorHG5ix9Q2jK4DwuV/HQ VViC0xTcDigqbVsl+ZBG3S962XXGmxjODhmqwvKIRSSp2mwUSm8yV0kYve5zh3MWYd1H/XZ46t68 Fh9LlCk1c3lLjGKgbUxiqdnZ9ZwwYhDEgUCSOP8TUuLtn7JWT0TjvCrAI4yXhXGFvctpSx84l3FV 524i/e/3KnOKQa3sz9RT2XzoI01o3IgiByXzeL4tsCk0uJjVt3xuDYPtpZl16oVMhp8N9PT2bmdj Hc6H3ijG+pF9XKcCBpGoYndrH4g33QbubG7DCM7lWfPVPdHwMhYC0cyquXCqPztPdFcV5ELXt9Et z+o+A/RIj0JDmo7D8hpGnbhQ09I3lxGjHVqWy3xBVvG/KAQhd78m6K36Ad5NtCqKTiXkyTmlFm4g z4USmxf5dZGd5EvoEY+9oBh8PKMcGipqfjqIRXkiGPcw8Zj+QW6kK1svLLFeVUHvAI+9cPr7AKn3 E5Ef6uSCA76TtKH56KpZWwacTBoRkIIDyBM4OyXW0jTkHwiTKDJYpRK4jm5wmpdTrGlK5HfOHFEz aq0sy54zs5b5iEIJ1MtZGnPTa3Wa+BTco8RvLJEQrc6w99QefmtWCtL8PFEkIPysy3TJas4AR/j7 J76TqD7g1Ujqpi80gHHmM3hC6L0ElwJhvmAwrkCQCxcBCkK3qLfTgMp92WZwgI7OIAveipUHbR9Z iaQ8TjU1lOS5Eu6eWmbl4DHocLj76j6tmODCNfhVo6ra7B/8o3G4d1u88qdo5dAMFW9tUpgBchX/ b5Uq4Irbjxo/GGJPyHsBngfUwYvp1PU06mKPgS5DqzX3liguIZf1CULX4uJHYoW/vlRlSVNQT9VM 7gH6rPr0EXDH0ZEjEb+WvcHxchKU3XMM6/yKU1GPgxT4XH//MaQ4lEkA3UEVRIvK4u8D8HDB7bWx /B5gY4RXYsvZ9A1rgd6mkEHJlVOcEpRXVw6lqFO4cPHTngcMYUiw6Wa+MM8PlebAYmGb+NL4nakz LDnNLqHr/C3e5hdFOnLRH4ab3e6X6gRZuf/akpmCWJk54d4f9VK79Cip4EJW5FjX83VnGxxDOODk FYPkcaavbUegbXWlTKKKeDsu2S4qWEIWRhfn1b78t7vfgLEx26iu2Zlw9L5SuM5G2mIdXR+VduqO 4WG8EZ47C4TizUWyBW3nAc8/EYFqUOFhQeddeJ39bPo1SdUt/KFPsmfTg/xky39Fuc8fFKi/9/4j 0obZqn5SnHPfxlPFaIBp6q3qRVXxw5jmf/Fsc8atFdBJ8Bkst+3Sj8uikk+dQyOIXnTSxxVj4Mih 8ngpmPd0mNLXl6Ys2bQUzvBjL5Kyd8E+3Utrchd50bVlpAEAtLN9gblZeEgEWdkzjthIBi736IeR qMZLsGH+PVjvAatW/sCbF1iwr0REtyDCdqxDiumLIlRsJ45HQKT2XZ9vLChbl1CIhjUvTUlYChRl SQgcDsEMjxk8EY17XrUQwbC5r6bwJuh/HhVD0NVK6T2OD3MqNdA+KJG2HDA4Klwt8GBvyeYPrOlq ry7A/35doiuZl2UriHYj5+Zd5ceydqqGHhVk95KGy6kiEqaxaTw/c4WNj9xv6I7sGpX92P5/hx9y Y9pEaOCcHlhjI9BqoqqaJ4GK1tq0NCYZfMQXUR934P6iAIt/zQzC0U9N1enKScsGR1wTMc40O6xd dKPW2/ciDT8f6tTSvmo4UH2Ah+PJ3E83b7zX0UHLH22T8xb5wVAhOfxnfYYQWrNRzIjKB5MkQZFu 8zDfl/w3ZwGDDo3cJM441unWXG0dyh63mYeBsWJkMGMs5iBgwuNq3KdCsl6OPmdt4WqfWVtv2A1K SsHpSk7ZFsXBEweMelQ06+HgQjgO09pI4jVNusBJvxMu6oKR5ckTlshbv37RtYm/verLGElppcr6 ydNnNaN8+SpDJJ22tgn6C7xlxeqwgpBAG23LzO1EwQqEz8oynSbPpO1jHwX49n1wI279XhXgtsY+ MjpN4YP0GgoLiYl3sb3HH7uOxzmpQBB4NxX36X1jGh0QD4ZDEpcmsC6QaK9c1BT93sbC2SX/iew7 XkCNnzO9gR3C3LOOA8XmHRaNF0LHRkUs8rxfO3S+YNnd7wXOX938JKDwmZUh4yVrB0Muh1SeGwcF l/KslxtREl/9QOHa4AGWHlU2kSp/HOQn7KcpwpNXFNsTHTiGGR/Pjhy2u0IoGVQUp/djS7/at27V YKJDMHhPhvaGk5jQet2RSBQ4SkIkZyMwAx5rNKaWaC3arcpwSxZpIyRVyg8RFMWv5KEoFqu4rHW8 lBo9Ao7Cts4vpnbmAia9Odyv9exWfcj12FV700+ANlTiUj/v8Lkpu8DG534Yn3HpaiIBY+PnZx2C 8aIOSkpKAXlMT1gyheahDLkO2VQCRNcw9EBmN1WYMZk1YunIBxYLiGyCd6sZn7Hlg7ZLY3Y+4CRT pCUX0VEb+6isVeeNQazev2eWWCvOXHKtEfN2USJpYqQ2hinDjdfoxyitqkGKQT1YNryyeqjY1MWB X1XfUxl/HpKnZhXp+AikwiecK8mAlnNNIBrS8zkIMrKn39RGK66tdBcSTWKWb587jmzBZ+Gjosp2 jJdpNsIkmRIzo0VjzuCPp5GtbeqYEV2RPndOXMty2SDdtAak6QGSxwlFJbvwNDdwsmI8h+O/88Ui gpCMtpJO79OeUYPUqChldwHuRLRihXvsbCEDIGKyZnZLvT3lsl98Mkke2YWiXueuqKeHwqjpCqdA xuEipfvW2MFlUGPHRnShZ5q4+kGxz0FfuYIImb5zKgOKiviZaJOwQDmQiMI+j1Bn3AhmU1tb0i3r tQNM+5wreRabixLSVaLJuTat1ZoE00VZjc3I6eCl4/PBQNQfBqsFgGvbAbI3Vc6ty4jlUNTGw+0L 4iKHR5Ma5Con0G6j4fr4zpYxY7ksurbPs2MsQXzIsWHyxDDQoBIuK47IM4V6AyuGphwZ2bipDHo2 aH9FYXOn2vx6NLm/mQRgXvIbuyX6YqgL6DHYv4keWdwjlqWYVzDNIyDynXK9qmxisUgAXFr8wZwE yMAd3qE4vLaKfg1TGlxB1wJYUS4rNSwKjzNQWocZCYnPX3niTrHavC8XEmty8YPXGuG4X0EUl+RK JvTqXIqbiX+noikwsApyFAWraoqlDIqZXC+r24VlQDL96unxRD3ohBcwhEsFjZoFVgNDO3umunFd fBRxE8Mr1PaA3rpJVLT+jIFQHAZhLd4jhNSl+/Qm4sHbYJfN/bI/1W/3Y7AUBLd+uny1mN8GJDas mXqTFzxSWc74KK2SU8E0SSTTtu/e6XDYqd618VCMUUl7UdHOVrCR9nI+Ed8SurfHrfTPSac9odHJ OPt54wLBPOGEDSctmkeRwrDl1s9lsWvmKhQznL81eNEmcFYhvJCxOk1JIYvsShT0K73oXO6hqrM7 lhg19xJubn1D3ymeLGg1d0XDIWSOEMebP1c8tGx47v3lfZJlyDIaot1k4JmPDEmawZ8RuoUHgiyo eeG2HpPj1dfwjSAmI+265qrv4hh0gyP1eWcG+XcVEdYqPPccP5kxkxKTNi/TLktpnx3ve6ju3EzF oaK/MzjmqeZG/m+yyRjF3PYeUC0GRZZ5mYfbOtSJnngAAyOABgWWKkPPwARzwwOfRBXk5azTNKzy ftyHAr6CXf4/MmhWoCbz59pBFt2T+eocI+qQTXpzZXd1h6m00xZOmtcVJ61VQGhKSpmUdVK6gpZG O+FGoCurqIUo9fuxssin5dbXl9PAwzHQ/qVuXo023HSqK/Aa1pSBKIRNi7GzTdZ+v0GLAO+9mxmi TonHG0Ytvxm3T6DIQmlxP0Q6E6cC+61kDbXrBtEgqtiUAjVJoxxwZjKXd5GY+yHjT+rp22NQxLFC T3yFU2V2AWN6DtieAxyQs01uimE2uJzrGga0QaHAH4xCZ/gPJ1CXeAXhTyEbWDA6ddusjdZ7KBuk V64Wpn5z22ZIPkt/JkZh8U1itcsK76DzYK8OQvj+Asx+hqqYTiPYHyBh9G7carfVP0Pd2EvmczQf Sp7MQxph8/qUiSqp0FZz3UZWc8HgLCiYB8zTj0yxYMjzABYn0WCv9idXPmih2xh6BP/miJgYmX14 8RhFl3N8IYwv2fedL4R3wkR8k9n7ciZdiHD6UpqxyitOl6nt4i9b8slHFfhP5pXWr4Jfo6C0L2Nb sdWDiOpM1wCs7XpGvZ8+52eDIs1pajcbdVclzvpVxPY0aYkvFRFxytO4AK6adQzdG3LSqUFxv08R HG0iuMP/6n57mauQgevNNJQt3B30mxCEdkGC/9Q+FJ0wi8Bg9bkOoCpEA/OWKlWLfQgFL6XU2p9o oRnjkFnV448XMnL/pBXtGNKDa0Z+mPKUOazTo6CdIwu8skcXq6EOe6KY7qYk6uuCMGg1tRfmdyyz PRaL9XFJZHrYVxstvxZzGUcPcbFl1UqHlWuxaTAQAFhwJUMUaOyGCIU4TqCA6ksx02HEcQ2nakKn 1GoKex0AE1nnch+XyO1jjeZUvmhfXZigX67my+8IZylpkBwZhV3xF29HQGaGKkiT8j0CtB5fk0Qc 29cA8+9uPZp+AivyAGc9ixIRJeqwXsrVWwkT9qWBe8AB8FlEejXTKP58LGkwh0IFYjqFGdhVkpZ4 qh0tG9OFyA6Q9XSscCAl1LZVDn6TQAOm+wbeTkm7adPlFVk+0kl4SFiUpP4W21IDQs7bZxlToiRa 1ssLR7G62tnrC/os2LZLKdXUPluc0OP8tWhj1ioH2+cjv/cTu6ez4UBpT5nxc7dh7xxZKhVt4m3q aHlZIQiJ0wsd9VajOlAvQmZHWTHAACUmmdUN6PLZtGVX0jIwHdu+a9QNahdu0NpExdoJf0YvFMC3 fkmPzykx6VSsQCrylcYgtatR45hwALSCSzabRMcNSLSzR7ND1XI5dgFGzxHpW9FvY1j/Rv+zwETb xhbg/mRpF5PrOpXnB/aJzJsjGv5dId/Mdwvlg7AV5O04LN0dPgy0PekNUEKdSa2gcz52yUlzz6ri EzobLM8ytkRqBl+CgT5ab6guGJIQkgD6mVDmwjgvvufRoSt/ICSrV7OWxLmZdlIc9Gm0NZCEBf8+ 84Ohav0+OD/B8WNai1IAu1WX8niPnU3ewZyjjyUuIB4jBz0J7V5VzJnDyiZSRbtHrU2eM6MP9H7Q IPLkWlHZjSiS3Jcouv0a9K8Q3JTBy/jXA3XmIjiTAszN5BBRL2rWHPUL1HVxJ0f09je6xmC+k1O4 qivfJznKGsxWMGiBsgUkzNA7ACnavN1RU0u8zYrRSUToCoHjFD8zm1d3ZnrgCjEsMShbGysaekH4 u/TlPuZzl5Wv+mauOkz3U3+E7a8raHeDgmvDnYNtj5OGFJri5xlBSxUXhf9QWRJcJTjRxdgGacSO AIlbkMkPotWDCom6QRB5g5tukwF97BQ5eyWDBPsh9DclB6nfCZa09xB1tsLeCnkJIUhttHChsOI0 0UOGsxjzBkiDDOEEedOmAQ2uDVe1UrCay1ej9Bfydjh/wCH6/5+gX5kVyoh+m8ho9L27XKDmWtFa z3xuHJdVa+yzngRYURIguU0hH3keoBmTCAcUC2MgtRQe1JGUBhuYPWXCNEjQpsL3JMak6tTx9Kz1 Bj0cKiARER5pL0mFFq8u7Pe+SQF7H0J4xZixXmUzcfiJhiivZ3eLbW3IWMKU2pe4LuSmuBd1f8BM s3+R4oEWBaFLDS7ev5QkZlpWC7tFNE0xtXgNoSwvAIFN/erRLfLZRA3QCSWO0yxLuJIaOu1Giwf7 ZdrYwtC7hicW2KfTYZTaH6kvBxv0HzUhugKqsbHXnkDxQcO4UiCByh9xElTRxdrbd0PlY4rmlc1x qOaFdhRaY+cZr0sUqCJn0Ap6Sl0xzrL6VfK5jvFoVVHGNWZn8/shZXxrh8I5Ok6FphxbBD77zwuA 2mSwkLcmCBvX7DPnyMDgj+lnqE+AILsj4N/psKjGGwJhMi3wiNmJq036Gv9d2T3VfOMax1LWGgDJ eQKKrgODR+NyEtGTf28Uigm+hemlFe4uM0ai2CJH7bjwPcbUWJrIT9QPNd7J/bm5dSjxHfNu5s9n IQWRxofD9rYZwgtrNRSAsxCqinHPbMuIDFjR9d5R4Dr2aqHeByPOW/VF4et9H4NCsveaBSajn4vo 65FTlcGvYCIRxTWKNAoLHfBQrZ/TZiJsRN0zdwx8fOcO8HaziycpjrY9xzwLacfc8SIAN7znz2Th isOqjOm54Rlyt17iQxB6wvW8x+iFUG8oMB4D6JlZhIgqto2FARdsgBwwAMJIQXIHThpChdXKbOXV zLsPrX9pXkwxwknRkx3gKPLllHW4mJqjwMo/FkbTjZtw8VG0llyx3kZNwACij46goOrAL/u/e7AA gHSVhJuaJHF27VopStofYkoMLyjBkXFYMJhxa5piUDYUX8mV67arBjf0yc3Q5BU9dAcUTvkshAEy zsJaIR6sVXUqsafF00G+/A2qVj+xxTI1HPFIAxIuYQwMd8SSq6eNJaNDUpInZW5hWejHZ4yl7hrJ Mt1h2n6XYWEq02535yjgJLkVizTGlT2WE3lqZJ6XnuerPp3SmLRvMcn861Hh961HBTdWENHmJ31Q GsgsHAyGmuat4pfdBEWRHLJXQc2l60AxkWt1Re7GNF8wZJFoUjkkLP2TxPJ2yyqN3dbQjDLZeRI7 J8oQ88EgMNcgK4H4Vrf+IFmu+raW6j+oZjAAf74mz6aMSIUfihxOAkKLFHaJutViuRSqSULxvTiL wtquavfNV7lcp4bMZmxobXsxZpKCVg0ojHMaljj6EHwZ41uXUubQWlJQ/AGgiTHksLun/qNgMJ/3 eryNfNedvAR2UGFDiCWLzzdI7IefBGXh/9shVHIUYjOujiqeBgmIQuICm0zJeXENfyP8oAHILlvE DRQDeRuvhPxlS6gm7DOg9ifLLrDgmxrbc7xJhyQeQ+yglkEqvPZUYlZl+yqUsVkWlhH4KsjVP8oJ Ugxq1InZKpaQgeaHvA3pMKNQY60rzBP77+jq5mhTHuCcQoFqF5Sz+a0BLcsqEc3UJ5TPxVnasAY1 paPDu6GoUeW1g1o3K+POJ6P4u03LEAyOgZx9CiThauEZKCMGNWW3Y6XLtpLOghbpxAaIqtjmyItV Nz9kyUDn19M01mQL1g/OhAIj8QDcbz7EML8cI1jGY1ad53yoepFqK2+ph1SQpgPj6IS9XK9pqS7G mIoJFWTpBPMPviD0+4YdQgghD3eQrUtu3tacG9OavKPAKFmsF2itdqmG48xemAlkffNv/z4NA5G+ UzGvfUl8Mbd4D11784T5ZkVI8iKvQvCQ0ynzkEKnpNQMPPuOkblJLylLbnRBomKf/AbRMcVE77wM pzIrdjnTMTLYRCTYkRW7QmE0hwXix3f5nsQzaHUL4RgOSZqDZFjoAtiletQQXmjJzPYIMiSPfBWx iH9cQ+uUafUVuQDb4cZxeqn2z1h822JAHqNO+YLu9vsOB0KmyfBgWbnY+0vA/bn2VGdwwVdzRn0c 8cFBFXfsc9Z93YoVLyqkKITt8DKIZnAPeSxsddqM9skGUYus8SOCwJ3n1qpk/6CrScOmGGhYeaJ+ MpD+FB0iaLqsh5iMZKAkAkfeTtW6bxweWnKwez/OVlWi1jQejE2EUupX22ZMyApmySzb0ly4Og7I EK8cUaFO2SQoGQPdIpPk0WYLkEYyMIBkTnXIiWWgHBj3WtQfHHNcPMR8B5IXESsL0oGf1bsXBF8q adGb671Yp3ueVSc0Y1W9wnK1T4/0t2a8hHANK03MN2lf7C8zg9/JdbaiSN4X7aPqQ1CWqFu/+ES0 WXTVaWkLGEVXWP/oSgX3EMoKmMurOc9sOJelkkaqP7t9hPtJAbaH1gqy5QR4pq9cm6tb8Sh8G2eI 7LI8QzBan1+BMImExfYc+fL/M6gf9BWZSoY/qGU96kGJf9TkjDSWa3wM+1mZXcsVdnlxcfHssfFE KLiP/g0ZiSKJVvtb2tpWslU1k7IOmZhFff+tcg8RJ8hF92d5063ahC2wc0jr9E4yJCWrHaQ32du8 0VVObIBpsMoFxkgBKYXyFuGOJcl1zP+eksNfJbcCD8Coi/1JEQ+jLkvNKEy9x5ERMwwy1/x2efni YKIXHa/JJgDNtqy813syYJrEd/8y9D5n6P5w0UYsqgIytkbWSih+CxvrOZzUPW9zK2X6oSaWa5fq 4HscBlYoeslDU5K+pIUEVybVdV7Z6wFc5sLuVgrNVzAgWf1pt4EhSPdnwRemZYsFQ8oWPGXbOqDf YwXv9+ANDRhUVtUrD1E4idvUp0LFXkuGFTcH92Iz7xiXF0wnDHmfYhi9uNYzANqBgiLZHJYqbonm E6RdXHHSX85RexRLzgNZ4p/k/oGku8Cojm3d7qS5uZr9oT32zRIVg8bRJDsBZawG2aWmc4aK5wgA c2HX5pca+mYcAb8fQ9PAhW0jYVTUZ+xp6PnlrEHoIr0EXjr75s2aBSSXTLBIX+cdYdjOpWA/7Rxc cfV5PjbO1zBmTF98z57CcjOzc2AIW+emO/6DJMOIUDmdWrK18q0L4vxwAGvmiXV76hMayVfGG6Fp I9+DPb5FXemTEWMNfqoPi3xWDT8yeDEO8//OXZVjoe774XXTJf6eDqonapuYxvQhmWW0ZKRkc2t1 h3bHQWdZJzyPBKWoBdvR2SmJ8kHTkRcoCFQN69wrF5ePZROb+GO6dbHmZ41x66xcbiYNcQGEFS4Q xV0ClSqyJxPqj7WEmI1zo7RfswHqU9RDcCFjffEEifpxiGfBW0N+WCoJI0//2NPM5G6344Hhf6S/ XhIiusn7dL3W+R+Med2hO/S1Kfb8ciRSE/YR8OGkHtSpquP85+ZmYdixqv0KlX/TdNZQDeTftK44 sNrvYS+lb8G+yRwLFYTOXjU8B40WWqpd7hzibkyc6i+iuKG1cmyz8PeYakt56jyddYxjd59a+wGT JM1m/J5Pef3nQ1OgBBLkdB1ZvjsxQA+UhsDDNAnrBQ9JRCs8xNRzG+P+gKD6DXOpFmPd8hoFsQMC DHeAroWNUpbSPwkInwMgeRwe2RqwSQ9AnpudxRZ4kh4NVDCw3doVsvehP69BNz5olaLSZsi8rEq2 fq4WBiWGHJEcZgO7g4LdxdU6Kfa9sIvUrMkeMcVd69zuLnM7p7sXzwN2VBn5JY+mPgPLAGEIBaJV t+DyF4Gv/f/ZVIyUOyvYdU38SzQGvjg7XbHnZPFHO/2ZWCz1r9e9F6iD7ND9sLhaarIZRAFzKaa0 wUfijYiWV/jefYm7lrWeZ7UbFV9XnQDWT2S7X7oqRlwg5ZHkhHS1qOmKDzGWQsqgbUuUtBNY32qA nBXM8mpo7rilGZvSi1Hct1LMFZOMRkRUH/jtLGSrsOl9Gd4VFv0RxQ3al3WMvpRYQnYnsPQQwIJt GCouK9jHAoQS+66SPngkYxjbBl5+qDHPOMb0mY+LSV8Qx+2/aPkySvLFcwfUmBFzE9Af40bxw211 Ok1Nabjfvni1S4bb9TkjuaR2N4uDiuxJpqNfnLzof7jd6gCoTibQwZxZbD2w0u+cPeIof5jjhWnz TI0K09trMaz1xYMuSONwEIbaZr2SYuxtz4GVCFEZmc31/Aeq3ykgqmLgx5Od3j28kYlLUtne4vvw FachdVGgFSaQrZcqh7TpW8yq8sqvHFJghKxa9lMWtI9BukGYB0wyd2Ln73D2dyIXlreujzHa3WwA 0Ia0vbzLuUZQoquR4ZF1JnLiOuvzeaCRhBc4NVFyEHMvh9PvC1vS8dT11SpuLlKJ4es5P8R0Xo3g 55u2iAXimL0wuHUAccuE4z3/JMClJjunDa3RxAwjED+nEGtV8JvtbN70RixfjFDC/AY2lkYpLvCo rGnNsXZgXvjnIF/1p/EpdSS7bDY4kDNlH+TtCEby4ZmZWxyurrtEdA5vcscJYA92Uq/rHhNiFQaa il16Sg8AF0rRFMVNPJX1YIgPt4f3qgD60VKHQPwn9wyB2wyRjUdMX8M4/q+Ip+snqswMxp/ujtmU a+xMvYX/5KmNxVXMwEN3dofOmKjCFfFrkYZQpLFrI4W3IQ2VcJS1dg6+cKG5gfgtd2lXWeBsRRt9 xu0uWSGkZd64gqutLj0I3X2WzwiXSHBJrBTifXQ25Vg05vxL7P9VtKXotDnvpWJWiZZZI3prjWpP 9bgd7IwMnE1t2/P0b2JoDdSrV6FsXzMWCH/KL49zK8Es+m4u6h+oBh9DDcONd8Lq6x697mZtz5+I uqK+8BJCZ697wpAT3ndwM4Yl4xEtM7J7YhzVIK44aoT5Tjzh390Vv01JTjKppClNR6pZbk/Kmsef RWVprzdkqULlRQqy/nIjiywVas8GsOcSLHkOeQESMc2pBzRTSDW5kd//sy2vgHaN/jM4bNZQ/HF5 95UVkSsMdEV9piwACq8SRRqS2D8IcTuKZKK3FFCvIeax/ArDlf2Um9A/o/pfD94f9rd0+3DbAiHn wbR5otlk0jnpSvsXJPxneY9VWH6wDc6LnZFRvMZJMQ0yjlHUKH0NszRu0+Auzupu+3k7zGIOdtvq e3ScTEL6uldfeb9/vqQRfMfRTN54wDzi6tSKpPS9AMiBJihtP8Eco2mGAhx6nhq8lqQnex9FG6SI ELVhwyIejL4BrOgEuVlb/KVV9gfiFC9gAEA8aHeWFKeVPUyC45sfCHkVMAIpqjPA8bjzqx2E2na+ 5hPGSHjfhjJtu1gsP9LIC+aZmRTlxGbl+ux4fFs6/disUKysK1KO9ttX7/hBSFUobScsgdgPILhh 3wKhlonWuMNxyI5kvpVJdV3k6U8Se0s75wd1RLRDLlXHEXSFZWvWPVvxZYyW6aKJ+v0RENBr8KXv cXilxlZWvSWpCTvZ3PKKU+w5AhE3iE4NWH0jI5aHkU3n2A0+h+XYNKpRcUEmai3z+vG07Kor5h3d ecoURoSgPRluwXOI8L3wuCsg8808dwM8XBzIFjRHh6zs/u/g++/L1hdsmUnCV636ZDpyb6o+EOPO HUKOAqkQrN3sTmgC73jmmuCG0ukZkjezWkeEBThLCUyPBecxOEYpIFEz7RtlNhdFrbZLkEPUaToq lgIY17h2SYP+c6o2BsGD/CEJAzqGJbFBz2JJWB6VSo3yYoLymldRRKqcsgfeOce7Td6hxxwXxXOP /FjwYCTQ/XEq68LB31tSs7/vM72qsIroDFzVlbCC7KoL0Nazb8+yJ3u9U3+QJQyz8RyYJApam0d1 rYOwcis8yL2HRip+ByPwtG0NnAi9RH9ND+QBccyvZVsUN9a7NGRWm/3pDdLbm/Be9EOoDz5tru+p GyOCL1KCCIoKPDvQ3U3xZm7jX6w5wKIpM7gIpC4/VkZKOvh1Y5x9g9caiXMe76p1XZYsPDO4e0pW 3CBRYww+2WC07bQSjsWfqTRgMUMWa9SeL3ngHE02/01MVhOPyiZOQboN3LcLy8kjF1Tcl6JpDfxs 1S0hJlft+VeuNyaBDk5nJ5achk0Ke7/lhdPYq8q0hRvkOOqzgMaWdXpF8q8tp8ImCdkYIltEPpga 8GeC8TdGh8Nq1y1yrarT52h2XlWvuLf+rVAu5KnYeNo/Tdm1yZVqIwnPSJcdkig3aOgxSZAdfLk4 xkxd9A+G1BxE52g2M2mZsb+BRjwrxryZyoj1yR82MKZZc4G7XlwGs/ZO/vSzb2SjGG8+KiX+29R3 RxZWYP2UBlRFI9oMCQ1/fOk7QkKlfjR8VtuoRVwMGpAL39Z0QgECD9cKVmNm2P5AqTct2i5c952o Jz/kBw2a78sQ8ek5U6RU7aXtRFAC1wYSOGKH43TO723RmhFEdAOvPvZiNiL5KuWVx37JYx1ikkLu nCivUxsEjXLWOfS3pan4rhHT96xp8gKwPkX2psLL4wVU9vJr1X5LGFaMbB/JjiZ6lKJOG/zISEUR UarqEOPblAU2NN90lXhUVfbDRDghpgnzSVbe9XaXL11/be5iLLm5IdxvVDyc7Q4kP8JcWSV3cbtb 4Z8/E/Bmiead8uY3I6ZbuRGbQdkoh0GHQUF4Oxz5MIMv5IU7xqmon7SnVzIs5+z5Ut4rgBOPp+5r yxTEPRRQ3lml/BTwjQNMsiKFsoNpS4xMA1XgEq/CgpWJzU+EBLmpic9asN3HH+7qiu3CETp0lJcg AIhGNW76PfGjXfa/z2DZorN30cMu0+nPnI9Fw/VS8AUoLgORCIO9OMcpmqlkd2bwBsIsGANPEZYJ CwqcalOdCAKPBOVq1fLJIe/tn6F0vL7QNC/YMZXJY9FICcmcw6kbzkfL/Zj3YLaP7QE2a0Bjh8bl KkKvE+T6/cKVpjDC7U+pZBhlUBr2IxWTVXkPk3XWw93k3oEjvas4gYIVuW5Z3Pqc+Wid9/OxfQ/H vqRMTmI+blWLXSyZfkWZV1oYOF+JaRTEcEcSfQs0q8B6tllN7e24p/WOj8k2K50zUGDySgT6i+6W fV3r/uRry5uZ1hn9RSXO5hv9/V+OaENhzlDrOagYoFkBRUMp3Fg/fH0JESA70nazj4ImcuSkpyh2 JxsZ+KiPiKCPEQBlsO7B3HiXjenG2QLlcrf/VMANP2ysaKO2hTvrlutQF+1gtlPo59rgLbygQmke ZqCK+R4BqfVdY2eI9nP5EVTIFFaKVqLCF9WR1Um1AIeN5RdoOj+6Le5C8LJ30p+VCnWZAMnppakB JxBiMQW/1G0PSD4xIJPWOjWWw0e5eO1uxFK864NRrT9enJOhhs5hbK7/fnEeVar4ic8i8pE4APJQ wx5hH9pGXOSVjM/ROpIn6BkJB54w/Tb8x1Ty+wedd8Omw7ncfm+5t9i+4XMCgGX8GraXLUNRZtYG SswVotCBL5qIXFrUjzILRHQwfAIqpZm5yzv6Q0d+es+TOUl3KeNqttEiOXmFwq/PMYmdB5s9pePN SJ+6TtDEhj8aMh4t0HpyhNW39Y2BZw/UTSl+NR1WjAYBFIHfIPqt+D5DC0yu8YuXzQjt1Xdcmuje NWd9CFxnFd5cxHqMlrSMWhAL+eqA+x3IjfGGK7J+pZtPmIcgu/ZRkF4qyIt+3ZJNEzwyH6l0mS1a ie5vYUMideZRS9tEWN9bsyPY7aKRFAG9Zl6/lZsvXasf2XHCNOgJflmGx2nA/OnX6jScx84cZKoP EImlQWfcc4VYjVIOUBGyKLGM+zyywd8p6ZoRvc+F8ame2eCFEUbWbKLiiS79JvqFYbJEFnE0UEJB vgQUkswpM33jzNafhDDExElSkFqc2/gOvEo3qp8EHn8bbZBRpl+qJZJlnAA5WE/+GhUfEjMzr7P4 UA1azgTVF8W2Z/sE50zKV31qLQRzpXh6sL8CRExOiwE0QhMYADpamPUGozPo5Av4fkbxJqlo6eS5 l15IzdYka2yLx0uc79Kwj47SuxPLieDEbHq2i8w6Cg+ScN1YPxwIoZdh/Eec9vFdp8PbUuLfhjAn OgQUG9jMToZBRTmUTys0ppbyoH8rexX/ZQmjSzOzuiT37mGSIvwA2iJhJ+CpBzAmS7qYg7ZeArk7 /LvcfvI2FiYnhUjTipgChmbNWJITZZ0RB9dQYFKf2GroUBiTI0o6R0P0ZXPqeTtCJVCkPBw5jTky e8zxmyRiw0g/xBjCmz9QsoLusF2zJgDJbtPH62DZN5WznKKt/ECdpxnyRjJ+C1vB1oIBUJcwRIpW k/2I4gQ4P1bcd4lAPEH09BhceMw3eqlkYPN1dMaOOGDbn3xsxApLyC0hBH38uZ8holRkH2hn6lj5 bw89+cCRwsRLcRVN85znqyxnP35kQFExdD0hH4xtwY1870Weih7n0nFK7lG9IpFzKzC6pw0Z8br2 ugpEdA/v9sFr4/MG0Ptb3WUBpR/MMvtkp6MCotqnjTgpCTxt2KenaEncfbsHL48W+WZfYwhp/vqs 6coHXflvIp2KjcOzUaBTUbku+UQPdrfOnVjhXt27YWmx42Tw/uWz2XZ4up3C3naczEp2X/8ZDpl1 w8+BBCOvUcjo3bh51r3Rm2qO8G3eHfGSPE9RmfgK1OhgSrkdjgOqHQvhXJ07E1+B3mN7eZNSnKHb 5N4unQiLAOTeGcYV4ET+op3xUy8roOVNcfB1Rr8VWhh52JgEHZnP/qm8iIxG8EUZax/WqD2BLNYb x/bduTI5vkP3J7AQ4JDCPI5fPRbujzkGHQEr9zFrUx/3ImDfvm2Y06ls1VK9nxV++UY200cH4Pa6 yolgGH1C9C8jMosHiWei3n53BjnMcPs3sTgSer1KkuyVzQ+XX5gSRzGMyxqF6gne2akNCqXaC2j9 KV6aOjjIcwjwnh6d49USGmhHZWLjIF4CcKFwONg4+J94ROk3at2ieh5o/TPSbO/gz9B4YwBkITy/ lsbHiYxalGM9/c3mH6oMyBYuSevKWvUQNUge6VpQ+bARcwXWKORRXNbMfLmqa3F/T8hkUT9OhgRZ WH7qzjprgLtcPVyXmAONMC7GEfamfdLh2MOfEYia45lmlCfByy4HLuUvxEu0+bqcOqDOPQaM1IXc uWVpPmMTrcDwJL2Onhc8l9V5z7BVpd6voD3KMHZ2f1Gu+jLZlQwjTb3+TbvOVv2XEwY1bayuSMrW EQWRdJJJ5Tt6xkguf03183eiAmtkunkm0XDQNopLaTBZlAv/adZ+bku/2zKYVBEpQvNGf8Hn2w2S tVK5g2zjvGYA6Ze/PzrfHjNFhb0t4BnNrriL8eZvJL4ij381gWOXhsdkRtJE4JIg7Yz/ML12f1P5 I/7Cixk9DSi+AJry5exN37jclqU6nqE7r0FXX7wgY96YbqV0kqw5ic6zP2/jv5yXtZe2bFBF3cRJ ho60itLpRRFpi5y+TssZ42Wkx1xK3Ttyb8O0VS+rOZexa75BVXPFqmkkAHarLEv+pb2Jz/K7DxWA 2taGNCbIQ638QflaWv3MrTNBJQRNwoiuloSsN9Yg2tQsrD/qtgxcp6Yusvz9EbSZSphCBdn9S+NP zHjkKWUb9w1+5M72dCz+PFtdRyjcReejBSwVltcoSexcpr0czgq/WjUYQAysrxQ486+knYV8wAIK 6fSEJKA9jjZkrWIYtnED6eDFlr9pDvcOPEXyVWA4iddpW0HjT2IrCRB/lpy0z+dmoI0JVTW2CklW zKsHOmo3cpSYO7dLEfXAKbtz+bAJMCwkID8mIEq3k94kphJFaO9mwWHMKcxETCiWDxyJddbsXv1y 32V1xbOzbSFHEzMWGEqEDJbXI8YJR6ysizxs5SLuEs8ZV0Vnkdcq/xYjUbh7ezNu7KhIliipHcfU HYTqSmDDInTXZ+UDX5JTIHuh5/6GEtqv20OQISW+tNv9Z4b9en1jfOipEXFXyDlBDnCSwYIwq+wk 0rjPqofldBE4M6h9151JgIb5Q05jghSe6sPtksRKyQbOw9BF1jR6U2GuTVdlUk3BEsXSbN3eu+ly ocO25CoqlHCA5Fs8Dqm+3SMC/bmgy96ruCwandhV+9Q8+DjFHvxj6TNV9rg108V5eVXw8fG2+D3o 85ai5z8C+U2kr344cOtijr5jdAuZx71GJ5CTqQfpurmKmyHPURbkZt2rGPB3JCwmHR+m0/aL/yYV 6zOzinpTncW+Gqct4npR4uziKoKGXRBewxnmAb1ZzlYqdU66aMd4JiZqOI9I1oRS+0ANT5ZhJ4II 6JKGzEKxEVbueNuCfS39eUTr8HF8oFLcFhZDH1tu76ghHlyfUYRS5TVSLzm74TWFhx4PygN2GG0f Z85V1a6CNHD5K4HKVEy/Ukm0UIQMdy6tRWRDoPjO1qJHu/ob/iA6m0tT3W72lwLHldpYoQYtzQOD LVT1DWLCLqi2IyXDKZT7GgXSdvPwKGoWbXzWy/0OdXZ5z+vSD4xsWPdgq3SA8Z6vYsXXraFTq/QG NjKaIWEJs3O67L+gFyDfYH8EIwCb5Q1Z+yjGkKoFVkwIkRMXJz6VcXj7p/V6/CnuMp3wzClM/t+2 tpUHrRvdFOJ1dkuc6d1yGJMsBx3yeIQv+nu4OaPKDyWrY45afArSXkylnn1P/RLrPix5PUmFy6Ot oVp/QYkfL4/oFkIrltw/YU4V2+TOLB6gPw8tUHSV1CSI5Id7Iy5EO7f6L8XufleaKANf400CBKSo RHkhhqzsLccRIzd+eSzUJuE8zNcr6zpdt8tWqcQXh87v19qi/mktim2/2JEbu3iswAI1yijc7ej0 yFyee5PPJXeZScqArYd5bCV5fa2Ij5eR+GK4d5iKBO6IFOIEVie8V7kdJkd13pAiI7A8pF368uPm oAIN6tJYQawq2Zx3SY0IqctTtQsT9hU2M2kTPb9MqiB15DyVco0JHQJDYNso9fK6/1pEvH8R/kM2 mHwVTRwXDuIVIxR0DntvOU3da6An8lY6tmv4mxNMPo2PLsU+YvTVREVhqAMtC/5ornsJ8bHG5NwD a/pYbBlx6bRrRU5b3Ribf2CF4N4fCmxYCWsoK+H+GE1ga7Hrukw6ICtj56qY8Irw1b/CFDTnPcfK dFUHD4p6d0zHkNV5iFmkzNARu17THRqmXCFkSSx3OBVa61db+kUoSkW80EDXbR9+w7Xw6WxBXmTI ggyjjaB06pUG1t/mc6k9lQr/9tHW17V2Y0Aus5HTUfKv6rCFIQG9St+m6oGurmxmvc9pMHc5oqBj egMTWNt5SmVNj7Fi7MOMe+ABXicuGzl3RLRacaR1jDpj7CG+Miaz6pbSmJsxoMQ2oYllRLJBG3Dq KhwKWShsXCtIirhrgOf3cUNaSHGaZh63qls98bdxYeNKtgPWYn7voeR19KvRiVpKPJ5s5+vDECuf tcTk5j1WUMDqk11m5KGhal3rcqNEG5zdMLAiSeAA2kbJyeRxApyarJukUdgXjvAatjO7ogtSdk3Y 2C3KyfZU1onwvHvFIr4OPeCnc/gx6VvuaqD1VCRFFCHNKiQYsrOxlLUz8BA+LlzQj54oH/TMSALE 2eB/0q8w6yuQV6CNm7E22MqnIDhDw1zLqFTDLqtqZbmhVpziefwI0IrDUnsczEXUYTzsusuG5jTg b9jlQFIudAHEbcW5fLBex0ZlcYO1A82LLdTBHEbPU7fGgq5RNc/tWRE/H04QwKpxkEA8hChuqw6W 4Acd86WTdwFl+LQHZK7A0Lacja+FUHG/MwrlR3wrjT9teTC5CIE208IPsohNfh+oWH/cNKg9wzsO JNe7VGYZkAah4STr9RgJ/IYP7EPQhU8bLyDUXBLYIeWbO0+k79aDUCD//eUsbnbR/7Zb2QyomBK7 2ojzfbgQWhIWYUX8KcP6OFU8qOhoFEVoUcX6WfFVvAY5iJh3kDPbzeef8zNpK2WNcIzaqQA/Lgb8 c6s6JS52gXxOFsFeO3nCEsoY1GPd6pPjJKmz/ZflOqzTNJXY7rtChvZoSMJfFjY125rxAlVJIX/8 DkMAC/YY7kX7nc5aHsEjvSksf54BUtbk66GDFR4nwTT/XGWcnzyAEgqFsLHdHnP9Gf6aSmnTy7XW fOnIhnqi4PLMyxT7tUTqAX2/Yl+RAE+jjin3t7F5qQr9cW1FPpXGpQwUP51c91ACJVtMFr4UW0BN MIB30nEQYW/RS991+vXWMxyFJ6VSbTCdnfmoYxUMdFu6F1EF0JQhIEmpMgdP2T+m4/nWbUit6Wii t6GOGEb7q7YeeJWLBQZJQ+8Y3XuKYmdCIWeBl08MfIzQmjK5OV3Cjdu2MrVL5kOlgu9SPrNr3oyx eNGcMAmiWBAdJnk4eOmQYiMMzo6jSYtomIk0iBmj1xkCm0Sv1BRf36f60rB+vFhHbvVQ4R1pU53d DoSgC3s5x0doB9Ip3F6mHTsvDo2+9GA50TxTToOCrRmYDDSrZFI+Q6iMf25mvdlo+cHTUS4Wtekz AKkec22eoINuo//rG+1QIv0KtE0g7pssGS5kIAdPZgxhunjFo5WROMJBN6L7xgdSnZwZdBafLtYT 7Ss8/hsyiZ5oeBqIFS24HD1yU0lKRb3C5n1ABdL1dlrjD6mHAlHWaffhRltr36ArcXQud1qQ+Wxp 0Te7rnmbTyAn2Nx/O/5B3g6AL1zjWx0dhgy7i+wYPJ/FElfgN0Xj+ILl+ndbGi2RK/x43g5P57dY 6jtFlly5okRKnUk5LcSPpxUdD8UzS5WvL/Dep5TgmM8ryZ4vKyhGou3Ogmt5LL99/mbHnR176brl yCKeFu9+VWPTNdwcU23wdn5KlV2NlAGW6SNk6QzYQoWj9XLwddS7VBZbmTL4ifgio5c9kiuJKzvR AKKhcPxvo5NWwRlU+yW7BLYlBDwiT6TtEji/dhQ+FmuZFKcY8/9tQsqiBu61XOwJmKha9inuHC8O ruMHtXkWHWte1zgs1AQAUSXKgUrQnjG46QyFBus8ByppVKAKBjn8FAtXG0cbOy+rGCapfIi/oU7M DCDybhqrn7VIM7ybpT/LgUlg+KHYKvHMMS+bsmjI9BPHOwySB0h4+tZV2HTbPLGX1KHFRrmLMhYh Ts5s8pt5dziKa5HO7BdhwTO/xxnb9VDov7sXic0xzUx2Jab7HRDRwvL4N3xkLDEjJilITf4mnv/D H0ho2YTv7wOwthnIZz+BUxgiT7B6zNuwSevH2XrRDChIMvZhB+UE/XQeeCEWzvRtpXGe1NCX+NkZ GtVdlg8DeRIu9L9tLEHzgrN5WIXdPB7Z0HbqdX8xLHMnKtUQ58ePjIpf2bpGZGuJhlr+3ZXZy66j ztKduy5sD0oTZ2r+F4QRfTMs6n8TTJ8ZvrABscWzNA2U2Iu6GKRpt5LSnVw/hak+e2pQpIIv0Bh5 uvjGcKslwl2BNyw2OkmRCRG1/rlBuOhocB1HvujvqIxFdS+QFXEZIYCRNaDkxmUJWs+l7qy/fk04 Ibn0elKoQhE+UF8OGYUfUibsRy2G5CEyHFm6Nx1XRcEpyOQ5RSe+W9jWwtWuRVUrhRw1+zOS5Mji UDoT5ZYdxKxz4XriReLlY0r5YsAgznmypMaaQLWVSJuI/A9F16zUThbZ37rkQ/BCK7bJ/3hwvmBL gX+ZLpQ3sF3Nyhb5oU9K5DfbcNvOIiIyhbLNi4hsUJuuDsbd526Atl6rdsif97b16qAiUpPqObtj P9iSIhBYl++iYi4m46BdKwB3qWnSuw4vv8eHYXPnYtZt1ifNIGRHnJZ1b2XKnbSh48jDUO3+8dMU VZMP9063hBc1rtgG0aLpc8rg6G7G2gAC4929+hqc6EIzrfw45TRAGw35nYTYjxXH3uB7RBOOocen wggxx8GTv1RUUGIOZfl1wUH4Ngby5Jmb2DhpnEMuWJ+D/TenrATAYBRhMoYyK1WZ420IRilN4Gaz vHVt6xYe6ty6n2bXn3lhWRcUS2egx9hdYmEVGNzdRRbUXgVoxYFXZF8I8+r23g+vmk4vwKDsDpan jBbIB0xvMJwT0+4MZ+sjVEDKI8lwsIUy2ahkEuojRrywfobvicdvXEXExbOdpU8ur8JGe5TF3qYq lzFZNzh+FSbJx9Qt8eD5neMMbQKjyEUmUOS1qY9OnYPTQi93kMMq8f1iq9mKVX95CZJo5GevRp6k 8qbwjAbPc3tu7WCbqzfjyiFbok0g7qiKpdY66McSLPY0SCC9Ir1/CLojfxrDdEG0nn/ZuR+JEfcq /+9QzXpu8tzI5FpJMyr+L3OjFPgDUIhS6T0n60nFmyJoGSEX0xspHHZCH1OTGnIlAH+Ar2e2Jws/ wDMPxZrriY2nBKjLdqzhmrkFzrcAAtvFdUaOc25C1Cc1xpktV8sl6UnrEYsZhuqcqKiHflggIgNR BSr3EsrvQ1h8BxeLnkv3PWls0nbTsLsBUxaYidCvBVofeGTWLYahBObztg5x6mlL9oJwBCzXK+8F ayMnlp9ort9sxVfRHJoPYS2Vz64KGWNUeSb+xfdnvyjps3ehuzDC0M6EzFK//gS0IWuNw5FRA2DM FPnBMDgS4hEoTcon74+ZCRkXe138lr8Mgwb+TUJ8rd528wMMSI4ucyBe1fGODY3TZsn0VdF2vH7h 7MZRqt/qnf0y97kMXvDmHBYppG1ar8FCfv8RFkDymqywLe8/54HaDbw/h1nLaSSEugUc4qay3x6n 7qOETr7m612nJC5usmureF7KOEgJMIGdLYytw4QjOrfIcAF67J83CdJc+RL/7v0uysrPQwtPGjLx IRyw7ozfFR02ILjZpZ2bjpE+LOO3a2gLAekSHvIBSfNZyoHtCdnF58UIjh9qnTjWo10eshhsdG5u bKzb5lJ2rPuDWOacaWZSvhdIx32BIRdJOYahVC7r0kErmVtzKuh9vn5XFs13DG/46ZL7Qtzl6zPe m0Xp9Dv2eX4/gs1aUyDgBtG5yUUyU4+We11Uk8K8fsojYMJjKL3ltQ5dc4bt7jxr0TZrbIXjary4 vLbJLwwCMay5luZ865Y+AxU4wryCJVhEyBAHH7GFFV3x94RSleS8vsfuMCVX7+fvXArwnR9FIrbm IiR1i9F0C1AY6C/d+kbMxoDzGqRTbZ17sof1A0G6BdQGooTC5vh+ZSmDjSyJjhKDtnBIHlQgFSYu LOLtPs8zB4boFm32MXbEC5wSoho0ooPLLDJ9v/rOKlcbWQKsq7xrKjHI+Njj4q2UvcVh2cV/XuqT OA3aMi7G6FFDeYkBowoKtnIt1JI2+i7ruwJyX6Bxx/JFY5aN12L3YT8q73pQ/AH+1N9SN6V/3Ywm +luCf88CVqlC6jzE2ilSpfavD5MrtiFIdchczKKYNMaz5divjb4snATEaXkAjt9dstl9d0beGAQ4 VDAdCo1a5coTZgjUVhXrvcrABVZ1093bWpGx3PcA1GXXWPjxit56Ix6ilw4TpzNMxgfAOvzcVGo4 C0tDEJMz40jJOggdtmGa1GuI6JOMJHEX4XHUqjxksaHGxa/Hsh6MJn9PIc4FfhoVtXhVFMSmzH3c ksvR2F7WG62g1qP33u1W5aikjRb4/9CWN0Y8lYFgAO/o55s2X0Nc8s34zzYWv5TqZGtn9i86PXuQ dgKgRAtPmCRrFK2//poNP65rWndC2rzGZNRvLzXX2j4kVwdxeIQZDvzpJrKUTckbpAr3RVjUYxcP usuRCMQhprZ9MoiwrDlP6a3Y8NWCcxI6fZD0qoCy6jGAnnqE1KpNS1v/Zqg7cKIkxplD6MOciJ38 GJanGxs5ZLZEw42yx2gRIi9nE3uL+kiGB8XGkZd/moSaD2BPTC6yWAqcTPxA87eWalv6O86XVu3d 8NTsuOT8v7mjONb3rCeUA7bd8q3s0Hgw9Ir04zzQsA6cbu6yCSk4mtQcr0PfrbKxJx2xLksNXven pl/rEDimJuegCZlfODFQqCUa61ZYNYih9vj9p1/Y/kuOBcNOjgPwD85uxdm2NGFV++O1+fWvisDA 8bU80PRMO2eV2paGzgl2eJDulc9AQaKRGM2azDewNd8ETWtv6s7Or53z07EzWwlyw9haD+9NAfvR aithLe36vS7EAbVz4MotVSYT6OwJYSIJIz7qILZOuunKiPF0TjsIjaw3U0Z1PQiUQ3gdmEWARSDD vwR5extiH0s5Nl6hTyaPWONa6D2Y17YrGlz1VUWShP8M6R7JJvSVeKfXyMHNH7eXIoClZWNasvET xcbI6TF1WmVSco5icfpZ0I/u4uppjAALaR3wQzEtiXKFcLcZJXPhQTvF7tFl1GJy7E1ig1Oe7BqR Bu1iYregX2EDTdE/zfiDp3uoxm900qcsTWkr2PaGK/xnKWSKX1TUeYO/llXGohbjVXgjsSdPZgdb Au+nZE2HUozrPYA0FdmZpoo4/lYyZzwx6FlhX6iY/vfd6GPSnz+hEhPG9dDqYh4rco31nG1epDIY 34UvRDoeRYwVPwwF1uHzC9GiU0ih3uOuYrur9NUxtf72CekDnmnsQ54Cly9ULn5j2qi6AnZjdN8Q 318RRKvbIQTwofkHChR2tB8qZy6RhnUzUg3fz4t2iI4EmRwqaEZquJE+KOBeatQm5SzVONZO2QOA MNYSFBRSJStkOUgx4riZ2MIGuvLYckKwdM31qBqfVLPhEvR1Gwkbj2AEhW6zCaq+kj8dX3BLJq3W V+0nbkhTO78Lkxoe7fYG8884SwoMSJv3Nq68WLyQ8qaptIQkMNJjuUmeAzacpu/kPOLHa7qPpXCU eKSBOnc0jtAvfZ8hF5l7SniFY9IqNlQWsNG7u8OJbtMU4iCmHq4xg1S37KvlqJtN2auH/ccqLG13 358Ubag26HalmTt+fcQWDQpr/CT/+oMC0EObicm0FABiiVQedfzsH24qdhVHSPRAzr42hv26EcfE 3qZ1AoJx9tQYN3nGXDuwJhDt7maLZsVWORe5c/FSQQLPb7SxRF5u06lY68yO84sRh763phOh/Hed WIcued9LiKLmcEY1JuRcdJ+fXFzFf74Wz6tBNfBLm/0SnxRGb2OGXk8KSmO8/giBWO5B0xIi0i4u qcNXJ7YnjQP0s+2v8nxWZUKFYu5Nersm3GKUO96UkyrC7jG3dojWdw+Q3ngiqAcjEyHVjOQSNR01 WojZpnMXz0h6hRO9H4xt+SSA5+xQvF+qxzU9++SoOeVLQxoaDWY28ykfCxDZw81DlzGlp9kTBC/e nu3O34E4beyMWzyxi6ZeWDsaq4yucZ6BfUdIDzovqTMnG/wCLcVAruTtC3eCy/yBtCvNkBCAPccC FvCFSXzUALUL3chyqPC+XbSibpEHQIAtqlehhyopePcE4rUBwRnQwtGArepO7R655CFJ0d/RpNJ8 RdgPCGzERn01HevZCdPLiwI5iVDrDkiB1kSlGAakIrIw0onKe0ABGAehFdRSuGBxq2u67xwYjgQK aXoDhQwJNDVhujEPsPoCugcffUBQB8dCPBiWRYmCaMtJgEUO4mKtOTmGSaGwFyb3WCxd0dWR7joJ Vcor/yVgbmKpPITJ8R+3YlbdWJa0Vu1+duD0lSgKYrb9DZ/j7y80Mi7PZaAgutxSsvJdV2Dzo0wM cHt8WfW+ARZQEvdArDsUzPgWD22e8qVxPUSQchVQnlLGO3Un7CD74jWEztn8VZv1b5d0PRN7NLDC feiIehM+8Crx9S7E5QbiC++8PUKaTQWUTezqZGKB0A/JK/Ot/wSd/eDALzy2b7KT+QoQiABvp6Hj HrOvnp5R5Z33q5IHbOdGHNBr40vXUpmzwxjPOaMHf6LZ9X4Xn7xuwIQC4uQ7u3FB3Tafd/17fctD w468bMLp4faNE+gUyUW5d58lKB98RJPHEJcvhFJIYtBq9hfjn+NsWs6+kOqemU1MW8qrezRHmet0 pNXZCLBDjWK16Bj489yALG/B6EMmJWRvblsANakqx8PbCi2Pwh8NMZaBzl/A+6wQWDvpJ6uBY8IT n5Z65EN4D/rE509AGzwL9LYEi1yg8E8WfFdOlL+cz7JniDBDepZsU+eUSV+9tP1vzKk6RSDVc1ol EFN2Nl8Smx88yq7WqCjwm7BYLIxnbw7tcVomETIzl1e37ArtjsPrZl+vqPzWCGY5D7XvzspsiOl0 148/RHtJs60cv42XBQ5Zlc94YXQR7DN9TiOOVRCWp6lEUFWEuvbqJuKnjR5XkgOg7ZIB2qecIJ1v zMFPXVplMR/Hvk2SNf0ulhdmI0wEBAyRi3EBS6s167Zf2uSuOHm9RwbCIJJwnySHaHa/GCWTZC94 du0vySQg79/bjRMS2kFZzK0YGYwkk1aRidwFNqqVng8qcSR1sdHxBzY9EILYpw/yeDkwMVanpuCV 5umCgHU3WPlkVSGHHED6zyXhqvAVaIn8eHyTC2i0s/clNmUVT8JXpwVJhFV1h9+JIT5Xub2lg7SY 88T5sdxojJzuoFFUSPS4Noh3uhabXpsSn01zWl//Behm9fLnwIDIdAXUpsn40Lqevml04ZGqEkvE XHBxf4/ybXFtUe8fUeXyn3ozl9vehxe1G9BbQ8m1OAEHGJ/DCg4dQ6zQbi9crv5+gIkU7bK7Nm5X gW2MO5wRvCv6SOT8EQgkSnGvBnA/kbEFMX5LmBjmGLYoCqwkoKnGfBLk4AY2LMCu7ZZyp/aZLhNn CB96FsZyWRbElQEnV0tMEvH8pd2CLa3tUHqnz9O3QE8N7HQfhCEJ38aFfqZZtkGnPC+ids6THv0d MGwHwwDPOya3zjEDqToaPm+abeE283btVdf5Jcxhijm5UVlRIf66LSAGLwY52WY6EeOYwWt/ftEK auJ8df9yuASvtYuQ22p4nIyfMAWcBNXbwyOFTZEvLJb/xboDfClY37JKh2XkPPZ2leJKT0FMyLqM 8+LCanuwJbsEfqE+LGswH1AP4K8RW/7vafl4hKA2ekhTBf0c8+qLLgAFVh0kOcQizhPKlFK+dMWT 8WySDfTRgEAViHx6DQPJAvvhOi1DuyclF5rXQ8YL5SwEEnoq2maLbuF5EstYTdI/jt8e5ctR0T8z zLzIOYpYZYenZfzOKRQ4mMVz8WazPze9WIUv15z7KhLY4J43AbiSfhIkeGVogzFzslgy2ICGSRzK qvVowHl7vUtjYfbGKL2p4kntJtFmsu2oPlpn4D2W9flor2t03ZtskyxfSTFbJrKHLosW6sj6q0KA pI/E2xJCOmnJHV4YCGXEpz7YAeRDX04dXhikaBjD7bVUa7JZhI3oYrpWGvfr1X0SUNgg8NcVgz0X AgAtttRj1qcXimQnEqju410lGD/zVZt1Ws1/9CV82qHtDxM/oJkWbeHdKu7NbApZQHtof/YW8zNX nVDY2sbjFWAzRRdr10YF3Htaru9nAXPc+6VAU1qxYgGfai3ZQSuDqPFndS1HgJjkJxSdswZFtCLN puRslscPcfTr/YcxeQOaeMlH8uGnMgxjTcAT5qeNp4BcEkEPbgi2QgUvIPaSiiANM6l9lRENmeJK 4Up5yUczL/svMnaPQn9KRlW0QK+Z4NqYDvKcwRhKRwUp/K9cDF0bALtz1LIeejFcbk5JelQVGpNP UM2XI0JZpOhieS6qP0aJIn6Eiq0MYXZ+tf+JNptVXmvWSFj9f9FD6Zc+HONOi3CPMBy88itd6bZK l3Fkne3GOarBsgK1Fsoa0TL7Spj8CimxD2CfNYPlUQDJMpPwNKoCCLKGEm3AvH1PX7XQPnccU2E3 f53VIUuJJEhMiy3PNQSJz5MIuGmkYTBSnQJGxPbr/xN8S0xFti3C6oIu7bE6RAnpA/4179398QKe CZsqA8AiWvKkni8ab+8ZCamUpVKu10PqxnhV+XeLrhnWgSLa+7j90RtqSx05WeBkSS+21WF4gioe 7yvTxmQwDc0CbO9baYybXPhbUDBoNobWc97pqfm8dhRjq6KrJg35gplak4rxnqAjshf3u101MwUx xnpEiDOHo7qe1H5ggEanrK4heuuu/oCAlnJXrzAg4oM9TfyPHbs2PD/to0nNXNxcR+9YnsrHjc3i aF70gRuzA5XyiQJifQ4xChlU+1RYxVe4D/OSla/W/6+SzKovghA9T/MBFlqdwOo8SCgrqY9n+BOB 6BmZ5Eq9dVOvo+p/HJIXVzb7ohHZmzGGlFQDw56bVvNcrPWzHeFOTlqMGvFCJWUQeI9Meswc+LF8 li5bR45aEfZp0A67E9YV2jfV2/dWOeQPBwxO2a/L986k+dNQiVdckweZ/xjth+BKeK7bHlGKICos 0pgchlgp35kZeBpLCMRfTnK84351yOer08Fyeb2qnZ+IQ8CyhClQXJUonNu0Tz3lu1jVG16TN3Aa Xi0HuKKiGa/v+2V84J1MtDhBFe+U+zL9eNa4A5K7FqveL33500wc6CuhIZspezlBbuo437tHvQFk hCYMa9lfLkm7XTM8uyjLjVnf8O7auD98bFRFm6J7f6YGBcCYPRozp8oYaHxm3Q3CwapFH2hiRO77 1QHCFmXfyXoT5IPNtQjt5M8dzMB8fooYDvQ5T1vbq/nt+y5sQZn/qePXyTdbKf8/3Lmd+CBjKr64 yUgxlGsQCt6T/gBd4UfbWAKWJ+ZPExOB5raN00Dh+d/tSPY60GiCO7bsFQEslP/fGw+sICtTad2P /6w8oWXx1efDWTPbMuBV78DML0zQupyvAE/PDVz3dyU7kEtEPZ4/pck2zzLr76DL17sMt/qa+1qB nqkNssygN57ZTbjmVyoRb7mUgeamCga58y/urSMf7ZAjDX8Ne/zsGw5ALgOz64XRBy/RnjvGqHIJ sCqTIeUOvdgVBIyzRh+6DoVovmFvFaFl5ju005mda/45VA0MNw3ydjEngIyztR2c2b1R+LguiL1l 94cfe7zmKIekd7B6mFXLfTwjUVbJ8TigfOVySFepbmB8JbRLojFSErAa2R9q+I8P+zOpuTUfKtxK 2nEkMbahIGI12uw+oFryccyRN7Yvuf7xQt/wxhGkVUkdDcVj8E70PGGpZwlueuvJdb/VP6roa00r MeghzlQ0UR0S7yddPAb5NbkqbppnC+DLJPGV+LeGS90XXgb8phEv5PywAC/75aw3vlMZgDdO5X6X c5AIWyms2ZYyn7rSUy69uM00b1yUZBQzhgCl6LJIxSdk2NWCbjh1lsQdwc0I6EEsRZcma+35Or28 UGeGapGiubh6THjWu4vzMc2Dzu3IKhw8IAKG5Aa15tx41sBnzpVY2t8IF2RggFy/BU6putmCOCmK q0OuBznL+iX1WACU50+wNVKyCDeLb9SPodxZyK1I5AnUL8KFuJahQfJY2ZnLMVE99FkbFeV+99to 1uWLu02z1KKcxfuNRgahExJLgi8QXeoKzcgnXd5X8OYJwUm4+7WGDyfJkKU+dIrL9do2M3Yf72XG sxoC4Dw2khsbQ9oSUbspNsUydWxQU5mfazPvVFKW/S4vNuUhKUyOz9jwHYVeanhNRlUFZkKTv+Dy gRRlAxCwjTNCuiHgxI0rEIkZZFoNvE6XK3AcbI/tQUYeqGIFC8i0yGwIeWHwdb42TU+xo9y7bilt cc42oNdC3KBxKzktk58VQa+F0//7hNnbX3Liwh+4ncX8Y2AFsYMqILbIBl2Ku5iJrICVYR1EqhHR yvFz/5/61Ko3X2NXk01OrhcESRUQYSpz9btTNCfPoSiesMJT6vjJ1BDfGeYL7tiF8kbokq3dmI/w d3AI9t7aHftuIvsy3cR9IAK/9ftnfPc3bntuCvdjAwbeWPF915qSdEMqpjU54Ve+vEV0HRPF4Vmo gNx9Wu+Ilnhp3R7nW4Y5SC65JoTfUmOVO5cS7CzFWOmVTz+sFkSFURqZLB5PFgX4iqpAS634JnKR D6Bh8Jy3pkCboj/AmVbGEFypVi3JVZ/HaiSimQt2KcI/Nzpq1Vc3qd+Mvo0EqqQb4sSfkyqj8FGS hn8b6h+D+312PvEfwX7SwnCfzcpM02+NlzUJQijH36ZCumZKb1yRnOzQOJ4UdKuCWETB9aizdZSV Duk2TqrtbhJReorEgks9yxrFotpF3rWXweVTFhIC61UnrXp9p7/jgneh6bhddBq96kqVbD8y/qAG e5pivrXoKBOE6W5rqViJ1MgMogbBY8ZdpwzeIG5Oob0gv9IJm3ioC9c2yUu6bVKwd/yH+gLdz4zt jHYDKpUBCW/UcUGBF4QybqW8k2y7PtDRLbojBHC2UbHzQ1d8U7nRoBtg+rIAA4VoHmsrzZdnhPzX djAfuAYNWuBXaWKoYko4TU8DNOrJ4RwoehTIBQLiZiNS0Nfl8B9ZzldJfnkG3yh4et3WZ1GQNFGJ PaqiWY+gE1MLUdGnu41gKdO6XZc++BgbhdvTsXaG6BpQDjguWN/5tw7PrQiF0SNz2iidlT+/kmi7 x6NY3aK1idIVwaGfWz+ALzVsVV8dyrBbHU1fJm1NEcjpBJuIGLBl43uH/3/ZtQDRenwBRLbZ8cBh Fw2R8mMkch8xDNr5x1JwdCLg28TGCo9KssUfldS7yZkUuczlRnm6LEXzUFkV1Bv96117BcpXXuHa PdDgfsehbTuYHUfTejyIbPa19qm+XahTogCo4VM0GR/PBIEb2uwn2KP5TABlK6POfYnE6wChd2/n A9b8hdCc3t7afcs2Glpu4sx9aBkxM1FGEJoQdyLxejvQAh8z7D7FEQENlQqoQ2AOz8vpvpKdFTMP tXDb80geuwRfnkR5vNuAQwqUDqQfM3J4mp6i5qP8D99QPd5D/jjuTCRSvGisOHrDeXC5xkTHmLsT f1kWhkaId8r1YSccfXjoWPOn08ZZsWTU45S/7g1yAXwF3rze+QjYFkjbyyxEsZJ2LVcXj+hpCCv5 WLgMwAqhYdtfF/ihUThNCc7SU00V+qa5Xw4CqGVXmsXER1RVsgBpbHyinMPjT6tqVhp7De7MNnmb 37DIFEhThG5F8ETT4iUtx+JnfIkn3k+a/HJ1GmScsy+KwB+LTQ/wBkIoxGFP `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/xilinx.com/xbip_utils_v3_0/hdl/xbip_utils_v3_0_vh_rfs.vhd
24
157786
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GK+B9PZwAQG0AijumSfbCugpYhcwULsoxpdEe41kJbdOvZ5J1nq4AhWPTePhNLqLZyBbfYmxsIZl Kzz7NcppbA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc9rX2vH3RY42aoriR6ztPTcqZ3ndb7iB1z0rAP/XXc76vu66p6pBS+TY6fgUWjogz4K8V3rQcVk QhbKnNsq4R85/qIZX/owqI2Xbd/dA/PL7WzHovQfQ2Zbv/FYpOTcbk1GlvA4SP0qUPoC9F172fdR bmnSOlCifs0w7zFrmVw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TLARkr6nHml2Oi3n5stw/PPzVB7LbOYkShwuslqxUidwZ+zXMopRNQY5lJiwJLSjHJiRYifmHfrw 1j3pLKHylIJVGwwneKNlQUIEC+wFjTqZ0yAuiOyhJf38AZ+gdgxm2CaJ3fBX7x4vceudOD/tftHy +O8IILkavSBr/DqYddVCvBGT+au3etiWBzsr8SSEyNG/lJTbDK4JA7vFUA0c+/p8kmR1k7gzgea1 LBaUKnLUiV7JGUwFE/NhXwyQOUCGmglBA06YamX7h1THcGtlLA93Az177ZMGd/ySK/UhnBMGCitu M+aRnd+ejseJlC/TV/RRTDxx24ieJfkWvHUodw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SOZcfpI9WzYyQTjPteLe53BWFPZc+91kF34keudF0ftzI9AfaU+XvWb6i7/0j9NFuqQKcqrO1mrT mCJW4XBC6rtaSHo+f93/clBlPzNqgtx36jyVhhwaXJBq8NOhuHgbnb/nCxFVsG94fWluz1T9COXk viw/Cwn+UZigS75GXwg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iag7/uHCfg3dlMRP5oC7s3rpNUzCn0pv+HfRxcgf8SAWmyxvCg2B8CDf9KiNCUewbeMkGKMGe3Tb R2WV4d/gItKUaNAw4Uf8kShbJmd79axzwnLiskEgzh0j+CUBLA5R5vsCRJG7/bkZDHI/qNavjSAk CR5yrk9pYg56DPafPJ95uuMckKWjlrj6IWIGVOdp3dHDL4emrILmp4AK+cXS950aFNNLCWzyQKzN +FlCVg2/0I3FhHgIx3xQ2Dnq1sUKOUKp1ixFXKZ4q4xJYeJTLNIPGu46A8oV/Dt+xgcCjQmID8pi iLxuw3lWUwdrRNfmEI5YFE1fjCSObi+pLLVLXg== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wo8k9qSNHjnAGR/g/m5L/ddkyNUQ73ZTe5OnVIGlwWehud2ibAyKEn5YmcrbfNYu0YZa7A4HM99Y Og5OjbEZe16RUiTwAS5/DcFT42yfxzDUFjxNKukT82hs335OEyhTsOjtOrzqBjTumgUGgBJmZRgr mZ1oABh53+odWx0V2EYwQoXALntoYWhr1xxtglpek43rHi8oau5sK4Tms6Gyqfj7c9WpsIKE37YK EHC5D7h7fTHJhmXpQyTEwa/W46hwUcSV/ADv1d297c2FRqOHwlURm8vTbqNcrI70Qst5/7vqf2JM KHfcXGDQ/S5SfZ67IKYlYTnNR7zkgEIdy8goYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114672) `protect data_block +p6IiOq494xySVwAEUme1GpicgVWFs89D5IanJw/OkkVwMV1NbryRNBWot2FFyqeqxLNnGFA4AWu AU2xDfDvTiXA0hq3ryDXrOqXfjLuxMfeiA9GUc85VQ/zNgRAFQoTR6PGDDq+rLVcCDXil3NseL9i p5jnALSn+dJHRhysloOD6RLtRO54zlUWAHE3wZvxQ7TLQRk4QEx+4nq3gpj+1yhXsywZomn7Ia/k FpOo/nn66pTJ1F3xuRknW7LPd2ejbZiy+80pd6zIfAkAqZ5o2JbJ2DY3CmYFIIGtLQK2vnCoriGB dt4fkeMnIOGu5hV2xVx6q2qjLfX8Biazi55MfB8VFsRGwg0zJ6/s9RYMmESGBvsP18xmtMX5/IEz 45pL5C4+mdp+FG+y3ubozEsyhHJDYfXpwwKIEg4zybXxJWwRCNFxomYkdUqmw7TkBP5Yrohhk+jH WA8/zoYb2bHC3mE77ejM8rTYal9HNMToZa9qsMYF6mSraRYjSnkYVwoYFlLDh7wTBQSafsql7mBD 5Cw2DCy3XQzokSdw+yRaLRdrL20oE83HJyXpns2zQAteH3ExY6aHvcCQpQRadTDxBPqadwy57wrL XsTXXH6HE2XUWqnBRy6NCAQM8a9YpVfwytZODn7zCkvYjaEq4hAjcdkF0XvvhJfIPxdGrmP7OXwa EdBfyqqivYwf52KW8C4IqEhtoFLcdzEptOEx3Eny0U6NElM5Y4jdUNotdwSgkCU1t3xAIkVggDW1 HXA+XA+jdW8ufTCCFCVKtFrdr38TNu1cXwSODRyc1BMl5YhFdpXCyfeidLEA4uDt+FcLzI1ILMsQ yWPKzKZNzgZt0lbObs0vLkn5xcgSOLkFb+ZemSY9lAiICs97jFI9fbMF8CYBFr4FkUOssuxgyaf2 ZSjnyNw1WF9l/gtTxspz1vO+m0cWHX1Te8TcHIVmM2Pxt7/I4FR9+ij4O1J2KU6/XPdZQdDOKLZ4 lf7GOdflI0DA+UK0ONwV3XF4JAA9iGy+A4t0R1c8VpBrfQSZIO7cLSgguFclq5KlwsrolXWnG5Md M0RJD3iYQgfdveFx29CLhfaB+LCJYGYmpxvwFMM6Ltw9kecrKpQ8nxfXD8kJ+BZ6QNrUZdYqLtzt W5Fatdge3riDKkq3RGEKthrsHRlS9dCMQkPx4dDXFRrPFIzuTSj11qIyLAhgws+47oNjjwJ2V7dU SYiZ9090zHk/5mwaJH/mmJ6pjy/Ebmaitn493AjQsOFYqt7LRjTakRDeH+80GU9QzK2Q5q7hFhmy HmwIo9L/QMSqNKqqJhb9iJnSt793PHsn65VCvwDGLxLr4yIZXRdbDUnWYWu8lzeOe1FZszQFTseo 8/UD35VkyJGwYNhTqvazOHtidoHu1Uxj/z+oOa79XTDg29Ez3A4flhZtoWR8Xigv215F0YUSkfTe lt3WuzVShqpBMvgZqKbI4GEvlYEa4f1N8hRgA8d4Sf5yOl25Kfa5yr61p4o92J+jCPNgSMeHAa7X HEr5EQjqwEfLZSSty09HeiNKrkZQn+8DO85q5vdYXZ2PjWSXCyeo73UME4l1LCyOFkDPwGvX092K IBlyxF77zeYdLUenRd0M6Uw/WXY3iioYxxWLzlu3N1493V6lZgYpR4cju2H53PSRjHxxIx9/kF0/ SPyl00EqQU1v50LG9jGPlhnRgrYIM7jMPB6gpxtuHDaMr3YfmIdFWgO4AU5JMYMCFWqltHxBbjpH w7GiH60UkPmAzK1/NhQjxYfJKBKUVDezLWifHTv7LD/QmMPsLuLPp81i371WPkBEGDSuAAdMmssv xAQ1dn+OifJbxbw4r8CQ6Kfj5hl+CPN6571eYg8yuzsEcqm2ZJEz/z2dC5OIbGGPyh377ppgB+KJ YsUGWOOCOMlP6efNOdZNuwqRn6k+dAtnTsCn1ChPJdDWlDTcK8TV/I+jbjZ5QHpw1PoMqUXZdy7m zYpmNbQsMn+ZW3Mczd6d4onIDLJ/4SIR3FY61x7wUi5pr5m1LDIj7j+d8CnZzMOEi5fYqKdn2JCg fiGG300wfAE/W/gVXKERiBvAIcotA+Jf5g7HV158RoPrJQczLuvBbgm5/L09wxFLA6VZGLfBUFdq 8xk9lKNtkf0aJzg5U1i/mlO9YCKPg6bsqE2jxNWy+9wJyp2YnPBq9FTTU5dNSXzcam3DswYDE49z uUtvizeZcJ4rJomxjRQUW14lm2mYrlV7ltbkELtvUbjBU+jFgJDh9oNB1BfeJ6ysriXH+x37Nf/m P78suE+a+hZqPeU13D+YUA4ilr7G0Ra7xcqlp3Uav+rbzMcyPhGNH7nlUiKxKJviqwpcdX9BOVJ9 NJQOHG94WGbr1f12kbi+HEIT4yuDV4KARvKQ+MtjLrjIcxyitYROFgomB+Gw1POPg82FwjSTjwt4 95yT2dIUVzyO/h0/S7AiR+OvhT0MD237OfkFnhKbDU3IiCKgv/12Ak8hp8YGUJVoeDz87T2flJ7u cO4Qrdi/ghkn1gjTrEKwTM+2DxV+lHI/BXPpExoEf7Cnfkay0+o5TMUkxFQH4dZYiKMM3nUpMkeR 35OT9znj7QUX+iYoas6NoNlFR7aOr5A3haG/2nsY7ZuujUKD8lvUKw08JJ2MjbLCDnRs754bweFV UcV0f7b57EDFvgs05FFJox/oE4SAbTifJ97LcvrHzCcFjRX/Lv6JaJs+tgjiY+5fBuUOPFnTAH8Z FiQdGd2mg5wakhyIDDz2cNmxBxZbXTaAA8MQ2OApEjusfN3Hz/vsxM3S4rntr+V5ffKOrBU2yla3 x/DQ2FNgZFRXUchpQdq9kY0LYjPii4WdUBU/QPC2Kfakhgvn/5eJmOwGHLkTeVlBwB0KUmoDHjun lXWSWiFEtJz0kZDG54SSWNP9IHHzqrvNzo6eeE+T8kwKzxNM7z98ksK9yx4t772p8fJGmu3tfJxy Z2UXIORDUuyLzzbpAgEDMhFMUsCzP26Yh5M9ly5EJlKFgvEq7qty5pnlA+r3eO+NxC8FC5Xwx3Sw VvIXeMHJLik30yrU+m9sxhO+3CF13SjmGoIp6luw2Ynvipsob8EX/TDtzx8ebDFB/dhheL1OvR9I UOn81nv+yhnrNg/P0yWXD4b9HGb3F+et+Jq8dRZCvqxICnOa4R+poMH9gVkTohE03c/yzF4dbHcL /MNqm5j7EgXZtwWDi0ZICzZJ1dCckzq35UjhPvmEaPTt2KvYRrs5R8zeddX/CN81PfZQHyCPQ6ch Xl5ygE7/RLR9tp92UpbLJAPgQIc0qoV4ph2DvJsgZcDdDsw8xrifYVG0yHFG4DL2cAA8ulQC5kdY 2WCdaSdRvTMvfR3wAzSgHH6nycvRyNyikz21aZ7cOqzLG/b0HfIvDPiSodqwN9JvsXIDem27keFW oi+a38ADF6InPtueG4zHlGODc6gYOt5wMsu0vZvfTs30hlF6VlQsLsx92qy6wh3PUQvN3u55nDWL HTaQ+rxTuMwHblFqoNp0jX4dCkA/jqSSKVfDlAMKY9EeMzyCBykbL8Nx3A348fhg0gd/Iva6TSzX 0RMXExSAuwZLwjrYlb6UEAJqKGFfL7N3j4sHlEFB5HSuG86Jh2h1GAOjmE3fv7eHT/G+qgUiavUB wO9yxGuL3YwjyfXX968F30RRTTFcPCiDrsXly9DhRTdP3kfMjJTikHXNtTOL3jJGE8Q5eE/2aWsh oKFdWMO55NhiHxiHUv+QfYy74tldIINpw6nuxHqSZlmJrf7sk0gh5Mdj/b1Hm8aoS+1iUwz5wF3E aPgJpd8AIbcEot35Ig6168NHQIc+FpcePMfzpaSnc+RTMi2gVI0vh6BIdOrOJwlvNlp99WgC1Uw0 pR4enqdsfjgK0Arf+6NRMTDw1q2P6dJOTZ4Dbh10JAyVOAibv3msx3e/lDuz9o7kKncPw6up69K0 qHHizSO7TJg2X1SfZY3JmJCEzxr23HjaczN0bl4yhBQpi//VH0nO8gX61wvEIdYMqRMgOeZnb3BO xtqwXp5L9pEzcjibg9nllWotpAVqTMbju49jAHMOVcTFyNXlYusV5jC9ICExSBx8fk57+oTVOuhY 5sCZ+kx+CMIQsmRcOfqEzopKFGH9Dbki+AjPPeX35VX9fzKtB8S2/jXeDYWESug0UunpJTG23Z3S t+EVJv1fzHY/tK0YRv8kOtxKybSNjPGzf/qhW5dwRkxXBIax9MbcHGe5ryXdPeynn1GBIIK5VlRL SKwwbxFu0VRWeW/vMgq/2gLogkNiYXCaPjV9rMdvlYAYc/12Jq45GJUqBJzZfQEsRqjggTzcvKeP eiudACR3/z+D/5Ay4f/3+LqzOKUTObJVF4fikmSAbIXj2eONa+ZZE4VDc7qU4or2MA3HtSv/+8dx x2M/aXA3Vd2OWwknDtG4N1CtaJrJVUtHYQnevlc9nLxDBTb+pR2rMG1bvdi07u/pC05vxhynp9yU V0X+bVF1PlZo8SKJNVBky2JLdkHvscKjylAXUVMf7gmE69Sr289jJrf8YXcIeSyi0U/ur66Cp95q UzH4keTjPJNcpnjV/0/5zgk9hg11rIyhTF7ot+ggm2WjFeP732Fxp0lIh6lCa0p9Lf/A7b995I9A GQj/pEtX+5vztIfthIWMQGTlzu7GvRLLRDlX8tfPE+5WTBMGc7IlBIEHDv1i979OYqPv6cViCddH qeMegIwRavPXaVt0Hakb6t5M7PSr+bhlMYUMuS3UrecVD/7CUOirYcAzEo8k4u2HNcYOJo7c+Xsq QFYXlUVXBETgzLLSC2pB9wLgzONXwXmIs1Nmr+6YME1S28JpUn80ftFhItTi4bVSX58WtK5Sv6a/ ebdH7zIBT1A/vEKr0bexjwl39keID8AY9trZRZG0qyQcJeNJxM22gGM+shJjO95rgCMmNQ6XveY6 8uzzD9yXh5G96IvZCg6yW9j+UwGTYWHtmoCShniRYIjDHx/tbcglykW0qMqLOivoKJPezTfZrV4X UzQaJCmy3EO9k/NC28Ggc5jhKnzwpI9TbAEvAHi+WsRA94isU7OylYT8n8WuHEtwA3DpMIQs0rkb NOWztgvFr+61INSLC2Xsv82K8qtLmyYqLnWXS+XbYPnNr9BwG/b9Ge+2BN8m8Hn53hPB71S854g6 3iyI7egb8YEqf6SUO905XY2u2EBbe/HXeZkoZWQRmKyrIE7V90/NHIAfkYUZkbcEtFxHNHzSIn/X QsFvP3ByHr21AnxilABKJ5kBdP8WayAPp9caRxfTmImgpfQiwlrhpYqZOWmQMzPUQNKTUE2xMpzj Dx9rHJIaW1++AuX+clrzhPKCscRjFCm8p+yAvxs1rZWCO1n6CCxYfaL2k75gY+tsjQh/9+jgf72P L8ngBknoTPs9dFOK99vtpTWOjwcHZ+vJcprhwFpX3zPxC2fT3M70z3US7ppOv4VUCVC2+JlQ/E0r B7zaB5A0TyFTyJAGjlUMSGIetlcyOxdJTRuodO/UOcEJ2zqdi4UJNvs3t4J8DYz5HaOVji3T2v8d KttP5Re5oLPrD7rzKRRobyMpwaMUd66u02h219oLTJoORfWIflT7DEfhyiKeHLzTIAkvuQ9KWPqM RKKmJoMpzPapxIX9VwI+iP9NzZ3rOoIs6GK7V3a/zCh5eTWcA2fFG/YRU0vD022zeB4HM+CP4lsD vFdN8CLIbDxaKtxt9vqS97ZN/CyKRlGKOpTRdNajV3voIc2exgl1GsVhwnGwxkwrNR43iEN8j51X 9P6NT9qg7n177HfJsmOEpUlpCp892ps0+PfZV7speF4fTZxcAb8513nTY0KiQ1pgWbPuggHBOELr koEWBj2fkrE6ybOlIEiuo47UA2qQmajhmYjSjM2VsQ4CDHyK+ZvFrdNM9XvJ/1KFcrV5Lg8dvKak fSaPEVVyVLEt6N81cMhnd7Ho5vl2UdTc3Kqj7+3g4ysVoGVApGlJbWKbJYjSsWtgW2draTtHma9J CvquAAU8c8AY5MmhSitGuwa7BWwpr0PTj30OKjjaqvfuBeTi5UkFTJU7VVYgXlOJR9OBoQi6gqg6 /Rh79qqW/wJ5uGSfcRLu/gk7mUc660XTXLzJ9U6zXWejOPoLM0eAu2V/CSmxasgx6fI4S9PwOcqG qISt0L4RI8ImI38/Mq705nymHxGU+85EjtI1pZa8HiTmZ2poKnAtr4h+rOi6AI896XLBslBU6rBK T8GEj24iv3zdwXv1K9L6JczBcG5GpNcgcBXZCMbfQEixmHafE10iyQ2iurUEP9I5i7xUBXvUF7ZI bRAXftc6NdSb26WGRapXVRyQDCMAkxLxOoBnb/cL18qiTZ9WwFDK6AN4we/dJrhrbhEos490ZccU jmrOQy+VS2hkymj93FAE6OLWpeFMbI4VMSmvb4RhvCBgIYF1JRm1QvbMiqlpYZPNFYNElecYIvpK bnt88snO72Vvh6FSptN/oVScKj1ohawzK/uXbsRUyWb//72K3SQ/puDPmaLiBPE9RpvD2mqdlYo1 ggERP17KCMxIb9G2j7J/xvXSSA1p7vy8+CpRHT3+4/i4d8uCs7dodNFKH+2V63ED0HhiiSwCa5CR UY6zMnjZWiC/T/V3jVgSORaVM47FIqEIKGuC4FIwNfE9bi3Y9eE0BAG6y7xeLpG8ZKLPwBrDz4nE 5Qv+6TNwpXVrhNxk2ZGba6UQQ+rNERt3Ab8D/HPsc930WcGom7cUyiyuThczdzE8xCk1vSw/KMCM yiVjjJsdtwm7h+tQTnV7/c6BZ9jhaW9tcLUhItg4n/kOctqtwbMeZfZvB8MpXvqO1gET4/BiAscF FsVYBozpFIdDud8QSfAeDVXPVIASP4Sp7vKovcGx+IzAIt9s0rqxoP0Fz3lSZ/uWzjmEBVNcgK25 /y7O5QveQFwsbLXSMEVh62aNpNl43Uh1CRew5saqJZSryylsV5J+RazgfFGEW4cYujMdVIf6K3hC pz0DItgseRd3jhGsAqIJgsH6mOUsxdOI0DpJ3ODhAcB9bK2Oqa5YcYmsL0aGaA5TsM15GaPkHtnR 0Uh/D1QHgKA936FzolWJeZFwOyTrDxzJTxCeoXb4k0SJm0+MtGjUxJrrCjs0uS+SZnkCKvIifh+C Th4hYJQoFco2bA7g8KNkC/6eOZjWfcHR/D6+QMgvoULScJOo/0rLmYMb+MfXjsk7UvzYH0meOm3n PtaBp1X/OZEosSg2VShrePoyVf6UrXJOBsOBYAKW/uO7tp9yE7VKaquFJPUmF+JaP+MMwGw+SdnH cLCEuM35es4/kTmQZULY6eLK5sy614dt6obA/EPfwwVr/OeQTtfn4m+PGHIrV517WUktv2F+1yY9 jDWqCfBNFbxuChbx/O0JqrNsAnwNoqJvxZg0XkaaPW7t+AvAM6oF13gF/NwizQcZf0st2dyu0m4f kagLN1+3KDHUwO+z7QXZ9/ZnuiWBrIYMI+kV+DXOCepQ5gAVXJ4+N1xW17ZEM7ZehUn+nArbmx8l e3C7z4hs6VLjwZg8cQ+JWJG9oYjoYz21lQ/IxviYZT0aWhK77Ov6z6uvsH2/HrCkF9vlQVzNBBVi /Qr5ZZi/DgntNZqp4375V850zhey0d4eoH/nCMq5h0iprIuOtl6zPti1Kh2i7zndCS/OfmVgSDM+ ukXk86dRI2NNtBXg2I9ajZOr8Zpr+4c9TjdoDBw4sT5XCbKIOHw1Bjke1jJm52YCJUH4+nGbBo6F 8SszGKzPnZokmNE78dtX5Tyl4osEGxnUQutk0yhgPRGHKEiavFI3bef7DxDofJTHNrrk76C8D6Oy Xq1pwuj1eqJHpT5WGovIByieDbcr+ik3k9M3eWsZ1CT9TWisXHSZAVa8G2eUkoBYZIN3RAHIAoYS MsQEO14leWhRMj3JVsHlrBav2CoBZpqJfunmjSYYGdEg3Mu7NutVaUD5jKOjVoOKg8U7mqX5nyqo odLu5gs9dn8aElV9TPXXBhMtoVdWm46NXEI60VqxETrBd6aVdXucDjacgt732Lelim5fpA9oudQK qZGDc4hKv+9ue4agedtfFymsDgOuwlaU0W7mONfwsoqZQh1JI5Z8be/iTfLQdoaW6sVkQuYSQTEu k9DrbbW4N+Jv64ZB+olTwLFgbkMYcmD7XNmCKYOqRYdrEZTzm4NwSpKqsh2oTcO+l9GZOExxPWn7 QOJgGUOrht2J79sdLbqNYiCH74olf/AFgaJEJLLfM5ps/WBjPLKFoKVdpLBpQ6BJrQsGXXrSixXh Futaf5no9tJPwQlHnuhuNFdp8j4JzWS5LaGxiWpmcAVO4mcTnNpWNSUReV8iH1WJqHjZQeA72IpG 8BoDEnd+uSOoiVCN5yH0NevRNLlYIDNLr57merCXgP5WL5NofvqgCaKxqewcZXMDeITZdcmOpa9x eECRLRx5G4oa2UqvrX3drlR8qIDayvIOSwr76voJFbnbq6xlrev5C+7z6FRWo6BOzhaXlcN7I9EL QRrXZbbayRX6VIMl1NsOr7u/hwq8u5Y9+WMT4e5B3fTyxBDNQT/siRLBgr20gr2WLJcGYAasD7wv sxacIZAaljmR1nBWwOzpAVXslgzmH9Snjx0b77RDlbJY1Ch3qjRons6BAGZ5LjWbvVrZqTvcxSY8 CwKIk+0UYSX7uay8hyPedPovaxPMO1M/q9j/cMiECbPRSDMTmdhXXctQtZacYu1dbZPqO8Z+uhXH crmTYXjgkwJjHn5IAaBVyPwUqn5p4YK2tEFy+CWCyPzxCXuNypjZOqvnJ0bu0CFriUJvlln9EUwq pEVdofoqgdAyMDJPRYXqV4173uVSxjORAtVJfJc6TOWQiSq2W2E01jAt70zsPwSpv+f197hFcgdY GrHj1juZ4q20xUQ5JT+ewx3AltrGoFOmSgs/DAZb5QhMgEsqhd4LtRXBBWqQp0842fNb6Umv3c1B h2aEWKNln+JquDo3Bs1AzdySo02az+1uZNPs+OwU4N7REtNxAQ3L+dwHTnYDT/UcdvfxJljYmb7W pZkXoOEKEb6eQUTfuOsbBiFdM0pK60bq08d/mZ5aujfjhqWulNVCd/ZowcwolzlbsqhYc8Q5Rpps u1GE1ZsQJPyDGJK1QN2fzeSGmqseheFl7/IIQOQymI0dXKWib/2x0KxtolamRsvT4AzVHTvng9Ik IIlYfrkoz120tvK5zcUHOamqqy5yTi8GePKK0F7aMNIoktGJNp7s8UlSke/ZaqaaAmKMkdcZPxbA UvBTcVXR4hLHSWPGBjjgJIWIbEUYQY7HMtfa6yev25WrGZr/7ADHO3dOiX133dM7Hi7Gi62pE2af cqOGjjJTpY7nihllS3nX0WBay4k6r5zKhYHSrAcOEErdUjCg9yr1FbthslM4apqaF5GcX7+LxF2g ShC2K/i8RxjF0yq8knvdnGlYWT5yBsEW6LMjLFRng3cIhx798P2+T31xpHuHlvK/4rV4x9yk3AnQ gvd0xf4LxpzTi0EPlu07uYPGmbeU5byUHs5HCs2qM3IdYemP8/l2Nf9Cu8JndWGF1hRvz4Yu3gOj QoyjO1q/H0OZhIy9ykzqabiY3WuWw0hxuw1VNxtTQky1527vdio71jAM4hc9NOS2u1OF70CbvFMZ g3XBo71jdoi6K7PhbMReCU03viFBqEtCwCCU018CQhB44Z4DAJpVX7/NTKDGU9YFVCDm+R43BLVf bRo7pmAuOEDDf0shPUyp3XsmIRMEtCtxNljk8ibFDopCm2NbUkcq/kLchy8wJJ6sDh1irwogfZI5 HktXMBLdxzJDsJXtpql0xoTmHOTmv+830YX4DAh9ri4aInJcyGa9rmdyP5t5+b55vvEdbjzNkaXC xWL6PaiygATg8egkCwvNORzkMXgHJuW9C453NDnyoSdM7OdqG3fVLHcRlhyqQsgdD4kqnvnbLpuJ LyWpI7e/gbGJDqVbc2Kaui50iXWJZyHvoraNYt76qrhqEFRlAN5rOQP4MyT21Kyp7U28VratiA0V U7xWalH7Yjj6OLRWMVZ1QJMbN5117YFmIsldsikUvNCLXYGst8u5Y/7VJmxqzFk0pPQyCVai8Oa2 uWIEjmtr/KINxJ9ooUE9GFwpysQE9ezoLehwmuNjJ6gmqZh+axc02C5TWPurEZKOpyA8g9063Qoj 3QW80eaN4L+uP7r56BypV10H0bssvlYIJn4NNPq33YYJfvYb/BxbnkBGJzJVNdbFu3FJZsOxMZi1 4iP+T+T3cSXtxV3ZpbkQjWFyAbNWCkWamr0rAdpR+wBs5/02E/JOVKsFQuXuXwRzFEnvzYF1wIgg Cw9fikA6N4PKO0OpGeFB/+qLp89fVcfXT+tkkPIdJp+2w+2Ud7Z55OWEhQRbVMHHF9k2HRuYko1F ixga1im6Mtukb2zjVPM1PAKKmea9BvKr+lEdccV256KB8QYyJ5P43YWEcXwc/XNnEjUaieJ1UeZl cz9L62TK3spFiCCsyxE6/IkuKypLNS373iNmraRn/A9WZcgnMapT3wj18w53ewgm/SQ88YtkkdlN 7S/CeOeR/B/3Im8xvMvdNv/ZNWeOCQK3InV93Ad4Cpa2Sl1SgHBBw3lxVQCilRG63u4WyoH43KZw nI4oHJDEXTADKmmON7lFrmQ76sHMz+dkhx5zW6qGSw77zF7ElPP/0e53Q50+emOwxchIg61OaBSI eWe+gK2CVe+aR/niISHcJWpEFJ4RfCPLAUcgdd04MhlLfZh/PjhSQUgLOIFPh6hSC2Lgia1bhVNJ K4i9ti0OAkMVJ5ZsQuWIe/P1v7PAguw/iQTIFR89SAwrPofIexR+MfF7j9UrRpR5QRxMvW9pgI1Q VmX0pU6PSJJ8XSk3iaEIr/L81klEB24VVk5n+ox6Fx7MQx80wabRbnnOm4a3kjecJpTOdF2xtAXI ynpJGjzH7PjY8d6g8AITo3q0fkHBJbxbn72hN+ymNU8aMjp5XpmFadegv4YP2RccZ2O5Re1ZloIS zqpzAEQgYST+zS5E9v0y34XPNpBYyXnu6c7dugKPuo02A6ulHkcCkrwfLxCv5nk+JStgQJTCqDVx yWz0yQqNEbjvVZcnkVbgieGj0MB1tvnheXybxcFKiRsYXooIMAGVRCW1kqByf9Q/7ND/L8P/drEI f5GgC5D646HCpHzdAJcdxsudm81vO2lySm0jC+kvRgO2MMBHI/I7SAJBozpPD3HZnIrNnX+AxlTf hDHck1c5n1rzhxfYiKEC8bw8aVzw2ryaBI/OYA4dlm5NulLEcR1jOlDNGFJfDKYE4c02PEPNABoN EHTdKfpXkUn/I7R3WRjL4d6Ax5d/OGshs59o4yoPjT9yzT4Cy9KR+AKsg/jpy0rlnLM2tUEfSqBR s2a8QLpFw+PzOgolfD6vX9caMLW0K5+5RDZTRzR9xQxIVjtoL0e+RdvCXnYvYiNvbzOoHvc2N613 9d3cpooLnR1Mr4pKs+NADZ1zMpNvXyq5LLOJcXtxqIUAFCVGWW8oHTrP/Md4AhZJYfHNzeydlyir cAof2W3LI7peugp5vv9yetf650pdhr6VTcCHwMT566T718JUxW1XPSJlJEGtND8nUZMaLAVg8CZt CEmm68rzI3buHw8t6pjiImlAbaMFFhbGzCFG5s2Hm6iSwtAkR1eJjoGdtByuiHKwV5J5vIUN1N8K x544p7CloJiffYF7iT1RyS2+mNmnQyBsjY4xw/bDhk8air2DGk318QdRHoMvNyXlY19SxOoIpf2g e8PIMjA0A7KzdIO8/t0JITZCq5XlI6RVjpS5nSEI5BDCUHjKRra0BRhe7GhS4v/BuVSEfIgPb4OS EGrWu8CL0DU8ac0VVva/etIsa+GKHLONR1kU6cjNd8pLs+3M+LpFjKXpz6CGx68p+yPkXguwZfMD dqAdCuJgBDp2RGXw5lR1bDn3yGyMDgiKjU0UqUR0jv4CXbYiOhKgdPXL1oAEBNHM0btMjxHcKfgT NU7FXN5BHFK7rvQUhgqFTq6Gv+NIy1s0OytElJzfV4Qh9r6YtiMZMwlFuimByWSRquormEVufGy+ p4RmkFTNJ/JuwATSUQYlq80sJuKkzLOPJziI88ZcP4Z3E4CRTpIFZjPhCEW0MNWeaBiK3+ts0jPI aKcOvnY6lQjZrzcQT5nYq6zNgTJCHXUJZ1CLhlwIMTTns3wkyYmj1J7Gq1gP7gsxFPa5yssRXSf9 x8AaSB8OAL7qAkEIwuC2fuYdgYM2WIhH+mo0/BJ+pn0iEh/HzWma1xCVvK9MmTr1JgoZvGjM98t/ RbtTpPESXuTTmFtgfC8I4wQwmQY/IEDAPsZyiY6q7ILfI7401g0bFinmxjFLUlH7H1sFzZA5WMhM WZFnmQsIsjkk7CCYTPAkqO7BT1EBw/i49oilD0cLAqIVl9f+pio72oW+8FndoGjx/fWhh2D5QTb0 Gb8DQGXDXehOtjXOTmhWMC63a5v324G7yNgZLcfA4dZJh1XE64mbYLlBtYfA3FQq9e5jLZ6+qLkA EFb2mdrGq9FDYG/fSf5+qbm4AYuIUBDQjSlPaGMTaycEQeJ6oEv1trYMbjOCcEd2pQCw62OIiLCs DH5LAO1cw6mn1VGO3K7PIbrcay+p+G2KRPxDTaHeDeshU1rUhy73y/Ixp3NyR7XznnoYL6rX8Dkx 1ifX+HysZ8JfyRZtQ1ML1Hq8gZam5CjYQQ3S4lmIH5wjiKM72s6OD2STrsA/UuBonJsh6KSewJ8f gbA3QA/LVNcWX+pNEJl/LfFlULGQcHsozEW2sYFStlylCQZ2c405UZcqeHQyKMfmVQAkXw3LN8EV BAT8i54O76ex83Op/yfPmQ6YIPJFWHvNOOfqKp4xzKQDAQafRUTh22NQK3GLN7+dsAwhAiW8NsgZ G5vZSEon2XALGX2Oflgxmx7cyuAge3OwGgPExU4fL6wrw4xEL2i3XvQBUb14QfnkldOsh+5fqRry qDmwWySAu5cmDnRsjR0pPu22Xc2dQtR6rcrBVE2X0neVHo0lG/1d3JeNXfSTr8y48xOpmEwxu0bm QlZrb3CbCRPmJL5kXvvJ86VhpX6O8oKettv947TMguBK6z/jL9m9KsdksHrWlFjUMo5DvKnv3dkY H/a3y6DBe8gRhCOrvldj20sphkirlKeSpdwPcYsP9Z9uyPlpZdNOKla4bv0JTPGRWNHod9zzUq3G b7wkFvJhC3fpYc8n3C1uMiTNM1HZEcvN7fLjxUwYNWhEWblkczi73q0DJslnCrbasc8VvIWH98pu 9GcFHioboS2ems5K0JMkS4j8wRsxycSYEI/LaqGPXA9xNu8X9aEdRxlTkTZfEsOE7hew1qGhtcWz xSKnc8kio2u/B5q4AJNfTPqxNgim8vYkagN8jsFYKOxFVDYB6JPuzakJiexnbhPrd+qdL0Ko5+rr W5HT/nurMLxkQxUzkoR5nEHUHkuQbSyZP3cIWbROp2fLnoG1dSOaGvlAR+OO3ptkQMkDuN70Ql3H kkcc6ln+apy95E7T1HSjyPTQlmqlbcqeNt17Im+yiRNCodLgYkuH9J4mMPOFu4rr9nILHOtnijDX 58rfzd0x1Ad2U5bvR5K3RdwlRxlZUy1VfRnT3MdTK9qxv0XT8yXfe/60TVIzxt+9dDlaUUoxQlMw eHyLPzFJizXiSDMw21Zg5l2kx/yHRobDv3Aq/qKJtsAyaetS/NRE7jJlZ/rkIzhZeiItiXl84RbT t6sxozTgvWITtPCnRNHQGYdlelsEmPThsFQvu6blrE8t78U4zdWYNsmCOUrv4OkwGojyBomtb58+ HR4EYg0pbZ30RVYB6zWLg8hdjrirGd8gOgI0i/0ZHCuG/GokcsxCtZk/+yMUscwt5JHUD3WT0MPd a3a3Y7CwDGQDVE0UcXmTGJFL3YvgeI0YwiBJcqovMZLS30UFVjz3ThzIWscrGDvbHbelWGghRgxF JbDR1qxCImRCKKfXXZhie4zmSdZwJh6c4n1TjaZJ7CPiY9vKyehk1AxAkRTW38z6wKbCxD+GGKsw +oq7ajlwd+YxgaexEGVfV9sQfPIKmrBGp+esN4kGetSSzUswgi3PpsLNFdiUeXck+nqfQspkTUqA gdgv8nP6fyivHDwKhRVbQy2N/GfE1F5KrBFFnYFE9k9OrxfpZJyhfnnM/avJ2x1oct9UU0sNySO9 bwEG6Cq7dErZve5AYTRq+5jaQdKxmR/couqA6SMjomd2OLmupsEBeOo+6l3WVg0xMPD/TuHXdLXc fafH/c3DeXpaVKDtz4CUIQ5fZs12rM/S+C3uNCCT5o8E2Rxv3yJqvgSq+Lxa5XPRV+cX9WeQLDD/ xo6YouoTjeIyGcuigE2ZWpQXiK71zJ+mIYfykJcIOkAlQV02yfoewSe0OnjzRxPN90piLStXH9e3 7drbGe0HriHusvwaVdIUSuK8Y04watn4zZUIuS7hgRfJl3fUt6m7Yv6mQiUwKmhmFWKamJKkEPSc GFa0Za9ljBJrPx6kB2MxPHW/H47iKML6KJG9gpeH2Ng5y9rMKWnTyRqYajFTjIGprWDNpUhPps1L gMbchH9q46gYBboVLCB5ZNS4MQo8qbW6r9w3tSBUh6sofu9MoAUpgza/iGsRkDSc3wE07n59jDV6 A4pRWqSGOXbUAGGrTGFObY5SEGLGqwMp0lkdJF+sq5sTq/Kjf43LLMvLoVDqCzY5rSp5AZkI22E6 sQtZ7861JwDRi8zL6gstYAehSej7yHfn1iPG2jJaWcPWeT6H68tQbmn9GfhRExnthz2v6Jt8bXZZ XdXabpJQ/XcGT3oS9IuuQ2tt6WICA+m5KWz0TZ4gwFSN+lcZI9mCdzI0rsXdjxgEcdkRJ64YCWNO SrPAsG8JqfZ6qwpNrGIa2x9NjpfuekJp26oyRbH737CbTEtXlTfScb63/IRn5LgLfWexFS9ZIcmB jqU/FWydZl4z6jL+nzyQvQKkar3thZNlAUnyD67Xe5VouA/nD+vP//LlFmyzMdYF8f+fNQGIy5h4 NcpbIYmoCOCv5IW/Ylnu7Jb8zX2/zrBvMkOv6QnaMNRRxJ4LREg4DOZZV2b9kcFuy1iOLdzAERR5 MXfffobto0yPiDiUcZ/zzUJ9+DkLVcd4g2PKHeFRreD+kGRs7R3Tiu6wIo5KRvg2ySgpT/9OPewd mPxSXCDGt+95htpAndK4NaVVJBVvia6b61G1aV1BouljRfb/KdLCJgU9ljhtN7ug2P8YLYyXn8Y1 Y/k/fweNqzjNbghi87AewxFJ7IZ6CyamyjfAB15I/K7qainzktz4m9IMcXRU6VeInwKeJiLhqsVO bD/ZYTB0IJjtXInbFcg2GYQHpcwkBKH96z3w2iWkVllf/quOJbxS7yfCO8WM/Agc8e7cjkTHxHL+ A/pGbmPM7+ryNzyD2HwNX9IBIv90e5lkXmJDA168l/deXQWB3wogF5py5qPb0hrCs4G6nK431moa Pwu6XLzuMrC0oz906o8TF4CgP9zO/3PZ0mMSUJH7hB+kukrWVViq0cyvruL/nCnml0whsM09rcRb RieGcYiVE5gs7qh0zj2MPdbudfGGUqaWzZ4Xpah1xx/XsAwytPeDtQKlQK2m/S3/3s8MKdJrF9so TxkQ1Qxw0wuS3sv+T5hyQPl2NsBz922Gjm105Z0LW7qFApKqVdayuHpMdFcm2RdbbddE5K5/Ut0b tjpApOwy5qZmXc5QN/SmJSttT2SzCnAEp5l/6jlkywQxKmGETPQRGGaC0QJeekbCAp0AYvpdfRqj ud11aKhNOTP3+Chw8K9ZhGxuFF2gUDVS6VGrA5uFKfVc7H+1nonJk8CC3xkhnpWJwnOCI/s5DpC5 gipR/8TmqjcxK3NKvl6UbcnFenqoNgobKKqwYiaDVG26GanxTcnQ4yxVw1erQeE3I7ZyIiWj69rZ QU/JqDp1MPycB31Bub+gqnz9TwrsvJvKjSZcASsyGdRaWqRvF9Q0Pz4leMdgJ8Bxlpc+sInPq85z ZBClM6faLKDf0SB4Lbpg6ZjYtP/Dmc4q4nkYp0FHKvkWuGhqNOsZ0NPdyrB5XVoNLsjsBriOyD3n E7J5eUsklTEbf8070/qNyGX7TU/kc/EWr3YJXCxHgRMAKrcvy9teeRiX+LCoMaszSiA0Rn/zppCk xk/WP/gHpK3FQJnu1tq4Ka+wKlNNQN2az2XVWMh5bt4muNBxJ7gNPK9CEdzkja/p9DpwUR/s6URn tdAeIODZjZdXkMbCFXN/cLEUJWAT4FDBTKVJz/KazrfsG4XZ8aWSJVvDCZKhP8LDivhE1cWjes/z wOfnruFPbUT9UvGQoRG93hkouFaV2O6jhRFtmMrugSKRE7I6mMHYy74ei/06Lv9VVspFjLYS7pFA YbPxjoVUBhpUxzGDdL49T1ZaS+5vK57Lw7g8aiKSbKF1RJDOefxXrxsESCj5PGX4t3JrQZqavmrD W4iDEHujWnhaDADDvAfUK5nUqIxGLexRyfi99lOWw5RpB/FYVX7UvPghUHkJ8j6jFUzi1fvE6X4q gqmDOnU1S12GpjvofUjKArrXHVYZJDTt3gVuRHHWbREyxVDjZfy2UKhimdz1OMcTkxt++lO31fH1 QFeBn7Zw34Vv/3rQbx9Hx5p+3771xwB7Kwyz/Vrwh4o/iX2sXNfZ+50O7AKOYc5YCO24GVGWl+gZ KPNHp+DTHvz4aF3NhKVXrjX1ugYyWDIVG+yp8CzV8IXHXoXZ3BQMUNNToPzefgzojMmMfskJkS49 csXrzd6+yz+kczb8Mo5U0Af5v2D9ZK3qphG61sfFPFmH1x7holeQDf83qqdcCNUXVrThQBbr/py7 qficlJavhg8I1O7TthYe/0NkRVelp1vxzFQ9VsPjmb7xkwBN/qKzaSTG3kvtTiH73IcgEE54kB4k jiwXiB02UNQnJa/MxkkhNfETE4IIviO8Jm6zbRPESUFTV0IVU4Ea323tnsWwFEmymUI5f3jaD73L wt8imHjUFR7GCQsD0tdDhnzsh+eppWujIO3gOY3KiF0NLWTyoTQYwwvMTnxZQkz6yFRCrc4H5mMQ MDSlciCZZgz73muaSUg1D4zwizYrTZPSRQ+CbKeGwdnedxdEbAhvplVHUytUUbnAnftPCNaqyBZr m+PzbYgPQLTjYjDtMLkUKsTDAsj9wHHNGSE5TQoYcvt37mZ9ircFmVmTF3efLoQo3bX3e7ke5PX1 SzAH4gzNZpxgU+8V25SWaSeFW6evd1ZH//NFUHyGHuxW/YkW/x1zfo7lOVC88YKgmZiX8OER4V9p 05J8hfLbV2pr6yeZjqGKPFnGlSaktX0h9p/U4VL4+b16sC+CL3YBbpSPE9+V1JHSEjm5CONTgJVz uZw3YfradGMipVBoZx5/mCr/BRiIaIrV1h5o11Fl6298srTpyqH4TUM7elVyMF0P+YTo7r401H19 8d72/vdRA1DW3lgTkpF11QdNcT0W8t/B2ATwOyxtTeKYM2Yvvp8j+j0RdH7em1ELHVX2asjRu+3t IpRC4B2kKGxGhrUZW0V562EowGKPCK0B40x9vu0NtHMkjiPuqqdLjvEM7TGx/HMUYmB+kfF2GDKi JKBx6LTFQcEk+xIUsZs+schI+sl2Ogog8Gd5vlgMEKQaGcV7QpXC6dLUolIp/8FnU1GKrvmau6mn v4wX+Y5uMQsZzlgZPWEDQgcbWr3odfJZ8Q5KToI98nsDp04XaFv48ARTEFjJ4q2avgquLwbJ+iVu 59RGk74mPSEm8y9HoCNwQEs6Tyl9OIwzVr6s60imeSaEy8nGRlQSpvGJ9gTrhBHvvAtCWhCO+J9A b2haFdR3qAo0q94cNL6/bsHucH0OTd26Uw6x099oSfyMhsjoNcS1Os5yl4X9bAc6Nmwt3RoYa1Nw LTg03rczmHMmRPBekeWqS0o55y8gbaCRI32yq/HSQn/7U+UUbIBEmYty9pup99iG2EDRkJIdG/UG T+UhNyQrZupTjax+3uj5gSGNlSk33agtqYUzW1Xyi3gByKhqpn6eQ9v9cYK3it9/Zn4YANHgKHjQ xl2J9zDE0jV4Gbw+rX6FD5cpRuZM/BBmrhuSaT1QYhY4O0iIfKies5LgPpivxSreOT3UtXfC1T6h fl4wNOXqUufL/LZdosvpntOd/JP/aQ14gw12vV5wZc9Y5ViGwnxqmDJuoI1XK5FZ/MYnMjpSqjB5 9P6edwa8oYpg6moWsAm/PJ7em+UlWqqksbPmBemwMRLo6q123Ff33381bPEkx7yGFCmTauIr+OU0 ri97I+a05B6Xi2sdjXqEG+VFdf4vhk4Sjkg77rpjdpQx+6DWsf1V8wTFMczwwWlllLXEcBeirPBk wSbtjPbzFlhvY1lXGc2B2GE7hXbgOaTU2XasF1kl0+u2Fa2Ne0nnWGoDbo/LYDx52Cyz2vyliTcr K18hJmpWVTNYxz9y4PDydSpfu6D0fkiocnCcqAfro3qLJm49nzKe/EWIwCGkvLJ+h0DvJUpPu7yp 6NtFHLju6FzWOQgfp4nkVyGvMnNl1dyh4XwM277PKR0CvmRFiz8N8MfOGv6qdI4T1CCW4hoL62Eu tcxPKuUNx0uyjMpo/MKlRJIwkeninO1ZXAFeT0KSuxayria7hlBbxTPi7FOBpeawv7rtXGkPRYEQ +oVyXHKAxqwBIRplvn1f/tCLDxF4UZRXr8BrdhsEQB+oiA1lAU3HhyCeLphjvHwjNJq/d6KzLAnb E0syj9oyx4wTWR30upCVXH0M0XAs/a62v0Fx9FjAbQ8WtK0pZTiqpmFx0juDcLfDWn34r7xyDN6S Iv41SdFZ9ZgeUzM9yK+McKt24vtBoliGNyc1lx3F4FhDtQ7pVnCunF4l3SdaCTCSu8qWss7r9KSq 9jI+cHeVVh0iOZYpEHywwHvj44WntWAoHDiJ/eR4d1jK7Q9FNW3drmEESB5nX0KkCz7sa9uCw7bX ZUj3BH6/HeoN5Um7dt6B5e+3/26SXkynX2ex4bNIQPAPzpZ5cHwlMlob7lV50vlbwEyVYjbU7q+2 xjjiTeAghYisZQFv3tPuO4f+zEQ1KOdvPY23iYnyaftgKUD+oATds3uEif137d4vE2M+gaK59Nj6 sUA9d7XCvOqvYPuIFoRoOVq5AABGfAQe3yIdcdXjpshjihS/qQk7qRAtHkw1flqwDVpRLsS5gFt2 YN1YfhGp5laW2gzBcVEQDjpd4yW3J9aYhd5tRJi3Jc3u0Kh0S+/OagVsILl1G8GEDatLBr3t/yOH A0lEIaS3Yaxog1EPiU/CuRq9SWnGUj7h5c/aIyo0uXpnSq+aC7QZEo4QETKdvUse5Z2LJ6kt6dtJ 39UAGQjy56L0UrZ4KuU8+ibkG1fOBGcEhK/NPnJylxy8PbSczgsfXZYYRk0PKtwC9TGseUGzmkHG SZld2841ff7rbUrqeJzEVjfK1nYiAkkoqW4rHqIRp77p/QUfaG7eqm8r7+sHzKPuNPusJlAgTapF SxaStvvBEqvEyOcm+CF1Wv9Q7/RVhKOt3dOnw1eC07pVDmsGWUSKnPsAnT4F809RlGfc2ZM3ZA2+ 4J+y3+/j+63ERxKG2UwTSXZ5PeKXumpL5i2lgtx2dSLVbMATWzKsPAlYnDBBKtns4YYwyRJjOQrn MjeaK5Yleqbf1iMWtTfogN7BOOm7ePaLQqq9qsG301L8QxivGFAN5Sn8pQgFfeiNbZ+mXBZEmTrN migq11z/IQyX9VmUgSsNbHVjBWv46zrISsvO6/0wVVSnDTlOvSggKKdPWStxe/juH6Y+PSkaHAvD FY+BGx38PAABUz12asmV/N03B4HLT930/LDsqScOhpdkv5hPDg0D1Qu3x4ZTccxR7NQCa6bKlGmR kzCTNN8BNWHB/WOE2TC16MPFN2+bGZT3WZcioCAEYgqWsrGeQCw7socLxf1vNkEQSTJtDJ0Mj+73 la5maiiViV6hpqGm9tPGoWFxbaBaIiGlrG0DS/GAHQB4Zn6rYrxkTnwma5IlTKUaEUQkVx1+z8YG oNRpuzK62HwXc7jOR5aCYc0wOIVuICnsXKeY81FxDjRjmAXMKuPMQyaK+GYroJbe6zfMRJqEmsE4 V/xQgAn73j93DmykEfVKGM4aUmMiWnLkTlOb5rHhj6rbrPZJsOVyKqjVM7X+R/9/scxSVjoiPT8/ kjP5FKp7lIekwBPa2GXdYdAjHJaHTZZQ40S3bl8CeDUXBNPjGow7n0LWY6UnxLNLZ7d51MeH6zHl /9eClstRgC3hjA+fIOVE37SWMHJ30nhs3EXFfB/k/IxMGyB/N0W0KSicRmWffe6QZ5FPKmE6J0nA 36ZgHC6SBzuWkHroaGocz/7LhNvBe3bt2zbDYp4PHAyLUax8MjGjdFZHCgHoV4orvQboGkhNfwt9 gVujDsP8d3KZx7I0srPFAFJ9LWJwrORSCOjjSsVe5LuxDRGrLXjE0z/i0PEw3k3nmn8Sj/jEvCUj CSCbwuJxOz6tLP1a4NxrgtHKu71KmwCPY0VST+6dR+1/t7fSH0PWIKKWLtNEFcYIKbfsRfJh5zaS NE02d2txxuRGk7KmSHniaP5pJMgHs2bb/+Ba++zktX/56B4XkSEABg9oYHxcEesQMXFdQR5yVtjk DM1gwt7GtmCd/SuNUK85w5YH08K51NORSw+bwpX+8PO4H1utQRxaQ9JN1bZcqKtVvn8PwSVohmJb SbFu6Wa22t4d00LVcP7GEqd9Dh5e44fanxipOW4rnE9jvo+308O2rC2w1kumxGPKOURA2qlhOmOu m/tBeC1EbM4remnKqrKBx5A1/PyhYXPyRmBUs6IraAop4BEqsvNLKOSXWaMbfm523XHd5hDeHRpL MLr34xG22v3JxtfjTvN8FlL6ViLWgsZu5XL8/h5xeo8qCVUIg0NXbtAKogD6CPLeM4mkecngxfgC 6JkNw54wplaEu5YcFXDupTCFK8GOTmV1GB126oNRYgN5vhR6Q1FJJaSXF69QyR/g85yX1RLqjQrw D0MTmVjkhKSEJeC76FlKdgyt01lBHFrLS67PRwMYOpOa3J8Bv50xwlOKDLbiSoB3Z2PtNgrXBr6M +8wsjyQGysiTpfBKPsiKKaHykBZkGHJYcrq0PjxfckQ5+4FtdLjhtxTgL1iK/HrK7PGMtVMnLxsF bdn4/xqNvZ6QPjj6kVyaqe5KGPaBl/JRXAmrAYMX5Hcuy4pBbc4z69NWmMZvODgWv8Vvq5c8dT/0 9YsSiw8jVjd1/tHS9DJgaR5nsGqBfPPIz7nnVu9QPOEL9LoIspIFGUnNMANl26upNcww5cwClGlw h3dJtXX1Zq5UzpN2NB53Z3s2YKKqaQZV9n/y2niQDS7+jWjTe4fJtSbEZJNGicIt41IzIWgri+kC YhmdtFvKC/fEbbOziLwx/VREIigJv6LgRP5o4qAo8R3HvxBgGHY6AQVtWnAY6ZUvXdGVDI8ZeN+9 bm6I5RYdr+5/2PNv/EUlaLP4FUv/jd1eNj4t165y4aTRGoY9NLnAPFtDzoRjNeUoA7mv36kn3NTB YDBW6oa37+FUiM+yoLkZgjTBx+8Y09tloUnH/F9AqZKuYmPXsT0QAav+xisQLLZ0jI1B1ufJx9fT dy8yfiFWHcvs2sVmAo9eghkwsotARwMqFlPlr5AN7qE/b2yfJk+Vc0HjQ5LYTm1hitOuOOOhrnMN i//mI/wpMYGb+60yPSDlKMGw5bV417bT+cPP8Ugosw/5ubCVE7veKJ++s74hHbCx26MdPtC1ZX6H wgTYJdFZbJ0fKipPEt/0lyXOtJh2V3l2uQY95aOAieKFNzsfwKKvwUCeJjGBY39lE+yoGJuOT9Jc 1CLVJ6ieWO3B1wQ4utqFpvndfwGbwta4RZfR+/JLqPdug1mnuxREoviB8pflAuNWj4HsG6ITNkBz zBTxBX2fEUpEoMygmRUZtcCFl87ncqmYLxwE85wEtppOJsrp8F/IW9TJ9WbuLNhlVCk1yTFbsWj7 Y5YJbLqTEpZuRK3mStO9H0e3gCfJYygQL2PFOHL7adQQjOtM2o8wR06gx7PGF2AqFQfS3jmXreeU ei+CnUA5QOWrwrphZP+95Jc5zj51NqQ/R50Bv20/vpBgcadxyLaW0uLyWBR/Jt/rRsImiBPbaw9m wGThCRr8AobNU2ReJaCsCeB+nn2IMzg2i7Ge3xB1EeX3CPtsTGtdezDkqQQqFqPUsKaubUtOonMl LjB1M41+dtgcqRW8U4u8RpaiwD4584hxxKWoZLxjMrpjSdYN2y5Fn026kqEGNrJ7pQG719qL20D1 im1HJa/qyt4dw7a7N9M0YrHszWlA/8i07EzkQoU1/aDWPpd3mbLiZBI5803xrq8VxLFoD2WPItWX kVCGeUSdfDKmKQIRYaiI+EP+aVTUseFf/2hGiSRtS37nVxD7ZDegDkbMRbGTJx8jIRdua9AwR4C6 Ve6TIwBrwqYlxckqTXa81JNsjWRoAmpd6Uzs13KVLrC2mftBqfxnSaX+zHsxoCoMBFDuYdUxg562 K+43tf9fBYW4qNBRaz/J3J+yplaaFeg7Fnjbg+GjDiGeTK3nk8cyjxvjIzsRx3bMoicQdWib1SoP 7sHT9SseCJbmoF2fqdzYhwuAZuYOKytjNwm6ch8cgPil6ROnlm02kKPBtH2FwP5cP9mGIxkpf9qU JxA9120+2F8s3gUM8niUJacIxuE43ZDjbEvpfE0x4u2Eq+Su5MTc5676TzZhyeI1bV/bgzsSivuC H8kHWW/rlnXFSTUz8Pt36QqHLv/vsi+jk2Ss/vCAugU8i4fxZLHB3wJMIAUZOaSycKt7P7uvLL5H fnzkrBbayZVRtr6i0U2iF6Rl6VkFC2kjRaeWiGI2R7mUunmxzxYIwyzLegJdX25f56L2HUF1Yv93 Mt8gVM3490qUnS7vm9zQizIKRdTEt7ywB4Ka/Ei/xylqp8gYAWJwiebbzsGPNBpSOYVVQL9WVq8L 0t+iJaIaSxO53sB17tVwGvC/kioo3FD4ExIdK8crZM7LZXxvK3nhg4zNByfzX6yeaGlWIW5XK2y/ HmkH/DSEZrjyqwa8toXRnUYOb2aZxDNLNNM6+hZmi25ZnR/SUigzsaGN/ArNXYerDY9bi/sUBwqh XrLorkI6I1xCjr+SV6FnQRm6RQFBEKhsn2emzhxEFAq4s3LlYKZNUU/YKUzTM3SS9Tu3LRo1Zuc8 +n1SW/qoAZwPpeez4v1mv1lWCKHW78ux78Ou8loqi30khVr0aza8nFNMmuzR/X49r9ITiMJoHBz1 B62MO3KFuZbzSDA+qbTa5k0FUR39+zUKqPXr/DYE0kP27ISIUqCV8eI+s4QwUL9N8tNpxNFJyj97 fwSXqrnkAbGOuJtbeOECIpgFF8TqY0mk+bfu/uXwggxU8bMyzPUf8Av0SmyCElkGF2kEvuSLEG8u TpL5t2ooyRPhTTBg1uV7ADoFRyHLQ+wc8ePQsZByZ/HUY6VEiHHHzS4amvalt34xgQq2/tIUz+3S KBcc5UvcOlW/2wJgTj2WYP0TzxvRKT2lWSCqHRJMpN8itGgSTP9hNLzzqI4+XhmKD8dpsNlpDXg4 HDTkwxnZsELFHkMKhcyg4DyGlXr/phI2tD5n5IL/z8OFqUvw2LgjDHJKpGJiNsHgfh6jkOVTPB/j 5vkjMFPqdcrAwZIySGnnQKANUYQM7wH8XMVtLJ7Nc6PF/hXcY64j85TzYEgzaMrXRnfL7O4DbGes YzzfnvP8o+2OEbot3wNsTk5Z321N2Zew9q/tDfDswvgp/ZvC+hzK9FHA2SG3YGXUP/JuJ1QsFsSc 13KggnMVEDiqbWu2RqA1VyCzNUqfC8rdBrFm4WZe+RPI657C6m+ZKF57IIaIQxmSazUXqYQp5J0n GrOQF501GWCuJowJx4hg49wx9plb4zB+fpE7sdWqr17RJLwdURlXZzsuI0NOVsJ+QmZwF76qbZpj TJV9G94VfO13S2IikVuSWH6nVmEKVF7/mL8gD4z6SxtpWMqSh5RthpM5fJ5vUg3RrBVYVNRlNm9R Zxe9G34BFf6wnif30IatVHwgp4D+AAh4DfUDyGEbhicbQ2eKOdepZ0OjsIfkrwD4R4/lZNfApjD1 CKx3NZXCdeJzZVlyYL2jOPU9YrwjE7sB8YmennsQZQ/xQoyvQkF36ShlTjFNKPhM3n/1vDo5b2Oq C3TTGrXdDqzc11GJGTtj4Kh9AjvL/dSNq+kgcJ0jQWaH18H23g8JEuR7tWhveaGs7VxWlzuCe+IH wqUUlu2dkg62rndVyEmEDo+rebiht6WKPo9BdRk1RSNDQ4g9VK4ui6+p7SLswSAfpEfhUOkz+tzn oOhrPx+sVjXMSXSFRnjkLsiUAmCG000WFvMyBtv2jsBvdD58GxqliYKfEx6A3+eQra3CNpqZZHkd rgrOFxvroj7xgnYFbfB3fMY3HF+WQql6JLZHITmuu1R+lRPEgfJ1zZE72YNOgR+4A51vobOiz08T 2qUU+jXYnFIQ7idcrojgrR4jg2O9UdhZFlKhiahQVUn73BhQTbbvuFkeBzCwKBOzo3Or9a2uEAqG RbdZQ5Jyutm37j5YLgcjMv/wiBFv3VXxVbzCbjvcZE6kq+xqqnaqjLPosvsTwRPlLCb3/Ma1rJcP T4VWvH79kvd7NIcjA7DriBNcp4PfNBrhxWQebJ7vheVE2Mcq+e8S7qK7ic4je+64O44Sd+B4x1Ep EZ8A0MKSOn1Who2sZ1avRk+SiaLi/Z7PLm4tbpInY0Neo9RhD4E/cSj+f48oMZBocNTTNj35f9YV uoPcDcYg5LGniweHMvZ+DDOcox9EJID4aFfO3mnDLQ5taBREwMcfsSLPPi/nXaFs3e5MW8ILd8dO 6BtJGacM0yJcl3oynB5b/d+TD9NMcdDnWK40IS88lqLf/W3sKHSHxWRoXPemh92cKgkdduk3wwoI G/sMCAddv6+hvYkrnJ38MZotHUKA3s3l3ZRC4kkIw7I9wHjvY6HWgXRdbZzQlXX9KmKDNPUbYydZ LAhpRgeX9x5Ej8wd7yyT6q7odblXplA4eQXXwECmYxnghkWSX+bTtrzN1/FH/LgZh6R9hL7cYJhQ KJ04PYNV+Tw2LX6R/xqdwCdknKR3pXPxt1rqR2hH9mOLuDtcdrlYS/rDdpJVp7Ysv31QAu36BeDI idnh7mfjc9eOlZw+iBJxKTwTmFk8WFselzsE8ln4/q7seJj5MB/RAOViN+IatninNKHtRfywJzJo ZSaL7I83JJOdJCw8vqjLwcpVCibLz03N3nhup4HWqcvToG4SuuARnZqnF6VRQvlO6deqW9iRbUha XFuiXVnkI2FSH2ZJsAX9IbhQVJUC1xWm5Atc6rNWYjrv+A/Uitwdlr7vz7u2gC0TsM6UpkYorMFt kYCQikkmrChoLFXf55M3K3EAb6txcHk6ExSIoyOdFhSSI+HqnBTFPGix/l6dYaqf7HIN55ihbKSe 66moaNrT3yB9jxzEMuLfTZexxOXmjU4LCn2V/fmkNSGfP5nBKO4th9DpaiD+9vFPFBzL1JtV1K9T FCZg6CfR1TcEhc9XwxxQjSJH+vixPH7FDmFLrEuVYcoeUH6572Qid24id5a9oVcKdYecM2+gIX4w fjhzsFmj5kR2X9YwLdYscqB7RTTFZSDn5isFJ09glc/1qha+IGj99X6NDRZP6lkPq+9/M38i9Nhn PYAR+9fuvTclPXk/yWIkHN9XTycP354Ubj0DNy4ReoOmpHyMAFZSnprMUKB2HqHsF7Gi8bt0FYcl BT9wj6nQy3oWVL/yBwSoXlgg0ff2fgD+IjXmW2xAj4guDhtX7U58LWzal7mR76xdTTM/6Uw1KeuI 0Fgf0vd7/opRNCB95lFirXaxFOpfNv1FsLiamt/VcMsTPaX1Sgxq6tRs83s95RdEqgh6VAtWGyYA fGe/+D8ojmKaLmLvDUOTu3XkF/U8Gd1O4vMQpYjROemB1XrcC01PHyJVPAA3Y7yF39pWuo58mjaN gvzjCkgZBJoipOvW6hiBiNDbSxJJLqowmiKIdgj65uVNhJk2fj30JG84XxnYKolV/gVkYb7F2wK/ LwqzxEfDAY06u2DGkyGvE3kIMzpWSz5kG3IEMsmI8Y9f1xqjZjiCTGXeaipqj/ji0ebLa0DpQ7b0 hyxCfwF/CZcAh/UOpF7qdPW68N/tNOcjeULLh5OeXVk+kYlIqczenG4fuXe76MR3ixf7gUcKoKP7 Ta6AC0Vqf5LdjH6F+Q5eB7j4y6Sn0QovydMe6Zv+yQW84bSGkDZrS1c3F703MqYsd060x7W0X8io DEnIeWLHG5yDMMUXz7Mhk+SsTLYNOfs5ctuIyUNZD8QC2IayxDfgPMlToLtrlvNbSbEr4cmxgtcQ QYET0fXgq+nRk7IBGHj+4jnly7shGihGyQvwFdtYWW1fhV632XuZZoKH+hhs2giLcVq+76AcNCdy mj9xsIzUrjM7dB3DzHOCKTFLSlwMmYD1Avb6y59i6f/Jz+j5W4bLNtUDZyjBniwQkcsy4dxYTcJe J9Y5vo6mF4M1rgLDPJkL6XKaFPEnlXu6WuIOvDu8nhL1palmC/4LfNOz5tDEL9NgYpZj+Qs43zvH ST/VFSqtdg3mmyTaqZlISOaoTprRPIAUVPjJSpeODphc6bh7UsVHD6lRHH4zYHPD3TackV5Sr8nL dwjbAvH5yTeKEtul5DiDgyLRIQHpyLUndPfUHbbhRH0fbzHZN11g2DzXjxQYte1VdGw4nQ82qp2z tP8JSK8InRnnjvRRnaUK21F9Z1vZ4yKMZDLoZ6i7YLRmLBdRl7C1sVdlcjUfu1whYps9KhECk0VX 5b119BBx8GmxbBwI/gLuh6PwK45yJHLykCJfuzcnHcoUaFlFv31OLucTxemvq/bznQ5TDp4IhRLb 4zF1eDbUW2Bh4d5tUAp63BTTwHg8S8QfFy5yrc3Y7qaoC5lNiFjN9oDSjLtjRbnhDYTcjyOmv10g UdF2saimeGDSWXzy33+VMuCe/jcCDW+ogMkxhqac1S9cEY4/5BTfOTNwfZUMmuY9iq49MimkceU3 /3M9BaunFboF2dINEhwtx6syJ1F9+7DdDqzM8FIgNd3FuEOy8JdN/DNQqyvuSxfNfOQgwxbeDGPr B8wIcAZzhlk60cxqXaNuddXMf5I+3kHRvd+FMNMY+SoFJ5sn1708Yo+FJZJeln2ifTZ0HQG7eUIQ LqAT0EH881/z5gsObhgvhEeJ3jLLA58BzABgVs8VYw5ZLws/sJ7HIsuO7jhc/BYv5gzqLoZSe3WD iDAABKx7ND4uMkzK+O4ff/hJE9ZlhfRJeS4Hcl+xT9Ly9NWzNwN0xY8RMd9uJTGlqTuAr9yQjpX/ EKtSAONSxwlNtlBXNdbz1cSt5eo2uGO2W46BlQu57qjUo5s/RPj7VXpHsH98OUghVfh5boyBBvJp 2ROyD77HLsPJV6/mbl+8IhKn4hCZgdN53OrKTFrUM/Ge2ifznrazJ4+ZG2uU4LH4o5iADfbfc9k0 NUnP2uJWmhzAEnSoQeDO5EklqEU4nBtOr3Zw+OBpiiY0PQsOyG1i0FLMO4KFG7AjmP7TYkJtpDrv XD0whEb4PiMwtuqGHBnU3ib3Mzqfmm5SDB8640Pewo+EL6jBXP8IkcOZRCCnKlMF9k1lEInlZKvW 0HXi1fNjJ0NZjh2oLX+xI4nm4rRvZVxmnDMn6SVN6rnXLV0m2kMKMLJ0cxAboM5IqWJ0GZiDjaRM x/p15bufn++VRaJoMz9QgKErVkpUGo6c0HDoof3ifnJs+/l0+qjFkX/EZFmhsvdzNYPHidVpl8ko 71R9BEOReiT/sBKcA3wiepBcBLbhuwGPp3geOnHeNLjtbb2cXdkAC0Gc0ICsPmOh7UrtQCgs9QmJ ps0O7fFQheJmhkGGlScdNI/AKuQxilpD+65OA6+3W66Hkiav7p9aJ+CtM+EBss2GarhagMeJ4gth NXdaRy/gEvJltUqloKdQZULLxHx08F5hhh7J9H5dUkWvMGEen2mOkjaq4IyzWl1TY4KEg9CdWlgf pdfAyOi59ZLVOJImFy81f9ofqqSGCnatr+j8gRW/K2SVHOkMktvGsAn/87UE0pmuqV2FQzvfyNHS iLg4xD42DkLQjNVEwU1/y4Ujzyfvsd3a/gW4FehGWnBSDsbtSMIT6i6TtdFAXMQyE57RBlJrU9nz ZcWOTUrsWzCZHPBuz4c6ElW18rIRViDNZ2dgR+3mz5Zt4VRTEvzE7PytoS54B2UqPYNd0aak4+vX CW37cP+/7MKJM0eOHDNXlbiYB+6fAfvO0w86qhEoTm0YIH+uJp+Z0NdlNPomeLLZbmSTUPtiqI32 rEUjEGbFfKLslQdJxBJwdTVEwpuc6vSMaaI1SL+C6zxVYATjlnbrXbGrCoJ7wke2i4LUmHAlEvDQ mA3E3oGhbRGERSCved6yYzTpVq9lUQHidFH5WsiQReABK23Y6TIHZ33NP6LzWpT8+0t4XgEc+iXD IN88F8zyGAJYAeZYC/C2iRBMiBFRhVVLvqW0OpegOzZwFy3Ruy0FfgJgiLOtIkN4ndVQRP33lYXS 6aIyuSrYOGYqPY1vfcwrTzPLuZZFB1IyijBM9tTsRjaHu9GifA3H7vb80/Z5CnWTUjpLw2MWSdbp LITrqOKxvcXVLUbqlxHo0l26vUj4fRaSHxDRpBvBhDTQ70coXRHQNLOHR7NV48bu3lIoCR9h+Fpj K3CDxXIyvaiemkELl46jgilA7vT1IbseI7oKa0ON32SHLB84q4OrmnWPMnsawgVIqbtLwSfbqM/1 tqQFXflTESMUAC4T0WXeGCON8wh2UbduQ2SeZqcQRAh2JenM6g4E5l240gnqZN0jefuH1gAO1iFF hF2mV1k5UAnuTx+XHrflrsMCxp2Sh54QHowDKHwbUiUixpoopTrLN/pTHfLYzOmx7XDQ1Af4hjc/ MfWlAo5yjqzsCx/Dn55dEGzHjru5eeTsqEkAuyDTNXlPPg5LZ2djyf5EwDJdRkhWqQTjdM3TuJX/ TRN0XXzOlmt3qsmxmM7e44C9FLe0wyM9Zb9qPcnZSdGxr9Uroqep2SGtWJqWBhP2KAk5n+J2eDbr xXgsHTL8b6NRleoCgbq2BDiMzR1RL5t461ZYtX4prAdGQO/HcPqUZvLnDfZB81LFDTVo7Es9MLG7 enK9AZOiy1+DJ0TeE3mwpF3zW3wu17RI1DZ/j0f3XPftw45mq/af8OzyUbZDKOzauoqvCaASZjlA lZ9PMGBJaaXdKFUiw7OcTLYvKHPC4vW08lMXDwdlqXjilrbrLAje2NLl2qlyVm8t4M4Giftj/y/M VXQ2EclzUKxJ5ZMshD9hbHzZib02IdpCSCfXK4ANhn2f4Gmkooa49la/KsHmBklHONXh2AlaKQCO 0D9KSBILw9Ek4jFQwK+lBBOvRCylvyaskpwTcK5WL7LvUxntVVT2LXoCYjeeANsnW2PkVlu+R5nn HqT2/hI8Ok4Vey+SNldm8Rg/D2hTFNREvPl+YIsVo87VWtMebifKFaX4/Pnmm9fvPUhMiYwhYr36 /WiyyqYNgeOYK0W0P8FKGA10GAHD7+sIpvvfaNZZFpH2CrPo2nGV5YXwFuHri7C1aTdiOyus9tVG r1l0o4KP6MNJGplQ0gCI7Qy+u9Yn+BDGmi24VdDU5uS1tmS1837HtBbzAjLyiAOYt9/j+WHE5wTm krWiw1DElFBA7W7EwfBLKlbfY93hatSfkt38w5R9/BOTOotiGhXGJNcXiilz0HlSFpw91JdKHPz3 BDzUwAM99EwRkFQvQmHcm72OL94Q/qfN13zTL3FsfQx+BbOroyKLf9E3NwiWiUN1dMmdkWhSrdGO Uk0ewgc+Z+rjXrURfm5maNVm4oSlXu4zIhHYVlF8LXyOFD0nHy08fibrcg8ZoB073cRiBkJinxuk jkK7/aO6QEizj4KJApz1Pplwq+PZ57adXl4zwG8jkCq8QC11gzy8U25+7RB5a7M9n1tG8w0VaPFY 9ExZbGAtlJ+VHihfoueQZQGWQqgR2wcQMa4WzVFMw9b4kx8bYC8mLkq2i1tOecx5zX2obSZpmEt0 qh0tFkM/qx40fNlIRO7gl/a87fr8nZpws0qcdbB1ZU2TfJg66s2mW43hexP/J6IUHf4tI9I8inO0 WqOxQsH+K+xgPw+dSdbr+1HT6aG4X96eHjfLl2tJ/mJogXbpapK8RwK0LrzzI5UODrtHcSq27zFI K6K3JvGxaQCExCLxmWck5aw2jXREADYXrXPjwVGQoRDPzCTxt6n/LZdGvMW/9GFdpE0NeQ6EA5R0 k4X+jcqBdGWu6yVKGeF8/+4bDgxp4pZj6kwvH2UCLBacPLUMX45wNDH2vydSGsoF8hvg0wfaattN ahY8xXGokK89huJPnB4FIfCa3eMsGDhMDHijhBNrUL5mRqVJ3yuVAdlcM2iXQE5Kufaqr9Qyw47M +SJax7fCsiLz7uL0qYofBmbvOTfp16rOdigDMlO6Y2dylamYNIE6heRL5fpENfIWjme/4iN/g3Of J7h3VgX0FLnNOF+fZ335pP6YLqPLWomvfq2av2dbLiQ6GPtVDpUd5UV54RXQLnSDtqyswzbHI0cx hq2eaQLIpCDuob4HVCmOU3+tWu1l6wAo5DKuoky+5KNzRez3S3Ro0vF2RTkpF/q9Dc2iMO1sCzqH TXM2sw+8vdG4WppYWROEiVQzHyNgkL7BqB4/0RRH+yoKFlmaQ7+tnKf4LQY5dqtHm7KoKGo6p+vr xwvfA/4GDqJoJbeurXwdlSFBOquh704b1RbrN49Vmi0LODos2rtuKDfQoiYB/LWWzodpG1UieRVp LpTzfqkt6j98P6wlySNcDQTj2xaH6ak3VQeiVVc2rGFW61YoifaSW270CyesSc29OQIvC9hqrH4E E8CBpDxdK/yC968iriYaVBTUG3/JMy3M20/5Fzh073uVrsI55YEEigfX9tYB3VT3IxYjRmuhk1eA Py9AGaHin+8qoc+MNqpb7hP7UD5/WL2tycSRIz0KFuyFsoZ7KKq3yQpMM373OG6a/t4DdabOLtOv 6KO9ICrvu3Dz98Sd68+DunY9eORB1/fGmNfuaIeLdGcco9dylftgx4R/g5p2VGglbY6oYj3rjT9n gCW/BW/tvbPdaAAc3WERPlyBB12g4EgYRraAjGNvTZRtB8dDJwI4bPa+GR351i7sMiToAtrBUADR rRICYK3UlCHAuw3XBG26cLUFQpUvT07hxJiW+Ps0vYE+BT1RQNK/101+uo6n/vZQ2CmveqYP7O8X LrYbq4OHS62qkURsIOiY9Z3w/g8CTU21caSKhAIgaMCL2UGG41bYCNRJRDH2MjN9eqKF/6UwBv2B EtLsPYKgmxJmHrj+jKVrDzzcAH5ZEkN91qSLf5TMcZiC4lUPAqJxhn5L3usF6uDsyGx+srq3A8HS WVLaFfA3kD12CP8VEZIIUqelCtr/sfUSrv177jzq7/c8VZ0VP3VQqWsv+Zmz9Qe2YEbpQEmqEme+ LUHg2vOrOV8kf6e6o0mr1ZydKXseAnZYE91HRVIJEOVzxXUpHnyFDlKLvUMLqx5QPoaZeHgZhArh /EAI7C5pnhKbKQyspPNZ5P+J2xAgQWihAmrmUBpFzXoDAy7B9jWZ52u3kciRvRlr7tnblsgQpgjq FWsOebyzOPrlSfFjKyDtibctNZi27ZDyRiiaZxwihd7kKUGzK0Dh92tbgoznqgTNcz+z/WL7hvNv 4df0GtxnE444efxAisPEhVGD73cO8mh3xMxHupGVctR3UuIMXe7Ri4uC1CdM2jEvbbyf7xZsJmmR o0fohh3zaEOTOSHlIxgMn9H9b1TM/mEHRrnBKEu4/K6EVGJ17xzp+uKrFvECa0gdA2O/GLJWIcBX L8RJXX2l1B8n3t3cs658PiNt3Mu2VHytMT8XGQHavJeitD9HcbDDpfVk2QpWYukVmhnJVJPTcLiv 6bpys+p/oKAHuCE1qHMiVYd0dFOklD3EtKEao3CsgaaiQ2mPDDLFTrcxZwwT0B6ppZw8u8U9JOuN xyDLyxKuV3vPtp+sgPg44kAvSk7fW61miFBmjzMSE2ImPT9XuupVzOPAjaOZS7lcPzrYdflu4OX0 nyt3A22DdLoe+8TUME7+Qnkn2cJu5++t5wh31oYGE37nK1w8qvtbatI8UsI3xHn3SzgNhHaOaUxN Wyhk9dGFncIUudNNFaaabaQOhphsW7F5GQb4T/5tUNWywx76zeXcSRKDUvN1iJir56wZW9yZ4WVd R5eFWp+o0iF49rAzn7f9JTM9ZXmOL0LEPvv4P6zVpSLssx/5PmvFZSuA85BOmo4zU9bfZ/KLzrYI /Gya8Faixn6Y5Zj7hPtMwPc9+C2BRcXIONREAjaoiIu5DIFQhN6lTe0+I2CON6lymhQQBoh4KteE 3+bdgFfRIPeAnca0UgVBBfRU1MrXOGsRxTTkN5Sr1hsjh3Y603rUpKOLdGxw7AKuA8AHFNrvL5oD Ixqy/7Il5uRcRHu4oGaVG5wkxJTAk2QXpnHVyt1iA20y174pnOKQr/A8bI/+NEMifTdpHn6Webtf vBAxXCcFmJclMe9UI0479jH8wnVhoeMA/DvcM+66A653bxoCZjkxP7ilLtEeWr0Ew6PJzNJgxdbn WmBPiEh07CL1mgFl0hI1s0bjDJWohiMWEUWhUgfA5jYE6egyy6v5gryu8RLPYjVu/I+sgFFFTSXP AM+CUgiUDlHPm6Ja/8ZujPljds/2R5HX4uHzkUuB8sEDrsmLb83hICyU4V2iKPzVYln1bengguwx 6W0xzYMJPwxZrvnT3Xh1StmYTHHkHeQtbTDZ5PfrB2HXtJsruTWXLuKrOk1T1NbR0mdGgwCRKo2V xN2ITjryF5ingJ0ma9N5HbZ0vEnSa6cykjV1Bfpr43QipOsLy4xbzORvXikemfCVwEbHV+rvZYet zPqX7pOj+E7oPl822SVqdjeU5Y96f0Id8Ah88S+jv5L+WeRLRqkrJpTLwA6679uCQ9iUaHI9FpOq XGIaVYmew9k3Q7C8OUGDRxnW28smHE/5R9EUO2uHDH4R0cBjIFpE3fpipoJBRukbAgvGLt8lZTyy 3mZ4TCK6AcyZPIwz2/pauV809vowHXgd42N2Zxv9ndpVIB4seYMrS8ZHvPqsNXBNqu5eTuWoPmxp 8McVpc2j8CCl0Da5OPvO87j+HCGkn6UHpEliZ2btp23q4mq5UocHXvq2eRBlpZRQe4xnmDxpCTiF o5cn46FEv/LK229fxynb0+kwmV1jAHS3GSVRskPxCUScPrqHD+t02CCj5J2UHrwNwYi4AzWHOg0g 883uYxlur9PhR/oNBn6HP3wSA8yD2F2fUm6aVXxpwKbwFo3fE2FSHj/QhC42HF0aPnKXoMl1gGUu RsrUNmIn/FdbhKUceOOX/0r9C8YkYhoApPglItDxed6CS6kE2kgGNrwGtRktKJEVV4bFEwdA8KJT tOIwO2c5IyTgETTc9z8WcxIMPZKxCvndwj+1i7Df/eob/dxo+t1/d83bjAGhhMtQhOsnK8bIWq0c AzGLeWnBH0PJ1fNuAjG3lFyH77tWqZT6S5yXw0DXMpsAVbK94X8MHuOaCO1VGcOSQ1WZ1zaEBs2i vmKSJSNsQvuYSvV3Gfk9AKTsVzZcybkM5uE9BgnqJ8r+Bp/JT4b7I7oHKXoIqFmYWf52BC1wugZo sY72q8UPPXNoU8eoxBJAS6D+AKJzBJe5tH2X69xAlob3e2ay/Oil5Jy9HzIQFwAbOn5SPeCYsXBc GM0JBU40VuErDB7Sy10UWAp3ZI8+RlOWHZpJe1t7pCi9BFFq03WUND8o3Q4omcgVPlxt+7DpR/9t Q/RgARbB04h60Od2r39LmXvNUvRil+6oE7p5JxJ/BQnGxeKYgmjwsSOY6bcPA4TnkBnfUcMMMeYr DBPEtPjX0iZ0lg0T8cO6W+Rc/OBqvzPFvgYmgq6xPZ4zEKHpJafuw2o2RABuS7eltOkgXnRuBxkD KspP5SBY+KdeQ+yQuV1T7WxFKoBNtQsDTyB7u7GB3t4NJuDGCgf0I3HsD/1ZnEvh+hTZx5I9tLkN bBVgsrdlrHoUP4Mq+TqtEiSnXOJTcjjW6oOmYe6Kxkb2KDdluAEfV+90XSwGgU3dO4scjSNRwMVU G6dtynNwbQuySnRfJolvigj+yQmzxDmv/qynlsnJBSfHSFOE0uOVUwLm5wxpaFV8wtokh7T7Xz2G 3AOc3tT5gvPLjcZ0S7Zq2ZLFPAWxoQCzaa5T/IKbJFvSorUHyRkx8h0AjHRGsV+Zb/Qmv7hb4zXt /X0FE2x14QiOnX0s1g9HG5DXee9gdpkSSWNDnAcrG3mra6HRPXyoHEwOq5yvHynn9KDMRe0G0SRq F+aBc1gWfeWZrsqqhZjxvvnkYge6+srRd/Q8VGJSY+ZoXSqq8G8QkW5AUQPLvH9HFcFkI1H3VDK/ DOzccl3iqTFuhXt8+pCFZoFA35P96ld7lukyMJmTM59JDgsPIkn4OrlDexe+lgjlFX1TSMYk2Gp/ UL5eFF6GW7xpFPCMr0VeBHC65MBrjaIngJG5Q6XGgsJ+VbIWCzUGQ/8dQV1Erqf0TYN5Qzsf3ac9 LwgDZBqkGUQbuRxe8RckEhOXiWeztEPGG+Bchv0yAdndMK0Ws8x8TB6c030i5h36c3/k5ufPCfuA mxqyl+1PzFPJVj10C8EEGUVV0HN9SzFVPNzVVOl5A0zKMBUbfF427/W6ppWGBxVT+Ts6/vTmPXEk HiWt5s4azYx3a0zS4nJpafTKJP/w0QUww9EHJkP2z9xImNqMfaQ3ErwDAlaSMPIwLhIRVo/Ei8Xt 2NRgDGTiSiKyAi0MEAf2eQR5UxpslWXTZEXzJNG8gZQB9bkulX9jWeeKeqEOgDYnl/DcWQ9xssXe /rClRxDdD9UvZhPTu8fAuSs4KVV8g5YBhsE7QuoKq8kD+jQ5hk4aAfzLg+akxlhyhH0lgwLkz7gu cytLaOHQXp8F0UWNd0w9vzTZ1oU0YbDZteAuAzgMZqn8wlfQcAeJap2WLLZ8Gx+1uygItz3wfXyt YxR5dUSPWE2P0M6puHt9qAxzBy1DTvZdPu8B2FhKzvUvfXL5p34/WX3i9Gsi8OXUD2N44oMUk79Z oHVWyYIXkJZYn1IBfEU5krFojx5cfWo9GHM9xpgfYPZhVzEp+yYoVS2/8D59HnJGpe91UcISSQJW 8rGfH6GgJDhvYfe+mQK5qOnZ76zTJtndXnFcXD+P9xZqGmDjQyxwR99Dvuy0WOZ8DX+p23dDEFcN gdUGvMmIgAmSzO5MEuT0f6cQktgupiUWdlKo3o8YjWrwSV+bxrS4a6quaKLwvQT1LGYh8Q4Y4lek 5tQWiKiZeaiMSEMQnSS+HaePp7WV3KlrH8f9jBLKI5XR88t6h96YCvDlU2JxMylCWbJOBKklk5L2 yK0vvLQLL3nWFyhEhIA2qj8WaCLm5kokxiq41nSuRM2L8OCDsvR2HYdU46LrDYNvZIPYw6zICqx1 nwyePlz3I74nl1verBJ028eWEyBG03noT3NI20ChdprdFq39ZjVvIY3Fj+doBgDghl7EXU6rZznu nAht/n+uo08on/7vDMsybFF77cgNtcBVomJz1CZO7j9bOP2Q59nOuybFyFbWKFSD51iyMeuDQcP/ ss7cP5ATr9PbXvU+w+/5uIe1JL8w6yqHmFrdrTYr3JWHGvDRDVRHQ//xb6A7F2RDfydzxfffaxDE mKxAYxaORtyR6KzUyQBGv7OQmFssTrXPJRPiZ+ZWFLMoWW6fdPggyzUz/ulP3XUrye70XQQafMXG 6XJeylq9c4hW4VRSjFHsl31X+OLX28u9iJhF6kQ6C5wxh606WziNMNqaDRCg/04gO2LYPBvnCH2w kGqpPvIvt1IitVKfgrUE1UFLh3l/WOIScik1fpDAdqrl+PhpdIf8GCCEamfU/Pzautju5RcKNS1M Ebh3lSBYXj4Lt4hORw3AhrDZ8IDP+jGF7W/9kh5yMmCsZ+dyRcMY1Kae4Qs3+S6SN+ON5+MWznh/ luasldonrzw2IUMJno89S79519dzKzmKTx1jnb+f53yToASdKuAa0KxXVPnaLn+UdByNNMMBW0J5 9H1+1FhcPz0eEcEz2tVZy772r77TMlnAubxpqdOOxAeVjNPHmrGPtpECDO6aA6TsfnRY+6BAAyXx 9mFezY1R2e1ZDNDxxNlRpgPwzk9YWFquuZE2ICTVVTtFx7FQqhKeZ1SITp7a1iwfNoQ/aVFVd66M gkPiwsQo5tfdaO6wOiteBLQSbsr7ndks8hEp9AUYugDEbiedNSvMm1UslaNzFLaq4HLc0sJX30MR HZ5rE6JCl2/WzjoU+Mw/y5B4/mEj75Baqr1eVp+WbT9OpQC8Tm5hRgHl+NREhxIgIzJ+Vz0BFUQj l6GNwKdDeOJ+iROg2/tVH9z4csR5y7wK+tJ6LaDwPH/JKl5MixkqI4teC3JI8fZnIguHsQqJhph3 b1uDMbKrjRpE740odcid0LbfMGcsDgPLCUBTOeoUe1XlCEYD6K48jRHxOqVr/Zolg8me0ZW/5uS9 DMxo7ie7qPpVFyjBqvlzJOEV9LeDuv4r7fvSTv9MtViMcOErdIouceUhjwxEUEBKfbB4R0OMY7zO 1tqgbKJVQ02wADdMkrKOjj4QPVnq2KbP1Sj+uM0QfMveI2t3roG2U5GTORXVxSGQQ4a1zdj/1CqW FlpeN/wK9ikV+1b4J7FsEpT/Lu8ibn1RpkXPpTvOU4TAyaIYWY8H6AOWhrCHhAaBo+0txYGgN8AU IbOEF6EcDvpizgrHWG+FpXbUqZlKUBXHCpll8FTsQ/yD7Dlbn5VzkkUlOmZlBifhvYPN7psEMmq8 xYgkavz1+lRjlOw+bRoepI8oInmQ0+ozKAwSzkuyu173H0D9/fTe9vX75YTZEh2sa9EAfuj36PUL 8zP+E5lRUs9Rlo1GayWHcQHggNLTa/37YlH+WypaG1sExpuA/Mut+6GBsSrUlCmrkz34KUhtdUEK Z9TDPgn4IGdaEDxXwzc9tXeM4BuGQyAKCZVKG0DkKpa8o6Dwo1CfcsCoiUDZ/VemD6KivJ33aGcy X9LmNRIOtjGao1fLxnyQhur0kJzw8ldqYqN068WzIOhfsPYKjICYhLoFSf1D8FRkkdm4Ajyf/l38 7KC2Tkv6TvgqCz4DH29TEX/MjujO4cpTJifCsOtEAVxlVJnpAbZHlYjkkf2W/EQJPRD3vbx+P706 sbZOGBGUNciYuXAb55lvtdkllDZQoYHfoOQZJ1/mQEjoLeSBDMBd7EmpRKNFHrhKAHC5zxmjszo+ 8Ufhcu484veN32fUpfQhAcX/4ocvRIjwM8cKMM7udrVLYSdJrmxg3S+SC/JpdEq+5pkkonyS4DQw utQdFAqOV5l7RzMDkSo3kWF4oRZZD7ReTf9V4GK+dIgOq94k2yUbBo43cfixKjKow+FCZTDA7J66 6MwkE6ZNaKyGmDxTQKtXa05wOto3R4nAkmsEE+w5qVAUYYzp2n+Ka1xSTCa6BwEAMWQBf86uKgn5 UK4q1r2iXeUrjKn1Ss6FLQoIaxBslqWUpu4NYYgnjl8lWyIeVpLBPFDWfoutlUcP3UnJxamcLdUP 5HMyhm9g2lQfHaAfbhMLGOOwfXRNtRr0O1YcOqS4PkR35scHH9Ctzra2tnGEA1j013TLTpi6LBQQ n/V7NPGXQPKbPj+14+D519obMSqxz3NRmUlklRgZMIQG9xwBuk0+xPCdlFetkNnLUvDhDr6qYaEa W/544Bkog4LnjqtaCpyw0QIJf7sx7eYXOHsqbazny9nM551Brqtxz8m9wxRSxhYg7l48Nuic4Q7Y uXUzJDijlYrVbuZQ/jbEmDxX/NsGFmcFz4pGfRSgcmr+X7YKnfT21HjxTJ20YE7lFoclrWV+xObE 1NO92Vu7D9xWQUL+F1+h6mZP8YjhsdTTwxSOBQS/ptvxymxhLP845w5xIHkXwLqIu3u7yHyg4+dz Gvxl7qUpGS7hve6IFR8MATgAPJ3xyKwml2QWPPaPr+99PvlF6ky4zeyYC1sM0BS02srAvXRyK9y5 e/vuzY3vBqs6lYT2UWOaf2u5xAqAIdYOiN+6YTgWlk/lyWDw7Mqr+TPLFQ7wiMquoJBmWA8ygjUf lNF5wMJ4+WD4SULLX1LkGd7F62IEC4Mo+84qtMVLzabOyjhWZvgmoFnARLkiB/xPPYov1B6oI+UO lKKUjTN8K/cSCbPEBcGY0EFSJ7kPmYbZWAoz17H4IABwRZQEHvvDDxwnqERqfPjBWZAZofUNM1hS mIfQRY6A4L1AGzLNxnuKrxRmYgA4t5Sdf7vYAXteXoEDmGGI7FLdbRaZTn4PuJOxNL5YIRL/ZC64 oujGHgbQkbuVcKHeGq6Lbz7t8PoLLpb0vPTusW4y2RuFUdJrCaAjpvFxoW4GTSMB5nuiEwDiXouy JX4xLi6FeUdkOMb3Y2dwWCPFlTvuNkBDYa+xcjCza7S6ssz+2mdkJAnX+YKJ1N3ToYmXTFTN08pW yopz2YkSMb0C5ypR+PxIBijWMvZrFRF2DcJl5gxpYEQwN24TZ11ny77XUE/fRq6lz27wMtHPS385 FvGdwDqei81IUxeGNM2Vzx9USO51XNule3npOclS5q7SKLx242xhCH30DEVEQ/4QA73x90ffmyEP n9CHfIPVO1k3ye0N+PWxuS/qyw24QwF+QaO+id2qsaHeZJjE12CowmnlzKQkydOV+164suXm4uwc A8GBQ9cY1ICrpAFP+6KNqE0GtlGhwkclp9qp7VSsG6VWALxMlzUE3N0He3B9XMPsVDahW8/WbNUf MOLaYNid5EVPFT+xBwfqV+VVuyUFrqCKSFoAJDccJNORxDIib8vADF5sDY/Cg7TdoNQ2jOvCSRNy 9tOorX016QZqAb8PNvC445sWUlt0PXXOT/J5SV2ga7V9hdKM+pVEiX8DHcwz+orBiCYRgtCHcNZx ay2HIEurPdGYMofFA7pepWxBD7L8Otm53EsFcRL7Vhe7F9iOOhIWMTyUXxV+LccJBdKOpsURBT20 hcEMCsDX+Lgebo0wClaCPJ8xQPgVE2RGDnAtusbr6MxOPVe9y+22UuTC/zYYU8r4uLTETqQZf4WG JeCeiMu99vx1Mf8yaDMr9PoLSoKKRmeUv7+BIwA/6xEvZbGr9FLdjxu1PgDAFMFVk2lFX0ULRMj4 hdDLUpuWfKfzovPkhfg68ZD92NNKH9gKezt3/RZw5ITyGkDBdeaymXRk6qruzQ/R03uUetlOYB/2 iXUHj4ymqNqw1AnO9vt4I1/Z1EGjW24eyekOWREhEsRp6xlEpWr+snfZOAp4w0Y7aIXqp9ZrP3xB jVXA7YoN8k4ohqN+HjW9uRYYtKrTWBNnQ0JPHt7Yp/oqhadqUoELpg8ypGvkJ60Pz5sZ29VAZP+P WvbylECA+tNdhduMCCDiFvs9V3pDOUElSf32VDvXxbKCkd0b2Z5NGglyqrvfCls2ehwR7F8PZRwm I7ODuwMZ0FScvg/E1BxpJRpQZDJFmOA7FgtO5AiAJxmLzvODuO6hPrh9wU0q4vTl4hcmSo3vIMpp l0Uq9JEMMlQrkQvuGKJsdNtTK5mH1XtiCuuAMqi/Ra0RspWiDnAkSz8+JwMrQ9obHd7dAhIUyCkq QmDJjFMJ+vDYCfy8jQAZgF57iZNHF8CmkT53Pa3Ri2HLjf9wKKsgEPdcFc8Q/jPoFZ0/pVhOEJi+ REDJ6t/mLbUE3obRS7YGaLc1QJ0Sf0pvClddVjS8LD/+aQq7kc3hmWD6JUd+m9BVATUp2ngGZRBV mhHBJDOBVheDQIaOVIhZ2wpySVrbVEBeNw/foPNIcNZsLMdf+MUNJV3n4jmLptuqKctndv8WZsKf cMEeG80d4blNsyTi9hGKtGkScRGT1x08jTIDBravuWFgAIxc5vtrzqPjbt0dQMEY7G8/XTJde91p 7enI7PjqR9So0nqgq0DnnDyD+Zwc3JW89V9doh4jYMLKhW37H4V07ct5bCKbGB0FhgMdvD2iOQ4v wiqrgIaQAtTSq/Jg0ZlnuX7n2iR5HTOFx8Dbuiblbz8esOYtoNr/TPWB5YLJYBg6doIgxF1Q9AI1 NIKR8cV86yPBeZL64DKk2AvwOtr/jZw37/Vj6LhCzi7Zcx/z4E+q7mFnzAzMRvnoop3etpwxSZ/+ DiDAujLPj5S1lhj3dKs06XGmlI2LVZELbCa5VmPNY0LLqiP2TffIUQtcerAQMzrGe9I2x5sQii6X lnrQWG2hpzNHyKKTerZW+Qg3qEdFt+AiiA1YC1Dtt6vLMevNNOKZO8najJ3m9fLkMVKgHYFFqGyQ RyindNVUM6O5ggp95Aqj6FdQqj9V7h9JNktTEpxv6iWIyAFRUbS3QP2rcHk8QzVaS3bg9E1a1rAa c36kYu065JFwExP8YmWTEokxCiLlVCdO9TL5A0ES4cMbZT5zVU0GNoBiRmzO3XdsLXlVusLnpeRz /LobBxD+cYVHtrejQ76YUUKk1B9eSGDNndXGFYre13xeTEI4NooYZehIo971bS1rUwcau6XSAyZ/ ecEAdg+RFzYD6Qg2plDaibbSS+cVlgPjdME0iT1hFY67XPe4YgTRxtYG5FRYvgwSYQYF1OTbPvxF lDczE5kSgE/QTaZu3jEpiz7fUgd/DBGKx+MiQ+76qP9WcPHUzZDQ1ApuZeQ2LmMZbQGg3PSQt9Sy ZlVcTS+SORSZdyhnUAoxl9Pdi+15YQu7BWM06eTFfJqVO1lF6FbYCU1uysHrGmhhCDTSMbalZfcK /s7SrUbcJbBrBqCYv2ufdUV2XJto0xLRcBXpvYr/j4tssh1rvtnUMf577nou3M5XB+AW+8Xsx2Dx diIiZQet2gVHq6hGhDjSx+WTZDi9SSh6daRPecaTDpG3NhLtQBTkq4xvipyr4zsBtTaqSgg6S22j 4SJjKbPHvfeIiJFnMtqDlbOIejNpqqAtXVkjXJ8FZx3j4rnQgNkJQ5bPc7QpROpR+aQBwNsOym6j K+7lI8pA8MNmNMI4NcURWaq4fqK+vu8F0KDblzWA/CLWoeEaxgtuWwSnolapPK+g4rV8/pDV2IYq FaqIj+DXs2hoso2RMca8zdiIllEBolefr+G9WpKCtdNdx+8eiGpQm4Jfg6o9KILTD9wA79pmbarZ iZ3f0r+lixuqe7Gsjxev70tuR4+g1M/dp21Ims2QtazqM1Sx3IJ2DakegIKPf/l1LY36be8v+sz+ 8y7VuRfavE1vSUGSff46KKCwr/K4WEiUv85fUIgv0mCUdDWnyQRYIca98OAKsX0nANF1DtGkF6OA FeIenQLWRnmqkQWjzGtQfgiP82B9vD/QZhHO6eE6XiusYC4mYAKs/c31zs/UycR6pT1WMLSSgo2+ 3AMEx9uwMOuTFac8fAqGumjppr3GWn+1iojunvlpJ/CpjXD7xsWx4rlq6Dp6eDWCD6qk/e6JYb6B MN/2kTsho2OFkRRhY7ZcZFY2/HbfvT14x3Baxi82bYWwzDxtJTBI2q5hIOJrqC0/jd/2JOXRHg1o h2yS3u+2Rn7/Ln+Cx7h/XTPNohh2Nwok8y0hHr/eICZkxKwl5opfYOwKaqSYS3dWS25BTq8A1KI9 n4FE8cF9udM03ZbXlwxC4rmBWZ7RV2a9+i75oy2nvByror8hjp87ckChYFu3PxNDwGqoco0pr38f JhyQnV1IqXYFZb0Y/3T/EpvF8aZ0wxw7bQHfdlsCXEGYHvrselDB04/WlveFYKxpphNrz/YLN+1X EC7U2e6mCjsd0TTJX3NIr4PKLMJwi7sPCcBHnmDth14Ix3Hosd3LBArYZY3T2jXcqZduEugeBqhn HalJeXuhJZo4bHqA5fRicyu8CxKzqFhPKQTCE7j2TQrWjOnMbarQWAkLpe21wfCONKDPg0+BtQd1 84Xj3UhJRqcYvsy69f7U1N3YrjQofMsn704qZLD2hJ3RjkM942V78m5yx8HTPqrlHojttBvMD0vq b8Yg+MCa2R7bqOZ7QHPUNnRZpFEWzNYK9S40AmLln/Co/RU+TB0By1h3uM76uW5Yz1aSPn2Miejg L2e6+q2j84J9zpZ361DGDU8kybYrmFvujkuncQE73PE4NBFGVARemqAVeJb05c5gblfh6EVWdPzf ZOxKdf+qMuMcOUR+unhgihofauyItSwGwdMhYtgZmIZipUbbTmRAu9/LApvwpv4ucSvCbHTGPsvn i3ePvEbnz2bEZx+F9ES3gUDmaowJ7EafSviBs0uvm+/nNvtlZ+IZSrp8LImC7AceeMvH+dg1yxKk WG4+kwNw9AxZKYeiZIA9JDQv76PpXQV31/cCtpIuix+JvTqHWQdLlud2pBh69/PQ+dcywQ/rSYs1 9F2oO2WbRkqzgbr98+BCteKBPndRtrBS+YIPA34iVhl5RpcylHH/W9HR6IJan0tBp/0AE2pi3MfO W5oAoX6Yd0r2fw5mutnNH849SXwwCuyDjIbpYGgKYz5KBHFhxch1H2hmdB80YDH3G6uOHSsyzuPO 66mfBNKKJ5j27gyzwSkChJSCEqDUvXn+NUKJWHCpK+fqbBomsmmgbNCVaZdVXpTXkDPnX+ysXSKb IeYYRIn3j+PwT1ETzBT0w0nK21gq5zU37Gth5INePapGfuh32P6sdg1JGCCiWGtomiaktJJkqbNd Sy48+SVNoAKXF1gL3BY5qGrXmktLjm+QnCFYVspgwUWUVASoSI+Kh4jUtbOLCTtSXC+ZsyaFcIP6 qKXW3pUwvo/s/GFrvVsk4gGYWv1vAJkYu3rSjOqc6HQBqvBEXdiDACR6kFooK3kbbbclDije24Lf IEpu7O0cHoYU/GCi8k6llt3vHKMZ/qb6Ky1q9d2OFddByfm7qOQJjwCD0GgDqR5ULPi3B7zADnjm XTpWFnRH/d/Bk9VJG3TiD9g3ZNPl/JDKc1BmtuHkHRcMUCqAwOCww9tpWD0BbecRnp6JGEsM3ByL OLgECbBjFGaibh1ObRCDXv17+W0tSABFGe2TwhbDnyUiYCnKZkGhAR6mUhbsBXMAwBdPI46pWu20 adMEgezEHt79VP21UNG56qkoEl4+rOgaUWuFhNtyEzNZx1+kc0D9jo1SzicXQ6W0KtfFgjuaqL8M 76LZOS1SJL/izAtF07YobPD0NhR0auLAMAntQOO+WkmkM/Ral0CJb235TonQVckIAJQoZUSnm+Mb OEY8aaVMzEdu0Lm0janCbniFBjVHIEdp4gcNdEQlfVVkrxuTSKqDkFVy5BMGCs0iZGncNiEthHXK mFxQ+Tsyy0KqtLG6I/6Tt0MygC5nCBLUjrkU3D9VknZDf2/+rtAw77FsS40WvhdPP20jATdAo9YQ xVioYbYttIU8u8r/bQoOt+IEurt6d3g7NVypeJmR6MgbcBsJeljy+FHz8R1Xho0m9LubUnqf9awc ci2Oblnrd22fRR6gIz3hoovQ+40Ra2aMGaA6LZPv2VId+9qveBK3QJfr9PruBKn73PkjsP/oxZvk v4FIiCCD02ThgHSMVSEqdhnbpVBILWRscmoyTrXSrao4NmWBcM2g27r1IWHHWWU8VldWbtSjF2bM yjuN2sL8pMQJnrne0HdRp4xCHqJKuvdHAHH/5FjUPnneulxxjnQTFXVTesf7z7wX3SJEaRSOc0On GQ+GWaGzJobLTGQi54mM/ZdGnTnaD5XnR45E1H9kcGk3G9PohUd8g8zGw7hL2V1SRr8l4q9Yk1Ix 1TXSpWKNRvVvrFk3Pl0llW6FWl2kc8Vx2h2AYqa80jdLI9bGyquPiQoDnoeAniwNgpLtVbmPKeLe xMDxr+QWnpDgfJ6XNs9j/6QC6kxaYcZaa3oatytGUtjVLPAsrO39qUgETGbPXHSBxsA2u4T5GLvM 2Os+eLuoWbIEESDAFOZog/tYrNcJk38Y+pSb3HmkrIQhPpPmHWpK/B7FHnty/Txxhr3+GlfjskRQ yu23MMWg0FO8knrmdLfWOpg5C9bWGLKtmxzWSu8VxzQ9dHmqfuBTnDdT2y7hwCsJ6Rw3CpzqjaYg styhyR/WSh/SW0dGBKWyZYj5DjxpsIvJDBlgFpwJR1vuEjmoFoUFwz0S9Gc5s7zqDyGf9UC0wRkC mcKuLhpIcrTcHv+v6aoM7Uj7UlH6ZOOFzepiHexe4lKad/7p5cA5eURU6OzbnTRj7jxnYZTp5x64 AT6RwG7/aZ4ozAxZNe5r+7jczlFBeuKJ2se0DYq0GjT7FaRNaRW/vsyq4x//xpUvi757W7H2QYnn wi0K2rSYkNxbnjlb84Of4cnblCioEgcU60hMPhOIlKubR0XZ/76gpyJ2uHsOchROeWtH7oCJgud2 TCkwFL1nWeapdp9CCGG+oEdwJT2OtdV1Q1pZUYjlGHiGIyf5TFKz9ljXnf1k6CZq/xgRM+0WItSe 9+qlaHkaN1QdtQqrCZwTVBf8Wt6sFZhp120rFq3MVGPu0McaC7oIfr0Y3RR76t+uVzGYBJl1vyn2 B+eRYNopAAZJX2FCfKRuTt2nd5XD433tCjbdoqpIkMtERYPlL7ogw0Q5trzyLOia+R6rOz8ZNynW TzcAk5TP8AsN/jORSR94RJMnCpVsPXcFwDlfZjZHyMDH98Aih65vo3lWnNiuXLl2Ld+3AyYmQwnd 3SPc9+qDDPWEKe5lhOKgkRpL6FGF7adES3GjRMLsqbKK6UpTwcYFb6bNICwREG30ejgonWh9X3Kv bEGXTJM2yTFcQInf3Ds9OLLS5w3ABpTWG3bq18ocEj8QtUws8GpTBvT5+oVhMzNqZXAOZms0yQly 5IuiSQCFNxKGGwJhjHpgRFHhaROjSzwgkrUqCnzennh6M5blrKWrGp+9CjaFqbsDGRgwYltv+w8T BERlTesafIbUSbdcUNsFmeQawBlh/AbgBNzQPproj9bVF5q5w7UgkW8gL9e4Mnig4ZKiNAIq4YdG A/lj3M53PF0ORE4+SlDT8A98z7W267ncT+FqKxHMZUaTsNc55+1f+3OJjHusGzKBrA9TNj7xJsLf 8GWaClhSFFgiGRT3obHRFO45XOzoSg03VCpvJhdL1ULzUQhhmOudg4H9ebCpfy3DWcucxXT8XlQF Vro/0XstHjAllAUDJ/rO4J1/dSiL0lBzbglK8jZUNuNDOVmZGxDs/pJ1JQFmHs4c0IWO1mOvBm3g A/A93qqAaG3Ng5DXXHoR+po0O+/vR1WL1a/JCaVetYAUjb3/l8gCB9cEPWO9dLxTpE32X5wbw2LG r2qkCprdILMUIZcx2P3/lN7PuQxGngMb+ez8YFkMUUy8UR6ApjprOiKslo7SIA3Fvu96GbPk5Fg7 QNjlKhFKe3RLDdue3KWI4J7hwgaqcQOiR+INb3p/SAaREoReBKNzvRsGRgTNZeM98DhHgNu4JJXT 4kfRfPLf9ArsusXAMU/KlR2qD6WkrBgswMOwynQh2Bw5H+ckMIPLMnwKuFSLz7ElM9hGZSAUFks0 6P+3KlH2qjN4PQOg1+IbCkbu346Ns5lYTyfu2OdXl2J9j1wtzCseNMlC9FMP2fRuIgEZk3XqTnAu wWlgq7Cv/qU7s0eyFRgM8rtaj5ysjbuBQVkOwJ0jxdqWFwkn6Du1YXMYKhyZJwlEUKF27ZsC08sg UMeT5e3dtFsEf3F1fy4PWc2nCGTx+qMn2A70wi1UT3aqiF6e4eNcATyAWeva4tN6+wNjSdIl/QsH 8shZY80rvYOIejQKdpPxDJxKBZCznQKi8dxhCa9wvtMh24wmvnvS9FJwjP22JYfiB1DUbeM1GHDp 7vYzRNhSbyORJtUUkBnJZeSATuj84Zg2KK8t2132gkTMhwuMtyJewFOfhYoKyYjO9/T7DZmzAc/0 f+5mvH6ma0JHNBlXAMcb+fgguG20gBzmHx9JUzsgbgu8CfSPVV2/8u24drWC3/jNnZ2U8B4P4CZr xgJ6Bc1JetQQfWrzA82pfDYuA6G7jeDOPK+Y4xjeoHrnT4uuKbblueH8ZnlUh+8ypR7TiKc/9qmS BN4dZwueH6gIMlSs2LB4cXasGryMoJ9kGuEmf7oLBL5+p5wTkfT0PLocJJNWkwlAA2gM+OVhdQpA bgy3MtNgx3W4x+7gO0d6uHphrLAkjT/ymHM674bV/OSysMqgO166AwKcB3cvo8ZGqKIag2487Qj1 Vuo9laUe+ppWcxt2HL9WJT6KQL8wRMdilyNUFJX7nDSimhMqmbTzvN1hiyjjkDBl0Hwg8CN/FN9a 95hDC7/EB81Ut5IOvrNhADhH3MurLmXyzz4dZ9MY5o90EiXGEPIg37YEAmdMo8fjF4uDgv3Uuav1 v/ijg+uW045rsxhd1RpLTKLwI+f7x4QtJmu80R6hSFHaO51p2/yMm6pKLhFeuyw6SFow2LmD7aI3 DxSmuHkp4ulcpq2gTDKgQXlyFdhY0/q7id9oGt+A/MYCPqY1Wyo+6iWv4+VlCfFl++iWsVFRsoy1 KUJibppbohTZ6MjwIvhSYNOHwlxaE4RbQNuneNDrQLG6LSWDm/MW1Wh2NoOs+lZ1X67WfNUXjW12 RVIlxxNDWNkyQsAPpFCwMIeT506guPh2s0nkr9Pt7PubhNqUE5zsfWCACYjWhzdw9gdjZf6hRHjW EzSSdFeTzcyliAwBHrhW8gg+zsW4ttcQywwaKaLpkRGfTkI2u71vn+6zWPX0gj/5Z4X2fT0QMlIi v4wncWP0BXvg5TkralJsXMtAn2SvzTQOhEvZDMb4/6ftLo0/811BnzEhtP3ejOUxHD6LlfJJol+y qLrTt/TSl7bY+c8lUiIz2VW0vRcyeDZN3TXZWlBgnDThUMXX6AVNENEDguHLGyckE2R+BICDRvUw UG0nVuT4VmJ00/UH9TBcA63bYuevcaiy1abX4vYtRU3/UG2yE1rZCpLKp07UKwLIqR766gCcs2Od AvUcQDt6mLWUxtLslQP84nWFoKnCDKPKAAxO7geDT1DjZ1vL+f3xnNo/JIr8T9L3cJWU4Hlgr5rS cLEDPiIBb+M0x9u0gEN6vNr0jHQHKrw6lynBzTB94cueNkSkvcoAVntya7N/ds31gBLQdZh1T1CS zIEbO/8Tw+mAXB43oUYZWXlrhLChyBO+c9cj7CBJ8vOfQwEszMiPM6qc+3SYKf/eVaYZOCAKlLhe 6qQuBvo+FLxbVsdmj0Y9MLLGMQaRo3USJ0yS8wHOZiIAW9v7tvpBv5BYJzlBlhC0Xh90Ie/T6y1U Ge5tdJfpGhrcvaOx/VD6B1vfGhPMQjHM4ZYh7gR4kl/nEyO08yjRsRcWUx+1UzRg9EwJ487VIet5 y0Z1Gkl6uQCLYVDOI1VT+Nr725Le9qVtA9udTHRPf6vU9MpQPJfnc3dlAgS/UUIQTNrYdGus1ZYc ldM5x7rODF49WznImm8YniNTkKzhvzLTlrzi6UUv0V9Nkk4CsOSnH2r3i6wJfupf16sB1Drq56kD PjbOzhjF5vrZLv6xxr35RQ1Soi5WNwWrd+LeioCcOH/Gcmmry9Zyg0/Tfrt97RlEPXru9Gv9uv2b 6ElZevxsfmMJBOp7ogc3qYXAsROodAOo0BRMyDxAbEM4XGwFHW3EQ0c+ybuRYx7nBlBmQQOxbbmD uIxG/tp2YjUgQlGCL4XMenB5Bsyb4Xtwjw5R3HIwNqPYLLrQHW5yhfqXp46zrLGN/3mZAPh4q6yO 9uSij4UdUQkZ0Wub2SZycp/4hpkBVgql1TEZTt9DVc+r+0H994TocK0vmz7SN+3luIftJsGRSSDr zDD5RJrIFPVHRyAAFW+diTmI+xz2OriuuMCeUGkkGNlKAzdDIzCaXT72+qvpzJ+e8tzTYjBOrXQ+ THTzKpO7Tj7L8NWz8foky4VsYok9ixh2W3X4ci4vSTn6oQoi+le/mTyjz37stZ6tF+hiXbBnpTf2 sWKXI9ogdvkKo+Sxt2f68J7FW/D+g6OJMU+A2sCKe238F4J+qj+ZP9nIQ5vDQk/9vyeGK6PjJtgv +5iNr3+c38rqgq+5QZ7UpJz6YaLGPjVYF71y1VFNRvemG4VWRnNFOETZ5OPkxhCiYQkvcSzVBZUI DEonEyYA2GFtzstpGNsBrbT5vJMS2B+kVJkZYvC+ozy4V/sZ8KouJ0MOaVHipWF5iGeT0LTkG3Co HMEEHlizjTqLNReJLpgq+LLc/vqIlJpgzP6gUnu2puJdeOjbsV99U/+25+6IQciMnX/mQr62vqlc 8dXvwdQjD/NzGUMfeFeeW8qLIF2cyB2/vxXgb1kE/ItoPGPNw38pIB9RLQSP5tOlzhw8bgoIAt6X Aj4AMlh6TwvG7Eh7UlDeKDknuoDZp7wQBexc9fiW0heRgEG4SrxfpuA5waVV07LPz9QYz1K9Pa4c hkNCfx7DoleUfFPz+OytKr0Ne7SQi1C9ii/9dHoUrAvwbf4p3qATvALIvIM+iN0CsZv5zUnSUvTc go6ewj6knlHskPoT28qc9wp+BrMzE7gCCiMu/3d+3qB0oqG6QusU2Gu5iX/nEK3ian/LoT6OZm6D KII6poGQUVAleWdtLetogMvdYMSoyFiLnP5QzAwdyYfbME1PN06sNDDTqakl2ThnDx1dT82bCt0y 5Q3ERXENVW7xFR4QSOtkJcvkiNkvvhOnlnBGh+eOWx2OJihScxVEVxo9xKjs2qg/noYvK6iQ2HQ+ XVMi/t0Ytt3EW2UeNpL6+nhc5ytO5ObB3Irj8XS9DCq5d7GMZTkqOQWF2uG6ApFuFxuuENQPRlPy BWuU9iCaph91meVoc5l/offbhjJCJXPPdLej4r/RYQ6akVcUXgJjm6cxBaOhSEsmEa5/WKSp/dLL Kze7UzKZ9DRK7+2kZWa9qA6+5JCHSs+pVqe4vYAJ+GbZTJmn++3K7y8e+yevHJov0Q+iVa6WEU0N XwK7EWdt0QWMiEWzht2c5+r9VHZfc686hhrJpneELNOtThGfwweQ1lXL7diWcT2EUj+AE1gBksO0 GVOv2lcCkbcqgJEuU0RjCUQDEVq4f7hv7OrPSY8gUc0DonPRbg2H8yIRZcXeDijwpYHYBi1DGMbK p/K2MTO/td+B5ETpZSg1LA54oSABxBrXK8vU1wczHLxl61dG5dasKEZMEQgndQGbRANtSqA2ywjm VuC1SL/qqnRYC92PDtxjLXcRD/XNcKqHz4cdaAtDS/L5TsgSiiRx1oAKQgFOs2QW+qW/OXhlwh3y LXi7Uq5wizaq5iVHr88Ya0ysmJ5mA7uyM+zcjj1udXdF7B+kpdDY7mZ34R2D+jKncELIDYIIc3xK MioD0wjrFDPQO/h0qcHj6fgicbV7RReaTXpepmWdHttDDsOZp6m68ZsL3jubZ1z3iOgaMkPRKMhE ooN0EdqPJqWRyOu28+F2ADiBkgCrzxGfU7uQ/Y4qAZcY6peRkgwuIiiaD886YwzOfuzViPXKdERv eXRA2oyKXNjeLXUVy0yqwNUh3hTN0wBHu3sr1HhGPkXE+4wu+SN0C9ZvsB/HRpJQX4VbvQbsvEwP eoMCvV+pj7aqR3333dJMOV5u9+/j79HSz5gXvzI9tDpDsmBU1sNzYh5mHzIp5LGZ5PzwzXVWvw+w 1BB4NzfLJJ6EYjdxsdtqnlSFyRs1m6YJbafDXWBOKVmWd+SKdxqCkaVVxBPQaTCjoPhPzSQyNx0s Px1Pe9RE0mbcQ5Zkxft42M5g3a6xrn+hbClBjlwoTWkZZ45rBXwlHYw8Xn8/oWyF0GjVvIytHRZX /zKyuVRfB8OYIizpclIYtWQi6KM5dIcJtXQzF5LLeNuDOn42fKrcXkmjUMUJW1iVSckIOGK+NUGA Oy7kVTqi64yp1z5ZgNjmq3nFlfbpyUeN6bBFoaExqnu+Em02IGKWAZj6lukreXxQrsLTVIajUVIn ZkekFeoyvF7X/AJilJ+70LiuT6f3pwUeI5TJ+1od50sxUjfR+SqQz/5zzniPcNm7LWbxanSyWYKA Cml31svrHbVXQInWL2wArgWGERzkd8AEmijKtgCUpt4UF8oedroe6OUgrng57SeE3885hQGfo82i UZJtgnRBMa1izLZS2WuHteUeX2oxDd0H5rOlJvsJDEiJycbnNTphI4eVEEpafzePpF0/RxijHaS3 9Gm3uam7IXQ73Hyc24EapDO27X8h8Gu3af0UNsbiNw9EkNV4voKy+J9oPBLJNKzvMhMO/AxOwRL7 sR/M+v1G0Py+ggmiYiOQ+n8OOUDsnZFWEtoyi4ciRwctbCnEAorD01kJ3axpO0y0YQlZZ3J6MFOj YOQrmifB1emaJs/3J7jzsYd7Kxr8FlTPKQ4fxzhvFD+mXPT4J8Akya6KbaI/v4ZwrwuJc1S5quGG kjoaU6hyD1AOuawiQy29u1uth6XKJRez/EnWCUqg53s/22haKwPEhvW8qgb8XvBpbdqyygRWKL9v UWdB4LsaiUo3wxJfGGTZCtTKKZZOeersZQoyBTGC2pcOu40cDVY7JNoAlnIEL4AjjDUJB+LjRo1I Z5uXpcopbptkWK0maEVOlZXYd+T9BY15g0I2zyj0j++tTvfNIW6/byOBqPfnkaG25f+LAgoE4k1C 6wVe7tZGNI29jsgw98wqZu8r61d4Go96fFTB+8rxBZ1lqSIh3EIb7jablFWQOqwuGE1a7h6uro+t TnhkybgFbj0jWSesYHdObSqxOi75BMhO7HPCAvyxgOkwPs4jngxHH3M8eICny6zw+LLlr6G0Yi2I A2ptnP/5s/paRq6lsPFRDza4xjs8w50u1A4VKCO997Pep4boDa+krHjm2RK7gX7/h1czggnEsWGV pejoVOOK4sYhfBvOuga4Z/ihHTYnK3ZR/l+z4CWdXyLwzFb6UNIVMGL19yuu7SEY5fJsHLNuGazN AvYE1Tsj7B4T763TiisaifK8ET5uONhfY8v9dVLz5sXW7cf/rCSucY8e8UfyKDimNNOWOy8zJbaD zh2+9WauvTbMAsXoEIEzkocpyuL8YCDBO6NCke0BmaeJqbT+0Znb+oQKdEyISrNdm/oSlq3AB735 iyBUAvgBCkve2kzd5mUfwJPMunOa6u5Zd6lD/XqYI+FmF3LdmfMuNGdO/eTwhjvf2iuEYsG+guMR idgIm/aPdX5OZlrpURDxQQ3EW2+DBQbj9l321m2BTvOIYxdpZj7j43fntHpamzNFETtynzFpbq5e PcSXfrJ4beYTb9q1XGSD+kSy6fl9GPBtPGpTBqlsUgZlTxYyfMrBK86fDw3iJClfy5mevAvzCco2 4VcAkvTLsukJ4mlqsufHhbwM8boPQJJwT1VAt9ogTyzRzbLk6mtLPVjCwvxBgIgJ7d5g2ZNzI2mT LtnLmDOWH0ODai2DJ3xYxFGNM4NQegkUesXDT6ou/K+zRUS8MfbrF8//VMD4bcnErTJ4Um3wl5FS 5khUbTAHhKBrA+5DXRbZdqmrKPJFk71nNfRuU/SrWYXhRKtvG0wxkwVgZzV9JzT8h50MsHwZ7J6E JQVOoH1TWAxRo7QhXlWjRBZ+2OwsL0QK2jaz2N8GzP5D6GPj1jrv37CpcDRyTMxCuuhIWpI8ugQm IeuckdaSZ+YhjPSSh+rxuU9iNSenKNcHbkiptOzBD5q0oQSAkIGeuX698dHSXwFW8/JSJZBA5r3w dnkFpeV24aJd34ejBouM1AXtKe77dT71XB8M0oHw8QZ55FXYv0SHeFlz2Gu+toLC9A6OFJK1HFvz 0KYygQo5HlQeiXpWc8+fVOAsoyS/8HsRTKRzHWJ7XDOuvRGc3U2hGqLBzTWIMXd/yRMev/WJt0Yl MyGUe7wUdt9RiOyhPgUOVB6WynC/43dO2Jq5oI2HCukewleM2KGY3VDVmurHCb0KMoc8/GUf/6u2 fbPCz0jzpbt3nM86t4ynBRi9KLHk/rmLS+BEL/mfhvbe2LKQS5ZfTSOgHXo0OAOURm5+rHm6+lGz 6FGckNV2+vIlNrRnF0q19WxHjjwABonGA39gs10a2ubpEJldr2SWb2DuuFJXp17I6JhYswfWrW2O GBYQm51FesSWvRHoDCLesPibSShi5CrD6Fpx7kZ38pVhAxLYLBwNNY/a7H8nUhpFZoMf/Bqny66t KwLrzgwJrgZs0q2N8uvTN+NpzUktUCIYun+KrRaqSj2gk8Swpoem+q/3wNhltfM4ZCadZ0D+YYvs BqdNrtlX2G0w7uflD9FjmT1jLgd9X0OJWJr/8L453SWJMQlJT3NSsWuegN+OcXYT0KB4lvZWJV+G c1ExCsk2kBi3m+ArNjKS5LNKihx+SdfD6pUJzOoP9NWYq/kDJrCOv2Z+yIckOYI2wPJZtTHBl9Se rCecPwycVW0Koxo8Fj3U7bUJeqw9G32ku4ym0WHuxZ2CbQWOp6gDUQdGvHjpi+iTVRPoQCyFyY2P phhYG2Kg4g4Th52FaiWx2tWXT9bC5RQXdyNUKLc8IfQ8ryU8zz4+B0oeGmzt9xqdSeIAuuWCM/Iw dhnFl/ncLHEf5hyHHY1S92xFV6m2LmERIcfGWsdNYZX9D9o3SNnG12JnNpjBGA0vePY+BsfTIli7 gk/jJ6Gwc4lygZ4lVOTtKyjYTBonR7Nx2mRQrLZoT1TiEeJ2s7C+20SKRu1W9W62CJUV6XhsGfrg TGpI9UEfriRx/Wi+a2AzxHtCE0cksbXfmWWuc3P/xF4tpgoLBCLlVm+9iIl9iAqExczlDNZzqvEc WJGGnq8ieUV2K0sShig1xwBdsFnM8d/FIasatAiw7Ic7N4uKzT3VGAVbuB23Emi43hbvF0G8DH3O 4yOaeD3eFgeB/cbzjQB4w+WqCFf5gpUQZ2PKxfEbUs3xaCIgShwJgo1GuaNHi1KDRYclzdP8JqTI D284hGBSriBkgBHbl1kstpS8TnYNyEZacs6gU2ZyPf2ciEMQki0KxLSJICqOJQkIuq7L0mqbqfeT b6PEr3NTr08MbtBhMKYtMosoSEDN2vebrUjxyhH/ITRPC7/9Zmz7eNOxzYlNE6wEL/TcLchTDewZ /dUGKw95kG0jPG/MqZclpWrKCZjPvfyLhwO7noIZkBbcV5hwsC3ZqqZPdiEFUEKmxwx0NFRFqEu8 syirbIW8NevXveI9kQ+Qxg6YCNDJkWyW3ikeujrH5depyBmWBr9/VXBXRCUygx0EmEg0iOSOUmA6 NWVBjGmjEUbbZjsKN21dtkQke9EGx4W1qkyoxtDQns/0q/732dHBJpvQSx42FEtC1SQ+SDXkVgkn XMr075IcmX6DVKKpH3g52+GIUIIn1gPmO1H6POATumnjSNM3uCIuRpvntvulDUyyFTS38JZYMgx3 J6vLf7DwBB1dTUFJgFxdz3wMTxSFGqp9HwOx0nce61kcZrAsOIwF8yYU57fp8bev3q0EehXehc0g PR4wgGIYp1Z2shfj8/G/eaaMHCefBjXffc++As6ve6ZUqWJJPO7ZfdYaYXhXTyzw1BJquoz51G8g h9nc2yYJlMq/v833Cp0lKhfemg1LPrs4VhXI3+Bf7XKdx0jzrapILHlneWlPs1bmu2+psusmImZm j0D0UTwq4QQhlbN0a8hller8HKdQycT3I/aXEHuz1w2RTSYl6IpPtTExnJ+3zH6pUYmv8irViwJb yGNayP9GCvUGnfjxh2SbKRXYjoJUbroHzlxLMllGhIjcVOhZPxTdkOOR1as/iGS8LKUOIhp/DUCo smzf7E0UXQWOjS8VwTqx/4n/GGP1IG1JGZWuTrcumFMbFDHMzDx7g2IfYID7+mNBFtDSC1QNYna5 By1LdcuGvMernoA7jQsnN2QTCaHAdeZqvXb5zbYrdMvjINxkn+vjZaAU43mU/s6p6dDdAbupG8Tq 3pA8mQl0WSsG7DVjSR2Z4QlreWC1kkHMDDQHVcU80A2+YZIuYvM1bVl9u4cdotpaRo9NzIaFhz8V t7vhbU+6CfLspBVjbgInMMqH4MI6cLz0Z+/bqKHY958qiOvxGi1mwut0YDsV9zQLR4EI8aTrM7Yt abTHeJqdhi4Arc8SWXQ2gMye8rOhyd4yAqwjtjcVoUoTHKaxyrbe2IxKwOFuG+zgC/szbn1odNvb 2GNYBzysxYR0ASEh/AEAoqB2UwNSL2rkUjWiDsHfSugUtcWdzOy2U1HUE62GISs50YZ2YCP2bcga I1dViUjlTViDJA5Syu3x9JtrLJVj6ZBf6TEMknHeYxUbEqsm3EsRp9KMpNSZOZcexFPCNEUsFd3j TPELpwAlQjNbUXktA4QZ4WFM+ZQnZvjWkVl6oU8Nv0cty2uEDhDQHNXq5grDTZY/6AqStsrhyYDX j9gXOSE6c0PJ00TmglMvkwIE7WW69bEQJOE0R5zVWeoKDjG1tgJXNKs8q9ZLbbA3Wk1d94Q1dP1G sEQsUa3/HwZgkQi4wP+lTIBFeggw1SrG/b/oXRfkmgF3AoUE5CwSWTFQPNz8EvK6052iVfq/DAIc QsXT7XOGk40eNxEZGrtBawke9ZLH6+9kvf6DlZBFMt49ABkj+Wtbw6ZBiywRUVD6fxZBAJCA9Zhm 8i9FIQpoKopxfw6hB7/seXoWg5sxE2cyS6VBsJv4oP1mbe77WCxBDVx/qudIs2GEkw13Vgiu1+L1 XYqYrT9mp/1Idvm3XUE18LkTUP2Bfv3Kwz+qULKJQON39nSLPsY8OrIEaVu6FrbrP3FRY58wa2WJ AoVqxIqx0Xzi8zlJR39s7nKFdffqbzOl2o8FkVu6/m84YkfBq1ifYyUDb1PPfySdMnwFKskW1GZM /HTjYqZzer00DrxTg5VcSeT2k6R5ilxfX1IWa0hZhj7D+VDxrX/DjBDgcD9K7a3zVtPR3/dasml2 d5gALsqYdHo6uXjk4b22MXmhMploRdM1QGuHgGBo1LNiEVZhyhrFBxrld3R5Pb7MhAwB9DtwkdCx VsfYch8cmZVbGTxYSLlR+VDfg0xPzGIhzITjX8o5qduZIYfbcfx4AFi8vgMi74BARfJyRiDKN4Vf eWZA0hm5kxddseJq2JpJo+MmUiS/W8wLLImXxoMgC6MYXAUeu220q3AyZgvS2++FWvFvVMOMHZTa 4pkAAO2dF4G4EgO4r5zszSCfWgdcK5yTfIydIHfN31ufXkxedJo/XWgbXo7Hf6OCSAatU8N1XA+Q +RK8KlnG1uQX3SBNe4+9Txhx9rvPyJurmGg8ySK4y3TrA3X+MXqEZFnh1hmGUYejEkwGPrIGDePF kerfCgs08iXgVLVsrYlE0CFqe0Vw4lTcSa4VBI1xxVITsoXzYNslSoYhH7cv5Is0MOmVvKrRcdg3 A3v4BWwWidD9lL0IikuZVCRxEdYPSfFKArb2Q5Kw9A2nc6j1jXzX7Bym0q5y2wayyYST1uJNqo2n 5Jkk86+Utb/7qqagrkbET7nk63vVRo686YiFWLgEGQ1Wzwnw4EkGWMukZKUCA0ItTfLBFsMNP13o lFRo0qAJPULWAiuXBAFGkY54uHCOC7U/QYLk7lwGcmOJpqrNwJc5MjP4qTCL7lzTzb2ebJ70liFw Mf4mYwbnbQ2cx1i6J5NfN7IjUbjCRiWEbvv+fiXoXxjC6NEnoR+KXtjfGnYp1kODSX17La70UviA w/PryVo35HnG+W+g1xWVT0M/AQpQnrQCNzPyt1ss7MXvaa3f/yHmr71dgOESS2C4xtbq9GquU1/v BL2ZAN7sQvlVfGiPhk+ZdZzL+1+u03DCShpaMp9ArheZcSXpra8rGeg6e3BysYZxvLJ2BJ4QM48q hN1KSlTVmw2BA7u/BAbF+mP1WINovgBqOYEfezrYcbdl/YIeDFbI9Q4s9cOUfhIhUTqK6VyEZZss dQvOeOcnbT/eJbDx0iKvltEreuWLqoIN169LiyqFfQ53Pyu9vn8wqLwUpYyvMR7U/R6pFYVBkIOn nh4DTfkWNSW+C763cWkZRJfQl0fMITqyYcPlMlQ+ARp7zN0XSTPPNHRoFcmlHyqntWreCEFmjagz 7NlkE4F6R9Dhpjs8jiG2bxT15EnOvnyaSVFpyzuxd7qdy0jdNs16XQtsV/kRoyR4UhKwY4zu8vww V/tKEm8bO/nArf4pEGpG+QcoaSZg+r30MZ5Dx91vtPcMkt7aI1z6BtquA+/o1Ared8PWMH6R8EAb 3lI2wcoys7LpnyDSLMHsKM2JLlmaagGyCg3O8oFeZ4BRRb1g7BwRGMrecXPVUKq8znJE0hFK5vxQ Lj/Zj2gn2xu+bMSEWHGtmnda05Sp8oUpL2J+kPJhamT18Rxmztw6F5PwF02t6jzKLVyLg0sFTkIz n4wQlWWle2V6eN3DWkcGKdwp9xMasxAqxsLvr7Te5DDbHI1WthFX1nzmzqpQLfZZlmvwyiMx1RJ9 1X2Qe+8HjD3av74G6HmOJ0U1QarBcaSmLK3d+kpJJ8ma0yBPZ24IA37SwX91fAKDmURvy5hz0fJW dLasmaC36zN1ELLNxgv65h06OVu8r4ln+DLIENKFTOE5RIjZRbkTe/lMDBabPaXckdxZ5wznyHme CLIE42MHhHpb48zWQw3Cup9KCVdITFOpleJ84rq8gKq+CfcoeTZ2/bVHqbbFZFn2vd8vOVe0KxBg B7FmvpDZ5VAQRAkBXHPVfm+wyq8u6fSFH/pJwyP50NdkI/99hTKVuw7mYDdAmod8FFTmWOXLhVy8 1TcT+eunaFEUdDOzSBZ1R9Hr+6lSmGYSrlgynkqSLKI/0ISSkmcGZHnzb7o0gkUcwZwzyNW7FpNr RWepRjP6Tp1Z/8yInfQSUcAB17LQ++BlBRjRjKQzM+aNOvqnWsdd/1RsLOZmATPK3iQLXvL2RAia DNRGcAB6NH4iycbvLtRMvMzLYRBX7T8N6r+La0Rk2DOEyLP3vl8XXlobKnG2A7Xx25n8XcKpk9vX prCjrX/XZ6alWceczzSofcWYW1Up1Hrtq058C/TN6PXqTCtsoDhUZkIcMoV3yB6WNK2FD2dXwt6A oX3HNahNnEGej2ZncYPlKVnsL4dteI49rrpoTEM8cRLmuzANOMqkl5DQqZUpKf1egREcCLJ8Y8y5 23KelDo/J5AE/2rW5+7ifC+L0yq5aB0jBHueeKj08KpCaegP8JgS2NxJIBgGB4cQ29FFR4iqShR2 IFxVo37wg6KSAu0h+d6m4wSCLTLm4UkFdBDXYsBzJenYd/T4+4yuqyzaqa0bUoB6LCJihEnvOG8A JxHsAk6KpxpYcEryniB6Q8fb6wUynvVc1YFC/wS9qw24JKzXklFGU+NpBivlKDnY+zofdOO3lOxP 2HdM2b20QzMrFsf9ox5VBVg1IziM/HvdfVDsKanmPDvMz4j1JOOWbAwRkNwslDDoRhvlIW9GF4gD 5tMPUrIdYXRDSKdoOpbfCnlahnpxvafDXCWEFr6tBCGrGxXnrH9x9uHsmuJt3Bd35YRaXmHPazvA hlwv0q2ZV1S6LAlAnS+TiJjlbyOB0wJC8rYk/lhRnMqmahTJdVIgjf8hOI9jlbwERwQG5s9BdjFj QQLe1LwY52xekJF8VmSZ6AHA950erxtS7JFe6ygIMi+2s1Ri0nZNoeqdTq5Xg6/AhBPpLkmvYrnC V6bS1i5NklwJrDguPG6cZmpgFZUz/0mYhRcdLzFGo/Civ9Qlei38tvrN8oxdKpAgkShp6evXir/8 FZwkjmLnkOi1PXr/ZDatZYnoVA1uwQSsS2Muc1AenBTV37ec20OwDlTDx2nzWXckWQNV25kFulIX SqyZeX1/YCSot2jDTVuCsFvIrWgM64yPuCIIs88qOhLgnxqSPp68BVCYY8VKLRWmtwTRjy+X5myM GuW1lvkQqP8Ulx48/OlCJ9e7Ih6an8gZ6KHKT5S80DJVE59lCasuCx88FuLv17ODw66SrIg66QD+ Kad4nXNoXUem+zrTDefCFkjpM//YXSpd2fIgh9eXEeJfiVfuEDcP3TfivPoV74TBC6Qg9mXvJLNv tEdJQfbT3pKHnv1udJ17+1WhtgRoTg9/coTqtdzrncoJIJ6eS6GJcbWBQzBfW7RkvHMi7i5DMbHh 4d71ShIr6Z3roBMCdh5aX0F3oXbfi4oZDeKkBbh3i/FPlHLROFrLpFXoafLIKKCWJrMT2pRyYj2R ebjUMS21zIDjkZiPKGnGUnvgdmWsk9pIQVxsIaWc4PvRw8ahQfSx/sZTaltOgHvOIZW3+umvhV8j OhFNm/BT6yJTf7sTFItGssd1VIdCWzsqz+c4lWoGMS/PHP4v08rqYZeAuMHHVmkkfSA1qs+7Nn+d +diperEJrHphCMLGl3G32sWnaaHawSSdrOACYffVKRB2xfpG9TbHVsnVCGD46eKIAkhoPKs3ihcp NpsuvfYyfbkkV/hSJcVGDF/DfyxMaGMCM1DBiqYNuBLX4ncz7UxRjBM+vGE7jsFA5iKcbxoICVkV ps0Is2DkgRW1H/o3uGMAE69+tc/PkMNTXDLKP3s4G8OD79a5JExAcrEHeOYaTfuGO8B+jUZNOvdB b6BqkklflDjmFkqskgR1ut7RJrn7CS0nMPeMrrttKylsZAhl433AupGgt1dFhi51jZrlUDNF0Uef eKQcq15j64UaW/PIn6PZ9jFkiy7TuxA4PmmTIP/Ky8mG9gwu+hNxLfxiNNN2cjXxB3/cSgSKWtpR k3Wvu9fWTltwUxGhCIT82rqy2Mu9Dwc16yEJDuMhQ9hBZm5NTj0hh5mnyO5iEVUggH2Wewj5mD82 eSSK/0kqtXQF+HUHoz/3b0yI2bYG34cNlTfZlUVQ31WrD/+0B6QJSdlpOTgtPOmzHfdtMUJiI2kx 3gbUfHD/9kTmXpF1f5sfkwJT2u8MVSlceXYGqO3FmKElDu9Sgx2vcNHATvnt7O7xDDtgDwXFkGL0 A5xC9Z1/g9teDaqvIOSf6Rvzucz15pBWy8AldkFF6eEYw746ASoaKmX65RMkipBaplivRZBtKaGm wav1gZybrdlRxqLKpkZRwXYT91HLjnP4C3gYe4NEgSgMN9xSBp29NxmmFzdAx15OtZhUvd9X3ZBq hRUtkYLRKvcueuHfDtWY0yW2yIBd383w+ZTRfCAKlAD4sXEGvBsVNx6+Jg395rwHYj0+jeSxOXze mWndtBQmT9s1ObK1co0el8UrxcRzjdhwDUMEor2omJvjobgpJQnBYfVUXtjgWkmvRuYJkvpeyRsK NVIybc70e4lzrhHsg2lisT9/lMyU4dSblI/x0eYXyNLPEvXEPlpARZwOT7XFfhQDhkcTcCqEQqJR HvJDuVPX4m3ABUx332TVey/dY8VBdJJOccGdTBv+89cgugDrIePOoRYQu7XkI2fyDhnuj4jFzxqz CIdHSbF6H3DFBOliW0MYe00IUEHbMMPwL++M5YCkkuyQQCWFLS59NTIyW/Auai18NzVP4tvpA6rr bkTDKTQTCmnia4+ingbX6z2zOpG70v400GvystZgor24Z5be9E3twQ3j2d8L3iIwRR6ha6zWjC0I kFB4eGTmLSW1oThlDtYDaH2m6uvGbCXBZUIqyPsJqCCf69PBG+Hg/dVwFKRNLKEascV8FpU10WvT W0pKzw3v4C7sd+UUECGZCBP/kISWJGUaihOQUZVeqI6KeS5WbpuG3V1YBgz8Y2vG5LKaMdj63ph/ vIhhRU+Nh9pxqLZ0JBckdmuy4Lbt0SOFSTJlAXpd0tosRN9NdckD6RcoURnDXArrsHv7+S1q/stx TRLJknXikmZhCIfqjrGpHKs2Er2ElwaH7NFEJ83/p3F6S2G3Zo80WSmKHPN70/Vi9K07eutguqwJ URWNdXiA+0t0vpArogI44rAKqAgkcXYICW80Hid4yzfHSh3VyWZtqyjoz805pJxrU1cGytkn87F2 Qijnh//4tvElCfru9tpHdKEyBkWenJcfLY+i6BP6oneOlF+chDXvJQr1aaprxvcpbiljSUgwGKhw B2oclKQ+wVszOr8KoqvGnvhArRXtmCJKg2e7uiw9d4jMvwD0sF95Nwq4Dy87ZsiS93/5RkXNtTLq 2nnVepRRrMhIi7uWLaDmcx21Dvie8Bg3QgXCCZkLTKrE+Wf4MIuSQ7Gc6dEVsHFUc2CuGcfCeJiJ eNxD4T5huBZBkx4woOCYDNUWvz6gsQrQvXkhJjsdvGYPoAEd/Ww5oB6lE4oyJ2ZzTL1pJKwojkx4 lvENS6pt8vdbjFaFhC5kYGEmFI4gPoe8pJcWzSPjDt5VrhjrLvMQZ2F0sFwSvK2b2kjiBHe7GWtS FUB2BN81ktjrzGw9vaguUtH7HCOrFMr4JSuU+WLNCPyex1hpIgmRO42NcKnpFyQcUOXrKBvnjok/ 3BqrtnUshFkzLc84yTp+r55TFQDjeY9AxofZ9YEWamQb5gX4L4AUkH50VT88mmV+ZFevncyZ9LqT QElMqMA1+8ld6+0LFQtFCPIKziMKEjIKk+2gJSuNlRnHb7+usGw7qGfB+cslQBfsBp4q4tg/fiWD WbUP7EHvzyMtf41Y6DqoTLjskG5pgJtiyQVKVAkQ3qBgWF1PjuKqjuO276f4iljOlpL74RCHOTnV PDsaTI68TFbcWZUvR6Wv+baeIGoIOgEf9RDgkG/+PptVafAjOvtDU7Fx7ijOQKbL3Z5S8F57DdHO pxp6Rtk9tU7SiJAQADickRxdTnpB0UN2pOGU97w21zbyrLs7HQ0bDwEbDk9oQAT6fu0akXBlTVPu jjXuIFtQqXA9gk7UmKEch2dbSqGEnMRrpAWq/V24Zaz9BJC3KsIPI7DR5XFSKmp3k9rcdFtJAJHP D/gkvtUosbxuKhkkxT5/QlhX03qiySLNk2ey8+QuADyCSrKD/G91xZeqiYbZKve3Z13aw/oscD+d JAKZ4gAgRyIq7ONIUCPlN7JiOQMlIrNNPvO3wB3hxHLqMSPmP5GVVZnO2NMRQVQH4sLeEVwr200e tTdz2lv1TzUg5QHHtx0yEpbPkMqNGQbrlwZh2Obe33aZ7G39mjYZzUOzI8T83VRGeum62IUouuXq e5Ej2aeEdFd9qIYbtN0wCTHWr8OW3ALn5eeFE0lmy9O7JCu7cUDUFUouzXCBSUjoFL+ZYEP67F+m 5MNNizMUpAOIrhBiIs4hN/gBknC5tGTZhEHqiL0fp+v7Sc1XNEWaU6R1VOhyUusmJJOwBiSnoMKD DOrSAYD3HbqIpmLjRpnmRRmEDDwnuFJ/nvKCPXEx+68/0bUkwe6AQj3VFWtXJt0zujmSyhXeCU29 y845AFU1+LUAprTK1P7zX75/Ux9jOjzwxFtjTaMWnx23NEz8Barvk51VF05MozQ/K/8DP+J+GrkX VbANN6VJQs9QsOwY5b9HfVqXztEFQ8sdaPhcFcpKfQI3OD53H83UDyeY3rdKj9a+H3AFgDu/dhpI LRl+oSxo5V/bMWeWBu1qXIUfN4+hEzXuYDjmWq8Jn10KJ2SnpYXu9Kg8bObrcGEEFAAoAkBCYPOB 8xcBCbHXz1uGV7wms/ZwbpJvZVzfA00DiC1W9ufVc7oboAXXNUWVVvIX9dt//peItzlgfjgXN82b OOfMIhX/xFK0e6gs4O4OCtWxDwSK7I47UwZf9qzaspNzISsGouYMpKkPYELKA67vEhLpIvaB9pk7 gp+zc5KcusLhDRqrOcLr8mqP6Gm6aDEvoiMa8NlNh9W3nJlZeDrRzJ3VYROv2xThJ4yW/JF/j+ZB kKHIIWRwDylT/2Jk93rakEJZ8tuSfKaaix3tY6r8aff6gZQwdBej8rDkkWijCb0GXqYQJVEwdcFs bHXYrIL14niS6JlmDPMkVmr2vRfSpAUwbm1PbjG7ieonwswFiLpfiW8qeA3vOJ5CNrdxqGNXkJLR EbyCfp5jv7+lD+1c832V5xD+sIV/d41zra+j4cU3zrZ77iRTmigBSMgqwKUTyc2vNFzEAz5fiKx7 Gzzq9wtRApGBHq85uxfDtb7jcY0Om/bnLtECoaxB8oU6o/IR6lonvX3ry6nzdhPZ1NVb1219Wkw+ 4sEpTSVk+qngk1OXrqjLXRNa8HRYOl/2Jj8bdbObt9IicibuIQsSPktfGMvxWklxBZGH4tHXztAJ OOJVkVDq35pGkFUnrH4uqgW7mscT4Audrd3L8dMgdRLzgysWxV1njo18ZoE+6a860dWcv65SfqoU g4njuDYvQ3fYgLCMjbNo59+z82CiOy2Y/DvrLjYXP9FEU2bYlTmRRB16Zgw8PzhKJtB6NDKEqi+8 D6kktDJKoOGylwTnhPbAfjsplHkPeC5CJ7v3J/gZHevuZGpMP1+l8QUfynjltZA6Iy1/6ahLrt7t 7GrS0+Oj6wwfBuo0O33HYOOrrItb19ObDquDp4kiy3Mr8sxc6qUaKJR1bB1yuQVptWkN0eA7ul6S SQrSWrtYbo34T1BxV1mrMq3BBqu6Mde9OavY2q+FF+Kk/jLXGzKFIzB2jbCnZIXJn05BMfhhxL10 s5QAn3GJWGfw732aQHh2+m1K9m8WHFoE0MwBj+fP0WG/RbMv6/7xx26SAbfeaNQgfPRjsUPtcmFo HdEuFG9mM91hZNHjjKnr7y7Wp2F8vJeP8UEbF+EOZb7RxZP3RGDEHhfnhfhAqxIjszET1cQKK8+K ChJTpEc3rBveDqn2L5KOHxSRZ2ePRwqTn/WLNvWzLIx5POY6wJnJrMx66eLF+hbnd6X4sqkw+akk as6y9Lj6RG2AmZgU9LAoKCi+TWjxxm/h9pOUk+RfIA/ZtSdzhzG9aQnoS1klyTx9qhbf39ms1DhC zuLwvjCCvrOrFJWvLCUqf6/pdOU//XhV51AMX0UfbBolOWCeVT4vVqfjUmYaezkBxqSPrX3XGOQ1 MKJPaQLOkcJfd4/pV2VR4Boi74O9dweHzkMZCvxbDPUXME6cINGeTrmxGfC2+pHax5COiXC9DQGo czQDTBmEg2boz2OY4Jz1RWLrj74m7LjeJGytx8mi//nSGC+OebTISmQDRfNLMaGSqRGrWIv7sl83 AFoHTZAR2gJZteoEN0Z2Eq4VMu4u3dGWqB3saFkl4IhA04JE5k16AWWcdh37yU+6o8tJXoaVPJJd n1cABkfuzsCqq66V6InIRpwCY2Y8M4QMAnU9+UOG6wCMcrrgczVU1EOusEnDqIq9Yt9Gf4Szgx6z iuUjLyGfuVwfwa6OseeIjnJBGUDamxFXPKvGaWfgoDDhQdHvyyjk0Z2Fk9SIrUfYXEfs00yqCBAB Y2fp6PBUCZnqjwZhNGaLKjFRX6gapDi2zLS/FbIa4nY0x6Bnj/Sz7DNskOBRCJRdAxnmGBVxJWl4 OtC/4JRLusMnxnCY27XC6piyjiqPLw4emncfWNwpO2C4uw9FHZoWNhDK7YvEDSrPKPhEpGh1JFMj EAKsZX9xknoZYJ60vCKJm9MVo9KT+XPCe7KTmhON9tBq5pt6f0SL11422qvYRIZJegn0Y+TcwpTn O6oQnodEcpdMguMhNcSsFT5Ql/bl4cggwO9w+18Xy3DrWgkt6gKKZBUwIaeXaTnKGB5JGj3X+31g 2e1i0NMfcqSSlLOPfIwR9b1o2owGTpWbB8ZtW3Qj1MuVSJ3FOVu1CPeeZoHIs00Y1IYNT8oySk8t Yw1Vb7THpt/q+M8M9FVnCd65B424r3LF0tJ55xoWxQkJ9iETjk+ReaMScLi4aWvYfRuYCeOiy6uh uSvUIN3lhP6luaSrvLs+fU/tBRPiqn62n9gVg72zvoXvgdQbl+1r0ncMKm4R00OIVbkQypPI2r4o k3JGKnePgCnNgvzkYx77sDmQ6ugSXjxApRdb+bNVBnzCmNixSNGCsrrOJF8QR6HlXhOYakRsf365 DNaN2aYr0MlMrZsKRUc0GQtlvKRTkPoig7/zlIAIYz7y294WzSvEPpomc9701xnxcW7IjNYuTSvN 4FjeMjq8ErFq6Xz+rvaC1H3C0VnnKY8Ojk+1drwpZhg4/jgMV3h4Z239F9Xp9Ee0srDGn73fFc2H 6BAwDCthjg/7/My9kHXXjywkpTLFoCxodCBxcifI+UqHHTSJqCFI/ae8Mfi6C/EBMMY8DFpURkft /mBQhfag2ivPkULw+/Htw3MREp2juTMAv37usxJUHAyHcGeYY2hkDp61gQ2PGr0/Ox6NPQ8NxlLu EOX/ISrE8Oz8oRj8dZoWt7gCznHV6Ms/AZCokVkKKQiDMmoZhUV0InAesqnD6HKOCgNTxxXEQN7o Ga97sSbWkI5YbBE2yf0ctsblqGoGkvBJuGHk4n/JXrS8+X4ctwkF68ROa+d36GyyeSfrYCshsZHC bqCV3FFXM+sczwvCdfm2KGrZ5RrvirYkjj4kZOww9fRGoMcpCxCsoKxkvkfeZAIRG7vMaebrTBng sVQgWcdQea+fwE+apJS7l5vS1MEwlmRcKVl33ZAUkESGD01zeFA8ofPTzx9XiThpeg1hVNH9v5so opmH/zfrwkl4PnI2f0SLbjE8OxUHQfA5R4FElHbcKjTicqbuejuDg9SNsjNMYTyKHiVTk9WeegGx sR1AxXB4nA5pPAVlH919jbAiWmLgKP5w11ZAuQdxEGCansAffUFO0BQZxX/TrDXLSD0dhC6wUS3y zF0Au0S2WpRrnMim/kq27j1ZKOvnoQZ2guVuqO0Mzx/Av9CQrkGV0/gMlSPzaEgg4iWpifieNfsb wA4BlM1vxNmOxyZrKaAqztaCrww0VRtYGnD7hMqS6n5nc/LBHoc4ccmHgTMG/EXyN9f/OJYua5BP vXIAGzT55X5xSjc7W1XnSXHe42ww5w9a4i7ACumRo4tiMWHCYdeaRHbTgdI3iykizU1HKuHq4vN6 +edDw+YRVNRRbbGwjLmRrYrCeBzExO2DSuVenO28n6oDH0PRPeRD++dU2mI2/BceivwSzpyIKLeB 53tDTPfCirIQwehZtMZoiBZdqODOmYuAm93CD20MHrrjBqw6bLidi5KwrTVRi80PDItJtetJKoQo d/CeRkLBp3crk3YMRHDyZOjcmM23klxhPbOVvYCEOXKMH/0YdejXlo/lhoAT/F++VseuKG2OS077 iwknuNKYAUNK2GPRVi1YUp/2ZNDN2NuqUa2mls+5sBtc2eAcK7P6BmZ4WSGjdwyFgbVwwchoU2b7 E5311+62tLGfTVWQVEHBDqIIPLvYjJWid2Dyw9w+oj0YmF0vVxlI5MeuWLdXoSUFlCqPOhWHdwOg 17pVWqf50oUmAsN+38rItQY6/spzGZXYFSlCTId2QpwvjNL/ybNh1isqTNs4EAWZZT4v63ZOifLp yfKPs1VkX4HrtoRUvF/BwIe7mNqOb/otY0D8SZQWg2PLS1N1f4dH28rIAgN5J4E1cpeh9uhpihuZ m+rtC0aEsS8vlbxtjTyZKRUfLgsa8yrW4sbpCMIf7B8WQGOafBHiokspwN5XslixXt9uI/tELL19 /NOOk6ut6nUjzelIdUtDYu+mW6yP+UQE9fanMvOec9OsleFH0uFuyqkuAiA9C2f5xD/1qJWBUs9V bXob4i8GYa05C3Bl1StOXWR+jXmIMuvbh/hLCOet81+RrngFEKewYIWNSYm1PNR6cQKBfNArOZt7 qxVXs4j6zYGZLTDCqBHv0ZbnQw3imoQXXuZKBJsvVHGoCUZIPeHTE3W5ovKyWSu5uMfNwGLJ0uHb 1eqtNcZDNqml2VEYgZOeDfDMjiUXGi+ix2P0EOxPOtmmCgj8b8EzSNDrErrsmcXP8DtLGn3nMeRc PiudM7xJouenIsJJ9yNv8zN1GtW4keAWleyO+2y9o5ELk7zaAkGUeFKY18mpMQP26wkUqhHDpuil O/X/pWoqcJGgJv8/+D+57x/6ljvVkCHThR8q6u1YG/CzsXqMoONQBEO3iKfWG+57abjTbWjoxmm4 g7YxPRVFsLUpS6OM7IdXijwiQPGItfKHqAiCH8yRbLzmx+bbJXOpc+foEL85egLSFzmhzkxy2lUD 0ZKd8mX9hsTbq78mRosQOBexoehEOUi6hr/ijrBCb95V5TaREeolGNWG1KgsL/LNWzV8VH7Sk+vi vAQPDn1iPawV/lRUvEokeN3RfbYeoQNLlg4BjziivOOz2kT/fUrnCEryQiKkN+8S1clMVkp1Eq8Q az0eC3HGS85UGfHhCg5wj/bEtHcG9KLxSwflf0EDwZhjNNKGKPYfoG16swI7nPTp8TJT4QP0lkLn xg6FyRUmHh1wbi5Jz8i/1zQ3XQkxFwvtNePatu9Xd84kTa9pQIKb4pRxGym+EnFyXqOMM5n2VAFg 6Uj36KZIC9ainb9ZkiyTxYIu7xvy3pacUDObxpF8ZoBOTFendXpCU/bWlHXpgXxLjof/IhCX+Xhp 1PwcPlRnuv0FrE9kJ6KZrIRWOQE5go+pJe2jSBUTGfPn2Yi07VTntQaJhr+64HK78cJQdkW8+e/t bFmxRh/2CRtT3Dd/QIv0azLYk5th7TX8sbs8LnfHG2UZPCYl8uISOqxkJsCvfO6nNpN3ybra12Fn y+sseJ4By6e6WFv3Uk89a63rjS/I64ESnMiOQDRTrtRKVcV/2kABBGUKJpv7EhrOKkgRXcakBoOy Db4y0VuDuuZ0n8ilg8f6vHU7YZaKJ1/zPcorFTxvK1ZDFHAI1V6OhQOXtl8msQ2hzkmKfzEf9SKQ +qbHgiKdH668QJ8jOs87YzTW13hBCZC9OfvtYVpcYAAPv5UOEnqc62GqHnLSmA15yr67W+eSPuD4 izOep+Koge1UL9DbInX86e7pNXKxfnsOgW2NwP48Cl7X+IpXUny119ciCr77Xps7w5aVoIvn6X0S dGZe1nCm49Mf1RhtNOl9EKqodNYPa7rABZiFHeZdkJnNWTKYfS2nc8VXQsgnCL97rRtlV4EA6fjl psuYjKsUIgA0XrMOZduJEKrmAIyvEkLarILJO3zPdsSN37ZkqxqPNuXKMjVEf7j/AzfVRg4pbc45 Oe/5d/rp9FHAvBnmddw9XQzTb+2wKGQterLGphsd39GfsEmTYlbmvBIVXeHomw2GyNk9rzQszTm8 sy12QQGbgSZhERt/LXJ4d6wB8M8Y+UjpRlzeU1+QOEnxyyFD997r3RJ8KKQmqFfEOkJZNRo6i/ic zoTUt1jXic0jLxsVypgQORGVi/XUOcIsVD4ijfy7ikprsM1fNXsi13m6hrAoSZRrmWw6dLk2A0Ui Ed30JzfjCxWhPo72kVacFQFFAQzBtW4TbMsUeQ4QnWa+s/2Br79Q7OUhiaXmSrTgfjc0s4M+2LyZ a43aToiSljYeW0EFF2jc/cPgenNwVjeq1apEO5BiMRAUt/P3BqdI5ArGs/g7VszHpu+PdI28ZOp+ /hddFCU4AavFf6zWwQjtSZJXggXCmZp24H982CN0FTRL+9YnaHDEBXfFW4b+/rKZvGsEvBZLmx55 MVxpEkV2nntlSt2jHLdb3V19TLEFSkgk8OtOHpMaLM2+/9rUfWUoDyEzDUzMwVS9MvAF8IU691Xc 7U+CVrJZBCxFTXvOQGxTAHInv/L8jm0Kd4PCv6QFSrFrc1aS64N2nYGqMgiG5fiN2aJShROJejpY /csf6UAM8N3ApZrBIIOa/BIEtJG+otqs1HN30qF7fKIfJNj5z6tWNDr/klJtr2Y6oAiqB7y/NtAv ktZKmwqMXFWhFxYsj1UzQnufvRt4L80x9L9SzWQUXVXSuFet6l6GSShhJ0yBSJ3fNjte/fiFFGlD raLdWX+rJVcw1g3XsRTllShGxOJkNmHeyzcF35oIzj1igPMsMEjuNjJ2ki0fvNy+X/G9qFIs9vCT zbR6F4PEFEoQV1kv3qrdD5Gq2XX/2xf/9JUPIWx22TsYRJfnzz9yZpzMJp4Th1mHmSaWxTS2FUjY jqrBIeasOH7yduhT606jXklORRiyADoKj/pX4Q6k5sQwwqgyGppBCVUiEzZCnp+DXiOh9Mi+Cv/T 4m2FA0ILvJan//4KbZuZlkUlVdjP6ufJ2ymfRgcll8Oa2WaVx13QVRcnSgf8GpXMqUWJKoQG05ad fVGWDv/3UkDtnATx3kkG9ZZ+dyAr5SNjrUpmyT7Ajg6swMXCWJpXXESZhwbyRBhDzSVdwaThxkeb rBQPVNl4MeiOHGG+Kcxjnj/ZajLhlXG/U10st10oF7Nq6CjQZ/TXMeTQBSqNX8D3mpe5Fx4u3fl6 6BWWeg0/BP+Dbmwi9qCpcplgaoG1d7UXd6/9Bbmv4wE6OHFBdmiX5Zn7tbou/I+NDiSYHvY0vkVU HFIb/qj6BdHc+cpxA2N/MJodqSPY2SYr+aIBUDIVu5jxUxXJP3yFzVWW3xfw+4RNXArP/hOWlgn5 mCnoGszxtBGfCY5/MES2ANqcyY2t3qK+QVOUPgq6Y3tPSexB954MOzWfsgnDANBGMNuJsBKJg6h7 NbNB9EM9uzQAstOkXQqUJdJ7fUtFTZqz0jdgPLPWmDDzrRxQf2L+jA+hpbRKSfSlcHlp2qMzjJmn WDfVeqMgsvEqeZLOrF05h0Bf8GUWddOS7/8Yck3T2NULU7ZKyuiHtsaSfOpfBy5qekcVUL+K+ka/ 0UwOBLdk5QU1wqUxgcZHlWwIMG8SJ3kOQSANYBDnteLq5dzwyO1JUuq6zyST6X4EdwBJsyvMDUtT CIzO+82Qg9TQaSfxSIoroAHN3iB2aJco/voArYcSAYRJhYPa34KweAGMqdH7y7+PC10cxEm6bStI 6uIER0nelMzNcP5X9T71CMiOInw1um5+s9Szcl2u89zvjUwTmLARLZUeamA72PwCOA3bmg4wq+nG 2FpGaxJzo8d83IPiay19eLZ24NgDAXqLyEdjAEwNicMHfilFVpUxOCMFFErVBcwxAgw69/FwLN+E uuKH8+pWy3hhUvmVxWGynShJDZiOR2e4zeEmKsIY+ZZ/9Yc6nWN153OU/T538/Cjz6J0nGo5mMC8 ob8LBiRe88M13FORMy+/v2ZdL/9ylevRjKQeqv2XrHqVZ8JTmNqo1kYAp1qr7oTTp54i/llwJPuX tZeyVvWlKdEy+IVYIcAMtqIQmTOrvIdIX0U7dl5FiOZUUrrAjzybxMLkcwllBeiiAIuoRhV9lIDk luRUkywXumsyAGzR+TN3HuGRt2JlV2EeTUu2LQrVylc6awcXICxYwFXc0DB3Fcs7OqejzFr+oRBA uyFdzxNXo4pE/vF2IYqLAi5UjLOkWbix++ufqKz0A6DAMRnlPxIQva+3C5T8szCTRBd7chopAaES gcznoXTkTh8MSWIpmz4U3TTRlN0W0GDLAg40n+/zvr0KFRFEmPwRcRraP6j6izXXvlZp/qFwbLqD YQNzTKbthm8FrHld/3GgePUdigVWalSOWTRbpN/W3Dog37cvVEIqMEI97BpvI8mri1j2TXpqBvIP HUln6310OaKKgV/tmL29GBzAARUTym1/GPpOlRvY2Eq8OTuNM6q5VDCZjR83GRqJzrASEcGygMeq zTmpDmLdf5BfBHKeHUhUm5iZHslgzOIyvc2b7xeyuyC6MnLFIHNHSp7Vqkr4aZHEmBS69eFuKwL5 25iYK2ZwfRzEM9pNwhNkJmT+i+h4fIwkFrs1ODVhCm1O+37H2hLMDx0nrnlQlHGMd031xtiSyCky Bf3DkMdpIGgwPmUtEM2C8vM5TZcazIiMsCCjcojgKKKDKzZ/w1wiCb5K2MC9KW8PtQdnIUgsZnq+ FyEMRIO6gfPPYFijGJxwTN//c+ENn23/74yofPdGyBmuj5HwPJgogmCaiR9z162s0fo1PMMH7Ltp Q4Kcf92BDElodlACVciOPqYy3OjtrTutBmArJ/cfIFn8sDSPPer9w3AkyB8FrJLBpufJkaCmUdL8 UCuj0mDI0e06Hk3DxHA78L/G3auMxMS7hv/SHgZNf0TMqMyv9TQZGV5JAKNH9aZmZhBiGaBvuFQx GZdQQBGpgDDqRQqOEUVD1aM5bCxQ5ITMSDCB8CKM/hyLquV8KkrAGsoyiChuestYQRlDxpN5EjXy rq1F1XCSIaviyRd7xZScL1cForwijJUF20Fc5IVQDPccTJLgImBNtNSpG1OJsFS8h1BhgVwjM7yT 8941jZosXXO/BqYtf4lTFYnY/JF+81fy3dSnF0qA4WtiriF2mV97VkH9SaCbL32SDWkBlM6F/LwY XLcXz3ZTA1hv7VY/kHnONh/6Cqzi+XhTfWmanD0xequj/daahEY3k6G59isDLyAP9xSqvxpRi1dv pCbsweaFYA1mM7G2lhbQceAn6NDrbuU/qy4iY/J6Lda6B48qC+0vqYas9MTlCRDwa3ClzNcn5H24 hj/HCxxuug6sgeA9LLWF24DRUnYR77bbWW4i+8JbMVJ8w3GCJ8jWtiBl/vy3D65pq6NQZd8Wes9H SuY9ljATNq/rYwXE2NuO/2K+HzbT55cruq6w3VPjwdDrjg58oYP/7IsxEnDwHVM1LLBxO1JCEzJK cj6vytzcsmMBM3WQ5k31qtNi3na5afRaF7R7pcrMzWWl51dbuK/YPpzW8EmaNzNG74DmgAKL87OY 3c9bAhWgQuW51bY5eGokFn5oIwgbyTqOMosEebkBRGVqKwf7Bx3HnQERezeCS+MLTTSGodGsjYOs DNNs3G0wRrYOymDQa6KTOCx5LbfTfG1lmaSP5EKI16cpWE0ajIhtOyKrpCR8x7oQ4A6R3R6nMsaI kSGbTPLEA/GfCjJpykuWaXjFyL+EK5yn1PV/iVBvA8HAd0VrnRvOT6X9QG4DH6vsG1kdPbIZOdzX bo/lUZj3fS5FVtrQPYbZIRHn2typTXMzMy6S92ujJyERtfncrWoYXa92nTDRCURbhwi1COyWJJTw akLY/Nvls/WQa6zQ4KvAp6DSXItGLPmLS2WmJ/bnAsZ6QwCHwe5Wpar5D7vZw28ZL418N9pVDDQy uYf4Eqc+WACeIyoQEv0hnW1SV4zCJ257UnAYQG4sWiquy/R470snf+2Vzj9YcC234DT+rmSe3nUO jPk+b+vh5DbU6/xfy4CEscKxXDLhdfKvjP3MEwxspcx56iY2EOLAF/vz1C9ebmuYNa7PiTfPFKLb 3t7FH1kYhtX79ukEaEG6ep1EB6qWR22jKvYEWK02K226zA+EIQmrIpqMIr1kXSMMKBFVYFAMeB7O a8z4h5FcnGHZsei3XX1Na5uf7gbfKoEAQg9p4ioe2JUTeMkYjco+7yh7zGieyeWAHOUUvRZJ+voH JLyM3X9saQ68fUsop/dRD7jWnnJ9h0AphFJ/+9fGZzx46ggK2q2JOtSJeK9cSRFVFznfu8fHdbO/ Cy+uP8pekQkm3PtyY03ubSoRSy/7X9OCv0SWWPy22fMh1QAkCjiK4ltXrTQ9CZiq6QJ1mY/kJUTi cZKNr0pPXZ3MV3uRRAGS/lztfJsLltRlJE0/YP2G5Alg/8cB/Q51l6of6BGBqqhjACqd7kfjAC1m e4AZ4jwiHbRYzamwfYnzbknBe3nsQxNvpdLa7IHnCw7ByAuaTCXks6BxxQlqr/4s711elQCSgWGr 8uvb4OmPt/ElsHYpybPM4xT5bM78yg9+hsTPwfTEdws7EZvhprmqgkofHnS7CNtztQ6+GYUHG2le yfI77lW73hPMqHug00uvfuBvNHkgdnQK3hZgLt3njUvZUPvfdf5uOZ7fCi8phhdCx39Y+b60HaZS wyjuZYoDiOH5A2AIEtavzGQSxKvIZqllxExXhWqbLOxjjXkvANZwLjC+Pw5JPNrC6Eskv/yLqDXi xh4TrHV5CSbhocFNCu0OU+TuKZkIpcYbS7biktAyNzvv97lAtyepYcs7b0LMwpuwY02ld9oo6zlx 5KJlJEmcZAd2XtxNX4SbIOGUIts5jLAE12uOUTabcz0TxaPidZUtUed0G5vhaiTJ3wkvZbFi+TG9 W9UdgZ7d/uiFUW68e/gr9RXcpwMuBMSSFbeWR/UnPIhiCqGVHsr3BWo+dR6EAwlPU2dh6aiztdfz 36MR/0mPfeCuw1AIht+JlJHLrZ0NcgDj7Sy9LJtCGSKnHAFLOw5iHKTVE118rNQPBGL2CcT3RbYz qc7DHqXCdXfRH3UVv1wfAhM0Yo9pu1VE+5Nrz80KNQR5PX/Vy80lEvwNkQVlnpDT7JrsCoIY8sNP SQAKXsgeujaLFhtadk4GHmmtWyGDDtyNiNhgW5uS6AbwTg9fljNIvT/h/Nf2i4tDJk/M31oW5e6R 3yPyyz3vGXPoDWfZlvHz5g8QwXF0H2o2BQeXI8BRIG41YnSImsLDux6Isicr03e8wAVzkhM32pzX MQWZ5WWqHZCXtGp3AJv1mleimHDHAEuj9ER7KpRVDf0MXxPcgD+E2hCgR98VpWa5pQahJd1wTSd0 8kpq5wyjLQKMdiPxx6asbJlE+ykuvuOBBIw8UzMTS/xmuf8aYH5DyINW3FL1a0LbRzzMJGaArUu2 1NHyBOGEaICBa5fp/cWtl5nqa7JsKO1n33IBufs77AOQKFahaJJMPLgS7aQD9QsLGKNeObRHRvwl m9qz/M03AXIO+pn1JI5I1s5p6EELgEpgEFujVKHaTrglUv2RBkY5ZjNV861LGigkyoWWuMZWAEbK TGM+m1IufBUvsRHTZSIJq2ELUtzdLAYG9fMgg6I305qncFS+fhGQEHJtR35xxTmKmctfBPQMN5mD r3OaU0JLaBUy07Ghd1+rveneToeviYunnW7XlyvpcDDMa3kAByeyKZv3ZadUApSynXBHOY27ckFi 24e+dDvohVEqVIMo6fpDW/DH94A3DxcLPx5+wtnGM/sm+OdfICqQ3LR1IAf5u9h5PywjtLEPPtso 8ziePLRdwB7BH0oy2eJMKRS7G1aiccGgLAABXpOhy4T4GNu/9K+2JsI/zdsggO/FvmlWFRka7EuD jar+6YmLmll5Z392vwoE72LJieZERGFHE81qof6w4lSHuQrgUYMnL0HFM8Ki3YAp3tc3PC56XKaG j/YkOGgY2qHLP/CAxoeZ0EnNj2wawlzvlESk/UksDHAnd6LDFPD+XVG9kqHdOnPu7K3kJpb28t32 Gc4HqmBMeNYaXb0AonCVmL+Luqj9lWa8uyOTfnZGEoCUkq4jN6svccBFSIXaRUI5MtWOY1xTIL7U D2AD/inxidyqYkhIW53zv5d5kH5ySXP/4IijvZCCTwjGkYSOV1SAMlhvn9hKyof2DI7yl2MYEPQZ V7g5IxoHzWlhJvvXIh48zet/MGO/ahjADCgXqMjCQiAZ6owpnoRynL2Df9ybJoA09JvwNersE6+Y w5Sn/CQVokN0G/uV/dU5wuZYmbCQeuQbRluLqtTxGVfgzt9k/ZbmxH+GrUaivi1q1LnU4Aeljw3h 3d4JbJPxFTjs+LMqxMpuDnkeuq2g3tk+7pyjdoLEfxhT3BnRpQgaYvyyK8VEkXQyjIQ9Vck0m0WQ w0YucjgBKAt4Cz6HrRW1da3IikYcKCDoxP/1CWPA9SRQeBvOmYL6QksrWJtu7afS21RUsVKZSoi3 IC9cS7rUHwmvVZa8+MU4m8df6yNyZnaFfBIxpLEftHBNuN6LnYDrHfZcf4KeVoZDODUrQT0w6sUy XXM0eTveONh90pcqmspf4RaUsl9Tel2EAo9tErZbpQIn26pjc+No+M5WfEPRnkUudbiP5MFyaLNL s7LwzlmKuvPYSObbu7koz6t6GKx3dAyTcJ0UnlSfCTK77k2NUElT6F1vlXs+8xHcIG/irts+Hg3g zoYzu9fCGoOghERLnaYxvyJk7LGdZjmp6eIvX3GehLI5OiIVkvQ6Iea8BFN6+yS8xpFgCO0Z+KCR cnMaDBvCVavtt0vqjS8RaGl3+hjk/ZpVHnXaDHK7/AIOfDemrkX6OEVhGliIqWCnehROonV5orga Wav7XDhivbAvLJaBVwDTM+i+QG+9A3fX6jbKBqUC5m5/tlFhgqOGqgRfrJDW/xZHU3JfcwRFZLjU pSGlRNJ44BkBsYXzMZNkMh5Hj3bIY3BZws41mG8eiufN3BksPC545l58wBqLLsmtHgQ6MB/1QIyW MZVrbYKVP3bDsYSop+eFkijc+tHkDMu73Rz3APm3fGjEh4F4zp3SCtf+UQqVemTt87PabzVHF+w7 dAOdvY44b4HjKp/GQBll64MS2vFAxXJAL0Yrxd0hm6P4jF2WSVWPkqVh5zuoIdDNvudb4zyvP3Xk Btpb9RUrIIwkobkP/iGpfkTaxzjj+O4iWvscBqwx7aDBPrhS72fDIIaFNDiAPjobklNPjTTNsZUj Ba0FYCUYZUxRZ3AWofZRrF4FjOPC2/0BtHxzD3CEqVzn84f+iTW5F/XLWAEkrpivGOYfBoOQa4ha lwllscgGenTNnUHVIVYGtEgHUu8OWMSm6CeG7wKacnk37ibT7qdQGJQHQxuA5CpuifLue2cZSDWn gHf2IZW5svGjFZfcFgPA2+oqIUAvIeLuUJfJMj2ayIG8nFr9bKYkQmp0LkcRNHsPYafFzfCGHp0h hBDRwE8Q4OYo7j+38zYe9/+fSwcOYPZm5/834ogKfCpme2vT4Ru3LE4ubcSUiAZEPUSSEsVVyNqs p4+AH8ibxumGTBeBaa0DA3CSKwxWMCF7+o8cIZQt1ktfjlEA4a5HiJRccdTW1+3wemtm0EfEC/YG e+0iC2pocg9lcBAG7ASjt6YgjUt797siiCU+sxRg5shRm7+3ahPBuwzpgI4PEbhrbYLssCyqJUQl HyNon5AMu0mnQNfnHWNF6BE92ARr/QBdW/I3bIklSxmO7+aBFOAWRnoATXudcC1wuaTz1zRJfzVe uqgr7F6FO16fhsPqeB1QhEQJttISCgk84PosQp5LrdBAUSKgu3CNdPqY2d3R9l+q8oLTD84Zz0/b IMp//Nxrv5D3LECFO2ezw9CZXwrJgs13ddl3M/oLUnxl0LZAPeXJITFlU6Hk5Tf7xANYHtPZ//+S 4AYI0mxJvk8FdUSLqkf5jEp92XD107gfdVmvewTONXGbhGjQmy01Ebzlkys0NL4mQRXDj3MIJSeK ojevyPHMvCAFbPVsSZ5FU9B7jDv18xWykzowOn063+D7vlVh2xXPiGkQIKp90W3hxEZxrsiVEzw2 Xyb/HqDkYSIGkTQkeNpWL7ToFg/zglIuAVywDJT14aZ74hlV0shlCle9tEUFA+YgdU7eeW/XU25f TeW53qdf0g7Aut9AUwOsxtzn5ky/EFNGCJmhxAAylnPCSNR7JqEnI9gAF18j/lwsEvUT7FMMSO+8 prDD+sMwxQdR6LfzqwPtJtRQKWQQZpxXcf/+ylhQmRKchoJ6Z+tpCnxoqToXpI1zeD5c6tgRxX+f 91DzM0Ay1wwExK7QpxSxCJ/OgFkwsj1ZYqnJkqhhP2w07kvlblObSU0P4Fo8z7rh1308619Lvkp3 G2RP88+79p2kFBj1T5OZOxxdAW1MBYIZn1nbIqO+LX/mWD0rot+iGgobLgRfsSmTUbIgJjK6aiWy i1rmUXQBjdvM9jtko64VzVHjXOatkW9zyGeXHls+4b2DoqCJ5usTo+IoHlQ05OzHijE/9LDvNURA ov59o6FjhThgQBfkMhDu8TQVO2WZfrpvkrP4+Z40KnAMbCxjUSrKljIYe2vbOwNYOvQKcEJz8GwW 7EohoECnIQKnaNCuIG6ZHTjLr/3FHsh/VeukQUBvnCiqty82+mt53rzps9gmjKFekEsR8BOQOWki efueIl10JA88Roag43XQnJ2SnYRtCkpJjm7k6ux5ZkvXeOyg662NdwtQBlPP+ADmwMAl22gjPIVu zgbhwsJS+2mh7kWwmoWUZ9/89HQxPymitmut6vfxEsYi+cEXysQiNH3huTdhyjm1ds3RuIGkvM4P QLbpMqoKJwTKJzqYmJhnBuEMCj5i0oj2TAO5Nxxp6q9yRBsHrq+KHfnXmZdaa7f242T1oazmcNDX H3QlchUvxAEMyXgSpkBIQzf41kPOleACPjU8ahYMqxX7lPrlJV0bdmYOeXSPxcjrMjlgrjD9jY44 e4IlkK3/wEd5LgEOZpo1tew171RvzSWTBMBbMhpjn35f7PiR3xIXPf3vbTMQuT8fGMHzqD+0m8lO ANLUK6P/cP3hApjQ0Y2wIU0FU5AfBN3LL3F7osfoATzEZIrM8uPfNPyAc2xXtYXSuu/I1GKq8jpC trtYANbrOGrLz1V4gQSsTs/PkBfnWEt+SMZ3YZ6LsI/pKGchsZqDkBt11ilE6+aoQ0Bpyk/uX157 v2eGB8sSWRvMZ5Ag7NE7eAEfw7swxKRZKU5CACjNINc3fUD8kR5hK5bSbTIbqd57t8n/upT4yaco jThrpQyFG3Fni9bLIDnXAkB7aTJDG2DRAruTYrUMkKV0ndREv8QugD+2zffZmf16o0AFKOQtjGAk W2PHLIoUsCsQQaKk07CtZhVI8RJ/tlZMmgUN01/obNBqMjCQ9LGyk5T/efxsW7fZyo68W80yPCXI pcSrRdZD4/7JEW/RuvBhPfdJZqI3DfBq3q6Rmen3GEwX6vePm9XubVKRRU3TXsZM41nfpcbEF2kJ g+wkKY2nA3FBBr6U2VP7Gi9m6S0JxlSPoUDSSI3Jl/RdKd/wmOoZTzgPjuxiL2/xcbaXLMZmh6aO aTbS7HKxjl+hlv3N4rI91BeyLtDFFPuU735aVUbZo7c0wyJJvKuFCu4B6XxiFlBfQvJ+ZRElwTRi A9jhOQhr/NxF9C+0XrtbSIlTXhOj6ckX5jJ72dKMbKWP/jrJN5hiGbk+bGFscu2qMJWGtd7JWXWR 0d5b85aKmgcuo5PpgzQiD+x2S+549QNVGL1Ckc9S4s7xek1NT3//RCb7ngihO1RcH6CbDPdt2vPK JKFrOmU4HqGhdW/2kHUT5HmlWZQ5sFZ9Cr4Ot9zV8AZGnH6CU9jg3t6X3yxMQzRITt7NZ2/b+5qY G9FBYuXG/NI/76NjpR5lg/3HYFmzmNyH+YQgR2zoNa0UM/S5oDFnYQP3GDE45oJPd4sERj7tDac/ vu6F6wVks4GCrp5M6N/j1m0YAyARwA3stXZfdvFMDRAQW9c0H/lWFUmuC1RvopPjH9hpsRIcnigR AgLYkBnRE+Lyx8fOZG6iHMxRdBnx2zAClvGB3gEe/IAkbJZxcHMPKESo72fKzJsdkudFVXd8zCZl DNtIDJUt/A0l3i0rBb1X44BrUwcG4oBlX/pWazfXYMVkgJFTazeArKIx87Uq46UJ6y1lTJziw+PL oiSGumlSDFqelCS7dax4NTajpKOZN0YcK1UoFbnlGD5Z9jFsK5k0ljOsxb+MvalV11ptJoYPm2kO Jir68PzIl3KTeN5FbNyd3BnTUdlJAdTnKTi0T9+zEeCYuQU3RDB2aTFPkfaNh8IoUl+NIa2IcZLo J7MYbssBLILh9eKGIhFdHPJUj64U8FUotuMNc669Fqg0QojCuse1ku3rwGgiJkiu+7ZbjKu7XIzr Ww8xozptsBUUjfpBFN5GeLXLLtfFCtRgvRh3mIhyUmz+0QVC+0IIsI0acuvSvG37TiCfhGtqPnKT bW5f8q3IBLrd6JPMaq2zoyjdOzbJMhxiaql0DCYoVqrkIHzIYUo9+wPY50G9IeocXCymg4uBlyFL CCnoopTP5o8iGHlHtWnxHs/8K4rle4WUPrtF+J/sBhjqGjmCq6gYy9XAne8Gc0NKG1kQqgt2zL7p xcvl2fZcpFWjwIlG4x4czasMUbf3kfRw00yh9KYu63PlQcDxUkrNeMCCf2HLiv5CdBfeqpvaFuFv 8neJuZ7Fv0kXv2qsIc1Enz0lIVdWVMJUdM+WJqz4T/++XrVnxgR+W1OI1FKMb4Xo9XcPNnFTpNPr Ae5/BvYPPpsiCYed7jk1rzWFXaTtcvbEmpiPahQ/nK9e3N5UsQUvwq75iGzexsoxZVvWRQrIzQLH U9CfElsMbA0FSZ+IOwL6wf7srn8iNUNro+nI/a0ia/5OGbpW89qZQQ7vOiy/4ev6tDhkl7k94jl5 QqQQqZsWEJMwqLXUA4M5FWPzKlt2n2LWDWP3JEMg9BcZffiKyD4G8OmsfjQjdvZzb7d6AbG4imdJ GQNDC+kK1aX3ryWX2qgqchzwiCfGz4ws14Jn3iRPQUorUWiea6MIFRmYiTJRyPBX2FxlJAIvK8IR C6J/BBkqyceRwMGbCmgK4LDRZ2CDG4R0+Cs1r9FqjdZaCQTp8A1QI/OBYnimvmxLgyfrBzwq9Zju 4hu62ZoGpc4c4QdxS+FHcBfRKgtmyi0pI5guYnMLnOvLFFt7WLvoCFRUA/1xh1L/zPB9C4pfQcym jfjfP0JoOZKrmnTnuwPrDgFaVW239FpvQz/h8fQAi9UkSzWXCiVmipPlc1s05n1XVwd+2OqsqRuN ubZfKFzZQ+FOb+XYpd5GRdlS9QZ2x8D9fUoKLnOP4/0VIKrl7JKQfHt75rzbNFhqO8uc5agGjZXo L8EMESHwuLKExpcexLUdR71Hr+P14LKDnOBWZOLLnJh/ibgR936h311mVi13vDOA9JdMGXA/Fw2z OWJBeEhecr1C3otxJD45OCQNWPvWoeeGV2IRQp3b9/t/iHMR7qvBIxqtSYP8KfoNerduH6O5v13x L9OBY/x0bihk2mwCy9rdmwvM6a66dfUB4tybM13RfbL1xmb7hmpnEOvdQpjKfM5qRviJ2L3oCcZS NraeIXg2XOdDhzIDQeyrFzUmzXPtuYN1jPSj8b8ZVJeahLM8fiiRxMuVtfDQmlBP5pKPkXUG3thq 8Fp/44i6Hu9z1Htg1Panf2R2U35aNpdh/WsXqnwtEAdHirNJVTT75PWAOnwwvglG8XZjy7mXvJzC PASLhPu26kHKYw3PIcQlLm1f/v3RQSUfB44Zy5AEsR+Ahz/9wewv2Nl+a2wRmTWcfnrLhn+ALAb4 OzC3qHipr31RaMpntWEQSUAO2+Ff3w5mizoX4dVCV1LlYyQ8y1GRoneOqnhDBCzRAW4RBI3v0iW9 qpBq0gm0Nqo0+xkIZXgNKtH06dbTsiublAqNFIhQ/DjaN8QuRF51OzFvB9E+UQXnY+mvTKu02zD/ zWAHJtym7xrYMw4uQupyWrDFSY9Bui+F0xmYajikYsDkJL7KXKpKE6gFzT33MASrtYCVVl0WvkET 9jscfLTpv8+pMCxl1flfG6JDaSuDmjoeP7xXW3G2gZQDp2atamQ18aaLvF3kKLkm+8eA/ZziLruA 7s/ju+KLSv456Hy8/dv/rWo8DRzq072hmYaf9qp6f+dVTQPg5JKyMCMNXqB0kts9YfZcoPQ/CQp6 plMcor9ZmaK4jA60IQEMQUmddmxSLO/FSBxZM21+ElVBJpTJONRcK9gY0JAPVloWsQKwMsR7Tlpg tthtAfq9n9tHjUYMBokbSnhocRY/m94PWChpsLQkSy1z0KZpxWILtQBveSRkF1vE7cZweSX+Xw5x bgg50XsQXskpafDzr+9hV5qBtHqAwdV2lwzEnc0jGmDiiC+k5DP73XC2+vn4L4vv5aavQBtKSL7P Y9cr8ZIeht0sVJ+UvZctd3nzrJZgi1xcZbb+YdALrMWe+UiivaBc/5aTeObuR2VNt6IODpM/SDDq D22J0SxNo3hYngRcefD5bS7INEJw76KIxBDW+af+4/Nml4qCs9ikVj/4tL/UQUxpXIDVIW3I4dsf lkCmTdYbyVbyN1uWoKcqNMHxNpRIxAFAiE9IWkstouupU2TUmWipIwr19SzMpIgcWB5tWtJHqvoZ xQw8xYlJkakx4LiNnHWBytLwnwlJPpPGRzyyDymVGeeSFXLejT3KcxcLdg9dxq8zzvFkoSrh0mh8 E6jdVUHDz2VsZ/qM4OYHJXBNB2nnxjpvFhWXTFjIHHiwZwozlgEdVGwBF8Udvcl62IXUx67JdeXZ pox5DyerYhL7TETAaPRpxSeaA+WjnUGPeGkfaW9Gzkc0yRaLk78eHfPo0veKD6bKeZmht/tbPweK jePdlQdZzHzeqGDroVN0X83kbGhT8FfUL9S631Fk1e3+qDrClCGCb8EHvR9DL2RHtFmEYIpaRWas Bw7GjncTUTDs7/7U5SiACPJPlf6kPj8XFEmTs/xS8zLugkkyxlhED0ElNMYT1YyBYUyW2aCm5P7g EY9VAQ05DB/uichfsgnDdNAJ4vMCT2b5+Iy0tS2bA2dNbzK9dtVPdPEKLg7osptEWS9kO4WbQ+T2 08d54ABe+3HYi6I6eFAzZ/OXoTzXCca+T1bb2APDasZ5SswKv8OWSFt2hwUcX1XZCpVQvjSnvfnE PKeY6IHkHz8WNenJ5flYTxhApasq5qLUa+Bm1Ne89nt1aS3PQQ02MqQ1OSWO6PNf3kUYintPnB7z r6vK1BrFWBP2PIfb7KBksYgmJJJVwvxbVtQnGJnMGU65NofAvTmjbYZGG3VhOuxg5dMvv2WatinG MvaamoiQ+Ra0LxbcFpJ+H/BpPoQSO8zMo9keymTxpibftbz8yGdo4DQAEVO1KgNEf44NXnhtCc2U jjOUxZi/20cGwLsnju6vMiOpuKvNwiJTa8oDNAVAc609lVOcC9SQu3eByY+zHd5SeD8vJtfhk+dj zBdTlys0oKuWxDcX5ytyF+uhR0UyRnSJVF2UPPoFHZcBys7fST6j/xcXOvD5JWykKgETRLV0TZuL 15hz2hx65sQuZLVu9XI8/ctJSvnzP9EI9XtFJyJAXXYdxGXhibgVnZJN6OxmjhPghDn3JefmOLqC tV76+amVu0IqccWtF4ONMl44JYFe7hWFz7Oabam9G6d5mqbq6d6cOVzJ5iF68rtg6oNvtveiglba rRUlJlT3uCgzozaF3hRfPo8M+EjYylJg/O9TN6UHG4UiU5Y7YD3rTBs1pm0J1pC7Tbjz6oUVPQkN tHzBB7EcoLp586ZJfPQ9aWVo6YME63l1W1t8Oy3r8c8kpB9RUVJ1RHTsbfcBhfTMlnAMs0ucphTx IG5w4SjsA7FSbjgApfzjzIfvwk8s9nwJlQnsgXpCTNkqD2GB4vwmQuNZjC1ccTe4gmuI4W/XhK6M 769sub2Cn5gQJWkw/9ZLNooO1taeJC7slBSLeQVeDOahoZBNPCC36EDO86NCrAG59tA22hZfAtSR ep6Mo6gaGf/+d5SdFvpBJQD7znFvYrfC9lujp7G/e0f1O4bfglWafNcKQitw7egFamfWVijp62qG zqcZS1jtY5KR1iWUzjPJktL8HU1B3SdGcbvqhJZgbgwtp0XY0EC9Tht4VPNFjZPyX3fef+Xfr1ge uM5jHLc8ZzW/nceo9bR6R1ruZeN+1O8JUZ6Kf3jImQHnwhbVOsgfOLKjVmmDY3zSjlIM7/cdIhz/ 0QDewFUwXBQ7RevDfIWPJdAj49Rvp2fJN5ZEWFU1bHOxNlvWirRHP+BuAea3sTp8o5/b/d5YIRhV c+s00Df1d0mgpWvydnh5IjDVhZLEfw3CHkM3lKO8xQJFmLK/cskE4X+fA3rh1GXewhjfkvUnkN7Y M9dCgIFgVKD2poySXHcvAVcyDT7yjcXzlaPQLAKZNyatSNVyysg/lyJKwKAmuLj9yvovnh51foTd 9YU9cIwbJMLImFeUrnvXtsIM66Z0XhA7FzqZzKVDwk6keAnZ7XzUCDt5AuN8tSWxMxuF+xv4Ztdi ouc6XSqxgt+C4NSzbVV8zvoQbXuW/tOKXmBFSa0AIedx6EBwl1pFwrioUtvRvFSHkr4UzGK4TCWM kMe+W0bmqKmRDIICgPMmWNbADJBxyu8wHml7slc3I0tRiSvW9nalZLQJu94eohrlyXMEwYOp/++7 s/wEt9vOTv08qkR2Hx/bJdhYvkJFArOHDSpJEiqB9WNMts4QFJrcSI2jThTQpoxMPdu/LXDm6/4u Bzcw487JjfKsaFpfB4rVENbdU1ABGw3L4QJJRZtuWk+PSGbGiTwlsi+G5l5Ibsmu1J55A1zakRIR I1Q9p47PhuCOeznJK0KxryZHxYxAh+vMe2VO8MbOYdvhCZ2dYmfTVNsNkIr8BchsgC5hkc05CUF5 ejWDJaCDseMOTuv4chT05IrUGb2EUxwzMTcCk4TJ+W2J+LTicm96btzB6J1XssfwYQlLEOOXcphT kbL8RyuDVKrajy3rHFTOfA980zclvM2j4wFUO2uaEMPiPtT48xRZjP0clauaY09lvEMN845Yy54r FTQWWKa0emQqeATtjN2y6UB5mv/xMHmjGnLl6GjArp9ehRaAxXaTgk/35WAC5YHGGKZRKwMoK8v5 yBBxn9P9/OwaLptIwaNxacfcG4+GDR37mVebEXxHhvIfYuLDD4dnsGszDC1xjBi1WpTmGmt+A9Fv m9q2fsw3u4RqJ4vGkKi8TjjtGEmYq6b1n3q3rPzPciN3AWnkLTl9oZCtr8Y8eVN2u1LGJkJMavLB nCfG4EOMP6lGy7c7ECTDwYAxf2efzEg7ZE6Ce09hl5CeCcEJ0xFSBBoq3jHvgt6b5BD42sk3fSq4 Z8Nr/pbEij7ExhC2YnH/FLjrvSjH6xJRcZxkZTe86jpGcnsozjhFjtmd96Mi3PdifqPJSmn11EpG Q0VVdnuYR6BsXVDAdU3l8idB8qAAg6f8y0tr1W8YCsmOALfh5Lio31Ff05+VmALgh/+mVZ6gsNfN y+/EL29XJ2dynz0wRHXt4mKl5M1RIEPNMtYCKdJXoU3nASwppWPkbv7j3SKmH1Sy9Y4jkE1TfT3t NTUL7X+jmFobz4iX2EtPsbUEy4Iz84XGSRGEQ4iC3GG+2DO4XdF/NoJc3ZrEcsmAoOUnbZ2CvW4v QomtXoLkKaCCaiKAdlx8DrXJWSjmFjnyy2YUfd8iT7p/Judwl1D42xdDM/Fu7EBfmwuYTHJCuUeR I6JJ/JXb9lE/+ScQuWXZZF1miJErVlo9kqxNJmsmXKDGhFRn6xisbrlGLTFHTqr1Hy0KrbTi5x1d NObd7LRztnriVOfsAFo8CP0VNcHxDwEOWF3rMpW402mTAoRbDsMKpBTjfCaqDpBdNI9gzuic8eVK BBj6DUwNsLDttRdOJvM4mYRgpXWAiArl0Tj/HLpS3HAM9YTHTaaBTxW9KGCoqOLf+enuc2Tvd2o/ puGmJjS6Iata919QNNl9U9sBNY/UH9tqWH9w8UrMItsNamdBLgQW3h+/tlLBV3TPdcxy1O+xN/AS bsJj1Jv52LG9VfFK9UuZMKwXbCbC2bcMDI05/B1y7HcO3YRiarVDKdUvCuYcN5aKkvp+joA6ajCi PhxFg9PJli962GPmbl7IGaOBYSLdxGBtBFfAFRHV5Kd061AlQhTz/XVvf7jR2BctH+jn5yYVO6vd 1ojVnISjl3GTLraiAoahklv0T7XjFWIFbfHWoiTC7wKQOXX4TeeaKlx47Z2pUhi0+OFlqTYrk50r dN4kHfwLSPFewWKBCfZGfmlKci3hGusnl5cNOG16PC0MvMbuoOHxiEpVA6NId3wwfPumD+8l9yiZ 7YHRKRh+y5NsRPCxIGYXoMpO+IB9SUVl5VH/eYNuRwBw0u6trGCrXJIuMJlMiZAPVLtnDNGlStZ7 uC+iVXhwqEaIlCGsXr+59wJNfdD8gEUtijfG+BBSxT5/EdMQV6hI92HXs/6IUj5BW3vdMwvMhgeT /2AuFtEgGtFCksGp9zqc1KW5RB2Wam2ZN151GDO+FC1AvdFTja9JzYFUeJOvUKzK0Rs7vKLuMiRh SlFVasTN0EBLNK2k4hccgHd+8PQuWWeH12x3WleNooC8xFJTzqA+ya8faIQZUb++nI91flxvI7CU 51cAbLZCCv86UYGis2rnuKkDoBGjFe0iMhx8bpD+UpFp8DmjoK0qltzRzB2yo/6uE1W+D68YkZQH 1lJWSjYMWVBgFhzOK/n6idrrWGmk+zkkMOIMG6h0cAziq4weHOTpgh0Gxg5RB8cps/KecfBWgWHo Z/GqkY2k26XNytV23xSQD4CcWj9st06S/pKF/o8P9dzrahTMWgsOUj19YJesqtXCWrgwXkZGWn1z LIDQy/Xrqb3tw5pupTHfSE3bPMwlPbU4E5v5JYb+bZbj6rDajPMxBN/oIg1YH1u96YD+Zm5oGzqd YSD656AxD2qPQFTHk/5pz9bmIWyDoSSptTWcwkO/f4/EJFMHZMkR8pcckxOJy12U/BQsxHzuVXLP rxiXDYV4t7r64baK/od6iivETfAeX0uTa+VzDSC6mjzjz+IkdxL7A9wJ0719SV8EJ3k8X1OexOA0 nqIDWVeZ6KEbOZlarbxt3PmMDapi/4OrSNDK4XLETZADUo+7DtIt8z6tku3llpapU77w+NkCdrN6 eWSLNqoOK9rFfscFtrBn9/JiN2mwZKrkRJ2UlI2kssIQAMI0D+jbl5sbRXcl8k4US5ml/3A+cpMX 4yZxK3tzf4yRhvXsM9leGbQj3GtZqv91cJUgML0lp9PvTnAps1gHSgzxBDRrCI3Y+chOD1/llE5u CQmermvM9FAOT+ZDmayHh44LS9Z1XZX/Fi5hxAm9ts0EP2Yrs86xepgpRNMx0lgUs++EqxRV7rxy 8OWyEktA6Bw6cHRe1Mc57SCJorZ0LT5DS2tId7KFAwjFKyW7/0CIyB/KMBW17XTod0chN8AbNcnF LOvS12WjU3lpIXINByV3mnxnl7NXItOZSX4FBtG7tTZ/qN6u4stRPTynMjIEWKrREz9YHrbfqGN2 Cc7k9GKkKh1IOl54OLHO6EMWDk3IO3xCip//SoVcE8wIlNvbClamxCBAgdoYKRIoa/2yDEEC298f Fu3/uMgFuC5lcu89BIILbOIMhIHqfsdeNffZaHjTr02yZjV0QybnFBUYxVqvgx1ZB/57wzOXI0qf LbUigKdbQX0Y5S/lUU9I16yL61IpwKl9JTUhidnzYjyPZ0H4EeMrrFC2Ee1xk1XTDc6oyrluhMKq 8wgUArgaWyv18V2+E2q/IO2NDbo5OuQXs4XqfRTHDDdyuTrTtu43CUWmUx7Dz8oGWtsXJT2zSowi uuVBaYs5YL+et31s5RSzg2OPuoru5yuHKYtQ6hO5qh7SxBjVgRt5OF0VDtVwfWMNSj68fUXSITxj b66/0DlRankAx69n3OyMIHZ2ult6SwLZYOeDjWS41IMGsEYqy5T4PCL0abTNOQDXhFAQFGTnwVoo T30SbVwyIaaN1bLy5ayeMWviaftBG8gqvnzkNLWqOV7J8Ht+rj49WJQ4y5b9FCZpH3USMWj7DelX QWaHfb6+PMVUYBRkfKPyMxnl5qQeJdH7nwBpx1G6i8/SAZPNZ3nuO6KsZFmbIr7QSxPiPs+bR9IP BTywglqZn78cmG5B++PVigGCozWy4Pl4rNQJhV8tC7usJf3bekglPW23xRxVo3k5ZvPEiEzB+zVu 6T03EGipYK4ngeBWdivXfWd8eAJawaS91TDwE9YNkGGButu6WxMAhvS/av/0KSmytEKOCG6R+4VK tUfpKe6i1YS5k8Ft+0H6dee8wuenzdvaFfy1UrAslUCJ6LrZVdabygHi4ii/QUYfv0UJJSGLmWEn VQfNqOXLa5sxPCXnSfj0hCopR6PVjeky7qEvzOw2j4kXH5g797RHdY5RDq7mm/IyzJgkFRVOWcHB 6yetTlZsnxcZ2QkfTe8dF3CQboMpJcnm6yAPwP2W2LkctHpF3RXOjr0Ny4x5yQZ8npmmcPTFmTwu N7Em5U0uHsIqQAkklFkjFJ55GEg6DBAjq+jHVhxR0R8zlNoSd3cFXinjB26dhtcm/GbqBuH0cBA/ 7T/OVOt0w7K75fwZT2MZk7gXdGefN69yPRX6ftbr8Mb/Wp/dMj/CmtfAeSjfr65Ato11bNgOf5fg fKEjlAcgojNWWaCEKcK/JShhMeMp3CiTmtIVW5rpl5jNef3zC6SOPWp0MgrhuZBcl84eBoITx0Fe hXY41Uv/wKS9O4LboAUDjPiVBlIZYizNNx7hzJ+JBK/el/ThvH0vKeNdBldpIgaL8OsbCH7ZzqQ9 zqgSuiVRQHxfDXaK1Of9i0eqrxheJwQnvSU3FcABjLLmUsz7/9uILsLp4Q4b0tNc2fm7NEkA2/Fw HsHs1BKAcbaj0PB8sf2wUn5GW90WNDbiQOiLUpJEpkpmhyebm+w/K9gDiDpL/Q3IIIyXj33Lq3ob iF5arFERpvIcYs4pP3OrKdAjkTak2t5bxSo0SbQXOTnMDV3SEp5W8heewNmR4MSYj2h9HbsS70s5 Fv4aD5hNOJXcYfQQ921lem56NBzkOrEim74j6dzkYSeLyKVNFkUevlDV0Ym3mSEqI3GOg/HuY1wZ Too1xZ9p2bLHw4JNtkL+MKLhv/UCv/GOHSjelBFlTLVBxoEf43YmlZtQCKrxWGqvjHf2XyXmig3P X7tfCRSflmvhnFmIQLjERrRuuqyMz9szDqICEW5Uv/u9GEBO71lBOvj/Nmqd+ImbBnOgsLLs4Dz2 h7RxIN2Ab1vZvw562WA4TYY3Q3J1PV3GjzLCaGCVllpkBEI7Y7FvrGV+y6Y987eAFxQi4BC1R4KO VUlA4dOELv7BAwJ2Qbv1MLcIuughNT1hegYu6UypVR31tV0ZuI6Vmc7ywWtQ+1j3HzfbSDKcrnsF tKfM+F5iDwKYDs2bVDYXWasYFPp49Zdgbw/T3xWN7CuNVZ6UUhNPKH79RwE01+Fg2nkyMISUIRRo QCgZVfxs/YFs7vGrq7JAkgaM9d6NVDb7TXmracrSFGL1/kdyYzH4uF8CiEZHb6XRW52fnhG/ZS+a BxYTFZNjmKSRhC27wKYMZ+ezdrHxJ1rNlRsvXz0WReZfVKgldYJl8ovEATPyoX6G2byesZij7YSH VJ5TcKhgE5Fqq66Yub1nHLZuwjOZF0+0s0kA5YUwqYpceWXVlMTcAZTQuTIjzojTYY+FvHbpTfL3 E9zNLHFJrHcEsyF6cfXoSFO1otwv5XZinKQSeTmDcchSVjmN6axbLenmoz2UJnI6YZ99mjBjLYZZ +/IO5akvmYBVE7dtaJC5JaKIJn6DMrcDQZTqk5O+4E6z9BjqoiRsoOsMQ45qGxoqmMuSpKdNyob1 rOkys9EAkWIQ3rSx35t0GwaNa0K2Ni81zcWxsHwbEgUNM4wHc+1+PKDSLfSIH8hbUyMNw0akiCFa ZxPsd3UlhpD4SBwDME+8Cv8VrLjtLhYRr171LCdIk6EDng+6jTS+behr9CM3QjzN7xe8E66d9ep1 wcAG3G++KOwmxTmiKoRRPGXEPqAhpLIcI7RCFXej+il1pRxpPVOUQ68utzsqwvJXHarYmIsqqM1d oPQDhmSZuKBhEtJVvIsxMb9x9oH1pFRbVoOsOco5Gog0eXwXmSlny6QxA1mBGZrgSWjfIfNmRslr x8ISv+ovZirTnQQ10r08OiZgv+tV6+9oFTQpxcDYDC57Jp8rA0bOkiWPGjJOVhi9de1nZ/++T/mk ihZpH0mfW3NBxxqPTbD5dvcJhNGgOZ7Yj79e27NGeeXBf4lM/cJNjEJbObJ2Rk8QUiPEhk1ZqhAx D80U9uVs5qzwo7c2Dv7mSsEqhykMekDB531sptstwbITWbSvj+p2FiJq13Ay8hsMroZoe6c1lcFO rDPsIAAzZG055iW2Pkq+MNR8FXFaT+SBQS7LJDDTdZRxKUfnRE7TEetWlVwW6v7P4tYP3cSQDtG/ jv7nSdXR6TwIsXawU14Y0PpI1Ei1ZEoY7Zzm4FnrPL3wMuNqZ4+0Nu5nCTmhYcTHldiu7GDGabvr X0dXbwNSLMXcNx5TGt+SZm18ygu/YhCe9KdLwpfWLL8HAA4PGFeCLlybC+9+bizjl9nEWhvfhcu8 FfakIk80mqwmIZeUhOfcpQeUFuYEl9bqj/qOHU7YEol+y3y3aaYUZv1iQ3Rbp+fC0Me2b87G4NiG lxLcr/3E1yUfOoBiMq/cdKfJJVqH62b+8a47Txn5F89TgAJYOaV6bvVveQiDhaeqdqs0KT/HTBIs VY6ZvSSMirAm8gQe6uFtNHfJMckMJ8f55hIZ/Vr33fqryG19Z6FyHEMAjzlBqWSqWXiYW9xKAN2S p1mY89IKOSUsj5yk4NffQNKm5HTxEaUyE4XcFZzSKQE1p9fF9YJlF8R87k8+FCdBq6Jz8tjGcudD olhkBi7OITmdJ4N0afs8bMddJJhqiytUpuLml7adPobr50l6sMpWr9NSwYLIDQfFH2gb5wSqH8A2 GzioQTEl9fngALpOWTOdQ67fG/NFS2zR5gtk9VHTtia9X+gSYaIjbWo/DrAqzZ4ij87A7+aiCmtJ QzwU5tWDYL2qwhzouN7qkGqt7pmik2607wnYghmgwDtXkIBcpEapRwBak8IVADuJQYR6vLmhy9KY dtOJPPctu6B8LRiP53WRlkLlhLgVyszxwnskR0JZ1bQCKYNybKFScBDQpYqkAXrWjqDNFOpNt1AY 1Wvqv8ZG3puP+LEvc0JukNp/hofrUOm+M2pQpa3A/h7JwmX/bEs7ZCx6LUVjiBI91fbm1ZtvpKyu jR4xB8DaaLdSHKy1s3Lfgxlcmy+gyZjJLPckpLqi/a4QK3i87sSnOh6g9MocRtl61fJEIm6pZkyT 92zD0ZJtOPvSb98/7GOAKIVnlysgKtszyS+cHTvx/orM7+h51rcRV0xbeU3rHhyJfX7TJ/KiSIvn Z0QJURE7Bf5s+ZIaLfRYEdqKrx1Dbwzq4e5VZO0rdPap65f5R5ZJpwH6d5Dfe1jL5t6cw3M3XVTM 6Ed7j0b8WudLO4v0qEOczPdjKmTXIakzHgzbkABCdXku6oMNt9yTCnOSGviCjMtoP1V1JZhdzDX7 MvOWo8DFI6Z92cjF2ttgFyI3Vd5TRv2FB/F2bgQaYOPzpcFQA1jKfcgJEOc/TET+VLw2yg71adQ+ 6q1Xtc4f+GBV4yMcXzZ8Fpze0CH4OFJ7PuPIoL62pUEaoJM7YkL5N/8uxX7sDBnCU105085NCpzq NHzcA/mWp83Lkydlr3jvnxgM5OQ067k6LzqJH8G+Mg4pkDmqI6jfXkMIhG5XumikOfj+RnRbjoQq aIuboidoqkvm8ykVPqAg3drnyyXY+mwq7NYqtTQ906lgY9e4ANYZxpD0YBbsxUx1dNmWQKDYrBps dhI7oDGZQe98620izflB5iGDKShVrssr2mKuVITy6Z5JdxLVhEUWrcP4HA6H0IxgqqUrqfh103X4 ic+E8VQeW22qUVkbBum8mVZluRoZcN5bgIwrsQuu5ixqw7dgh88EBTKctZot65vIwXHg5KX5xWYc SHLS/vjWM4ThVbnX6zvoYSzByCf6Ga5m3C5dWmBLnlsKZo8rO/BDyD0UIo4i6Wig1GmApvu88YZF QT5c4507sqa4lc4ObbSU3JGDzF7jUZwZbtrKW8tzFoXQp2B7DpK4JXFzZHDPRMS9rF1iKVO9uvOj R9NyrZHM2GYE0GHd00jBo1EK601WpqpytRSbsX7dJbTAYf0vA5ZTzH1vk2MCJXuJsQ/QWzyADLR3 bwxWJPlhB1YSV0oGSZv/RFh+iyJ9EbJj+iILc5wo7b2J0T0ef3LLaUTklFNwP+qnvn7Fq/QoV1kV AO4wZqKnn0nslnnDQjKzHWyyNG79d/pe/9zBvRbYQdmJnsVfnqOu/62k+kYG/0u1ph+WGzrKbVUJ iz/l+265+DC+MroW0Enk8uPP6MiTPInT5pvtfd+3hbvm5/omRiwglbiOXHvjETyNZwn4L3ccoopK eZblNE3ovJ+kcLzjWYaQdlnfBzyYV57WIpm6U0kb1pZs4smfTlzKhXwAPtYG9URFEjxUfmV+90zE hIucXPfnc5kYif5I/WlKBkb9gwnAR2f6ft/OabyJpiFiPoGX72ziZcdRaHJKl+y8kBmYvnkpnYtt k2hvAJNacTl61ahm0vgcMqBn44TnuJThTM5PeuixOKJrfIOc11otFWFQbklvsqILJqbe+IuQF3Fo yqyjdKwECHLccgDst3tDFjG/84SOJuz0otqq2c1Oyd39SiK4CoZuqq5kQy8LUSRrqtzCjxh+2iVk uQBrglvZTTAxiy7YFhD27BnY9RVdKXDp23XoPbE6u7Fv/Ia0M+3h4AtdIQSyBF7dFAop0UIhmhT4 CXxMZg/xIg4c4dnLE2tJE33EKVObbE1IncNZrQxLhhtNxcCaB6sG6orooH3rRAwuU/2FxFzxo5R/ NJ0RmfYREnmdM2RyYQVSPgh4OdcSVfWCLZo3r6P9MPIFIdnmZe8WDn1Evxu8eAsZ5/kkZf/W/4eT gGCixzyeli44B1n3nL0h5b1N5yiHfReZ86KCF/4676cZwh0JVIVEgAor7F+19UGqViubd99uN6VL wOmgku5J1QrfDHfvAO4ZfUV7LFHQbBSy7n3UZaWwvTC+n0y1hHarFUwlXAoeIYr74Xh3DV4MDV59 +k9GuGqLaXQlMYgFynVRcRGGHDLAYlUHGBfDxp11LEzNcOaSUSlv8zt4ARjJ4WSUzMWx9+tDJWoG cF8IiY5dumJbM3CWD7izjDLdEnY3Q8AqCW61qONrR+jG1XTwpuAhIne5xCp9jzCiYlbK2xnBUESJ 4WFVhb6cP5mNCOGvAlBrG/YpWDz7u99aJDgDtIP3wDp/z0vavFKz4k1zEzThBfM5x7HpoN6iKTOT ZJmRBBQTYwsxrxXbnwsr92caaXNgej5+/DBJ2kAY954iB1tub4dQIqnPWBVF18Q+7UACAw3dGHnZ sOJRoL7PYtBdWCvlTMP9c8TjDii0XayIgcIr/iAy3nvDuPpRt+molNx4DCI+Do5SA/5qkt5Y2ZgC HmBXq+WA6Ns7qimU5UWgBsdIwHzcgz1dMFOEYyMP3wiOuin8A+5hZc1p/QuPMDcE7C46nTS+/gPG Mar1DIVoEj2C4xJgwOD+xSIDn2DxIL/3oofpGFTNOfqq0n/zSeQlScI3a2iZ8luLBuRafMzNbYwq 5dJ45K3Qnk7NkHdcDLLBHiw+nEhHvHPKig+LSfObPorLuk3KsWsBefghqHXd6ScKPLshF6MXZVsg k4LbnwFB61pjIZG0CHJd4w33iVhFdFd/TfHYsTqA1sfuyYkJaLv6g/YjfbHDMq/V2B5aiO4d9a10 ulhVs9CDxYdNb8JyXnwos8l9/7kWnMnxY/0HN1AjOFojVdNujWSkRD3iMYJaiu3z5IoCv00vjBZW SJys1hyOF+v1FpSopiQQnElnXPsUqZN08ggc+bLAKr92FK0Ixrp1qzCcC4y+6rR68+yw8hMhSthi EIjPA711sUefOVzpHA789UnMMluSzIVo26qeiaS7qUdFiz9DEZL8qk2hPRTu9d1ysoUc+58fDtIc jQCzAaldsxRMb26eKcjUG40TbtS4nDrYVG7q8QQF7zYv0y8I/y/cjBKIc7IJxy3znRxuiDaGPlr4 7SC/xTf/8GBf5jdUm4YceHo2QR7f0ZvgoBqbkCNAyRqYW5zpnJVP4JGekITqNMS/TQcBrC8TO2UJ yycT3oyQLx4PyYVCWVpBfWZacgRsIwSCbESxiehkM9XDVannshQuL4cZuLQxCIPz+kvyDTfHnx+x 6DxyXEb3CpZwjLKVzuWgj0qjiX4qo6+1sNFHFo6gMhmFjKKH5Fux0Bg76Yv4+ZGbv7nf7+DbHZJo JyQ+2JDCmkDZwb5M6aoH08SjOGDMqmESbOIwLRiH+AUy23TwykGDE/fcHwuyiKo7GQJvO8vYwiwW f4oU4W1XcqsOhuEaJ8unuZQr1xldi4PnsIa4OWrBevNjF3UyNgYvun/XXWnEyzQxv0KCKZzi2/Fo pahvJ5+bBC/trHlroXKNLiSB/m18dTQa0VBsAN8j0qLbqHMkEoRCVZALVg+hBkcD8qZQhzF/aCYt EBFOWUinQL7K/bqGPK0GbqqnBE2cHic8QkKSjcuWqbFGSXJM4R1+KKhSYHxjj1gUlfcbWf0Yl95e qzCIAVqE5JPwrQZvWEFqZR9TN2EGdoa4tH9fqmnol4cAkT/ZeByv0+kgKR/W04NUgVCWjx21IB7S C7fLaE5MjqYMaxUIe8gyhQgi66GJsBgjLhG3Cv+iE5D5r315z1jeCVS2x4zvY2Zbm4daGaozblv2 4osWRRWRLQ0/1Jsy1UD3V2uAPDwB0pkwzd744GGQhp1N+CURV/bvy3S0hOeXQzcRgNlL/g7kFGgh rHh0N6kSKD7p74yHK4/8D4cLSAZWpPmjJhwuuzrjAsjQ07BOGYbiMiuA2TZk3bmDa/3mwd4R7f6I 1CDi8JsZv5EsOU1h29tJ1Mmig9K5wQKyJo4e9wIyNxFrGf27JQ5hkfPa8KWrxZnk8auvXipptl+U Qm3dkHbKU54ZduLJIeGN2gLY47DR8jBmV14t7KuhQ2PT9+UYf4g/AWnrP+aH7q3rePiKvsz8dx/T t7eAq1J3nvuIExxY0yVbkyup9a/g5juXo8isryOTPaldZwTFwLPjSoIXiowVnlOB2l7lsgb7eqzs rQvfxoKFrlimauk3ZpOFtNP87GFZWHh+NbURIl6TPIv26UPQfE2plYgeSTj4W8kK1lRPlo39yDao z2dmXKv1d83j8TFqMFiNPMeFcEVxZb24mKJ6S3+cTxHiX+45mGNjvr2z1ryTguyu2xgSAXxXoRoI oPvqA6U5sEICDbwnC3FjCxUDVRKyhLsydmtYh2Wnd7bRAs6ermU6hMsWCDF42/n47k3d1nfMe9DY +tB5SEgNCaEyL8j65DMp5azYubw5xv759eaCdEwhMQvO8Y6LAli9n/dDI2uklHJlizOlsn3dmpeI cys1JBfD1TxyLkgsQwl2c3lKUsyLLc7UhBVVaOZJMhW/LzufY1SQsFECm3emELYAYPIYls6C2sd8 K2C/egZXvSUpvkCg56Ud3NcrtfaD8IIn477blwZwPAQTQuSYhQCCqyX5vhEi2xIoBapjKR2X0xu4 OAw4ACPtLRe9hOoudqf1MjDjSFQ073EgPKvDc37WJUrETh0PaxdzHhwUceByfU0wQlVlDj8wLbqF WwXdC3+aBZ6SGrjny6O2fuE/GtFZNaeOgixrLHy+gORMeSwfLXfpag/v5z3HyzUXINJUniq1MuUT xChEpJwTugHqf33E0g/4danCdz+vFW4EMNy5FbLp7U7S7BTVn3VGDhP5+yDj2UAS/l2OzRYAvNMX JbVPUyomKW0gMDLdU5YXVYw1zpZxzmuvOU52gYDrDrr6LywKtLq8OM2c+0KPmTyDzcE3QL28Wnc+ lNpkDW8YYekG3CF9RyeBSyGyq6bIhEkqdL97VE0nY8VZOMy8ZrfzD/xKco3HXMtyJlw0ohbsAK6A bHMg7Q4ps6T/uDEg8bnv7lQzEslWpGAFMPC8fw9pFTxy/bygt7scHHx5r+1LV/TbezAXprLoZNlq qmq93hG/umIz1q+mjfDYv5Wu+q5ebQVoeP9GP9S+yKQYfUd/pE8fZL+3CfV4ifveFlRPEL1QDdoQ DPc7q6HA9uhHBwlrlWpk/+xJTmL9wVKmdr6dZ+F6DxSmlAQjPYBjraFYIH95XjnaKvG7tCgHSYEu tbBCRJrthsryIbhYLLOe8HIHtLzbaovopUTjjWnJKW49Xd0hdQx9DaTCObYl7mCEUAQlFWfdB3VR 8Fxw2qzcDs0cEyZyHRNK7r/SDA+Y4ncCvEXfpVq/Oe8WHNGjg2sdLZQTHZDIQGdDrgU7alhTwSTF m11eCJUZK6O0znPGdywIK6QfyXMNbLk4En9cXLECLoD6Ri7WtToOmffbojUwat+NTZBA87HNHuEZ JPHza9/my+D08FMtZbLWDX60KmIRVxPySb1sxNIMmKrVG+JBfkGa2wKWXk6LjuFErX8Vv8DqGbiB RyBUDnLYuYHIrUHqlMoGbH7cIcPof6/BD3GucAq3gqfwIwiHfdhO/PeffgG+VzOw67Gu3S51lDos VHyIsIZP2vjAvrg4DtpL2OXs08tW3z/41ultJrUjS93H4MOmXXwEY+KKR9Shlo5X81RiiPnVdYr/ tp4Ev3pN4DWUoEUTqjsX2dopRVUP6rK/0D0k6mGK+qalSnEyRvrNSQLJQK/X1bVRruvmlSBoSnhb 2/gpaEXDxlkm77yLMiqBVFss/ctKwJ85uYTysq9gL9SGfA5E2HgeAvU0UyO3rLZ3bLMruNPghMnm HSXG6Y0u1Cpy1YWKaF+J31JHfA5xbdCn/udjAfkqGKL2ZaWaYr4hlYLDg4plb+PmSzM1y70H/wbJ WP/9WLHBUCaVDx0XG/OIK2LkvDJ57QJj/4dLbMUu6LLC/yNWAeINYSH9rY8VUfex1aijziixkNV2 WhmbugsK2FIJGYupCd1RcAH+QcnFKjdCYQkC1f5iA6oKDKukM6eqRrDweCCqRRtBzvJVzoPe7TKU UgzZmRniC4oqEp/0Qhocs0UMHAAWvdQbLt7GzW6cfUtlo2fDmLZKce9TeAhqBY1UOkfvSc07ibdL Y/btHAG/8bq7bBNw0C2ipwZEqvr8oc1P1BjEuxorm3U8SqyqnEiWOzMrd64Ffgsx+s854cyWg5tO dka0EYM7f/pXUOgCa/LSvbmcAYXa9PQFrqayh7HYLnNEBeDFS+N4lWKZMc8mQan3MbiAV55Le98M rYePyyudK/1ks8znbmOuKBV6lz5CAjil+H6VLydwdAC2JnVfJiELZzZ5+ZHge5E46xryzf4xMtvI rl3YQRPJqu8+fSKjI1NDxSJo3bT+04QOhX4zwMtoYYimtrPMiegoLGHICpqZxlEW+o6rK+y24Qow hc8ECxdvjhFJz8B01I2NVsGU7NIth6dd5de9SDCX/L2MKlz+E4jNBhbquTi/rF5KqjPVQGPekvrD yJZooeUmWexUQAR0U0Wui/rGE4w0CpXazTi1q9y21x68yiI2ndXgGuW4ti0XAuG9bi2KYBqpzRyy jMiHb48lLnQRtX5C74nVlYNZCnJbo6dsCWJHLbpu7aJh0MxJf6XcLjFD6nd7LU6Aus2ttARAWe/X CA+fOBfx0GIO99dsKs5Jurs5j89OgVHx8sBbbtv6K1HL06YEdry7oBP/74yQ3xd5IiDpYosDGcUm RHytdkZw8MH+v8mI+FvVo5Rmp3o4t/991fb0kog9L3gZQAG4nYN3FG4ZEpvwFYX1ZyfXIssnBxJU 0qTCFK1m1mh57+IrSVjTfKvraF+5mzivyKJhtg57OZp7v51Q8O2+bth3Mb0n4BH0VC9bl80GSa4s LnQk4YlC8ezlQFEmxMM8s7KXhogQm81wdGmHotklLitKIGAuN0Y+3Eu14cRhEOUK4FB7y2+0GK7+ Ou8ulzpQGoL/uxfKDgAotB5tZOnIxSikJdVOYz1HZnKFaYMhcUZGBqMhi0DQEww6fIgZjSxI8f6n ZvmhCqr5j2L6sR22KXLemQnC6wIxyheaENK5MxOjyl0iIT+pLIecSdfTWNAqEHtQSo4YrxHKMtnF foGYrGhF/MqnZ3YHkn58nvcZoo/xZ0qDV3xxVSYi4Fush++Mq5JByIypT6M/PyNl/NMYTUlkzkYT 9mWeBHbezHvkIL2RQXBeXrS6mcyH97qCZUDrc9/pNb7UqL8RD7Ydee1DmLS7YifiQXMS6sHXp9ah sHfmpGjv23pzp4KvVrBGwMAjpgP5aXJxSinkPlQWR0d0EQFU1p5I4loSCO1EHcQve/R+xA3haM1Y Qva7Squ06c9LCi/aRfTAAaayQkG5dyQyLmwFmvtsMAPQPsq9hOcPC71tKhEuBqH+PVysAwet3QcM n8qLEDuVoCgk8btFTlAX5BsHnGu4JWCR+IMHpi7RWVqaPU11phEh4cT797RBiVidJU7FuvLC2XnQ 2FrC+3NaPjCvniNqbCNA9gobl4yz1X3XYEzeROANpplNeEXup2gpHp62zHxVG6BuKaPB35fCmcT2 ZWM8C+PoO2Ov3fWSxRqHIrSjQc603IhI3tTKnNoWUdY/G2QkwvbI/1rPiM5U6loW0L/2o+1dWS3H DVrv9Cbiq0QcbYJ1Rq8ilUAP6J/M2t03N/7sJMl7I+f3hUtT/eufn0u/GANvTKRKqMBi5rnkZ6K8 gKyMoHHt9QLz9Mw89EGTclrhwtJHV5UbZ27qE5j3shHwsnTtsh1SgXrhmRlMLoU7z8lOKw13vUXt 7oVaJfrLB+oYhpPckKEvFJqVR4pmWlX2c5U+j7NQwIwucZdgbVxm5jsYc2mXrAa6FvkpqDCZwd7l sTSIWlkBK/bDl2iU/jgnCvm21ENaczFgfGQp4r4flqpDxFf2eB0w1dPsLOLvzDkDY+xXaZfcT+Rm oBeHg/KgyPN7GFZYdeA80FEseJrmufY+lNiWNbY26A/gIcaXehaTbEOD4urkbC2FhLCoCvggxi84 +Kr/f1rTYSfRxE1lnHZvHhmXIXRED9PsJeaoJr8irYZCfyxDDGpGL5IYTMuzStGgfhBsfhtAqkkg WkhD/VveWjN1hyCu1TEGgOTGknyY3RjfZMxl2PTAdm1mRphhXTYBCafUz3ffinK8LZvTr8M0zJCY Z7AHYlflxrrxrcINO9N3xgX5Pxh1mLMdm+sKWCBvINi4eTftJO7TO5kLDyV1KqXTb2o7wTE+E/Mg UUSpTRu/sGlq4vSXWjzUFxaHDr7h8/Owy9YqOjlGtTAQULqYmY9nclum6a6n7xTKZSMDo+NAECHm zLCSfGJcSDw2P+DY7oQ3JCWXLP33Vbu3R0+ziWAhXHrBoqnKNJXyYVdirC8PXj11NIb47Zso1oPM WCy8I/UAu/xYn/Enc+KZ9xmKlbXr1h7XAW6OQMaWnZxS9B6UQdJYMmH4UgY/v94twA93Bi1Ma0xp sbvF6TU5nBksPjKJqrXpMn93gB3di2KYx0qYmzFDjBhHgyzEpMIWlWgTToyfg94hLffui80k4Fyd DTnFQM4FnmJnh7tm7LE4h5tfBvsHlauD6yvfvjHZDY1Ai+DcfScouVqv7j22hrRiEVxbHGMqdtLc CnsDHy4LxNZ9tncCJ+LicjnUgCaNPN2B+uKx7kV8zfeGY2xmp8kfm2pAza7x5w/WKVxf8XrnGnZi mGQ+mKCw7g901A1k876ZHg/aPcE27lb9DE8i4C7958WfXMzg1Bz+Gw+UCXoShXlzRhEO+++Prxil gdF4xFEulks/RKjdV5UaNgOxgbMRn+xHN+tgjgjLNof2/QK9sedgrL+w+yGr4N/6AnMiFE565C5V HGCzswZ256aawSWYJJnnctYeb30vKunGarKhin3ElIjVoFeopapywtjW/rfRSh7D3SmD7wsSt3hB So2AhgzX7dhdT3NFyOmkMwbs6Rf0I+yZlqvqH7EXANb0k9Z71vHZsUO7IK/Ir8OIduFjUcJgFa70 arv2zvtdF//4h3pULkZa0iFF2EpyimaN1vQLVJcCXOUfq+yvT+PVQvpJU/UCGzxqeeyXm+fhJr7I Yrignmmut1+894OJLbzY/0JcuU5BqE11+N9FRqpNnYozVqNRvwS9Es2CAkaMTajF8H+cOLA6BWvY 7zgvZgAtfCS3+hfkQv9VqGGT+Ygk89I4pTS2tSeuYgeY+Nu2RUYW+4Xwss7WeeWSIDHoRNRed7qQ CNd7I+J+WA5988IWBXftxNztOliQUeSItwJ54GZvMvf8IomnEWnLzjudt5GfYs7iB/WVhnsHnEEC sPAWadmbBJwbUl+sBGkEvH7qGw+8tH5CFRzxhYlDjzJOyXJZxfu5SwrZ62FnUjSsg9ZA4gQuqNmK c+SOOMRjJ7jdXPPvtYLCry7nm9RfW7J6zO6LkC4nb10N3gtDvx5OrYjqS/CfeuvVXX7a80Er0YPB yvNR2RcIiGlKiviUwueZ/n4v0vwa6Z02ts/kP5mJi0IOyg+H/trF1su5UAbVYdctX4UCVxaYYBm/ RUhpUFHADAktBpM+rqUDcnJe8YQopCIwAeNNSMalga5jWpiXYPwPJyRx0/AVgnso46I8i/AwTLb+ dUH3obnxIs9cP1RQF5e9vob+fKGv6llLZB6KS8sxTU/rGvw7yeKqGSSe01G85bc2UergtrxaNqH9 oE7ffMd2T6Ga0SvvqQjbc38VWdw4eLl2EZwEHTuILIzjw1dWsZyhcSY8lCUmYHAv8CZHtUvFH2ML CRfjQF0fg7Vae8NhfExTfHLcxG0T7N3yfPii+FaOBLF4qDU7mmuzjH4s806j5Q3YULXnkoNzjInL ItLJi254WqXfTcYUwSKUME6urGpOMht/r189XlCbdL8/ScGO8El2vlaAvd/R7bjNsLX+PnrCOBLQ ezQgKEGNFSsQqOYmnCMwNX7L+gPxFl5ho9TlSPwVSwwQzzUbJiQUGDpmlddJyyRSubtb5r04VDdT DrYQbHUcS0szyOHL+q1eGS3n1lKpqT2um6UqlKpsdiPyeg3huZ405DN7jd2+9HFjbgC7jdwAabCQ 6Yj8ihbMG/qcJ0vw/bNJAKcvtVvmj+dr+OEi2SbRZpa65RWPq6rkZFAbhylMKPxfLBkITICUWhA1 rSHe+oOc8twVxX4uRHxPo7vi0sATxf+qCSTox2gSM/hzPXlNwAcqhEX696x5ll651FMiz9N5KFt/ yOCvPwR4c+Ig4WfOAZHsK40zmth+Z8m9838VkeBPMRQWsxtZVJc9wKatV2xVjP2Op+AIurYSU1Xi SZBokuOlGuKgxPIjWv47cyvejeX8piUsPYywwZ2Xyxbl1PNffo/+Lfv3Y7Afv9McZ89NcbeN4H1o wzFlOUe2qApsQ4rg5YmD7eHT3hZPOOpC20xwTHzJvrBhSbV7j0w4IjHr+bsgmi3hhnBhdylQzOIY xPozdFaiCvvnNH+6+YI3jC6x5RctK2KTI35eSVy2vYuJTCubE433SYbM2bwRnCj9as/qDstg/Kiw g7szCkjW3OJFh1FxJAGqEFVVuEzajtd2TlVO/RdoGEJgNuEsiW94hhvZmeqBUvYpkJsuuMzFtmhY SEMnzEz6YsihJWojUEuGY/XQpjvFo1pa3qnQnnHSNr/6ZoWrDGi2DZJd1sjiaEedAfgZpmK+h4fh rCmkjRg5QIT0IL9KS7dvsZ7MzQglVNgMpFejPOso8XE49k/X2FVMR8+YLN+b0uDeNkBrIbTcGSvG dG/IsQwrqgHDXWgVr00p6Vzb6g0pJn27kbCnR4+24hH+bc3HjuGo5c/Qh2m0Wmo3drb6xXtGV7wC t+dpg1Cavd07AOXeai7iPho6ewSy78V0B1oWVVDq1WbKwYrG+t9tKBZf3v2v4tvl495ybG/sxv4F ohtq05YzeSzcEPQCQiP+VCw3gbVfo0VIUOSgiFfKAeT+VIiXzAHjiDXWO8gnRx82+gLSKQRAzZAC 03swrwNMsBj2zeRuMZOG3Uc6VE+7FXbGFlNMdoHHBUMo/NNFrHqpbAaOz4BLma2Clm0AjEwW/iw+ y7W9JUhFMq+tVw4pAHNHXj2oQvwVxyvg/vvhp6aaT6tPPQ29q7IUttlGXk3pjztB8V23fDYjxlAH 9tpCqTOSYMO18uly2mrvJn5UCqr9HiFcL0h4bVVhcwQ85rVcpZOhTPYGAEVTXPxTE0YBKLz3iPHz Y3zVHKkQYYv2i6Q5jhs8/5/KwAGgwfBRwq3lIwj0rmnyWiIt9Ocx09lDCzIvklRFmdaVLoUVvES4 0W8ecK1XN9BYheDsfJkWR+CfaH7uJcEc2xVT3+nvpDILP2DZwACkkJjld99HmFAU7P4eS+hIXNNF kBMvKc5G3IvY2Ed8tHLMxUom2uQARN9NvE2DAJbzXmbiBI/6XW9i/AtZP+YEz1/XSH1X3WQp4tPs 4JzmjYemEipW/K56T5mGzN0Mcu4AqqkHvpX9es4aF0mVEPqWiAFC/YareNohIzRoxjTCTFXgqwVX a9M96b8erXUuAkJ3+NN5C2tPm4u6ToAk/vel4XgQAei1V6qFwGmhONZy2LtHG5mJ9sKt5lq/LeHf muoe4meMablrh0Yz8zcxODbdxRvXtFP31eCymSc3f112EHMy9p6CWQxwlgf+QgQgukH4F+SqbVWw +evYrs0Uwz6stgPDurwKbYejEySkmkBAi6QL3RzJIWrhUSDIBbJVAgRGQl1DcMr9r+ehUVX2KsAL jpZcNE72yDL7Y0h65mBD6YQHcUApMUfI2+cMpq6EmOxACKTmets7gD+53U4561BpYXC2zQ/Jl+o9 bT4QEf4ItDhu94xj74a+GcG9JbElpuCCDa0tIpsvpbU+tbNSK/r81PxOp1p6jwSiBNN/daNvsb+9 iYW4x9erkW/F3kcMokXszXj875a600e9GlvAnxQWntukAtY9V9gc5uoKAio/mUE1676+R0t8Yzi5 MU/mIfdUSEQ6ooOX203rdrH60aDzMwkq+9iWBxp8jlrwE/tJuxixPqemhH+tfyQXBq20FWCRN1iM YD6awo6u8rzssFMAmoMr01fv+DUhBCLJHGxkXZv/RUgooGKhIWfcCeGMIfoVyen+BBu/5qCIy7gc ld/VmakcCmKrBsNxHaFLUHjtmUSn6DGxzwd+SqT3NYjgTJfWFZyQvaWIYGZPahTKDY8pfn13hR52 0NSY+UoECiBDdDOmrhGE6DvFukzdz9dZbXVh5VFfxomvlRnOqfuNpvNKODY+u1jFRCrKG4MZ/Mh6 Hu0elz0MHnjRiT4osFbsM6NROnZEsntLInwntd0pCjcslyKgf7pKXFjWg48Dgu46bQJlAHjN6kZS sxtkG5BwMvjbV13VTRprOeh6lQaLxjQ5+wGcffODOKtgFM1NtgStr+FKfzgHDFrwVIqVFexrjhPn cLLgZ3jwehNZhVa2hGfvRd2mKxc2DVDeU/XNJuaRBmjx6yJebys5jIbtyHbeZSs/geFM+RxdwW6I WMw4KoYj00MBkycX7VJic47sv/8WYyCNn3ivVNhz4ry9YBEajY9fAjWRFYzgmw2kCGQBGonYhp+O /JIN0QbTlinF3gE/9WjPa3xTK9KViBODTVvuD5BMdPjz3njUlRhpGjMUs9XcB1r+s5ee1z4ECEEM mwYgUcqDzfpZEu3PimmLuafqA9Z6cvCCNJ+ZXEw5tHx0HSnOq8ubg/QNxJgr5+gKQZbNOsqnPzL4 2kTZSz1BsVLFT3wDOSeno2oJ5+oaHNIyOcBuqFXu8L710uVxyLyBce3MwXd7xJ46ewm25fv/y+o5 OhDB9sNapBFxDphnSN8K3PYpt/d0MLwmbn1q9+tCvSKNkFKL45dnadybGcfID4/89OdTQ2eT8XQZ C2uyfvXitb5GdW/miXG0/ZUzuVCAiCF84A1EMw4/tx/pxx87S82oPR4QdVwARrn44E/9lpySM6IZ fBlfPSzBMf5c27xmvbRHw9fxlvik5+Q/UQx2GPUIHRJ+PYGpRalg+sw3J0N4IIVbCPDIfRRYoUOn sopzrP1dBJMOUEXe5ySHgY5QNTioC5jbQKYB2WgX5ZSj4kiqLMIhFrYrhAjLvmX0OpP79F2ZMPxC FY1YkUzB8/GVKfDvPRFXequfyXi0ZX9J8THI6/32zaDXqDZAf3vfjiEeiv0MboOlAq5ZzGRtvn1+ GOHieVpkHJ/ZL2M4bOJY3emRPbm+LeIxIiCN/PJ0MCO5Uqp/M2jukOMXTfBxa0LVT2kFS+zErR0u 3Kt2TOJMAxMSTX1pHWRtMm8OfI7nckxAYpbkISyiknqTxVg2EUpwsCPZUVsGDaTS3L+9+ym2BocF 4pjHLDPJzqZMlEZNT0d+YuqoIHWGQlC9f3I+P0ovSXhRQpUk27P7rLrob0yfBr5awoGMjMq1T+QK LpVddnzLhZqTMa4rPrhfHtYC/gAq47CcwCnXxKW56ZxJeWlctOyeZ+1jKzmV7OGiBzYMbosB58wd lRSTMg+PK4/y0UdevQx01NlRDIhPAl8jiAz+jfW8J7JjGvlHkryAWX3oE7+id8lsZMBUsjPwGuwN FS+dJWv8iqhB97JZln+lA2+kBN/xNjyz9G0u4XfcAazhnhLONX/1V04592yHWSmNBrkCZ2JWSOtF lH59d796TXYMWvu2CcFpNgwGDO0wBkbzfbt5pW73192Sr4+dnNAhleW3rouzbjcgMUAuQYwtkQsQ xV4S5BYRukGN6aMP9XCbsu683UyN5bVh/PAL/G0Ra7CYfQX4/0thRqr2n6BZPNRHW6MHmmOgxZ+/ aI8TyThEResMXWTstT/vAN0D5zEDOinxcwPZ5viLiClbSj5huWeuqzBtak8MrByHDnNTJjnelPS7 XDFEmpxr5xFtooJzZThSPSYOEqP7vjoKP6xdgluPV+D0nI1vV6sjz9E6UizvjAI0TAXHxek6FKiv xIPV5NkwfmPrZsYdEgFcYalALNPfmNcD6zfhEWsB6QqX427OqrMsIgiugJzUlefTjtJ9/f/SR3Qj AbAfW129fhb9T+E/3eRC153Ev2Di18ujE3703yVa94auADPo5w0VB+5JfDcarM+VqZyYPqwjQq4n Pepg/bDY1Z0uDgRmpg3dCxX/ID0LjKG7G+CjfnQDwGCVWbm6Ljd5lSawOmSOloaVTEFnBSnS/l6+ VkyUuWGN9YJ3eN9lHtoUw4EG3AYVx0mSfKN0C0HZEzXEvIeTrs2qQPFa7XZVutByplcluKWk4ogr jdOab3Sj19MkxsYT/p/WUHJPyhAUsP5HIQFQz7mDm4HgA+2JdXph1ld69hJwR34nHhvRUIeV0fhb C8RO3P9ytMqTOL80anvd2aLJxrbbXkNynlKC55G/c0Kwio/F8+yXieyRp74y7/zTOItJWpUlhICN azuivNtvnGnhJo241vdWCHouSBKZlObKlBdvC3u66SaFZvr9rlerPO7Ap2yimMiy+1zTJW3ZtgJ9 XS3YqYIIvVbz8/0XjL1Id99PaBnVIT/SiokH3sK1WxppRlQgb7GsrE7f2eR5DnYEOnDFZZkIhcyP aJ7WYzXHwC1nLQiS5rayXRb/zj3Bf+Qw4N09C0FrBRWFq+DaSivpZDaXMK7blm3YSTm9bjyhU8cb Gndusse1+jvpo07Lv3GaNu19bR90ZjxKvePMbAsq3h4POKIaXum03gUx7VRcQR3S3HUjXXLSPeth YpggH9H/iYW/hFLRIzQSf/dk2cDnCcnP9dr7KQYjwZlTjtHT5Ne0zYzo2xdMYW14bate4YA06UVx FXFfIQw1+rT+84nqe9bO8SCNWe+j0NiAuH/F4j6jin1tXr4j9YLcKwAnrDtBG+CsDYrWs0LQbIwH zOrhs3wniSogjHG82sT1wBcAMlHtwTdFagyyqCfPg2DMqFt7U7ctiP+zBtjWAwnR4QbejwuZzlCZ LNL/KKOHqr9S+/eY8YZ2eqJitCVpa26LKQfLWFUFKl6GxsQ0eLk1hoExuJ16Ul4hAnIa83fveFlT kS1lFQS5Sl0hqCRctGjRCUDwViKdPfLyolk16sUFZKbEUyj6CkPD7vHNepNmrVV1Fv/fuAY8c4fS 4pKpZfCA6wXDCeymQh9Exs/SipELkYOBzBluRQd5R2/srtj817mmT5Z1QxD9p4c9IekMdd3PdriA 7ix7lwI02J+xTkJ2oJnEk152GUEu+zqyut3m9i7bfRl8e47uVLe+8p5d00y4ZDgg5jQDttvU2MQE nvY1yPMr+vUBse8hYgUeG4EEFVKCep3aLZaHgJqcLV2GkSUfL8mUr/p1B0+IWUUw0VRM4RkVbx6m K6O73LulFgoCifzT0iIgtZSP/9HEyhtqfO1vaY2k5XUDQ6dwhmtqKEJ973tiNi2SK6HdgvN58u6j 66xAgF8NETnDW3uQXJryBmh0EnykKbu7TdwiCcK/E3TTmhu3q8q9wsX/n9ZegPRqwni1gakuULz7 WSthMRhZhKd9w0EwBco+KB2pRTwBHSM/nX7sQJLrukKelaagXvM6VNsahd175sZZQSO3fEx3aA1x zY1w989ETOMCfy8/5Z2b/1TOZC5Unv0937UXmx7S43bq8p2zCBv0Nn1keQHG1RIGoQGE99RIelEk uvqkSKD+Qk7o+PGdqUCIbX/iXvr+UijG+ib0n07j91on3dinDigxG+NeX1Q1Pub9XenlFwr7FiMi JQGBu2EUo2idblcTn8ALn8eK7HJbznFVq02sE7oJsAdvgBexvZsYQmKk3nAraU4og74hQjoaD5kP hh1uxVGQlcJuTtfO7Qsfuy2MqYQJLhz9piTVAUUcNvXclFkmcm0zf9wwI5Qo01rzFaScrPnJBaP9 WtFcpHgo2ndR1IfM5YIZmAgvJchUDgk04RogZbfqH/KcpmZ9Ah2RiK4nAPAqzk+droGXNnInqqgR Rsfylt5jkgecfGuItfiWj/FJvx4Xjvk+rzDK2J4Xk41wSjH7JF6+EWAkcHvOnSSw6EAdl+ZJQGMY EnLjMp8GclgWHoU8SqATCtYZ42ErAIpansd77dkMX2rvoly08AWuSC2Kk8Yfj77sH6G8VqaUkuR8 CE5599Mtr7fx26GEdsq7cLh4J44x3BtGPZVFu70OtToerWd0qqsB+i2XFhCEMGlaXS+w0GdgxQAB 6YM+Hc14uJk/E+zkXVi+DYdGQyCvvHMlXObrJ73+f8dee/ECZjUxa0fVYEcIqEtuDNiOTnJiehG3 zMipRgVHIctCIQf2etFQqW5/h5yFkad5fy9c7/s7sRCUEHk8Cxt9kjEkGBUV9f64McDAIc/XZ12L 5LejTbQhyO3KO+2FqgfkbIxjySIZDzDg8dX4i4Tw65p37PrmDpB3IWzORVJ0YuMD43XtpuRMXAFq hs7BIvc3O1HnXduRtSwlFzBEI+AlkgZ7nagoTLw+2x0USopdbfuEXI6LAWXmOjUmggpdbqTrIGzD NouSbo6hytuZGz2JMkVQ1PqLXWkIjNAMjjU5PMC7cmKNiUIqZe0DckzPywxsz/mdSsCEniryO5ex yGrpXw4OKiico0nqZVH8aIJfdNudrdeMtjSJvSM0q6iHoKg/+3RO8rL/nNfrLMmgROe4+6YITo4b xSHTqWF5fg3Z5b4ZdO3EqEp3frnACN9F3nKM0y2VDDiT+RYRXAjcDHIXUn9inw/ZBPH4WTQYavNY abNtYMek4PTctDIWz6Pb/sdaK1UsGu//p5RzidivxkAA6Ml7TaWfu9qiHe0dwn/AIoj/26Uan0cB ks3p8MeVjKdY1EYjsDyw3XPWBVYyj6X2IprPatH4yHwRgpwfEHNx+GHMXPAV34sJtN6g5WoPeqfX lvn7w/LB6W8pXH9r3khXGNi36l2Cg4EfUGUgs/FwYcKfzccKWkOJvq5Dy5w7EYChhi06TMYkGa3j waajyaceu9DSh4uC1pYYRjJUZHiOT6YaP+i11LcCGCuMS8g2lozVtj+LFd7XFsfMesrcGfo9HpZg H3iUKVtVJuYBZV0grhaW+l8KRCoxmSzjzEklIMjuFpzS7CUmoqB5KvvcSRyIOsgZC2vFIN3MaaBm /4ceD35dQsD+dYTHhonak0K8ai6KExfxqrLh4Ge/zSKkRHsQkT44EJGC25SCOjq93hs3w/qpJOV6 Zm5I/943AvFlBIgY13e46PKRqnBVjpAaGq4mI8odgMGrSkRbxO6SJ9spFG46HB+T/ja2hwcP38Yf y23PJIotPXkUOLxnnCJBhzMmSYKZ+5Rl6Tte1UKXEy0HbAuI7SM2LVikQcO7Y0b11K2uEzJGvfcn MlZM9ZE5tGmgFK8Nk+Oo7teN03Dn2JHwXmTXSy5JsOgWbUVRRHyktuT25jnBisE8OLs/rg5bfjGm oGfIEkaWd753tne+FKa89bSmRAuEruxnS0sY4lfxoJqZzWPOkomWVCpsZlQF7sn9GJv2tdb8Kdl3 KLgs9p7VWFfLTzGbef+RVmWxkfRY+d5w3hpf8uSpAWlvR+BGha0ERVWvII6QGu8/0EBfhxmZCwts psh1gVq8KOQguUMasifH6k2KZ2/dPuG2wnDWHGJ+IBUwlHBpfzO7xnz2N7IddbqhuYdxBRJjEhB/ sGj9sfRl67bRc3g8KIffLrKXcFf4ONAwoU2ZWAggegowNhLZedvmTlkMX4F4bnp+Rc2Ft40apONb TTGQ0iypK7S9aRKn40OL5P/ZiFDrT0QV8wzhb3F9GeXK4vKCpFt+QZn4rhuExetG2KzzI0dkWiL2 Mf1segWS+1USBAmcs/hKAxo0XnI7TtBvAlD8lmvo9ablJk7z5nQYWUVmI/FclA3syrSTEVXPWTWz VG+c0zRW+oSn40w2oPjGf2ZixzH3DrCUWagBORu5AIirm755TqEpjBbh+8GG1+ddd74VY8NL9z3Q TNXHpZOIFDyt+S0AKMwsrTwqY29cz9N5lQsts8KbFTRj4Anhi3/UO/lSgRvVaOEkTEVqFuMZgtEf BeJCbi0jlz4xiJGvdtt9eFcQORLk05xPGps8Ub6v3JE+ee8p8PZlOPnxOBElJqWeP2YCLHQf/CzJ GlAy/Ws9Yb4ylD+8h5CF9AZORXxOYQX8B29YolZSbeRpanE3x0X5R10rKEPUB52c7V/pi9e+qr9Y 9e+la8WVQ86c5cEtpvVovg+5YTOk3cyCYBMjfsSO1S47D7L6DowfDAXRaRtQGzKHOSIyVHnXAP20 fxAmQFqtb3UCwMZdyYY0eL7l2GTHSX9Dr3kaX3NWAawzLjYLTfcGrBy2E4ml8KnRN0k2OXU+nuR6 yAoQ4qerZKc+fE6r8U7KHnVJ91k5HGgI5y00qlMGgDEdUqjrspJltlpx4slj9vHaEFZwb85hbpno ABvPQw2H+0MbmLtETZaG5xvjXcJs1mH6vAY8KxlF7ZQCl8/ksFFqzm/sg5Jvg+8GqoXuPqAD8s60 06kOnQpfmYyElvUq9KH3woSn2EVdTvcUaXu0PR4p1DO9VS2/q0aEQT2uKCKnYs5UYwTk6a08LJP1 czX7c09l7L8obu7kkbo325LPiW/+nvujBiGrfOirm0PMEMdeDxDzy7X/+K09SRKdq0mt9G9Ji8/B 9OvlthSVKIVDS8Ldko8zSV06MnvIIKKEbgnsz8mcRwCsL5j49COghROaHE/7qRDVhe2eX6oll5Wl gwZtZUgyQqzYXCPBMGsJyhv0rOJNovqAvMVeHCEUkRxZn6y5BzqQorJqXMZoWmXBhDQhvl4QdZ2m WOmgSz9N6pOtMWoE6VJyHxBRK65ieHOPK4X+NBMYxIGhf/DMfAx6BxnS1FBJULtc5oDn2rcsZs/T tJEV98xsg6w5fU9Yl/EIdXMD8wDCeL09ilg4wPayscVfzMy+xF0GZCBYxG11F/MA1CBlRX0r9qBF MGRVpzWJEMM9qI8CSihBt7XTs5qJIZ2NuG7/1xR9vkKrCNZ/tOr34E4bNhI1sn9XIrG/L0TP8tl9 gVZT4SMcjQKkUqVmFjvGrp7oWPz9UIE0rktNh7VJ63Fi09q4imwCgloKPM+BBIveW3Ne9heNBUt4 +j//blHX9+jVLDvu6l+gNxcFFiOIoNjiCAb3NqNsuc3O54Ewg87t0pKhxyA5uT8EInUimKxzvxEz GHzDqTjH6d9v9efL7MQjwNdEaRM0dJVYirfb4t7qMHcXFGnI4+FmxU0B8lbLIO2WnYJfIIrarCRJ g7KA4930xS2Z/fUGwN+qeuMHzZca6kWnJulT8/npmqBWXNauyw7BLFb2NbZbJJgAH8sOy9eUx7Xq eJ3xPwx8r9BMWcyP35EOd9S5+GbdR4UWW5+uemjEbiWuF4q+c+H3ZewWTqW4M990Pe9o9LSABBsu cQo8bo1aW1MBXD2C28kf9Kfmelj6bZ1ZZ1i3TzgLI2mC6QzJbwdTYuMZ5Yt0v7u/uwGkOJgCeum6 b2dghiFaK0Zn8q618+cA4mQC11BuFA/SwFlQj78G5tZEPnDtuq0ZcbIbr1YpSD6baDZ+zvGc1781 pkeoYwD6iCqVFChsqsrrnR71sUVKPRF1XMGGkSLTQmndlggREsoUyiSVskny6f95HJTUzkNnMWdg wH6TdQhRHK6lCEWxGDMSAh/ZH4Z7PMy5y73LX6Pfj/AZ/XqIzrIDQrhWbuuRFtQPzVPFp3jyCYEE A+D2vpXwGltIt9+qkw1areGuKznyLoV81ux9CE3lHBVhkUFqIqi4eQKpY+51BscP+vZ1EkvZMS+a Z0/cnL3C//3wkDdwkCKnjeexP0m4q9N3sZzxi5lNit66bzOLk+0LROfWeKJjpi9VgxHxgnG+QrLR jkr0znvTWrqb5qZpHVrX+glJhZe0/wEaELpqGRTNA5erPV7c30WEhn+W+QAG0d5FBFPqbuLkRzaU aVDL2yjsoYzSSCiMeMW2wH+pI0j9WhNijwNZY6es5WDUiAL3pGap9gf+o3fr/GEN3p+caTYkEwe0 XnamxYJPo1zYJCEqpiUID8RfiyXDBMs3BZoVyArtagnQ3EZNQKBH8/S4y7BTV+361uUQLI3ha2lb Si/E6zyrNrvYvOUKheIgVxBQ+FYZ3DJPvKqhtma8bTZvRNnRRBs6aWxD8e2jZHuJtaDeWQrYyGtc u3BDc5yf4LsoeIpcKH4nlnKyyh9O7NmLpSkRetA2cBGavR2dSg7Iy7panWCLzeYmOYu3sQe02i4c BqMWCuc0ijK3PdlGo9VAaOxlkjQ8BZCSgq/krGtoVv5GwheN9sWXjACWnvtfUkSQ7gkfRQGcncto 5b9HYYPkZJQV/fH7j7QawMp5A0C/fq4L4KjRFU71YPislWGarQnWK2tzcMqvlVxCBEqeXpsEnVLb Q2V7uvAoX/XdZJ08u1ueOu237wOc8FmQPcK249z7YgLmoJAeg42PAqIlDX3vkkXfDwgM8dhkYpjc pRNdwmu3JgWITP7pGk2aBrd4al+Vq+lTarbtGwAR22N/gL6ZiRYD/Xe6xHV4C3iPDuwr35OcXC5i lRgfhqq5aNldzMR9rA+NEyRMvdElQ/pjTTbYMGYw4jJ7Z6V+o/ui16wB7pkGlxUpgHgGk3YCpfNz MhN/XRAG7zpvTvkq5zd5dSBSxv38MsEH6Kt7S6ukemGwZZ0BfqF0TJmXB+m2YdCkHXo9h0ogo7bb SBWp3RrAuFZKJbOTJ2xCsPfmgaI67fXVpjJMjMOIAh390qucR6szC72tFSnCqLIjTXprLWtDy/0A 5qsxA+gx2A83O+EA8PgVH1xcTSbvYb8+uM1GNmUOteXcP9YxceEZPXTkfHTiKSbdyINlOKBGkMAC R6t4lT1zhdnRoCJClzV+ZCmSKokFeqaUdDnxZlsjdNM8PZ6cBuzeHI8Vp+y4fkHBpjX5EvpU028O eHDocZ5xD6Lk8LYV7acL9Z8+665vOGWFvIen6PBIooP4CbuCNgpTdDw9YNUfkUhx6xIkzipQDD1L LaKgwasdO96YyjfdjZ5oWOUJIADDkGn12dOoRge9qRxcsmYtlh8KunYmix3IbZpqKa6TR6kNlBuF vLaPKLQ2NvQC4ghJlz+KrNdhFhvCYE+4YeUU9kK7yVYJ4A5oTcgEvRQmmhxDi/USoDmcYjFO9F8g FXIx5mQ0zDFN96t3FCU17JPo91Vwcc1vtbclctT58VDrJvWdv3NK/qutfZotJEqbWE7AsQiy4GV/ EgHtz2QURw8EtLEa4et2s98UhU+C+ycGokUrimTOPnV7VzlksIbYdbqYkYpX6JZtdpTPypvCzSMf Hyn4fOS86Mt7yfME18hhFB3Khs6srj5wy+cR4ob6t32Ek5irizOIZs7auKkR5WKHBrC55VtYs+D2 TfA7R7284atsH7QPVBs1JCLcMlIRWlL2L0Z2904WqbBz7/VEDermSAwHOUWFmgKH3lrc4JGcCLqb NS/D8yiD+UpP5fpB3MyeKXtAUHM3ZH5MuzxK481choxLhX1urK/6sF7BzR9zvYYT+fQoF/VBzzIH orGEhajKQDiHT2BwZLZIE2ltJqmy+7ecl9p8HcS74X//5T0rcGmYwvAfkt2l6ZcQ9XIwzzRjLos2 Y1omyH/8QmhOcYdL2tfJRHSE6Niv4Ymj1Groxt817VLitiGlbvi1t8GdVTO14R5xEpRskUQOH+Zt K5FqBUiXtsqZU63PxQnub26YSW0cYZFLLfJpAprZw8EK9A8gc5gxB2iR0XfS16utXvMuIjYTQKpn wCw04t6W6DD3uLQAhQQ0HJotKFfHFja0YYVQ8g4y9xY8aLFNidd8sk8lF5VWrFh8pHA4Y4OKRVrY to/V8XYaZvyr414Wu5LiiZSzh9Qc4wxKe7kNv7h5JOVoW2q2T4xyddod5XeHjSy2W8iay5rSRPh8 L8zAec+bR/b8IW49CbEAN1AnZfiyiBu/S3Yme7n9N8L3ssENnvckXt/EWREaoSg1Jt/LhtduZa3B djx+1HfuXck8EdTmNA6+f+ynksYvJthKE2/kFzWs8crhzZmn4XIcorASC0T5LfXGlXlhqFFI0l7v zXx+bbVHA/TTREVebTzDmsFjA81Sg6I786JfPTPmm9YAnJsxC9jWnnY9dupxHqiDnlk3SSCz7U9R uHW8MN/3XvULZkZ/QFxysYbHUWIOOloJ/HAcpnSAsYTFWu7KMEcdMICyzHEUG4egnIo0qFZZ1pEl D3GeRqV5fegTyARnbeubLaK0eXMR0MConKQwTL1k1AWs/nmiRH6PSqS2+Q7IlbyHpeTha92hGTIf t0enazXB2Dl8MYfx+GU6Ylo7XA9HfwW6xxmBnNYrXPqow5dCXoq6V6tpBOCUvOAvYHhZnEBFjXez YfVDx7CaSVozQhclhoXOMFXDYZFP3+6yu35fBA952Eh2l2Hegon2vqFq2PbKzZlRjbbOnUs1ZFdN MXOkhyJeVBULTAGmP/5mh31N/tmkvP9JoH9ioq9epjlhDKy+e/ZRx4CUoSX5LEuS+8uJ/V9CPi1Y wx+vbufu4bjX/TLnxfgH3GI28GgTdjgMo6nxngORNMRiaykAIuj1yL81v6Psez+tHZxZWrJiDL4b S9zcdQcb/2jGum6o1KY+PyBr38nJdqZnningx8fJvZKnuR4hum5SADDAApPWDX/glRkOA8GPaLzS 9TiU71gSLBW7vLAucm9mZeXsirPX7TsMouaHTXEPzm42S52gJAPdBtUmDGI8QVTLr/54zChVkUWP mI9bV+HxbR5u1rvFZv6NdU4kcy3W3KL5tWziPF2ac3OnHbFKBNFA3uDAG85jFYUABIvlOJV4B6i4 8AQJWhqo9xD3QPaqP7U/dNg/ZVfbh7pvcg4nUfsaw5Lu/yvomrwQvd7ldko+7mk8CwGdW6/uKP9r nFa7CtXSR61ErC2zlFKGbh1zRax5EQEUXJzHyUGwxTmldl227W3a2uDvc+vaUr8KRGgiSpeF0tr8 1vMypTzBFFjAzFrQi5EnYtg7vZns7lcueIEzr1lJ1kbxETYHduaDP6YeLmeZS+TBznCEz8vpPNAC yBwshIk/DyLlRUeE3/lS1nYOBqP9kwag0BH9i+G8WFdj3BkP62kIriu2lq/qG82ZDURqMvDyXcG6 StzLWX0aWmGTvVGdmaJA0IuJOnYeEBZx9iuMzTtZ2QJOtN7OfRwM6icURyDR39V7Qs7yJROPj5xW qgH+bElsO6buVcH3M1LsNSJD6hLlEOzxD7z9ffcpa/VGIh0NMqW2QAAyCZ99H6GG3vxHlZoZdNXE 12pEkjj9NVGAoc6c84vHgVbZ7Qj9aUk73924B0jjrkwnUr/SfVQmSIs2f/GlU28QgFcyAfV0WDQY HqOKu7lN+4KrCrY87sRjcYQBeeXOFoZymggLi7IgWDbnLitiVYbNTllLFzmbwpzv7Nn2Flov2K7Q Y13pqWZxXlbi8p0g1a/QowW8QLlWmLHCczsbJ72Tb+ieaKzycAFx03FWAkxRv/QM4YRRAEGbBhdu ArPyd4nRR310TK6BN/937bt9kk5dZnsEIV8U4YvUQigQRrPNzmmIipxD7V/hvp4CWsmIzgX5r19C y8dd+ladK9YVBp70Z/h9aQ/goF9DXVTmTZe/wabwc3KzzEswX9cL2JwPVCcOIiRb4PvDjYbWcbot KTgBMTQeAwMvR18sjQgtCwR5ETY7hByg9+tJrE/JhNxsFCIncgjcLA9L54f9O59+Q7DI+h1umi6n E4ZkO415yWeb4Qe8Gt8TM547NwcjJzfFzYIcI4OGADvCQ1uyK9X7CDaBdIEGY1BDKuBFmEO1E6gj VA31fvIq49pN5UV9KgvRabcalBMwqvMdOzWn9xysMUQltJunnmOuXlSW2ofmNdntxBOmzWIPoaD6 aQEUJq8Kw7v54mkKNgu1ISgIxu7dQUFZRJ9U7NE7SigOb4uK9aCYcCeBsdjsK9cKuJ1NUaaFho4W 9GfQk6culDqsuwLiG776LJlehjKcXLRgv2Iuwock1bG6cEGB38FbmzytrlpibtXh8ZkahQ91NzoX KRiFA5otb+7DtmbGOmj9HvekmbnO2hPAux7rbivXCC3Rjjmtt/Oj9sU0/ESBDkVUpi2IBZAo2q8l CfTOgpj5kPVOBzhueOiroZAUKqaxS9XMP7bGusIDzFdoiVlSBzm/x7W21u7KyQEST3JPY8k+qfMS 1MEfAajy6Sv9J/ET1bnN9fnsNUkHK1+4uHnbpzBv7cqDwubrCpxa2lzoBFaYcqB2811/7mc/XLpZ Il25sDdKQaMBWc8HlDo0n3yYyyqo/ThhyjwKHgUj1iqis3XvRkBPMGVtUn4JrQZfTVTpaCNXLLat 5ExM0Rtb7/imvNsgQfcMz4VMBrAMmi0jYkJ1jo+2F5ZieutHF9leBV1jUptbW0ZkJaURrjWfbSzN E+XUU/elcWEC/Ulx6sbcbFS0VXE9cIm40BAyH6qkKw30EfTXAyW0GMukrEBwnYwFxkihVc9s7/Uf J4IL5ryGhFf8NtE48RBxs3DOYCAE1I7y0q26GH9ZehcCoknsNuu/kbkVE61/5AGxTcAwrEwKiYco m1PXbmiSFhRw5sG/Kgc2XQvOUsA/ZnZNWL2xZ/Tzr6pi+hh//+QCvgq8q5lXpi1W2WTZTV94GkNc 7InjyhNxllw/WC5PFXi1IZvcvOFGJjokfl6uqOqQY542wlT0/bbcnmBBtAzfPW9s2QKARny2tSk3 Uw+zkD+7ro7oTYoeeRymApGKziJ4NCQYgm9CZ8JaZr1k428hoTlcvsuUfcayP2GxVe7kVeB5CruL 2V+JreoUuUaNrriDg8HbUjkpiA+9+OXVomHrcizXdUflXhqCtRcVGL9pmVLBlL28Zhjnia1Z51tk 1P44hlkLpGJ/bQoTIs58k5FyX36nWQ1xSqiNpTjvV+IwWBIP8RCy1TDAoSwFQx1ZmWvPgTGSwlT6 hjnrStCXTPZBBH58AuubwKeV9uq/fjIzVXz6xrx1xBPjYcnZO9CBjE8d++6JWMpEyxYLwxSsY6Xk 1k4xqQFnsGg4qOS6CBX1T3y5iPgybZVzQPw8Ip2u/1dJxvMrbLt7ixCq2kWgOS9Kupx8S83YjHwl 7MK61+lO6uEJUY1Ef+m+jjL7+87bdqPBARdfi+ht4EZcLsPX80u5M1/Zsq1LIYQRDCmL6C+23itY 107Z+auleDomEgPYIZKC0zDG1sh+EzgR82DLD1CDtRibM/NJSOSWoMDweauGOFNoNovO8lAUucRB MKkdT4wREbrsDyFq6PKBsYdeeXqBFWhC/gILJWOfNMgc0r1l1v65AOllZkT8w5Cakg4k19AjBStK lLjygFyhJenY5b9TxGIBBKHy2V1GSJCKnNSsmoFb+SWyj+AwQC2rjczEyb4P/T8y/cGOvRx+O7jX yvhV085/fjvA4ogvy+RrVPA2VKzDluAtn1xBjaiZ3VPkvH8Sx9iknlp0EqJK3kezjPL63nstiunE 3SgB8oIPzMvcWGxrL99d2F/eu/lOK0Rc4FV5IAqdntSXvIOu6rO8Vj0CyuL4/oBUJOgRgpaMReKo LeFN+wItWZaGNPCqr/MY8/q/QIuuz2eoxEYKkfS3237AQf+5WV3+/Jlc0pXCCBCpJD5f1+N1f9TS RoUWJHsR/5S9BhBWTzD67jPsUKvzb59MwyhMPubKIWKR9Dhvp1ay4rj63qNmvoFr61UTs7Ywh1Fj hz2JL7hqHqNBQjd9a/8wriGDkBSasR/ah17rDIOvLhiiJyzosoyp7dzgoXlQ4s4OymcZqok2as+r e1rntaXf+ZREwA2c3d612+KqzjYjylHkZvzEpbcLdBFhKhRPkNWQ3Sql6lIFIWguMGP/4Q+K94zW WI9GekMpDtBkNni4aMv2CYr2f2iyGa88WPTKdQvdlzQ9VP3At6nu3sTJeCboVLzVhcLX0huPq823 gDHTWOYt/361rsL2VjDW3YjRoo2ilc1sM9fCKryS3NSvgklh6xiGd+eJq0rNtrUjMxDBVMurqZnU IuZtqfns3jq/fTjOcmihev7H0IaClcbSp/45CuvvnEJ+x0Ub2qVByaFOLol9HF2CPDJXLOHHc6Cw 8605ozsV6TtydhWLu8Y3ywr1jVIMHHUYSgingMnfvCR3zSJPWwUOFs1ZNljJ5S/yGiGoFku3mWgp KyyU0KyBOCPSfyjHTbqVVulL04MUnprRch7s8YRzw6S/vtMyGHn6ZP67T8l0vb3Q54LaPfzifkN3 /LPMnysSMGFu+SBQek0JQ8Mfq3Ynfz1xSt0a8tLKvj07syYMiqlJB03h6aRR23an9LH4gnuTiRTT Vl0/cZCM9TQ0zAm7LQPaL2gR8Mynlv5udzidptzq5wufFh1m2JHFXsABfvojRq7HrRhrbZlAb+uZ JtBIGsrsRGsbbtastRKuMT6eLYngRcwC3yAX/F1iM9mW+OmJW4D0iGaO+OXTVFtQzBZG7DCBxsVy 4zvOUexU29y2UgFlE3rxaDhv0GGrk9Rn99dz0HSTsWjkZ7/jHNn/jQ5b1TNpGvAV9S8Y2/x0sfPC QDKkCIh0XaAvLoQIajFp3ZfnMliyXW5HdLzzWfk7KDk/yXEQyuIKgOMaKWqN89KgvTKw89douBb0 5dvkX6hqBjs7flsonVg9nu573XdmmrlQkkGy4AjEN4YzQ2pXn7U7AtCwlW7ZxBHvHqeD/wiB5Kl5 uvTOSyWwYrNmAC31KSszEGZGr0mLbk8GuyBY0Q2b3uHtUZa3+xrY3u+hg2feygrxRMa9mKfeMgob gpBdAo9sEfOKb2GLeVwSWJ5/Ttrv+901yk2EGtnIsfT+n1shz1RqrfCRpukhc+JHKBXmlXlXzPzH wz+9BpEgE+xR06e4/Aqc7lpIOuy8H/INkMUsoZfTPBfDLn0M4HG5EG0vNpsOx+yfxVijX9PhAkKw AIRDLqFKJt4CaMj3/hG6ODGmsjcDqWlR0rqZ7i3L2m8h0AsmoFCAjUQhdtHYjjs8rHE0Iqg01S3K NiUgbDXfKHZGt7FCVMMqjn2c+XRU92HjWlBqMNaKwuK42BzbKVJ8waw9EmlxKC85pDj31VnSw5l9 4/KomaIeH3o6AaDM2zr5ktJAKULF3JHd88S6pbjBE7LOENBQXZqlqTnlTXy1OxO6MGyWqmNTxdog 3ZnuG8shPSc83IxkhsTeIPEG3J7UXUsjkP53fcC2bg+mVADi08XVigdJ4VLiPDvq2/qN3AIJd7fv dUPVfR3hI3diEPonhl81v4Ty+WwIeEhR/Y5qj0yg7ecU0kKDoUQbPG+nJMhnlg2dmbSFSK9+gqKi eFI0dZwD9ZBi4wZ6aqNXOIYBAt4uqbw6ynNPl56jzfLQUNa/0KDQe12LgCy0QAh2kAsH4GMltnTn 1dk1KLsf4t6tA5icYYaol43r8w08z7oyvOreT4kviRFb+G8zSqx1xkRLvPhkZUCm7CAq2+HGVOES KXLXU9yXF4OW/V+FNwii3ApiaKtZhBQkwu5d2Wm3QUA/tEuDc00XD6vGdr7T7+rvh1Rfh6Z4zFMe z1jp9os/SYAJwvJAWYDOAXjth1J0ssIJJqxh6uKFeS4hHPoXZ4LvAXcxiTiSoJvJjOSCe2kDPkxm ORSRMSNFNcUV6yceNAHlYASgw05lyY90QBWu0m3dOCe8kI+YaUT0dg4lWiaBEfegOJIcX9ADURDd gikvgC7d+aDkBZrb1uDiTMfMv6RQCaCiGa7U4NN80e8w8T+zw8OoLlbCtTLLzdh7lJSYaCBBdh92 UQPdFhYa9F6rTfIcDTefd8x5nDzudrhsV/m9Mfql1PFF4p/7EYE1LbOT2h7byUrACNSBK5zFZ0is TZmBxMO6hQYTL+OrI0TO2iNHVgowDsfEf3COot2e3BSefx5rKlPce/KXUfqqY9VL5iKi940g7jFY dcQRMbOTYJ6U2uL8Vc3Vfd2VDz7fSKBYqYyT8R6zSD3KgRYCEPY+RZX1wHP5dl5sXZeqwKTyyEtq knVuSZ2zqNw/9Ejmgj0X1ffkdlz07H0vBC7GJlGuAyUbeQC6e3GmTb0v28FMimEt5G0AO9PMbFdA OGWAzEpps2LYgSjcDKrqGV48oXEmmqGaxfLJNaCinOMaOidVJhU1N6tiA+dV8oUe+lw87Cwm8l4/ m4DZrG/v9JJ6u6np+C7JPntNDpn12KTdqSuXVdpZCUlINwFZYg5yfQaO2bBJY0LZmSpsB/xiDwg7 b1Id0TD/VTSBNVwLnaLDvs6WcStxyVNw04fAtJSywIDKL8bLsSpeS4fAIFF+b+iK5jzggp8C49Qf CBIaKbwdCGDX/QK8k0L4o3MBY/p0xN/IBSPReslWH71yZfmrzT+lhZlYWtk5iHnY5Z4bNx2LObTK NRG8vwYYxva7VxQxdrG5YFnApxsslloO2cjkP6A3KmbAk5LGYcHYJYSaYRE3JKzN5U8HT0+qyDoY 1ABkZZMEj8xnbIkGGaBvMj86YVfhqQ9zhEWBS919jI4q+SdfQyV905revwFHIzOAT7f3UQYxAoo0 LAnKgUdhpg7l+yIkrQ+YJcaod9qKaCq70XGLGP07uH5xtm6hdExwUBdyRa/NmJAb4/QzyzXavw+5 LWVTeSLZqljDkKW8OtcxRvYgPREAbD1j7jy/Laoo8/wfTNvGDFi+Ep6dTbt9Qa4rVoz4yODQZ1NT cLtdUZhlALOEUmXP9di40Xue7kNf8fgitu0ir1B6cG6hSwJZVz+7xwLXk9rcDNKbDm5twQAH8dG8 9q9GCWewLhxWkjoHXsvm/yjdnMdnrpFIGQNYksN/oP93vQkgFqmJWf3/1WEs50hbZwAzC19LJNTd gTWDkha5xPN2EOJ+n6oMmxWw1++vtNaZEBuyR2k9S8Ww2fcWC9Vpdl8jzwHu/iD3ssshNAhNAz/B iYFXvd7WeEGcNtTnef+YqAy1soJ+q8DHKLvYQhajQ1EaZpev4v9JEnww/iRiGrMomEluMTna7Vbp tk0A/+r+8Pv49+IMzkAUKDBYpWwzqiHcl2jboUD6lowd9AsJ88S9WY8zNfEKwc+DxERqXfj1TQ68 qoDQN3g8k1jvlk45YKRXU/nusOGGv/f1/lh7rXs3SdROAg/oDuEcOgsrAiDM5c5/q6Nij10uAvOL PuhM+ShqAOS27R4nQcF91VoSSD7Gg4+nDMdKcVbYMM3D2I5RXF0Ky6TmC+K32MRL0IVvVVZ1kQ9A 61RLc1jTIYi7igdGwDVZzbAoNmYvSPJwn9LWCPT1DFv07Hhv7MSMiUbxE+mDyLMnCdFaO3GzaIGV 2mTpd/rWIG1pRZ0OTKbQNHMYxIHqa4pb8Ggmi95hMdZK6mhYYDPkiU5X4dRsgHHJEsCSI3OLsUBD qRJvHAvEUse/rBntxTza8ZtLjVnrl71L/JX9fQfeENWyl+vu9oHIsD4HED+EfBmYmYIpNd3S2mle OcVIvDwIWyeD2/VivuhCyfHaQ8FCb1B6I2IAlCbKCL+RL4IPPy9drI2dzaB7SrZrTnOU79lQfNXC oekn9cXn2hR19zOvG7szUdlYMp6/3whN0QCJPCTM2oFcaaH+AqcVbs1DTnx1WPOzJ5cIXM7Lf7ic rWEA2jJIa7m0HRCaRpC4KEB+GYd5vzMkyQxVFS/oHcTRAX/qdqzQAI5fxYXF5r4LxPgF4gcf3ooN OosYxPAmVbpwQmUmhcTpR/sJElMrD0oZxQmgxhGofZ2R4TLsdgiPOfk35OBtUMw5KFoEK/hbnZnc eeH9/cjCEBYoUj8pPqdYMK/rxwfLdqbNkf0jE0ZE270S8+7egFSBPNPe80VAuARF0WzMzsr64y5D yQmOcKEp+Ytn/CsMAyRZ9GfBK+MMeDpKgEBNdhMUTlH3dPwJfjSk0Hw92SFhdTbURyEYeEJIBf88 Uwv1D6zVzmJwRtyQiVAiFc4Fg+1YzJ+FDscsMrzYzyA3Pxw5oTc2waKOSwLLqf58gSMfULRgkBZm LD0hgDz38pliMq3NDllKx8srTxlwgWDftpyrETDNxiAR5YZLJ1ojwBnZWCqeI7F6KZS1v7gqGwAU vPtdKiLt7R4iC416Q6ICfm0mK3OO74LwI95DwIEZUmwiKoaoREyaYf2s3e3jIuAc+nE2SYfykf8N IGGd9kkMwxMKCLscAlZX0m4rQU2eFDIe8Axp8PLxOQ7pozgPs2zztyMdWL7ZRfHoMbqFM6qiyBx0 MQUXeRR1U0/f0uUhWF50V0+yipq/6/KbpgBePExz60cunPd2Q//ZQqOrC1JdKZ5IFLPA/Wejem5/ eeUrqlBfBke/WdqGn1zdI4yKwGhxN8BUtOEqbKtME+KwvnEkhkVteH48LroNsPDrsgvdYpKnuMq6 w7DOb8VwHg7Gf61bDS9rJNOACWMxXHFtKdoyVCQKy9sBpLxYH9+Zvq5RmGcT2MBFJYoNoNSLGwIp RKO7VaBc46FE80rXpaYfhpr1dlSyMHFZR3az19wOG87gBaUHfYR2qMXEjWEvX45lQZwfxXB2xnkS nz5xwaDVuEJL8SwbIWuenDIjidiQYxoLWqhVuye6xAMCsTK+weUTCDkGVuGw7Wenf6FVtEEtGxAX 2MziiBmUtfcJc85shfwDDiO2FOzyG2uR6YQIrh1mtktml/Oo/RTncmrUofJe+TzjKOtCGLCpqYsx hahAEryv7485mcwcu3fVv4O8eyxt6Pg0uLJJjRs8CII10gR581l7M0KElCXYbuCQM+wOHe3UbUuE JSzOH9EsG8Lx97HGUo6j4nUj9H1+P4zRQi0fPkoaaO4elSlBAhWNgXIg1YS2KB6U50hQg48/Hv3K efnPLEKoP59YTjh35zAc/rE6Kll6Hnaas4dzjUT5uDzT5+azVuXe6bKBqBhI9IJIsEysxzqx/Kv4 8JY3bO6ZImp9ZCBlCo9sF0boXXYSuuUWiyNhIvaP2F5pyX8NNo/WxFSmPITRyfIueRspmzpKl2T0 p+JE2qIiYNhsjn4hWT6p0UUjxQ95oBmR5e5uEcrUHV8Xw1s9obvDD6Sa6cdHULdRRsZV4vAbGFmd qV8zIrkSPxQI6cAykVv6rVFQCQH4JicamwYezM2tcakZtuw5lSF7dEp3svsXC8dRx5ZSlZf9K56s d30xcJCa9z2Wzye38X8RTpWtCGMlFQXwckQEQBKabye+sbA6jnOtTqgpqfVSnPZbE1IY8DtwCvt8 gxObdKr7m4kkOan5OefCN4g57xhw/dweoxEeB/apeay2lXlu+q9KN7FaMC3oPuwxCqxoExch+9oY Fo4tC+Ysms5FBC92psCRFrmRtxv9mTxL/wsZFwzTqPkisByDdy7LfK+XgIriEmBQpuDA84HujPV5 /YBBsKn+eNt4lE59FDrZ/KDrXYVGIz4oufC9IQvQENRJM/JqrTIICB6bjPbqb4jaqx9YqX0OBgCD kuyqeQdUcOmTu/mQjVYt5x2dXk6ZOnFt1XZe0yn+MAkdIIB1kifVI49Aon0SZFaD9d/omLBIHptw Ifovk0msT1WlNIEL3jwrNK4m0sgv2DCB6D/cN3hO7ZxD7EDD66g3hcmBYyJz/ebZHi4uSOYaVmnv E4AP7DYfn5JszRQ6vtQ2Gl0jXn8RWS3nEwVeu+KYWJWw9f6v6zng7JIsqpDfgVVcvUeyB6xnyNm8 pL8qRyS20fMsym1EzrY1m92/faPoa0ZuBwSU7nnv1qfyuXwU9E/u/QTPNtB/+83U6KdL3vE76n7L SjgKlg0YhxGwpGGL38L4puzoARtJ01i+78LXxm62ed2Kj2eB2/9MevZQECliGojrS7S8HfOmL6QU wqAdvPrJxgw7bjqAetVWswBRgRZiwu+OgoiW4w1uHtvMlpZn9UvMZdTO7EsnQ61tFhATnBsYkfuY 1QVDCdvSYXsoOMtnZZfy5LWjGkcCicOtFQ91jOvEZT80C7d/WZzvR7L1FcCchZVI3SnWonfZHe4j G2eIRVOYkeg69LathTzq6ZbOvmXoNc5JO/5l4xhD+0eFhTEvA4rBoUQwAaRZ9Av/G7FOE6ESG9Oh zejab+Pl0hbzKVOU9ld793hX1BIC1DiibJ/H+n4Nk1+MHSJRGYx5nfEp8Npb+aCA0qw6mjvtAOx0 qzvlms+cH47SaglBq+OOl83uLDCkUO7D1lDrb9n3ld9QAUBVl1G51R1gt9OK4irOBb2joRSC8onn UXdpb5BYDfWwb1Wx//KgxyapGONq+b3idmDRNQ1lMJkLi0/7C+qOU5rT1dP+vs/B5toE2XGE7Fwi vPtrwk5nHLBSQ06glszfBvVk3Q1qS+g7+1ZICIy3+qbXOJBalexgEIuawg71Y4tbc09mowN21Gqm 88+BrDvE+TOofuH/ZTnQiBCW8mH7Aur1+La0asjLgsZZ9ktgnxnXX9ldguBzHnjnBsTwNhsOpD+o A6X1Ql0O3s1U+lXCmCU+z6qKUz0VMNPj2l4MrQRFiNGzPr4WdNaT9SWWhAMQOo5Eq+JZZd5RjPCc Hm30p6ptFvnejsuJ5Erfgv5B7rxv9jXVU9TQhFOil9OIuZuK6+bxgvnsn1sUPGIh+EV8KkzYWFBW 8khVYL3Z3aXI+qyFba1QwbxhwLXxPv8i8YuZkCNdo/HvWADQJsQJtlLRdJ27dERQPJDHmhofY0d4 O7D4NkEh7nEAXzvdGVLQTmgf3pPVNb4pFCEcDMk/IyBTJRalM4FkKkHsSNccE4M3O0ISxogHz3bC uLXjAmxBuXdm2ZY2iT+QGxmbso6+JwNiai7K0cr3UUwa7S98+Vefs+BpaF5gbqrCL86VLJ+Y6HcD XrKNTKfiTWLDjV76JYkpSyvJGKLt/6gM6TvXhEwbVShpEmQNZHz0eKqGynCYBMaHIo/6iRb8SX/r jhahxW35IdNUuyDJujZ+LCEn87nM34YDiDBCnFxLX2eWP295hhArEEanmQyOQP3qOyrqJg2gATEt HhsWmSfK4P/Vq2I8SooDMIxIPa5TPHPR03cvilyuzpt0gg5qtSX32o9WjxbteDotaR7h44/t8kQr tQ4PMLfwUhlRlfc3o8u++9lMYCsSH63X18xRNu4NyDy78owFoMH9Nv72sip2smry2Ter9HAXB5rz qBYKfRN526YF10GAlceCLYOKSvbx968jrFNTn98K4gnohHBQ5dyk3+1hhVdiY+CJura8BKWd2d3M WSpbrIpe21EkPqTnfl70eW8jBsUDynPxaZ2NrnJk42sKmpeyDSTRkLAFWwhUvxn2bu7xIxNCfpUP boSj+yVqom7WmX6ChZFE46ZrqGx2slfBfFxL8MONtxIIF7OG6zAHLcZsTndkRHJjlQC1EFUVjLSE wFyX/nWxs2z1/aWA3Fvj7XoXjVEDtZXLHD4YVVFQrrOWfw+eO+kRCX6hdSPWn/+7er7qwNPWR3Ct Sw+F0t8RxkoaS+arqNIR0LbZUXtax19uMCn+lXcRBlp7iC/zgGFD8IfLtD/8YkKhZtWMxOZofrsK FO7cz8iwJyu7kNKTtG0Gi7PlyXaEN37+aGRz1hs+c88Ny79+erZ0BsD58alIpg6qlRkaqMRQh6Z9 /bdVBn0XGR364EXo853CPy78OGU2iEJvBF8VdEO+LzQeleNdVkRuavj1sEyjqGMT7I15QI/B1O2O OGyedqYyHmdd3jzb0WNAqxOhWEZ3Z+HtI6aBhAc0pHwY+9fDj5I6xkao2Y8jysbBZgJqZgSlpF7G v8Ry53qxqYGU2IjB51+Y31bdsHttWLZuNSBGDJuGfhC/ZudkYxFS+2xc5BF1y4p+jIS7f/AHwBsW MRoJynJSRce/4MQIR54sg3YLJlkTs7gYE7dXmAE1JsWNfLJ9X+6KDs1vq1FXL10cB80Dbe5A7j4B cYzfFzr8rZTt50kIhoVPZhcScDW+zuYoS5mXY/XQHY5FNckNHHR5z5Xz7qGSS6H2QKbdCrQbb8BQ w9xf0sZXm1yjvA5lu/uMMkJLt1dS9VuQ2n+Nj7KXTYQK9dUKj6Rl6HAZByTKtKORiddessCZKCK2 b0Mp2LKJATstBJFm33H/3FfF59qCWnn02lhyy7GbC4BLDqEZMynvfjVFG7cFzBio3m9VGprQdqZk mQ1uinK2DRBpVTpgIwh3Mua1Nhu+zwrIAlqhXV11JPxMRti37/cgh2kKbLldJlchctN8YDay6ZKO QAp9JEjDnOLDL8VWzjreKIqulJMGMXC1rB++GcINwvpX97xHCxZ5LXW9Ix3+UokkricpUkaZ+/W1 beS4tZpzIDasyUrOe97LOx4rLsWCIBWti7tbC+k/m6OljiyndzB5iXOjTGb7R0jX341CRhKhOKCT +Sf8Gxo/gbQmTXbP0xCjZgmB9+CGY17+jxOrw3B9vGQ3ginkdOAcAkA0/OYPc7eH4i5XNbQFpbJf LiohtlUAhhNXpzR3uG5yuxAgQn7YztS+2AcjcQT0QaVNd0X7IFKhWOL6yT81Trf0DASYV0kN4X7M YznZli604MDmsvLbR7eoxt+uUW0zir+YOQQw1eG9Uug454cu210b3MZC7eByHQECze2UHly/RxNy d109D1FCmYwY8HCBpJEudZg4k4EPbKiZZ/d/dybqDnmRUVJB901DuJ9ibSB74o66SgT16XFgL5jw tH13Kx2GiIw4PlkPuTsjUwsaMohHtiJfoNgrAb7U50C9ajl6jYx7arG0d6/OwggL5bBhUXAkDyam oCRifCwWVmw0LXoU4FhlMkKZsWxkVVNy/xNC6yV3zCO+HcE4npNJIQ8OGfAB4DS+5kmtuseWck/x IYt3jXyl/0XO265FWjvqoeg77FCXA1I6OjakIMwANDBAEG9h5eF2lLpzgqfgK2ZCXZawHXBFsmq5 Q+ndtsTEyB5PsXotBcegxPCrtN42d2P3NAwS9+1kET7IFl9mxNumErJnSTi/FYB8VbWbrbOPAKVk 5rgHNtYlLKEJcg4vH2eobi17F2winDRpNltNJOfKIkk2vUQDxelD0OdXxwNntk1SsBo0hzDrYhTL F00K9dW4ynLf8uqkhPLyFJ1Q+O1Zuai3Oda6PszMthksLvmrAf1CDtfBn2kDGooBt+KIx9+qJrS7 fMHzTCAFGKRnPUlK3KHMav7IBG4Mci+vyepj+dIutwznVPKgGzRW1KcXzkjcUNvCgC//zu5KcEKy Fub6trz2zISdoJ3/f1Tar+nXEzADnF9V8aVfRVeWf7MkUK2li3hUFrdcE96AOrcgLfLPZf26Kl43 WxQJpV+5k/U8YZdRLM05mrE9+1/VPSXUP2oyoy8BfiNmFKhc+J+fy4InK2/zn0LHzPrEbV1z5RkZ bFVhmkpjsYu1HqGZ/cpM6sqnxZquLCQRrGuD30nVS2jlfrCXMOtV7a++5HIZx0L/2kFrfO0tvPh+ gFRMjoBxf88KKt0I48hn0+/wZno7WAg4aWcvRM1r0ri2JqG/zR5cvJjd5/4n3W1zeZeNo1ZQwDTb Tv5zza6TTQ3I8aVvwDI87a5obq1+wmFRmrQaC9aEDVmqE0h3SO8mHGQGBlbO8/mlMIIz9mT5F0VV DhXbffYE4+iIulLsT0brUn0B7II8i/Y6AiWmwLtKXJsh02iVdUPiBPTE/fDIDEL3g9R2+X8Rlfzu B+c3K+TNRkxUMlbS/KiKUuCcXpJJ7JYygn01qyXuJPOKLBai2RewS+Et0Z0Nqct97i1YAbrm1pcD eFu7bgPupdiGq6ypW4eHgz15CtWRe9OLmNCy1ZUM404U0YT8/jDo63Gie40uF7MNrqzi3xjq4XVD VpC2Hr7lcuuU481SSRdRmX2VAvav5QbQ06O9fbnLWd4DWQUDxV/9CqNUx49GVvvv09711cICvpaa SOVhWTgeJsPAPX8m8CC4M6zAPlFEA4DTzUGIOoaY+H5g/q9Igosefqezq2zXHBrQw0Yt2n85Lq5L YOmDdaltVH/vGc0R4jtfwaZHfMicZARVS/z9sLcX6VSZT9Ttwm+HmmjBJf6boIjrgfTbcATCoOny QEgVdazs1zKYNZG6+9q35E0OcsF/mO0MQgb7YvkLwdExL5oEjDXpoMpJ+hm6ORh6xeHkq1epZwMU UPHie6VZNVx+zbZyHzjuu4siOuXm/ooI2PFcG0aPfX4yXNbqbrpgu1X8+7hG5RfISz+rJxk/iFod Mo+kgfGxrzwlSr8SvIOdKg5yb5giZtJAhPWMc96sEouz+kIo7q2DgDeUorHG5ix9Q2jK4DwuV/HQ VViC0xTcDigqbVsl+ZBG3S962XXGmxjODhmqwvKIRSSp2mwUSm8yV0kYve5zh3MWYd1H/XZ46t68 Fh9LlCk1c3lLjGKgbUxiqdnZ9ZwwYhDEgUCSOP8TUuLtn7JWT0TjvCrAI4yXhXGFvctpSx84l3FV 524i/e/3KnOKQa3sz9RT2XzoI01o3IgiByXzeL4tsCk0uJjVt3xuDYPtpZl16oVMhp8N9PT2bmdj Hc6H3ijG+pF9XKcCBpGoYndrH4g33QbubG7DCM7lWfPVPdHwMhYC0cyquXCqPztPdFcV5ELXt9Et z+o+A/RIj0JDmo7D8hpGnbhQ09I3lxGjHVqWy3xBVvG/KAQhd78m6K36Ad5NtCqKTiXkyTmlFm4g z4USmxf5dZGd5EvoEY+9oBh8PKMcGipqfjqIRXkiGPcw8Zj+QW6kK1svLLFeVUHvAI+9cPr7AKn3 E5Ef6uSCA76TtKH56KpZWwacTBoRkIIDyBM4OyXW0jTkHwiTKDJYpRK4jm5wmpdTrGlK5HfOHFEz aq0sy54zs5b5iEIJ1MtZGnPTa3Wa+BTco8RvLJEQrc6w99QefmtWCtL8PFEkIPysy3TJas4AR/j7 J76TqD7g1Ujqpi80gHHmM3hC6L0ElwJhvmAwrkCQCxcBCkK3qLfTgMp92WZwgI7OIAveipUHbR9Z iaQ8TjU1lOS5Eu6eWmbl4DHocLj76j6tmODCNfhVo6ra7B/8o3G4d1u88qdo5dAMFW9tUpgBchX/ b5Uq4Irbjxo/GGJPyHsBngfUwYvp1PU06mKPgS5DqzX3liguIZf1CULX4uJHYoW/vlRlSVNQT9VM 7gH6rPr0EXDH0ZEjEb+WvcHxchKU3XMM6/yKU1GPgxT4XH//MaQ4lEkA3UEVRIvK4u8D8HDB7bWx /B5gY4RXYsvZ9A1rgd6mkEHJlVOcEpRXVw6lqFO4cPHTngcMYUiw6Wa+MM8PlebAYmGb+NL4nakz LDnNLqHr/C3e5hdFOnLRH4ab3e6X6gRZuf/akpmCWJk54d4f9VK79Cip4EJW5FjX83VnGxxDOODk FYPkcaavbUegbXWlTKKKeDsu2S4qWEIWRhfn1b78t7vfgLEx26iu2Zlw9L5SuM5G2mIdXR+VduqO 4WG8EZ47C4TizUWyBW3nAc8/EYFqUOFhQeddeJ39bPo1SdUt/KFPsmfTg/xky39Fuc8fFKi/9/4j 0obZqn5SnHPfxlPFaIBp6q3qRVXxw5jmf/Fsc8atFdBJ8Bkst+3Sj8uikk+dQyOIXnTSxxVj4Mih 8ngpmPd0mNLXl6Ys2bQUzvBjL5Kyd8E+3Utrchd50bVlpAEAtLN9gblZeEgEWdkzjthIBi736IeR qMZLsGH+PVjvAatW/sCbF1iwr0REtyDCdqxDiumLIlRsJ45HQKT2XZ9vLChbl1CIhjUvTUlYChRl SQgcDsEMjxk8EY17XrUQwbC5r6bwJuh/HhVD0NVK6T2OD3MqNdA+KJG2HDA4Klwt8GBvyeYPrOlq ry7A/35doiuZl2UriHYj5+Zd5ceydqqGHhVk95KGy6kiEqaxaTw/c4WNj9xv6I7sGpX92P5/hx9y Y9pEaOCcHlhjI9BqoqqaJ4GK1tq0NCYZfMQXUR934P6iAIt/zQzC0U9N1enKScsGR1wTMc40O6xd dKPW2/ciDT8f6tTSvmo4UH2Ah+PJ3E83b7zX0UHLH22T8xb5wVAhOfxnfYYQWrNRzIjKB5MkQZFu 8zDfl/w3ZwGDDo3cJM441unWXG0dyh63mYeBsWJkMGMs5iBgwuNq3KdCsl6OPmdt4WqfWVtv2A1K SsHpSk7ZFsXBEweMelQ06+HgQjgO09pI4jVNusBJvxMu6oKR5ckTlshbv37RtYm/verLGElppcr6 ydNnNaN8+SpDJJ22tgn6C7xlxeqwgpBAG23LzO1EwQqEz8oynSbPpO1jHwX49n1wI279XhXgtsY+ MjpN4YP0GgoLiYl3sb3HH7uOxzmpQBB4NxX36X1jGh0QD4ZDEpcmsC6QaK9c1BT93sbC2SX/iew7 XkCNnzO9gR3C3LOOA8XmHRaNF0LHRkUs8rxfO3S+YNnd7wXOX938JKDwmZUh4yVrB0Muh1SeGwcF l/KslxtREl/9QOHa4AGWHlU2kSp/HOQn7KcpwpNXFNsTHTiGGR/Pjhy2u0IoGVQUp/djS7/at27V YKJDMHhPhvaGk5jQet2RSBQ4SkIkZyMwAx5rNKaWaC3arcpwSxZpIyRVyg8RFMWv5KEoFqu4rHW8 lBo9Ao7Cts4vpnbmAia9Odyv9exWfcj12FV700+ANlTiUj/v8Lkpu8DG534Yn3HpaiIBY+PnZx2C 8aIOSkpKAXlMT1gyheahDLkO2VQCRNcw9EBmN1WYMZk1YunIBxYLiGyCd6sZn7Hlg7ZLY3Y+4CRT pCUX0VEb+6isVeeNQazev2eWWCvOXHKtEfN2USJpYqQ2hinDjdfoxyitqkGKQT1YNryyeqjY1MWB X1XfUxl/HpKnZhXp+AikwiecK8mAlnNNIBrS8zkIMrKn39RGK66tdBcSTWKWb587jmzBZ+Gjosp2 jJdpNsIkmRIzo0VjzuCPp5GtbeqYEV2RPndOXMty2SDdtAak6QGSxwlFJbvwNDdwsmI8h+O/88Ui gpCMtpJO79OeUYPUqChldwHuRLRihXvsbCEDIGKyZnZLvT3lsl98Mkke2YWiXueuqKeHwqjpCqdA xuEipfvW2MFlUGPHRnShZ5q4+kGxz0FfuYIImb5zKgOKiviZaJOwQDmQiMI+j1Bn3AhmU1tb0i3r tQNM+5wreRabixLSVaLJuTat1ZoE00VZjc3I6eCl4/PBQNQfBqsFgGvbAbI3Vc6ty4jlUNTGw+0L 4iKHR5Ma5Con0G6j4fr4zpYxY7ksurbPs2MsQXzIsWHyxDDQoBIuK47IM4V6AyuGphwZ2bipDHo2 aH9FYXOn2vx6NLm/mQRgXvIbuyX6YqgL6DHYv4keWdwjlqWYVzDNIyDynXK9qmxisUgAXFr8wZwE yMAd3qE4vLaKfg1TGlxB1wJYUS4rNSwKjzNQWocZCYnPX3niTrHavC8XEmty8YPXGuG4X0EUl+RK JvTqXIqbiX+noikwsApyFAWraoqlDIqZXC+r24VlQDL96unxRD3ohBcwhEsFjZoFVgNDO3umunFd fBRxE8Mr1PaA3rpJVLT+jIFQHAZhLd4jhNSl+/Qm4sHbYJfN/bI/1W/3Y7AUBLd+uny1mN8GJDas mXqTFzxSWc74KK2SU8E0SSTTtu/e6XDYqd618VCMUUl7UdHOVrCR9nI+Ed8SurfHrfTPSac9odHJ OPt54wLBPOGEDSctmkeRwrDl1s9lsWvmKhQznL81eNEmcFYhvJCxOk1JIYvsShT0K73oXO6hqrM7 lhg19xJubn1D3ymeLGg1d0XDIWSOEMebP1c8tGx47v3lfZJlyDIaot1k4JmPDEmawZ8RuoUHgiyo eeG2HpPj1dfwjSAmI+265qrv4hh0gyP1eWcG+XcVEdYqPPccP5kxkxKTNi/TLktpnx3ve6ju3EzF oaK/MzjmqeZG/m+yyRjF3PYeUC0GRZZ5mYfbOtSJnngAAyOABgWWKkPPwARzwwOfRBXk5azTNKzy ftyHAr6CXf4/MmhWoCbz59pBFt2T+eocI+qQTXpzZXd1h6m00xZOmtcVJ61VQGhKSpmUdVK6gpZG O+FGoCurqIUo9fuxssin5dbXl9PAwzHQ/qVuXo023HSqK/Aa1pSBKIRNi7GzTdZ+v0GLAO+9mxmi TonHG0Ytvxm3T6DIQmlxP0Q6E6cC+61kDbXrBtEgqtiUAjVJoxxwZjKXd5GY+yHjT+rp22NQxLFC T3yFU2V2AWN6DtieAxyQs01uimE2uJzrGga0QaHAH4xCZ/gPJ1CXeAXhTyEbWDA6ddusjdZ7KBuk V64Wpn5z22ZIPkt/JkZh8U1itcsK76DzYK8OQvj+Asx+hqqYTiPYHyBh9G7carfVP0Pd2EvmczQf Sp7MQxph8/qUiSqp0FZz3UZWc8HgLCiYB8zTj0yxYMjzABYn0WCv9idXPmih2xh6BP/miJgYmX14 8RhFl3N8IYwv2fedL4R3wkR8k9n7ciZdiHD6UpqxyitOl6nt4i9b8slHFfhP5pXWr4Jfo6C0L2Nb sdWDiOpM1wCs7XpGvZ8+52eDIs1pajcbdVclzvpVxPY0aYkvFRFxytO4AK6adQzdG3LSqUFxv08R HG0iuMP/6n57mauQgevNNJQt3B30mxCEdkGC/9Q+FJ0wi8Bg9bkOoCpEA/OWKlWLfQgFL6XU2p9o oRnjkFnV448XMnL/pBXtGNKDa0Z+mPKUOazTo6CdIwu8skcXq6EOe6KY7qYk6uuCMGg1tRfmdyyz PRaL9XFJZHrYVxstvxZzGUcPcbFl1UqHlWuxaTAQAFhwJUMUaOyGCIU4TqCA6ksx02HEcQ2nakKn 1GoKex0AE1nnch+XyO1jjeZUvmhfXZigX67my+8IZylpkBwZhV3xF29HQGaGKkiT8j0CtB5fk0Qc 29cA8+9uPZp+AivyAGc9ixIRJeqwXsrVWwkT9qWBe8AB8FlEejXTKP58LGkwh0IFYjqFGdhVkpZ4 qh0tG9OFyA6Q9XSscCAl1LZVDn6TQAOm+wbeTkm7adPlFVk+0kl4SFiUpP4W21IDQs7bZxlToiRa 1ssLR7G62tnrC/os2LZLKdXUPluc0OP8tWhj1ioH2+cjv/cTu6ez4UBpT5nxc7dh7xxZKhVt4m3q aHlZIQiJ0wsd9VajOlAvQmZHWTHAACUmmdUN6PLZtGVX0jIwHdu+a9QNahdu0NpExdoJf0YvFMC3 fkmPzykx6VSsQCrylcYgtatR45hwALSCSzabRMcNSLSzR7ND1XI5dgFGzxHpW9FvY1j/Rv+zwETb xhbg/mRpF5PrOpXnB/aJzJsjGv5dId/Mdwvlg7AV5O04LN0dPgy0PekNUEKdSa2gcz52yUlzz6ri EzobLM8ytkRqBl+CgT5ab6guGJIQkgD6mVDmwjgvvufRoSt/ICSrV7OWxLmZdlIc9Gm0NZCEBf8+ 84Ohav0+OD/B8WNai1IAu1WX8niPnU3ewZyjjyUuIB4jBz0J7V5VzJnDyiZSRbtHrU2eM6MP9H7Q IPLkWlHZjSiS3Jcouv0a9K8Q3JTBy/jXA3XmIjiTAszN5BBRL2rWHPUL1HVxJ0f09je6xmC+k1O4 qivfJznKGsxWMGiBsgUkzNA7ACnavN1RU0u8zYrRSUToCoHjFD8zm1d3ZnrgCjEsMShbGysaekH4 u/TlPuZzl5Wv+mauOkz3U3+E7a8raHeDgmvDnYNtj5OGFJri5xlBSxUXhf9QWRJcJTjRxdgGacSO AIlbkMkPotWDCom6QRB5g5tukwF97BQ5eyWDBPsh9DclB6nfCZa09xB1tsLeCnkJIUhttHChsOI0 0UOGsxjzBkiDDOEEedOmAQ2uDVe1UrCay1ej9Bfydjh/wCH6/5+gX5kVyoh+m8ho9L27XKDmWtFa z3xuHJdVa+yzngRYURIguU0hH3keoBmTCAcUC2MgtRQe1JGUBhuYPWXCNEjQpsL3JMak6tTx9Kz1 Bj0cKiARER5pL0mFFq8u7Pe+SQF7H0J4xZixXmUzcfiJhiivZ3eLbW3IWMKU2pe4LuSmuBd1f8BM s3+R4oEWBaFLDS7ev5QkZlpWC7tFNE0xtXgNoSwvAIFN/erRLfLZRA3QCSWO0yxLuJIaOu1Giwf7 ZdrYwtC7hicW2KfTYZTaH6kvBxv0HzUhugKqsbHXnkDxQcO4UiCByh9xElTRxdrbd0PlY4rmlc1x qOaFdhRaY+cZr0sUqCJn0Ap6Sl0xzrL6VfK5jvFoVVHGNWZn8/shZXxrh8I5Ok6FphxbBD77zwuA 2mSwkLcmCBvX7DPnyMDgj+lnqE+AILsj4N/psKjGGwJhMi3wiNmJq036Gv9d2T3VfOMax1LWGgDJ eQKKrgODR+NyEtGTf28Uigm+hemlFe4uM0ai2CJH7bjwPcbUWJrIT9QPNd7J/bm5dSjxHfNu5s9n IQWRxofD9rYZwgtrNRSAsxCqinHPbMuIDFjR9d5R4Dr2aqHeByPOW/VF4et9H4NCsveaBSajn4vo 65FTlcGvYCIRxTWKNAoLHfBQrZ/TZiJsRN0zdwx8fOcO8HaziycpjrY9xzwLacfc8SIAN7znz2Th isOqjOm54Rlyt17iQxB6wvW8x+iFUG8oMB4D6JlZhIgqto2FARdsgBwwAMJIQXIHThpChdXKbOXV zLsPrX9pXkwxwknRkx3gKPLllHW4mJqjwMo/FkbTjZtw8VG0llyx3kZNwACij46goOrAL/u/e7AA gHSVhJuaJHF27VopStofYkoMLyjBkXFYMJhxa5piUDYUX8mV67arBjf0yc3Q5BU9dAcUTvkshAEy zsJaIR6sVXUqsafF00G+/A2qVj+xxTI1HPFIAxIuYQwMd8SSq6eNJaNDUpInZW5hWejHZ4yl7hrJ Mt1h2n6XYWEq02535yjgJLkVizTGlT2WE3lqZJ6XnuerPp3SmLRvMcn861Hh961HBTdWENHmJ31Q GsgsHAyGmuat4pfdBEWRHLJXQc2l60AxkWt1Re7GNF8wZJFoUjkkLP2TxPJ2yyqN3dbQjDLZeRI7 J8oQ88EgMNcgK4H4Vrf+IFmu+raW6j+oZjAAf74mz6aMSIUfihxOAkKLFHaJutViuRSqSULxvTiL wtquavfNV7lcp4bMZmxobXsxZpKCVg0ojHMaljj6EHwZ41uXUubQWlJQ/AGgiTHksLun/qNgMJ/3 eryNfNedvAR2UGFDiCWLzzdI7IefBGXh/9shVHIUYjOujiqeBgmIQuICm0zJeXENfyP8oAHILlvE DRQDeRuvhPxlS6gm7DOg9ifLLrDgmxrbc7xJhyQeQ+yglkEqvPZUYlZl+yqUsVkWlhH4KsjVP8oJ Ugxq1InZKpaQgeaHvA3pMKNQY60rzBP77+jq5mhTHuCcQoFqF5Sz+a0BLcsqEc3UJ5TPxVnasAY1 paPDu6GoUeW1g1o3K+POJ6P4u03LEAyOgZx9CiThauEZKCMGNWW3Y6XLtpLOghbpxAaIqtjmyItV Nz9kyUDn19M01mQL1g/OhAIj8QDcbz7EML8cI1jGY1ad53yoepFqK2+ph1SQpgPj6IS9XK9pqS7G mIoJFWTpBPMPviD0+4YdQgghD3eQrUtu3tacG9OavKPAKFmsF2itdqmG48xemAlkffNv/z4NA5G+ UzGvfUl8Mbd4D11784T5ZkVI8iKvQvCQ0ynzkEKnpNQMPPuOkblJLylLbnRBomKf/AbRMcVE77wM pzIrdjnTMTLYRCTYkRW7QmE0hwXix3f5nsQzaHUL4RgOSZqDZFjoAtiletQQXmjJzPYIMiSPfBWx iH9cQ+uUafUVuQDb4cZxeqn2z1h822JAHqNO+YLu9vsOB0KmyfBgWbnY+0vA/bn2VGdwwVdzRn0c 8cFBFXfsc9Z93YoVLyqkKITt8DKIZnAPeSxsddqM9skGUYus8SOCwJ3n1qpk/6CrScOmGGhYeaJ+ MpD+FB0iaLqsh5iMZKAkAkfeTtW6bxweWnKwez/OVlWi1jQejE2EUupX22ZMyApmySzb0ly4Og7I EK8cUaFO2SQoGQPdIpPk0WYLkEYyMIBkTnXIiWWgHBj3WtQfHHNcPMR8B5IXESsL0oGf1bsXBF8q adGb671Yp3ueVSc0Y1W9wnK1T4/0t2a8hHANK03MN2lf7C8zg9/JdbaiSN4X7aPqQ1CWqFu/+ES0 WXTVaWkLGEVXWP/oSgX3EMoKmMurOc9sOJelkkaqP7t9hPtJAbaH1gqy5QR4pq9cm6tb8Sh8G2eI 7LI8QzBan1+BMImExfYc+fL/M6gf9BWZSoY/qGU96kGJf9TkjDSWa3wM+1mZXcsVdnlxcfHssfFE KLiP/g0ZiSKJVvtb2tpWslU1k7IOmZhFff+tcg8RJ8hF92d5063ahC2wc0jr9E4yJCWrHaQ32du8 0VVObIBpsMoFxkgBKYXyFuGOJcl1zP+eksNfJbcCD8Coi/1JEQ+jLkvNKEy9x5ERMwwy1/x2efni YKIXHa/JJgDNtqy813syYJrEd/8y9D5n6P5w0UYsqgIytkbWSih+CxvrOZzUPW9zK2X6oSaWa5fq 4HscBlYoeslDU5K+pIUEVybVdV7Z6wFc5sLuVgrNVzAgWf1pt4EhSPdnwRemZYsFQ8oWPGXbOqDf YwXv9+ANDRhUVtUrD1E4idvUp0LFXkuGFTcH92Iz7xiXF0wnDHmfYhi9uNYzANqBgiLZHJYqbonm E6RdXHHSX85RexRLzgNZ4p/k/oGku8Cojm3d7qS5uZr9oT32zRIVg8bRJDsBZawG2aWmc4aK5wgA c2HX5pca+mYcAb8fQ9PAhW0jYVTUZ+xp6PnlrEHoIr0EXjr75s2aBSSXTLBIX+cdYdjOpWA/7Rxc cfV5PjbO1zBmTF98z57CcjOzc2AIW+emO/6DJMOIUDmdWrK18q0L4vxwAGvmiXV76hMayVfGG6Fp I9+DPb5FXemTEWMNfqoPi3xWDT8yeDEO8//OXZVjoe774XXTJf6eDqonapuYxvQhmWW0ZKRkc2t1 h3bHQWdZJzyPBKWoBdvR2SmJ8kHTkRcoCFQN69wrF5ePZROb+GO6dbHmZ41x66xcbiYNcQGEFS4Q xV0ClSqyJxPqj7WEmI1zo7RfswHqU9RDcCFjffEEifpxiGfBW0N+WCoJI0//2NPM5G6344Hhf6S/ XhIiusn7dL3W+R+Med2hO/S1Kfb8ciRSE/YR8OGkHtSpquP85+ZmYdixqv0KlX/TdNZQDeTftK44 sNrvYS+lb8G+yRwLFYTOXjU8B40WWqpd7hzibkyc6i+iuKG1cmyz8PeYakt56jyddYxjd59a+wGT JM1m/J5Pef3nQ1OgBBLkdB1ZvjsxQA+UhsDDNAnrBQ9JRCs8xNRzG+P+gKD6DXOpFmPd8hoFsQMC DHeAroWNUpbSPwkInwMgeRwe2RqwSQ9AnpudxRZ4kh4NVDCw3doVsvehP69BNz5olaLSZsi8rEq2 fq4WBiWGHJEcZgO7g4LdxdU6Kfa9sIvUrMkeMcVd69zuLnM7p7sXzwN2VBn5JY+mPgPLAGEIBaJV t+DyF4Gv/f/ZVIyUOyvYdU38SzQGvjg7XbHnZPFHO/2ZWCz1r9e9F6iD7ND9sLhaarIZRAFzKaa0 wUfijYiWV/jefYm7lrWeZ7UbFV9XnQDWT2S7X7oqRlwg5ZHkhHS1qOmKDzGWQsqgbUuUtBNY32qA nBXM8mpo7rilGZvSi1Hct1LMFZOMRkRUH/jtLGSrsOl9Gd4VFv0RxQ3al3WMvpRYQnYnsPQQwIJt GCouK9jHAoQS+66SPngkYxjbBl5+qDHPOMb0mY+LSV8Qx+2/aPkySvLFcwfUmBFzE9Af40bxw211 Ok1Nabjfvni1S4bb9TkjuaR2N4uDiuxJpqNfnLzof7jd6gCoTibQwZxZbD2w0u+cPeIof5jjhWnz TI0K09trMaz1xYMuSONwEIbaZr2SYuxtz4GVCFEZmc31/Aeq3ykgqmLgx5Od3j28kYlLUtne4vvw FachdVGgFSaQrZcqh7TpW8yq8sqvHFJghKxa9lMWtI9BukGYB0wyd2Ln73D2dyIXlreujzHa3WwA 0Ia0vbzLuUZQoquR4ZF1JnLiOuvzeaCRhBc4NVFyEHMvh9PvC1vS8dT11SpuLlKJ4es5P8R0Xo3g 55u2iAXimL0wuHUAccuE4z3/JMClJjunDa3RxAwjED+nEGtV8JvtbN70RixfjFDC/AY2lkYpLvCo rGnNsXZgXvjnIF/1p/EpdSS7bDY4kDNlH+TtCEby4ZmZWxyurrtEdA5vcscJYA92Uq/rHhNiFQaa il16Sg8AF0rRFMVNPJX1YIgPt4f3qgD60VKHQPwn9wyB2wyRjUdMX8M4/q+Ip+snqswMxp/ujtmU a+xMvYX/5KmNxVXMwEN3dofOmKjCFfFrkYZQpLFrI4W3IQ2VcJS1dg6+cKG5gfgtd2lXWeBsRRt9 xu0uWSGkZd64gqutLj0I3X2WzwiXSHBJrBTifXQ25Vg05vxL7P9VtKXotDnvpWJWiZZZI3prjWpP 9bgd7IwMnE1t2/P0b2JoDdSrV6FsXzMWCH/KL49zK8Es+m4u6h+oBh9DDcONd8Lq6x697mZtz5+I uqK+8BJCZ697wpAT3ndwM4Yl4xEtM7J7YhzVIK44aoT5Tjzh390Vv01JTjKppClNR6pZbk/Kmsef RWVprzdkqULlRQqy/nIjiywVas8GsOcSLHkOeQESMc2pBzRTSDW5kd//sy2vgHaN/jM4bNZQ/HF5 95UVkSsMdEV9piwACq8SRRqS2D8IcTuKZKK3FFCvIeax/ArDlf2Um9A/o/pfD94f9rd0+3DbAiHn wbR5otlk0jnpSvsXJPxneY9VWH6wDc6LnZFRvMZJMQ0yjlHUKH0NszRu0+Auzupu+3k7zGIOdtvq e3ScTEL6uldfeb9/vqQRfMfRTN54wDzi6tSKpPS9AMiBJihtP8Eco2mGAhx6nhq8lqQnex9FG6SI ELVhwyIejL4BrOgEuVlb/KVV9gfiFC9gAEA8aHeWFKeVPUyC45sfCHkVMAIpqjPA8bjzqx2E2na+ 5hPGSHjfhjJtu1gsP9LIC+aZmRTlxGbl+ux4fFs6/disUKysK1KO9ttX7/hBSFUobScsgdgPILhh 3wKhlonWuMNxyI5kvpVJdV3k6U8Se0s75wd1RLRDLlXHEXSFZWvWPVvxZYyW6aKJ+v0RENBr8KXv cXilxlZWvSWpCTvZ3PKKU+w5AhE3iE4NWH0jI5aHkU3n2A0+h+XYNKpRcUEmai3z+vG07Kor5h3d ecoURoSgPRluwXOI8L3wuCsg8808dwM8XBzIFjRHh6zs/u/g++/L1hdsmUnCV636ZDpyb6o+EOPO HUKOAqkQrN3sTmgC73jmmuCG0ukZkjezWkeEBThLCUyPBecxOEYpIFEz7RtlNhdFrbZLkEPUaToq lgIY17h2SYP+c6o2BsGD/CEJAzqGJbFBz2JJWB6VSo3yYoLymldRRKqcsgfeOce7Td6hxxwXxXOP /FjwYCTQ/XEq68LB31tSs7/vM72qsIroDFzVlbCC7KoL0Nazb8+yJ3u9U3+QJQyz8RyYJApam0d1 rYOwcis8yL2HRip+ByPwtG0NnAi9RH9ND+QBccyvZVsUN9a7NGRWm/3pDdLbm/Be9EOoDz5tru+p GyOCL1KCCIoKPDvQ3U3xZm7jX6w5wKIpM7gIpC4/VkZKOvh1Y5x9g9caiXMe76p1XZYsPDO4e0pW 3CBRYww+2WC07bQSjsWfqTRgMUMWa9SeL3ngHE02/01MVhOPyiZOQboN3LcLy8kjF1Tcl6JpDfxs 1S0hJlft+VeuNyaBDk5nJ5achk0Ke7/lhdPYq8q0hRvkOOqzgMaWdXpF8q8tp8ImCdkYIltEPpga 8GeC8TdGh8Nq1y1yrarT52h2XlWvuLf+rVAu5KnYeNo/Tdm1yZVqIwnPSJcdkig3aOgxSZAdfLk4 xkxd9A+G1BxE52g2M2mZsb+BRjwrxryZyoj1yR82MKZZc4G7XlwGs/ZO/vSzb2SjGG8+KiX+29R3 RxZWYP2UBlRFI9oMCQ1/fOk7QkKlfjR8VtuoRVwMGpAL39Z0QgECD9cKVmNm2P5AqTct2i5c952o Jz/kBw2a78sQ8ek5U6RU7aXtRFAC1wYSOGKH43TO723RmhFEdAOvPvZiNiL5KuWVx37JYx1ikkLu nCivUxsEjXLWOfS3pan4rhHT96xp8gKwPkX2psLL4wVU9vJr1X5LGFaMbB/JjiZ6lKJOG/zISEUR UarqEOPblAU2NN90lXhUVfbDRDghpgnzSVbe9XaXL11/be5iLLm5IdxvVDyc7Q4kP8JcWSV3cbtb 4Z8/E/Bmiead8uY3I6ZbuRGbQdkoh0GHQUF4Oxz5MIMv5IU7xqmon7SnVzIs5+z5Ut4rgBOPp+5r yxTEPRRQ3lml/BTwjQNMsiKFsoNpS4xMA1XgEq/CgpWJzU+EBLmpic9asN3HH+7qiu3CETp0lJcg AIhGNW76PfGjXfa/z2DZorN30cMu0+nPnI9Fw/VS8AUoLgORCIO9OMcpmqlkd2bwBsIsGANPEZYJ CwqcalOdCAKPBOVq1fLJIe/tn6F0vL7QNC/YMZXJY9FICcmcw6kbzkfL/Zj3YLaP7QE2a0Bjh8bl KkKvE+T6/cKVpjDC7U+pZBhlUBr2IxWTVXkPk3XWw93k3oEjvas4gYIVuW5Z3Pqc+Wid9/OxfQ/H vqRMTmI+blWLXSyZfkWZV1oYOF+JaRTEcEcSfQs0q8B6tllN7e24p/WOj8k2K50zUGDySgT6i+6W fV3r/uRry5uZ1hn9RSXO5hv9/V+OaENhzlDrOagYoFkBRUMp3Fg/fH0JESA70nazj4ImcuSkpyh2 JxsZ+KiPiKCPEQBlsO7B3HiXjenG2QLlcrf/VMANP2ysaKO2hTvrlutQF+1gtlPo59rgLbygQmke ZqCK+R4BqfVdY2eI9nP5EVTIFFaKVqLCF9WR1Um1AIeN5RdoOj+6Le5C8LJ30p+VCnWZAMnppakB JxBiMQW/1G0PSD4xIJPWOjWWw0e5eO1uxFK864NRrT9enJOhhs5hbK7/fnEeVar4ic8i8pE4APJQ wx5hH9pGXOSVjM/ROpIn6BkJB54w/Tb8x1Ty+wedd8Omw7ncfm+5t9i+4XMCgGX8GraXLUNRZtYG SswVotCBL5qIXFrUjzILRHQwfAIqpZm5yzv6Q0d+es+TOUl3KeNqttEiOXmFwq/PMYmdB5s9pePN SJ+6TtDEhj8aMh4t0HpyhNW39Y2BZw/UTSl+NR1WjAYBFIHfIPqt+D5DC0yu8YuXzQjt1Xdcmuje NWd9CFxnFd5cxHqMlrSMWhAL+eqA+x3IjfGGK7J+pZtPmIcgu/ZRkF4qyIt+3ZJNEzwyH6l0mS1a ie5vYUMideZRS9tEWN9bsyPY7aKRFAG9Zl6/lZsvXasf2XHCNOgJflmGx2nA/OnX6jScx84cZKoP EImlQWfcc4VYjVIOUBGyKLGM+zyywd8p6ZoRvc+F8ame2eCFEUbWbKLiiS79JvqFYbJEFnE0UEJB vgQUkswpM33jzNafhDDExElSkFqc2/gOvEo3qp8EHn8bbZBRpl+qJZJlnAA5WE/+GhUfEjMzr7P4 UA1azgTVF8W2Z/sE50zKV31qLQRzpXh6sL8CRExOiwE0QhMYADpamPUGozPo5Av4fkbxJqlo6eS5 l15IzdYka2yLx0uc79Kwj47SuxPLieDEbHq2i8w6Cg+ScN1YPxwIoZdh/Eec9vFdp8PbUuLfhjAn OgQUG9jMToZBRTmUTys0ppbyoH8rexX/ZQmjSzOzuiT37mGSIvwA2iJhJ+CpBzAmS7qYg7ZeArk7 /LvcfvI2FiYnhUjTipgChmbNWJITZZ0RB9dQYFKf2GroUBiTI0o6R0P0ZXPqeTtCJVCkPBw5jTky e8zxmyRiw0g/xBjCmz9QsoLusF2zJgDJbtPH62DZN5WznKKt/ECdpxnyRjJ+C1vB1oIBUJcwRIpW k/2I4gQ4P1bcd4lAPEH09BhceMw3eqlkYPN1dMaOOGDbn3xsxApLyC0hBH38uZ8holRkH2hn6lj5 bw89+cCRwsRLcRVN85znqyxnP35kQFExdD0hH4xtwY1870Weih7n0nFK7lG9IpFzKzC6pw0Z8br2 ugpEdA/v9sFr4/MG0Ptb3WUBpR/MMvtkp6MCotqnjTgpCTxt2KenaEncfbsHL48W+WZfYwhp/vqs 6coHXflvIp2KjcOzUaBTUbku+UQPdrfOnVjhXt27YWmx42Tw/uWz2XZ4up3C3naczEp2X/8ZDpl1 w8+BBCOvUcjo3bh51r3Rm2qO8G3eHfGSPE9RmfgK1OhgSrkdjgOqHQvhXJ07E1+B3mN7eZNSnKHb 5N4unQiLAOTeGcYV4ET+op3xUy8roOVNcfB1Rr8VWhh52JgEHZnP/qm8iIxG8EUZax/WqD2BLNYb x/bduTI5vkP3J7AQ4JDCPI5fPRbujzkGHQEr9zFrUx/3ImDfvm2Y06ls1VK9nxV++UY200cH4Pa6 yolgGH1C9C8jMosHiWei3n53BjnMcPs3sTgSer1KkuyVzQ+XX5gSRzGMyxqF6gne2akNCqXaC2j9 KV6aOjjIcwjwnh6d49USGmhHZWLjIF4CcKFwONg4+J94ROk3at2ieh5o/TPSbO/gz9B4YwBkITy/ lsbHiYxalGM9/c3mH6oMyBYuSevKWvUQNUge6VpQ+bARcwXWKORRXNbMfLmqa3F/T8hkUT9OhgRZ WH7qzjprgLtcPVyXmAONMC7GEfamfdLh2MOfEYia45lmlCfByy4HLuUvxEu0+bqcOqDOPQaM1IXc uWVpPmMTrcDwJL2Onhc8l9V5z7BVpd6voD3KMHZ2f1Gu+jLZlQwjTb3+TbvOVv2XEwY1bayuSMrW EQWRdJJJ5Tt6xkguf03183eiAmtkunkm0XDQNopLaTBZlAv/adZ+bku/2zKYVBEpQvNGf8Hn2w2S tVK5g2zjvGYA6Ze/PzrfHjNFhb0t4BnNrriL8eZvJL4ij381gWOXhsdkRtJE4JIg7Yz/ML12f1P5 I/7Cixk9DSi+AJry5exN37jclqU6nqE7r0FXX7wgY96YbqV0kqw5ic6zP2/jv5yXtZe2bFBF3cRJ ho60itLpRRFpi5y+TssZ42Wkx1xK3Ttyb8O0VS+rOZexa75BVXPFqmkkAHarLEv+pb2Jz/K7DxWA 2taGNCbIQ638QflaWv3MrTNBJQRNwoiuloSsN9Yg2tQsrD/qtgxcp6Yusvz9EbSZSphCBdn9S+NP zHjkKWUb9w1+5M72dCz+PFtdRyjcReejBSwVltcoSexcpr0czgq/WjUYQAysrxQ486+knYV8wAIK 6fSEJKA9jjZkrWIYtnED6eDFlr9pDvcOPEXyVWA4iddpW0HjT2IrCRB/lpy0z+dmoI0JVTW2CklW zKsHOmo3cpSYO7dLEfXAKbtz+bAJMCwkID8mIEq3k94kphJFaO9mwWHMKcxETCiWDxyJddbsXv1y 32V1xbOzbSFHEzMWGEqEDJbXI8YJR6ysizxs5SLuEs8ZV0Vnkdcq/xYjUbh7ezNu7KhIliipHcfU HYTqSmDDInTXZ+UDX5JTIHuh5/6GEtqv20OQISW+tNv9Z4b9en1jfOipEXFXyDlBDnCSwYIwq+wk 0rjPqofldBE4M6h9151JgIb5Q05jghSe6sPtksRKyQbOw9BF1jR6U2GuTVdlUk3BEsXSbN3eu+ly ocO25CoqlHCA5Fs8Dqm+3SMC/bmgy96ruCwandhV+9Q8+DjFHvxj6TNV9rg108V5eVXw8fG2+D3o 85ai5z8C+U2kr344cOtijr5jdAuZx71GJ5CTqQfpurmKmyHPURbkZt2rGPB3JCwmHR+m0/aL/yYV 6zOzinpTncW+Gqct4npR4uziKoKGXRBewxnmAb1ZzlYqdU66aMd4JiZqOI9I1oRS+0ANT5ZhJ4II 6JKGzEKxEVbueNuCfS39eUTr8HF8oFLcFhZDH1tu76ghHlyfUYRS5TVSLzm74TWFhx4PygN2GG0f Z85V1a6CNHD5K4HKVEy/Ukm0UIQMdy6tRWRDoPjO1qJHu/ob/iA6m0tT3W72lwLHldpYoQYtzQOD LVT1DWLCLqi2IyXDKZT7GgXSdvPwKGoWbXzWy/0OdXZ5z+vSD4xsWPdgq3SA8Z6vYsXXraFTq/QG NjKaIWEJs3O67L+gFyDfYH8EIwCb5Q1Z+yjGkKoFVkwIkRMXJz6VcXj7p/V6/CnuMp3wzClM/t+2 tpUHrRvdFOJ1dkuc6d1yGJMsBx3yeIQv+nu4OaPKDyWrY45afArSXkylnn1P/RLrPix5PUmFy6Ot oVp/QYkfL4/oFkIrltw/YU4V2+TOLB6gPw8tUHSV1CSI5Id7Iy5EO7f6L8XufleaKANf400CBKSo RHkhhqzsLccRIzd+eSzUJuE8zNcr6zpdt8tWqcQXh87v19qi/mktim2/2JEbu3iswAI1yijc7ej0 yFyee5PPJXeZScqArYd5bCV5fa2Ij5eR+GK4d5iKBO6IFOIEVie8V7kdJkd13pAiI7A8pF368uPm oAIN6tJYQawq2Zx3SY0IqctTtQsT9hU2M2kTPb9MqiB15DyVco0JHQJDYNso9fK6/1pEvH8R/kM2 mHwVTRwXDuIVIxR0DntvOU3da6An8lY6tmv4mxNMPo2PLsU+YvTVREVhqAMtC/5ornsJ8bHG5NwD a/pYbBlx6bRrRU5b3Ribf2CF4N4fCmxYCWsoK+H+GE1ga7Hrukw6ICtj56qY8Irw1b/CFDTnPcfK dFUHD4p6d0zHkNV5iFmkzNARu17THRqmXCFkSSx3OBVa61db+kUoSkW80EDXbR9+w7Xw6WxBXmTI ggyjjaB06pUG1t/mc6k9lQr/9tHW17V2Y0Aus5HTUfKv6rCFIQG9St+m6oGurmxmvc9pMHc5oqBj egMTWNt5SmVNj7Fi7MOMe+ABXicuGzl3RLRacaR1jDpj7CG+Miaz6pbSmJsxoMQ2oYllRLJBG3Dq KhwKWShsXCtIirhrgOf3cUNaSHGaZh63qls98bdxYeNKtgPWYn7voeR19KvRiVpKPJ5s5+vDECuf tcTk5j1WUMDqk11m5KGhal3rcqNEG5zdMLAiSeAA2kbJyeRxApyarJukUdgXjvAatjO7ogtSdk3Y 2C3KyfZU1onwvHvFIr4OPeCnc/gx6VvuaqD1VCRFFCHNKiQYsrOxlLUz8BA+LlzQj54oH/TMSALE 2eB/0q8w6yuQV6CNm7E22MqnIDhDw1zLqFTDLqtqZbmhVpziefwI0IrDUnsczEXUYTzsusuG5jTg b9jlQFIudAHEbcW5fLBex0ZlcYO1A82LLdTBHEbPU7fGgq5RNc/tWRE/H04QwKpxkEA8hChuqw6W 4Acd86WTdwFl+LQHZK7A0Lacja+FUHG/MwrlR3wrjT9teTC5CIE208IPsohNfh+oWH/cNKg9wzsO JNe7VGYZkAah4STr9RgJ/IYP7EPQhU8bLyDUXBLYIeWbO0+k79aDUCD//eUsbnbR/7Zb2QyomBK7 2ojzfbgQWhIWYUX8KcP6OFU8qOhoFEVoUcX6WfFVvAY5iJh3kDPbzeef8zNpK2WNcIzaqQA/Lgb8 c6s6JS52gXxOFsFeO3nCEsoY1GPd6pPjJKmz/ZflOqzTNJXY7rtChvZoSMJfFjY125rxAlVJIX/8 DkMAC/YY7kX7nc5aHsEjvSksf54BUtbk66GDFR4nwTT/XGWcnzyAEgqFsLHdHnP9Gf6aSmnTy7XW fOnIhnqi4PLMyxT7tUTqAX2/Yl+RAE+jjin3t7F5qQr9cW1FPpXGpQwUP51c91ACJVtMFr4UW0BN MIB30nEQYW/RS991+vXWMxyFJ6VSbTCdnfmoYxUMdFu6F1EF0JQhIEmpMgdP2T+m4/nWbUit6Wii t6GOGEb7q7YeeJWLBQZJQ+8Y3XuKYmdCIWeBl08MfIzQmjK5OV3Cjdu2MrVL5kOlgu9SPrNr3oyx eNGcMAmiWBAdJnk4eOmQYiMMzo6jSYtomIk0iBmj1xkCm0Sv1BRf36f60rB+vFhHbvVQ4R1pU53d DoSgC3s5x0doB9Ip3F6mHTsvDo2+9GA50TxTToOCrRmYDDSrZFI+Q6iMf25mvdlo+cHTUS4Wtekz AKkec22eoINuo//rG+1QIv0KtE0g7pssGS5kIAdPZgxhunjFo5WROMJBN6L7xgdSnZwZdBafLtYT 7Ss8/hsyiZ5oeBqIFS24HD1yU0lKRb3C5n1ABdL1dlrjD6mHAlHWaffhRltr36ArcXQud1qQ+Wxp 0Te7rnmbTyAn2Nx/O/5B3g6AL1zjWx0dhgy7i+wYPJ/FElfgN0Xj+ILl+ndbGi2RK/x43g5P57dY 6jtFlly5okRKnUk5LcSPpxUdD8UzS5WvL/Dep5TgmM8ryZ4vKyhGou3Ogmt5LL99/mbHnR176brl yCKeFu9+VWPTNdwcU23wdn5KlV2NlAGW6SNk6QzYQoWj9XLwddS7VBZbmTL4ifgio5c9kiuJKzvR AKKhcPxvo5NWwRlU+yW7BLYlBDwiT6TtEji/dhQ+FmuZFKcY8/9tQsqiBu61XOwJmKha9inuHC8O ruMHtXkWHWte1zgs1AQAUSXKgUrQnjG46QyFBus8ByppVKAKBjn8FAtXG0cbOy+rGCapfIi/oU7M DCDybhqrn7VIM7ybpT/LgUlg+KHYKvHMMS+bsmjI9BPHOwySB0h4+tZV2HTbPLGX1KHFRrmLMhYh Ts5s8pt5dziKa5HO7BdhwTO/xxnb9VDov7sXic0xzUx2Jab7HRDRwvL4N3xkLDEjJilITf4mnv/D H0ho2YTv7wOwthnIZz+BUxgiT7B6zNuwSevH2XrRDChIMvZhB+UE/XQeeCEWzvRtpXGe1NCX+NkZ GtVdlg8DeRIu9L9tLEHzgrN5WIXdPB7Z0HbqdX8xLHMnKtUQ58ePjIpf2bpGZGuJhlr+3ZXZy66j ztKduy5sD0oTZ2r+F4QRfTMs6n8TTJ8ZvrABscWzNA2U2Iu6GKRpt5LSnVw/hak+e2pQpIIv0Bh5 uvjGcKslwl2BNyw2OkmRCRG1/rlBuOhocB1HvujvqIxFdS+QFXEZIYCRNaDkxmUJWs+l7qy/fk04 Ibn0elKoQhE+UF8OGYUfUibsRy2G5CEyHFm6Nx1XRcEpyOQ5RSe+W9jWwtWuRVUrhRw1+zOS5Mji UDoT5ZYdxKxz4XriReLlY0r5YsAgznmypMaaQLWVSJuI/A9F16zUThbZ37rkQ/BCK7bJ/3hwvmBL gX+ZLpQ3sF3Nyhb5oU9K5DfbcNvOIiIyhbLNi4hsUJuuDsbd526Atl6rdsif97b16qAiUpPqObtj P9iSIhBYl++iYi4m46BdKwB3qWnSuw4vv8eHYXPnYtZt1ifNIGRHnJZ1b2XKnbSh48jDUO3+8dMU VZMP9063hBc1rtgG0aLpc8rg6G7G2gAC4929+hqc6EIzrfw45TRAGw35nYTYjxXH3uB7RBOOocen wggxx8GTv1RUUGIOZfl1wUH4Ngby5Jmb2DhpnEMuWJ+D/TenrATAYBRhMoYyK1WZ420IRilN4Gaz vHVt6xYe6ty6n2bXn3lhWRcUS2egx9hdYmEVGNzdRRbUXgVoxYFXZF8I8+r23g+vmk4vwKDsDpan jBbIB0xvMJwT0+4MZ+sjVEDKI8lwsIUy2ahkEuojRrywfobvicdvXEXExbOdpU8ur8JGe5TF3qYq lzFZNzh+FSbJx9Qt8eD5neMMbQKjyEUmUOS1qY9OnYPTQi93kMMq8f1iq9mKVX95CZJo5GevRp6k 8qbwjAbPc3tu7WCbqzfjyiFbok0g7qiKpdY66McSLPY0SCC9Ir1/CLojfxrDdEG0nn/ZuR+JEfcq /+9QzXpu8tzI5FpJMyr+L3OjFPgDUIhS6T0n60nFmyJoGSEX0xspHHZCH1OTGnIlAH+Ar2e2Jws/ wDMPxZrriY2nBKjLdqzhmrkFzrcAAtvFdUaOc25C1Cc1xpktV8sl6UnrEYsZhuqcqKiHflggIgNR BSr3EsrvQ1h8BxeLnkv3PWls0nbTsLsBUxaYidCvBVofeGTWLYahBObztg5x6mlL9oJwBCzXK+8F ayMnlp9ort9sxVfRHJoPYS2Vz64KGWNUeSb+xfdnvyjps3ehuzDC0M6EzFK//gS0IWuNw5FRA2DM FPnBMDgS4hEoTcon74+ZCRkXe138lr8Mgwb+TUJ8rd528wMMSI4ucyBe1fGODY3TZsn0VdF2vH7h 7MZRqt/qnf0y97kMXvDmHBYppG1ar8FCfv8RFkDymqywLe8/54HaDbw/h1nLaSSEugUc4qay3x6n 7qOETr7m612nJC5usmureF7KOEgJMIGdLYytw4QjOrfIcAF67J83CdJc+RL/7v0uysrPQwtPGjLx IRyw7ozfFR02ILjZpZ2bjpE+LOO3a2gLAekSHvIBSfNZyoHtCdnF58UIjh9qnTjWo10eshhsdG5u bKzb5lJ2rPuDWOacaWZSvhdIx32BIRdJOYahVC7r0kErmVtzKuh9vn5XFs13DG/46ZL7Qtzl6zPe m0Xp9Dv2eX4/gs1aUyDgBtG5yUUyU4+We11Uk8K8fsojYMJjKL3ltQ5dc4bt7jxr0TZrbIXjary4 vLbJLwwCMay5luZ865Y+AxU4wryCJVhEyBAHH7GFFV3x94RSleS8vsfuMCVX7+fvXArwnR9FIrbm IiR1i9F0C1AY6C/d+kbMxoDzGqRTbZ17sof1A0G6BdQGooTC5vh+ZSmDjSyJjhKDtnBIHlQgFSYu LOLtPs8zB4boFm32MXbEC5wSoho0ooPLLDJ9v/rOKlcbWQKsq7xrKjHI+Njj4q2UvcVh2cV/XuqT OA3aMi7G6FFDeYkBowoKtnIt1JI2+i7ruwJyX6Bxx/JFY5aN12L3YT8q73pQ/AH+1N9SN6V/3Ywm +luCf88CVqlC6jzE2ilSpfavD5MrtiFIdchczKKYNMaz5divjb4snATEaXkAjt9dstl9d0beGAQ4 VDAdCo1a5coTZgjUVhXrvcrABVZ1093bWpGx3PcA1GXXWPjxit56Ix6ilw4TpzNMxgfAOvzcVGo4 C0tDEJMz40jJOggdtmGa1GuI6JOMJHEX4XHUqjxksaHGxa/Hsh6MJn9PIc4FfhoVtXhVFMSmzH3c ksvR2F7WG62g1qP33u1W5aikjRb4/9CWN0Y8lYFgAO/o55s2X0Nc8s34zzYWv5TqZGtn9i86PXuQ dgKgRAtPmCRrFK2//poNP65rWndC2rzGZNRvLzXX2j4kVwdxeIQZDvzpJrKUTckbpAr3RVjUYxcP usuRCMQhprZ9MoiwrDlP6a3Y8NWCcxI6fZD0qoCy6jGAnnqE1KpNS1v/Zqg7cKIkxplD6MOciJ38 GJanGxs5ZLZEw42yx2gRIi9nE3uL+kiGB8XGkZd/moSaD2BPTC6yWAqcTPxA87eWalv6O86XVu3d 8NTsuOT8v7mjONb3rCeUA7bd8q3s0Hgw9Ir04zzQsA6cbu6yCSk4mtQcr0PfrbKxJx2xLksNXven pl/rEDimJuegCZlfODFQqCUa61ZYNYih9vj9p1/Y/kuOBcNOjgPwD85uxdm2NGFV++O1+fWvisDA 8bU80PRMO2eV2paGzgl2eJDulc9AQaKRGM2azDewNd8ETWtv6s7Or53z07EzWwlyw9haD+9NAfvR aithLe36vS7EAbVz4MotVSYT6OwJYSIJIz7qILZOuunKiPF0TjsIjaw3U0Z1PQiUQ3gdmEWARSDD vwR5extiH0s5Nl6hTyaPWONa6D2Y17YrGlz1VUWShP8M6R7JJvSVeKfXyMHNH7eXIoClZWNasvET xcbI6TF1WmVSco5icfpZ0I/u4uppjAALaR3wQzEtiXKFcLcZJXPhQTvF7tFl1GJy7E1ig1Oe7BqR Bu1iYregX2EDTdE/zfiDp3uoxm900qcsTWkr2PaGK/xnKWSKX1TUeYO/llXGohbjVXgjsSdPZgdb Au+nZE2HUozrPYA0FdmZpoo4/lYyZzwx6FlhX6iY/vfd6GPSnz+hEhPG9dDqYh4rco31nG1epDIY 34UvRDoeRYwVPwwF1uHzC9GiU0ih3uOuYrur9NUxtf72CekDnmnsQ54Cly9ULn5j2qi6AnZjdN8Q 318RRKvbIQTwofkHChR2tB8qZy6RhnUzUg3fz4t2iI4EmRwqaEZquJE+KOBeatQm5SzVONZO2QOA MNYSFBRSJStkOUgx4riZ2MIGuvLYckKwdM31qBqfVLPhEvR1Gwkbj2AEhW6zCaq+kj8dX3BLJq3W V+0nbkhTO78Lkxoe7fYG8884SwoMSJv3Nq68WLyQ8qaptIQkMNJjuUmeAzacpu/kPOLHa7qPpXCU eKSBOnc0jtAvfZ8hF5l7SniFY9IqNlQWsNG7u8OJbtMU4iCmHq4xg1S37KvlqJtN2auH/ccqLG13 358Ubag26HalmTt+fcQWDQpr/CT/+oMC0EObicm0FABiiVQedfzsH24qdhVHSPRAzr42hv26EcfE 3qZ1AoJx9tQYN3nGXDuwJhDt7maLZsVWORe5c/FSQQLPb7SxRF5u06lY68yO84sRh763phOh/Hed WIcued9LiKLmcEY1JuRcdJ+fXFzFf74Wz6tBNfBLm/0SnxRGb2OGXk8KSmO8/giBWO5B0xIi0i4u qcNXJ7YnjQP0s+2v8nxWZUKFYu5Nersm3GKUO96UkyrC7jG3dojWdw+Q3ngiqAcjEyHVjOQSNR01 WojZpnMXz0h6hRO9H4xt+SSA5+xQvF+qxzU9++SoOeVLQxoaDWY28ykfCxDZw81DlzGlp9kTBC/e nu3O34E4beyMWzyxi6ZeWDsaq4yucZ6BfUdIDzovqTMnG/wCLcVAruTtC3eCy/yBtCvNkBCAPccC FvCFSXzUALUL3chyqPC+XbSibpEHQIAtqlehhyopePcE4rUBwRnQwtGArepO7R655CFJ0d/RpNJ8 RdgPCGzERn01HevZCdPLiwI5iVDrDkiB1kSlGAakIrIw0onKe0ABGAehFdRSuGBxq2u67xwYjgQK aXoDhQwJNDVhujEPsPoCugcffUBQB8dCPBiWRYmCaMtJgEUO4mKtOTmGSaGwFyb3WCxd0dWR7joJ Vcor/yVgbmKpPITJ8R+3YlbdWJa0Vu1+duD0lSgKYrb9DZ/j7y80Mi7PZaAgutxSsvJdV2Dzo0wM cHt8WfW+ARZQEvdArDsUzPgWD22e8qVxPUSQchVQnlLGO3Un7CD74jWEztn8VZv1b5d0PRN7NLDC feiIehM+8Crx9S7E5QbiC++8PUKaTQWUTezqZGKB0A/JK/Ot/wSd/eDALzy2b7KT+QoQiABvp6Hj HrOvnp5R5Z33q5IHbOdGHNBr40vXUpmzwxjPOaMHf6LZ9X4Xn7xuwIQC4uQ7u3FB3Tafd/17fctD w468bMLp4faNE+gUyUW5d58lKB98RJPHEJcvhFJIYtBq9hfjn+NsWs6+kOqemU1MW8qrezRHmet0 pNXZCLBDjWK16Bj489yALG/B6EMmJWRvblsANakqx8PbCi2Pwh8NMZaBzl/A+6wQWDvpJ6uBY8IT n5Z65EN4D/rE509AGzwL9LYEi1yg8E8WfFdOlL+cz7JniDBDepZsU+eUSV+9tP1vzKk6RSDVc1ol EFN2Nl8Smx88yq7WqCjwm7BYLIxnbw7tcVomETIzl1e37ArtjsPrZl+vqPzWCGY5D7XvzspsiOl0 148/RHtJs60cv42XBQ5Zlc94YXQR7DN9TiOOVRCWp6lEUFWEuvbqJuKnjR5XkgOg7ZIB2qecIJ1v zMFPXVplMR/Hvk2SNf0ulhdmI0wEBAyRi3EBS6s167Zf2uSuOHm9RwbCIJJwnySHaHa/GCWTZC94 du0vySQg79/bjRMS2kFZzK0YGYwkk1aRidwFNqqVng8qcSR1sdHxBzY9EILYpw/yeDkwMVanpuCV 5umCgHU3WPlkVSGHHED6zyXhqvAVaIn8eHyTC2i0s/clNmUVT8JXpwVJhFV1h9+JIT5Xub2lg7SY 88T5sdxojJzuoFFUSPS4Noh3uhabXpsSn01zWl//Behm9fLnwIDIdAXUpsn40Lqevml04ZGqEkvE XHBxf4/ybXFtUe8fUeXyn3ozl9vehxe1G9BbQ8m1OAEHGJ/DCg4dQ6zQbi9crv5+gIkU7bK7Nm5X gW2MO5wRvCv6SOT8EQgkSnGvBnA/kbEFMX5LmBjmGLYoCqwkoKnGfBLk4AY2LMCu7ZZyp/aZLhNn CB96FsZyWRbElQEnV0tMEvH8pd2CLa3tUHqnz9O3QE8N7HQfhCEJ38aFfqZZtkGnPC+ids6THv0d MGwHwwDPOya3zjEDqToaPm+abeE283btVdf5Jcxhijm5UVlRIf66LSAGLwY52WY6EeOYwWt/ftEK auJ8df9yuASvtYuQ22p4nIyfMAWcBNXbwyOFTZEvLJb/xboDfClY37JKh2XkPPZ2leJKT0FMyLqM 8+LCanuwJbsEfqE+LGswH1AP4K8RW/7vafl4hKA2ekhTBf0c8+qLLgAFVh0kOcQizhPKlFK+dMWT 8WySDfTRgEAViHx6DQPJAvvhOi1DuyclF5rXQ8YL5SwEEnoq2maLbuF5EstYTdI/jt8e5ctR0T8z zLzIOYpYZYenZfzOKRQ4mMVz8WazPze9WIUv15z7KhLY4J43AbiSfhIkeGVogzFzslgy2ICGSRzK qvVowHl7vUtjYfbGKL2p4kntJtFmsu2oPlpn4D2W9flor2t03ZtskyxfSTFbJrKHLosW6sj6q0KA pI/E2xJCOmnJHV4YCGXEpz7YAeRDX04dXhikaBjD7bVUa7JZhI3oYrpWGvfr1X0SUNgg8NcVgz0X AgAtttRj1qcXimQnEqju410lGD/zVZt1Ws1/9CV82qHtDxM/oJkWbeHdKu7NbApZQHtof/YW8zNX nVDY2sbjFWAzRRdr10YF3Htaru9nAXPc+6VAU1qxYgGfai3ZQSuDqPFndS1HgJjkJxSdswZFtCLN puRslscPcfTr/YcxeQOaeMlH8uGnMgxjTcAT5qeNp4BcEkEPbgi2QgUvIPaSiiANM6l9lRENmeJK 4Up5yUczL/svMnaPQn9KRlW0QK+Z4NqYDvKcwRhKRwUp/K9cDF0bALtz1LIeejFcbk5JelQVGpNP UM2XI0JZpOhieS6qP0aJIn6Eiq0MYXZ+tf+JNptVXmvWSFj9f9FD6Zc+HONOi3CPMBy88itd6bZK l3Fkne3GOarBsgK1Fsoa0TL7Spj8CimxD2CfNYPlUQDJMpPwNKoCCLKGEm3AvH1PX7XQPnccU2E3 f53VIUuJJEhMiy3PNQSJz5MIuGmkYTBSnQJGxPbr/xN8S0xFti3C6oIu7bE6RAnpA/4179398QKe CZsqA8AiWvKkni8ab+8ZCamUpVKu10PqxnhV+XeLrhnWgSLa+7j90RtqSx05WeBkSS+21WF4gioe 7yvTxmQwDc0CbO9baYybXPhbUDBoNobWc97pqfm8dhRjq6KrJg35gplak4rxnqAjshf3u101MwUx xnpEiDOHo7qe1H5ggEanrK4heuuu/oCAlnJXrzAg4oM9TfyPHbs2PD/to0nNXNxcR+9YnsrHjc3i aF70gRuzA5XyiQJifQ4xChlU+1RYxVe4D/OSla/W/6+SzKovghA9T/MBFlqdwOo8SCgrqY9n+BOB 6BmZ5Eq9dVOvo+p/HJIXVzb7ohHZmzGGlFQDw56bVvNcrPWzHeFOTlqMGvFCJWUQeI9Meswc+LF8 li5bR45aEfZp0A67E9YV2jfV2/dWOeQPBwxO2a/L986k+dNQiVdckweZ/xjth+BKeK7bHlGKICos 0pgchlgp35kZeBpLCMRfTnK84351yOer08Fyeb2qnZ+IQ8CyhClQXJUonNu0Tz3lu1jVG16TN3Aa Xi0HuKKiGa/v+2V84J1MtDhBFe+U+zL9eNa4A5K7FqveL33500wc6CuhIZspezlBbuo437tHvQFk hCYMa9lfLkm7XTM8uyjLjVnf8O7auD98bFRFm6J7f6YGBcCYPRozp8oYaHxm3Q3CwapFH2hiRO77 1QHCFmXfyXoT5IPNtQjt5M8dzMB8fooYDvQ5T1vbq/nt+y5sQZn/qePXyTdbKf8/3Lmd+CBjKr64 yUgxlGsQCt6T/gBd4UfbWAKWJ+ZPExOB5raN00Dh+d/tSPY60GiCO7bsFQEslP/fGw+sICtTad2P /6w8oWXx1efDWTPbMuBV78DML0zQupyvAE/PDVz3dyU7kEtEPZ4/pck2zzLr76DL17sMt/qa+1qB nqkNssygN57ZTbjmVyoRb7mUgeamCga58y/urSMf7ZAjDX8Ne/zsGw5ALgOz64XRBy/RnjvGqHIJ sCqTIeUOvdgVBIyzRh+6DoVovmFvFaFl5ju005mda/45VA0MNw3ydjEngIyztR2c2b1R+LguiL1l 94cfe7zmKIekd7B6mFXLfTwjUVbJ8TigfOVySFepbmB8JbRLojFSErAa2R9q+I8P+zOpuTUfKtxK 2nEkMbahIGI12uw+oFryccyRN7Yvuf7xQt/wxhGkVUkdDcVj8E70PGGpZwlueuvJdb/VP6roa00r MeghzlQ0UR0S7yddPAb5NbkqbppnC+DLJPGV+LeGS90XXgb8phEv5PywAC/75aw3vlMZgDdO5X6X c5AIWyms2ZYyn7rSUy69uM00b1yUZBQzhgCl6LJIxSdk2NWCbjh1lsQdwc0I6EEsRZcma+35Or28 UGeGapGiubh6THjWu4vzMc2Dzu3IKhw8IAKG5Aa15tx41sBnzpVY2t8IF2RggFy/BU6putmCOCmK q0OuBznL+iX1WACU50+wNVKyCDeLb9SPodxZyK1I5AnUL8KFuJahQfJY2ZnLMVE99FkbFeV+99to 1uWLu02z1KKcxfuNRgahExJLgi8QXeoKzcgnXd5X8OYJwUm4+7WGDyfJkKU+dIrL9do2M3Yf72XG sxoC4Dw2khsbQ9oSUbspNsUydWxQU5mfazPvVFKW/S4vNuUhKUyOz9jwHYVeanhNRlUFZkKTv+Dy gRRlAxCwjTNCuiHgxI0rEIkZZFoNvE6XK3AcbI/tQUYeqGIFC8i0yGwIeWHwdb42TU+xo9y7bilt cc42oNdC3KBxKzktk58VQa+F0//7hNnbX3Liwh+4ncX8Y2AFsYMqILbIBl2Ku5iJrICVYR1EqhHR yvFz/5/61Ko3X2NXk01OrhcESRUQYSpz9btTNCfPoSiesMJT6vjJ1BDfGeYL7tiF8kbokq3dmI/w d3AI9t7aHftuIvsy3cR9IAK/9ftnfPc3bntuCvdjAwbeWPF915qSdEMqpjU54Ve+vEV0HRPF4Vmo gNx9Wu+Ilnhp3R7nW4Y5SC65JoTfUmOVO5cS7CzFWOmVTz+sFkSFURqZLB5PFgX4iqpAS634JnKR D6Bh8Jy3pkCboj/AmVbGEFypVi3JVZ/HaiSimQt2KcI/Nzpq1Vc3qd+Mvo0EqqQb4sSfkyqj8FGS hn8b6h+D+312PvEfwX7SwnCfzcpM02+NlzUJQijH36ZCumZKb1yRnOzQOJ4UdKuCWETB9aizdZSV Duk2TqrtbhJReorEgks9yxrFotpF3rWXweVTFhIC61UnrXp9p7/jgneh6bhddBq96kqVbD8y/qAG e5pivrXoKBOE6W5rqViJ1MgMogbBY8ZdpwzeIG5Oob0gv9IJm3ioC9c2yUu6bVKwd/yH+gLdz4zt jHYDKpUBCW/UcUGBF4QybqW8k2y7PtDRLbojBHC2UbHzQ1d8U7nRoBtg+rIAA4VoHmsrzZdnhPzX djAfuAYNWuBXaWKoYko4TU8DNOrJ4RwoehTIBQLiZiNS0Nfl8B9ZzldJfnkG3yh4et3WZ1GQNFGJ PaqiWY+gE1MLUdGnu41gKdO6XZc++BgbhdvTsXaG6BpQDjguWN/5tw7PrQiF0SNz2iidlT+/kmi7 x6NY3aK1idIVwaGfWz+ALzVsVV8dyrBbHU1fJm1NEcjpBJuIGLBl43uH/3/ZtQDRenwBRLbZ8cBh Fw2R8mMkch8xDNr5x1JwdCLg28TGCo9KssUfldS7yZkUuczlRnm6LEXzUFkV1Bv96117BcpXXuHa PdDgfsehbTuYHUfTejyIbPa19qm+XahTogCo4VM0GR/PBIEb2uwn2KP5TABlK6POfYnE6wChd2/n A9b8hdCc3t7afcs2Glpu4sx9aBkxM1FGEJoQdyLxejvQAh8z7D7FEQENlQqoQ2AOz8vpvpKdFTMP tXDb80geuwRfnkR5vNuAQwqUDqQfM3J4mp6i5qP8D99QPd5D/jjuTCRSvGisOHrDeXC5xkTHmLsT f1kWhkaId8r1YSccfXjoWPOn08ZZsWTU45S/7g1yAXwF3rze+QjYFkjbyyxEsZJ2LVcXj+hpCCv5 WLgMwAqhYdtfF/ihUThNCc7SU00V+qa5Xw4CqGVXmsXER1RVsgBpbHyinMPjT6tqVhp7De7MNnmb 37DIFEhThG5F8ETT4iUtx+JnfIkn3k+a/HJ1GmScsy+KwB+LTQ/wBkIoxGFP `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fptrunc_0_no_dsp_64/xbip_utils_v3_0_5/hdl/xbip_utils_v3_0_vh_rfs.vhd
24
157786
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GK+B9PZwAQG0AijumSfbCugpYhcwULsoxpdEe41kJbdOvZ5J1nq4AhWPTePhNLqLZyBbfYmxsIZl Kzz7NcppbA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc9rX2vH3RY42aoriR6ztPTcqZ3ndb7iB1z0rAP/XXc76vu66p6pBS+TY6fgUWjogz4K8V3rQcVk QhbKnNsq4R85/qIZX/owqI2Xbd/dA/PL7WzHovQfQ2Zbv/FYpOTcbk1GlvA4SP0qUPoC9F172fdR bmnSOlCifs0w7zFrmVw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TLARkr6nHml2Oi3n5stw/PPzVB7LbOYkShwuslqxUidwZ+zXMopRNQY5lJiwJLSjHJiRYifmHfrw 1j3pLKHylIJVGwwneKNlQUIEC+wFjTqZ0yAuiOyhJf38AZ+gdgxm2CaJ3fBX7x4vceudOD/tftHy +O8IILkavSBr/DqYddVCvBGT+au3etiWBzsr8SSEyNG/lJTbDK4JA7vFUA0c+/p8kmR1k7gzgea1 LBaUKnLUiV7JGUwFE/NhXwyQOUCGmglBA06YamX7h1THcGtlLA93Az177ZMGd/ySK/UhnBMGCitu M+aRnd+ejseJlC/TV/RRTDxx24ieJfkWvHUodw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SOZcfpI9WzYyQTjPteLe53BWFPZc+91kF34keudF0ftzI9AfaU+XvWb6i7/0j9NFuqQKcqrO1mrT mCJW4XBC6rtaSHo+f93/clBlPzNqgtx36jyVhhwaXJBq8NOhuHgbnb/nCxFVsG94fWluz1T9COXk viw/Cwn+UZigS75GXwg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iag7/uHCfg3dlMRP5oC7s3rpNUzCn0pv+HfRxcgf8SAWmyxvCg2B8CDf9KiNCUewbeMkGKMGe3Tb R2WV4d/gItKUaNAw4Uf8kShbJmd79axzwnLiskEgzh0j+CUBLA5R5vsCRJG7/bkZDHI/qNavjSAk CR5yrk9pYg56DPafPJ95uuMckKWjlrj6IWIGVOdp3dHDL4emrILmp4AK+cXS950aFNNLCWzyQKzN +FlCVg2/0I3FhHgIx3xQ2Dnq1sUKOUKp1ixFXKZ4q4xJYeJTLNIPGu46A8oV/Dt+xgcCjQmID8pi iLxuw3lWUwdrRNfmEI5YFE1fjCSObi+pLLVLXg== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wo8k9qSNHjnAGR/g/m5L/ddkyNUQ73ZTe5OnVIGlwWehud2ibAyKEn5YmcrbfNYu0YZa7A4HM99Y Og5OjbEZe16RUiTwAS5/DcFT42yfxzDUFjxNKukT82hs335OEyhTsOjtOrzqBjTumgUGgBJmZRgr mZ1oABh53+odWx0V2EYwQoXALntoYWhr1xxtglpek43rHi8oau5sK4Tms6Gyqfj7c9WpsIKE37YK EHC5D7h7fTHJhmXpQyTEwa/W46hwUcSV/ADv1d297c2FRqOHwlURm8vTbqNcrI70Qst5/7vqf2JM KHfcXGDQ/S5SfZ67IKYlYTnNR7zkgEIdy8goYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114672) `protect data_block +p6IiOq494xySVwAEUme1GpicgVWFs89D5IanJw/OkkVwMV1NbryRNBWot2FFyqeqxLNnGFA4AWu AU2xDfDvTiXA0hq3ryDXrOqXfjLuxMfeiA9GUc85VQ/zNgRAFQoTR6PGDDq+rLVcCDXil3NseL9i p5jnALSn+dJHRhysloOD6RLtRO54zlUWAHE3wZvxQ7TLQRk4QEx+4nq3gpj+1yhXsywZomn7Ia/k FpOo/nn66pTJ1F3xuRknW7LPd2ejbZiy+80pd6zIfAkAqZ5o2JbJ2DY3CmYFIIGtLQK2vnCoriGB dt4fkeMnIOGu5hV2xVx6q2qjLfX8Biazi55MfB8VFsRGwg0zJ6/s9RYMmESGBvsP18xmtMX5/IEz 45pL5C4+mdp+FG+y3ubozEsyhHJDYfXpwwKIEg4zybXxJWwRCNFxomYkdUqmw7TkBP5Yrohhk+jH WA8/zoYb2bHC3mE77ejM8rTYal9HNMToZa9qsMYF6mSraRYjSnkYVwoYFlLDh7wTBQSafsql7mBD 5Cw2DCy3XQzokSdw+yRaLRdrL20oE83HJyXpns2zQAteH3ExY6aHvcCQpQRadTDxBPqadwy57wrL XsTXXH6HE2XUWqnBRy6NCAQM8a9YpVfwytZODn7zCkvYjaEq4hAjcdkF0XvvhJfIPxdGrmP7OXwa EdBfyqqivYwf52KW8C4IqEhtoFLcdzEptOEx3Eny0U6NElM5Y4jdUNotdwSgkCU1t3xAIkVggDW1 HXA+XA+jdW8ufTCCFCVKtFrdr38TNu1cXwSODRyc1BMl5YhFdpXCyfeidLEA4uDt+FcLzI1ILMsQ yWPKzKZNzgZt0lbObs0vLkn5xcgSOLkFb+ZemSY9lAiICs97jFI9fbMF8CYBFr4FkUOssuxgyaf2 ZSjnyNw1WF9l/gtTxspz1vO+m0cWHX1Te8TcHIVmM2Pxt7/I4FR9+ij4O1J2KU6/XPdZQdDOKLZ4 lf7GOdflI0DA+UK0ONwV3XF4JAA9iGy+A4t0R1c8VpBrfQSZIO7cLSgguFclq5KlwsrolXWnG5Md M0RJD3iYQgfdveFx29CLhfaB+LCJYGYmpxvwFMM6Ltw9kecrKpQ8nxfXD8kJ+BZ6QNrUZdYqLtzt W5Fatdge3riDKkq3RGEKthrsHRlS9dCMQkPx4dDXFRrPFIzuTSj11qIyLAhgws+47oNjjwJ2V7dU SYiZ9090zHk/5mwaJH/mmJ6pjy/Ebmaitn493AjQsOFYqt7LRjTakRDeH+80GU9QzK2Q5q7hFhmy HmwIo9L/QMSqNKqqJhb9iJnSt793PHsn65VCvwDGLxLr4yIZXRdbDUnWYWu8lzeOe1FZszQFTseo 8/UD35VkyJGwYNhTqvazOHtidoHu1Uxj/z+oOa79XTDg29Ez3A4flhZtoWR8Xigv215F0YUSkfTe lt3WuzVShqpBMvgZqKbI4GEvlYEa4f1N8hRgA8d4Sf5yOl25Kfa5yr61p4o92J+jCPNgSMeHAa7X HEr5EQjqwEfLZSSty09HeiNKrkZQn+8DO85q5vdYXZ2PjWSXCyeo73UME4l1LCyOFkDPwGvX092K IBlyxF77zeYdLUenRd0M6Uw/WXY3iioYxxWLzlu3N1493V6lZgYpR4cju2H53PSRjHxxIx9/kF0/ SPyl00EqQU1v50LG9jGPlhnRgrYIM7jMPB6gpxtuHDaMr3YfmIdFWgO4AU5JMYMCFWqltHxBbjpH w7GiH60UkPmAzK1/NhQjxYfJKBKUVDezLWifHTv7LD/QmMPsLuLPp81i371WPkBEGDSuAAdMmssv xAQ1dn+OifJbxbw4r8CQ6Kfj5hl+CPN6571eYg8yuzsEcqm2ZJEz/z2dC5OIbGGPyh377ppgB+KJ YsUGWOOCOMlP6efNOdZNuwqRn6k+dAtnTsCn1ChPJdDWlDTcK8TV/I+jbjZ5QHpw1PoMqUXZdy7m zYpmNbQsMn+ZW3Mczd6d4onIDLJ/4SIR3FY61x7wUi5pr5m1LDIj7j+d8CnZzMOEi5fYqKdn2JCg fiGG300wfAE/W/gVXKERiBvAIcotA+Jf5g7HV158RoPrJQczLuvBbgm5/L09wxFLA6VZGLfBUFdq 8xk9lKNtkf0aJzg5U1i/mlO9YCKPg6bsqE2jxNWy+9wJyp2YnPBq9FTTU5dNSXzcam3DswYDE49z uUtvizeZcJ4rJomxjRQUW14lm2mYrlV7ltbkELtvUbjBU+jFgJDh9oNB1BfeJ6ysriXH+x37Nf/m P78suE+a+hZqPeU13D+YUA4ilr7G0Ra7xcqlp3Uav+rbzMcyPhGNH7nlUiKxKJviqwpcdX9BOVJ9 NJQOHG94WGbr1f12kbi+HEIT4yuDV4KARvKQ+MtjLrjIcxyitYROFgomB+Gw1POPg82FwjSTjwt4 95yT2dIUVzyO/h0/S7AiR+OvhT0MD237OfkFnhKbDU3IiCKgv/12Ak8hp8YGUJVoeDz87T2flJ7u cO4Qrdi/ghkn1gjTrEKwTM+2DxV+lHI/BXPpExoEf7Cnfkay0+o5TMUkxFQH4dZYiKMM3nUpMkeR 35OT9znj7QUX+iYoas6NoNlFR7aOr5A3haG/2nsY7ZuujUKD8lvUKw08JJ2MjbLCDnRs754bweFV UcV0f7b57EDFvgs05FFJox/oE4SAbTifJ97LcvrHzCcFjRX/Lv6JaJs+tgjiY+5fBuUOPFnTAH8Z FiQdGd2mg5wakhyIDDz2cNmxBxZbXTaAA8MQ2OApEjusfN3Hz/vsxM3S4rntr+V5ffKOrBU2yla3 x/DQ2FNgZFRXUchpQdq9kY0LYjPii4WdUBU/QPC2Kfakhgvn/5eJmOwGHLkTeVlBwB0KUmoDHjun lXWSWiFEtJz0kZDG54SSWNP9IHHzqrvNzo6eeE+T8kwKzxNM7z98ksK9yx4t772p8fJGmu3tfJxy Z2UXIORDUuyLzzbpAgEDMhFMUsCzP26Yh5M9ly5EJlKFgvEq7qty5pnlA+r3eO+NxC8FC5Xwx3Sw VvIXeMHJLik30yrU+m9sxhO+3CF13SjmGoIp6luw2Ynvipsob8EX/TDtzx8ebDFB/dhheL1OvR9I UOn81nv+yhnrNg/P0yWXD4b9HGb3F+et+Jq8dRZCvqxICnOa4R+poMH9gVkTohE03c/yzF4dbHcL /MNqm5j7EgXZtwWDi0ZICzZJ1dCckzq35UjhPvmEaPTt2KvYRrs5R8zeddX/CN81PfZQHyCPQ6ch Xl5ygE7/RLR9tp92UpbLJAPgQIc0qoV4ph2DvJsgZcDdDsw8xrifYVG0yHFG4DL2cAA8ulQC5kdY 2WCdaSdRvTMvfR3wAzSgHH6nycvRyNyikz21aZ7cOqzLG/b0HfIvDPiSodqwN9JvsXIDem27keFW oi+a38ADF6InPtueG4zHlGODc6gYOt5wMsu0vZvfTs30hlF6VlQsLsx92qy6wh3PUQvN3u55nDWL HTaQ+rxTuMwHblFqoNp0jX4dCkA/jqSSKVfDlAMKY9EeMzyCBykbL8Nx3A348fhg0gd/Iva6TSzX 0RMXExSAuwZLwjrYlb6UEAJqKGFfL7N3j4sHlEFB5HSuG86Jh2h1GAOjmE3fv7eHT/G+qgUiavUB wO9yxGuL3YwjyfXX968F30RRTTFcPCiDrsXly9DhRTdP3kfMjJTikHXNtTOL3jJGE8Q5eE/2aWsh oKFdWMO55NhiHxiHUv+QfYy74tldIINpw6nuxHqSZlmJrf7sk0gh5Mdj/b1Hm8aoS+1iUwz5wF3E aPgJpd8AIbcEot35Ig6168NHQIc+FpcePMfzpaSnc+RTMi2gVI0vh6BIdOrOJwlvNlp99WgC1Uw0 pR4enqdsfjgK0Arf+6NRMTDw1q2P6dJOTZ4Dbh10JAyVOAibv3msx3e/lDuz9o7kKncPw6up69K0 qHHizSO7TJg2X1SfZY3JmJCEzxr23HjaczN0bl4yhBQpi//VH0nO8gX61wvEIdYMqRMgOeZnb3BO xtqwXp5L9pEzcjibg9nllWotpAVqTMbju49jAHMOVcTFyNXlYusV5jC9ICExSBx8fk57+oTVOuhY 5sCZ+kx+CMIQsmRcOfqEzopKFGH9Dbki+AjPPeX35VX9fzKtB8S2/jXeDYWESug0UunpJTG23Z3S t+EVJv1fzHY/tK0YRv8kOtxKybSNjPGzf/qhW5dwRkxXBIax9MbcHGe5ryXdPeynn1GBIIK5VlRL SKwwbxFu0VRWeW/vMgq/2gLogkNiYXCaPjV9rMdvlYAYc/12Jq45GJUqBJzZfQEsRqjggTzcvKeP eiudACR3/z+D/5Ay4f/3+LqzOKUTObJVF4fikmSAbIXj2eONa+ZZE4VDc7qU4or2MA3HtSv/+8dx x2M/aXA3Vd2OWwknDtG4N1CtaJrJVUtHYQnevlc9nLxDBTb+pR2rMG1bvdi07u/pC05vxhynp9yU V0X+bVF1PlZo8SKJNVBky2JLdkHvscKjylAXUVMf7gmE69Sr289jJrf8YXcIeSyi0U/ur66Cp95q UzH4keTjPJNcpnjV/0/5zgk9hg11rIyhTF7ot+ggm2WjFeP732Fxp0lIh6lCa0p9Lf/A7b995I9A GQj/pEtX+5vztIfthIWMQGTlzu7GvRLLRDlX8tfPE+5WTBMGc7IlBIEHDv1i979OYqPv6cViCddH qeMegIwRavPXaVt0Hakb6t5M7PSr+bhlMYUMuS3UrecVD/7CUOirYcAzEo8k4u2HNcYOJo7c+Xsq QFYXlUVXBETgzLLSC2pB9wLgzONXwXmIs1Nmr+6YME1S28JpUn80ftFhItTi4bVSX58WtK5Sv6a/ ebdH7zIBT1A/vEKr0bexjwl39keID8AY9trZRZG0qyQcJeNJxM22gGM+shJjO95rgCMmNQ6XveY6 8uzzD9yXh5G96IvZCg6yW9j+UwGTYWHtmoCShniRYIjDHx/tbcglykW0qMqLOivoKJPezTfZrV4X UzQaJCmy3EO9k/NC28Ggc5jhKnzwpI9TbAEvAHi+WsRA94isU7OylYT8n8WuHEtwA3DpMIQs0rkb NOWztgvFr+61INSLC2Xsv82K8qtLmyYqLnWXS+XbYPnNr9BwG/b9Ge+2BN8m8Hn53hPB71S854g6 3iyI7egb8YEqf6SUO905XY2u2EBbe/HXeZkoZWQRmKyrIE7V90/NHIAfkYUZkbcEtFxHNHzSIn/X QsFvP3ByHr21AnxilABKJ5kBdP8WayAPp9caRxfTmImgpfQiwlrhpYqZOWmQMzPUQNKTUE2xMpzj Dx9rHJIaW1++AuX+clrzhPKCscRjFCm8p+yAvxs1rZWCO1n6CCxYfaL2k75gY+tsjQh/9+jgf72P L8ngBknoTPs9dFOK99vtpTWOjwcHZ+vJcprhwFpX3zPxC2fT3M70z3US7ppOv4VUCVC2+JlQ/E0r B7zaB5A0TyFTyJAGjlUMSGIetlcyOxdJTRuodO/UOcEJ2zqdi4UJNvs3t4J8DYz5HaOVji3T2v8d KttP5Re5oLPrD7rzKRRobyMpwaMUd66u02h219oLTJoORfWIflT7DEfhyiKeHLzTIAkvuQ9KWPqM RKKmJoMpzPapxIX9VwI+iP9NzZ3rOoIs6GK7V3a/zCh5eTWcA2fFG/YRU0vD022zeB4HM+CP4lsD vFdN8CLIbDxaKtxt9vqS97ZN/CyKRlGKOpTRdNajV3voIc2exgl1GsVhwnGwxkwrNR43iEN8j51X 9P6NT9qg7n177HfJsmOEpUlpCp892ps0+PfZV7speF4fTZxcAb8513nTY0KiQ1pgWbPuggHBOELr koEWBj2fkrE6ybOlIEiuo47UA2qQmajhmYjSjM2VsQ4CDHyK+ZvFrdNM9XvJ/1KFcrV5Lg8dvKak fSaPEVVyVLEt6N81cMhnd7Ho5vl2UdTc3Kqj7+3g4ysVoGVApGlJbWKbJYjSsWtgW2draTtHma9J CvquAAU8c8AY5MmhSitGuwa7BWwpr0PTj30OKjjaqvfuBeTi5UkFTJU7VVYgXlOJR9OBoQi6gqg6 /Rh79qqW/wJ5uGSfcRLu/gk7mUc660XTXLzJ9U6zXWejOPoLM0eAu2V/CSmxasgx6fI4S9PwOcqG qISt0L4RI8ImI38/Mq705nymHxGU+85EjtI1pZa8HiTmZ2poKnAtr4h+rOi6AI896XLBslBU6rBK T8GEj24iv3zdwXv1K9L6JczBcG5GpNcgcBXZCMbfQEixmHafE10iyQ2iurUEP9I5i7xUBXvUF7ZI bRAXftc6NdSb26WGRapXVRyQDCMAkxLxOoBnb/cL18qiTZ9WwFDK6AN4we/dJrhrbhEos490ZccU jmrOQy+VS2hkymj93FAE6OLWpeFMbI4VMSmvb4RhvCBgIYF1JRm1QvbMiqlpYZPNFYNElecYIvpK bnt88snO72Vvh6FSptN/oVScKj1ohawzK/uXbsRUyWb//72K3SQ/puDPmaLiBPE9RpvD2mqdlYo1 ggERP17KCMxIb9G2j7J/xvXSSA1p7vy8+CpRHT3+4/i4d8uCs7dodNFKH+2V63ED0HhiiSwCa5CR UY6zMnjZWiC/T/V3jVgSORaVM47FIqEIKGuC4FIwNfE9bi3Y9eE0BAG6y7xeLpG8ZKLPwBrDz4nE 5Qv+6TNwpXVrhNxk2ZGba6UQQ+rNERt3Ab8D/HPsc930WcGom7cUyiyuThczdzE8xCk1vSw/KMCM yiVjjJsdtwm7h+tQTnV7/c6BZ9jhaW9tcLUhItg4n/kOctqtwbMeZfZvB8MpXvqO1gET4/BiAscF FsVYBozpFIdDud8QSfAeDVXPVIASP4Sp7vKovcGx+IzAIt9s0rqxoP0Fz3lSZ/uWzjmEBVNcgK25 /y7O5QveQFwsbLXSMEVh62aNpNl43Uh1CRew5saqJZSryylsV5J+RazgfFGEW4cYujMdVIf6K3hC pz0DItgseRd3jhGsAqIJgsH6mOUsxdOI0DpJ3ODhAcB9bK2Oqa5YcYmsL0aGaA5TsM15GaPkHtnR 0Uh/D1QHgKA936FzolWJeZFwOyTrDxzJTxCeoXb4k0SJm0+MtGjUxJrrCjs0uS+SZnkCKvIifh+C Th4hYJQoFco2bA7g8KNkC/6eOZjWfcHR/D6+QMgvoULScJOo/0rLmYMb+MfXjsk7UvzYH0meOm3n PtaBp1X/OZEosSg2VShrePoyVf6UrXJOBsOBYAKW/uO7tp9yE7VKaquFJPUmF+JaP+MMwGw+SdnH cLCEuM35es4/kTmQZULY6eLK5sy614dt6obA/EPfwwVr/OeQTtfn4m+PGHIrV517WUktv2F+1yY9 jDWqCfBNFbxuChbx/O0JqrNsAnwNoqJvxZg0XkaaPW7t+AvAM6oF13gF/NwizQcZf0st2dyu0m4f kagLN1+3KDHUwO+z7QXZ9/ZnuiWBrIYMI+kV+DXOCepQ5gAVXJ4+N1xW17ZEM7ZehUn+nArbmx8l e3C7z4hs6VLjwZg8cQ+JWJG9oYjoYz21lQ/IxviYZT0aWhK77Ov6z6uvsH2/HrCkF9vlQVzNBBVi /Qr5ZZi/DgntNZqp4375V850zhey0d4eoH/nCMq5h0iprIuOtl6zPti1Kh2i7zndCS/OfmVgSDM+ ukXk86dRI2NNtBXg2I9ajZOr8Zpr+4c9TjdoDBw4sT5XCbKIOHw1Bjke1jJm52YCJUH4+nGbBo6F 8SszGKzPnZokmNE78dtX5Tyl4osEGxnUQutk0yhgPRGHKEiavFI3bef7DxDofJTHNrrk76C8D6Oy Xq1pwuj1eqJHpT5WGovIByieDbcr+ik3k9M3eWsZ1CT9TWisXHSZAVa8G2eUkoBYZIN3RAHIAoYS MsQEO14leWhRMj3JVsHlrBav2CoBZpqJfunmjSYYGdEg3Mu7NutVaUD5jKOjVoOKg8U7mqX5nyqo odLu5gs9dn8aElV9TPXXBhMtoVdWm46NXEI60VqxETrBd6aVdXucDjacgt732Lelim5fpA9oudQK qZGDc4hKv+9ue4agedtfFymsDgOuwlaU0W7mONfwsoqZQh1JI5Z8be/iTfLQdoaW6sVkQuYSQTEu k9DrbbW4N+Jv64ZB+olTwLFgbkMYcmD7XNmCKYOqRYdrEZTzm4NwSpKqsh2oTcO+l9GZOExxPWn7 QOJgGUOrht2J79sdLbqNYiCH74olf/AFgaJEJLLfM5ps/WBjPLKFoKVdpLBpQ6BJrQsGXXrSixXh Futaf5no9tJPwQlHnuhuNFdp8j4JzWS5LaGxiWpmcAVO4mcTnNpWNSUReV8iH1WJqHjZQeA72IpG 8BoDEnd+uSOoiVCN5yH0NevRNLlYIDNLr57merCXgP5WL5NofvqgCaKxqewcZXMDeITZdcmOpa9x eECRLRx5G4oa2UqvrX3drlR8qIDayvIOSwr76voJFbnbq6xlrev5C+7z6FRWo6BOzhaXlcN7I9EL QRrXZbbayRX6VIMl1NsOr7u/hwq8u5Y9+WMT4e5B3fTyxBDNQT/siRLBgr20gr2WLJcGYAasD7wv sxacIZAaljmR1nBWwOzpAVXslgzmH9Snjx0b77RDlbJY1Ch3qjRons6BAGZ5LjWbvVrZqTvcxSY8 CwKIk+0UYSX7uay8hyPedPovaxPMO1M/q9j/cMiECbPRSDMTmdhXXctQtZacYu1dbZPqO8Z+uhXH crmTYXjgkwJjHn5IAaBVyPwUqn5p4YK2tEFy+CWCyPzxCXuNypjZOqvnJ0bu0CFriUJvlln9EUwq pEVdofoqgdAyMDJPRYXqV4173uVSxjORAtVJfJc6TOWQiSq2W2E01jAt70zsPwSpv+f197hFcgdY GrHj1juZ4q20xUQ5JT+ewx3AltrGoFOmSgs/DAZb5QhMgEsqhd4LtRXBBWqQp0842fNb6Umv3c1B h2aEWKNln+JquDo3Bs1AzdySo02az+1uZNPs+OwU4N7REtNxAQ3L+dwHTnYDT/UcdvfxJljYmb7W pZkXoOEKEb6eQUTfuOsbBiFdM0pK60bq08d/mZ5aujfjhqWulNVCd/ZowcwolzlbsqhYc8Q5Rpps u1GE1ZsQJPyDGJK1QN2fzeSGmqseheFl7/IIQOQymI0dXKWib/2x0KxtolamRsvT4AzVHTvng9Ik IIlYfrkoz120tvK5zcUHOamqqy5yTi8GePKK0F7aMNIoktGJNp7s8UlSke/ZaqaaAmKMkdcZPxbA UvBTcVXR4hLHSWPGBjjgJIWIbEUYQY7HMtfa6yev25WrGZr/7ADHO3dOiX133dM7Hi7Gi62pE2af cqOGjjJTpY7nihllS3nX0WBay4k6r5zKhYHSrAcOEErdUjCg9yr1FbthslM4apqaF5GcX7+LxF2g ShC2K/i8RxjF0yq8knvdnGlYWT5yBsEW6LMjLFRng3cIhx798P2+T31xpHuHlvK/4rV4x9yk3AnQ gvd0xf4LxpzTi0EPlu07uYPGmbeU5byUHs5HCs2qM3IdYemP8/l2Nf9Cu8JndWGF1hRvz4Yu3gOj QoyjO1q/H0OZhIy9ykzqabiY3WuWw0hxuw1VNxtTQky1527vdio71jAM4hc9NOS2u1OF70CbvFMZ g3XBo71jdoi6K7PhbMReCU03viFBqEtCwCCU018CQhB44Z4DAJpVX7/NTKDGU9YFVCDm+R43BLVf bRo7pmAuOEDDf0shPUyp3XsmIRMEtCtxNljk8ibFDopCm2NbUkcq/kLchy8wJJ6sDh1irwogfZI5 HktXMBLdxzJDsJXtpql0xoTmHOTmv+830YX4DAh9ri4aInJcyGa9rmdyP5t5+b55vvEdbjzNkaXC xWL6PaiygATg8egkCwvNORzkMXgHJuW9C453NDnyoSdM7OdqG3fVLHcRlhyqQsgdD4kqnvnbLpuJ LyWpI7e/gbGJDqVbc2Kaui50iXWJZyHvoraNYt76qrhqEFRlAN5rOQP4MyT21Kyp7U28VratiA0V U7xWalH7Yjj6OLRWMVZ1QJMbN5117YFmIsldsikUvNCLXYGst8u5Y/7VJmxqzFk0pPQyCVai8Oa2 uWIEjmtr/KINxJ9ooUE9GFwpysQE9ezoLehwmuNjJ6gmqZh+axc02C5TWPurEZKOpyA8g9063Qoj 3QW80eaN4L+uP7r56BypV10H0bssvlYIJn4NNPq33YYJfvYb/BxbnkBGJzJVNdbFu3FJZsOxMZi1 4iP+T+T3cSXtxV3ZpbkQjWFyAbNWCkWamr0rAdpR+wBs5/02E/JOVKsFQuXuXwRzFEnvzYF1wIgg Cw9fikA6N4PKO0OpGeFB/+qLp89fVcfXT+tkkPIdJp+2w+2Ud7Z55OWEhQRbVMHHF9k2HRuYko1F ixga1im6Mtukb2zjVPM1PAKKmea9BvKr+lEdccV256KB8QYyJ5P43YWEcXwc/XNnEjUaieJ1UeZl cz9L62TK3spFiCCsyxE6/IkuKypLNS373iNmraRn/A9WZcgnMapT3wj18w53ewgm/SQ88YtkkdlN 7S/CeOeR/B/3Im8xvMvdNv/ZNWeOCQK3InV93Ad4Cpa2Sl1SgHBBw3lxVQCilRG63u4WyoH43KZw nI4oHJDEXTADKmmON7lFrmQ76sHMz+dkhx5zW6qGSw77zF7ElPP/0e53Q50+emOwxchIg61OaBSI eWe+gK2CVe+aR/niISHcJWpEFJ4RfCPLAUcgdd04MhlLfZh/PjhSQUgLOIFPh6hSC2Lgia1bhVNJ K4i9ti0OAkMVJ5ZsQuWIe/P1v7PAguw/iQTIFR89SAwrPofIexR+MfF7j9UrRpR5QRxMvW9pgI1Q VmX0pU6PSJJ8XSk3iaEIr/L81klEB24VVk5n+ox6Fx7MQx80wabRbnnOm4a3kjecJpTOdF2xtAXI ynpJGjzH7PjY8d6g8AITo3q0fkHBJbxbn72hN+ymNU8aMjp5XpmFadegv4YP2RccZ2O5Re1ZloIS zqpzAEQgYST+zS5E9v0y34XPNpBYyXnu6c7dugKPuo02A6ulHkcCkrwfLxCv5nk+JStgQJTCqDVx yWz0yQqNEbjvVZcnkVbgieGj0MB1tvnheXybxcFKiRsYXooIMAGVRCW1kqByf9Q/7ND/L8P/drEI f5GgC5D646HCpHzdAJcdxsudm81vO2lySm0jC+kvRgO2MMBHI/I7SAJBozpPD3HZnIrNnX+AxlTf hDHck1c5n1rzhxfYiKEC8bw8aVzw2ryaBI/OYA4dlm5NulLEcR1jOlDNGFJfDKYE4c02PEPNABoN EHTdKfpXkUn/I7R3WRjL4d6Ax5d/OGshs59o4yoPjT9yzT4Cy9KR+AKsg/jpy0rlnLM2tUEfSqBR s2a8QLpFw+PzOgolfD6vX9caMLW0K5+5RDZTRzR9xQxIVjtoL0e+RdvCXnYvYiNvbzOoHvc2N613 9d3cpooLnR1Mr4pKs+NADZ1zMpNvXyq5LLOJcXtxqIUAFCVGWW8oHTrP/Md4AhZJYfHNzeydlyir cAof2W3LI7peugp5vv9yetf650pdhr6VTcCHwMT566T718JUxW1XPSJlJEGtND8nUZMaLAVg8CZt CEmm68rzI3buHw8t6pjiImlAbaMFFhbGzCFG5s2Hm6iSwtAkR1eJjoGdtByuiHKwV5J5vIUN1N8K x544p7CloJiffYF7iT1RyS2+mNmnQyBsjY4xw/bDhk8air2DGk318QdRHoMvNyXlY19SxOoIpf2g e8PIMjA0A7KzdIO8/t0JITZCq5XlI6RVjpS5nSEI5BDCUHjKRra0BRhe7GhS4v/BuVSEfIgPb4OS EGrWu8CL0DU8ac0VVva/etIsa+GKHLONR1kU6cjNd8pLs+3M+LpFjKXpz6CGx68p+yPkXguwZfMD dqAdCuJgBDp2RGXw5lR1bDn3yGyMDgiKjU0UqUR0jv4CXbYiOhKgdPXL1oAEBNHM0btMjxHcKfgT NU7FXN5BHFK7rvQUhgqFTq6Gv+NIy1s0OytElJzfV4Qh9r6YtiMZMwlFuimByWSRquormEVufGy+ p4RmkFTNJ/JuwATSUQYlq80sJuKkzLOPJziI88ZcP4Z3E4CRTpIFZjPhCEW0MNWeaBiK3+ts0jPI aKcOvnY6lQjZrzcQT5nYq6zNgTJCHXUJZ1CLhlwIMTTns3wkyYmj1J7Gq1gP7gsxFPa5yssRXSf9 x8AaSB8OAL7qAkEIwuC2fuYdgYM2WIhH+mo0/BJ+pn0iEh/HzWma1xCVvK9MmTr1JgoZvGjM98t/ RbtTpPESXuTTmFtgfC8I4wQwmQY/IEDAPsZyiY6q7ILfI7401g0bFinmxjFLUlH7H1sFzZA5WMhM WZFnmQsIsjkk7CCYTPAkqO7BT1EBw/i49oilD0cLAqIVl9f+pio72oW+8FndoGjx/fWhh2D5QTb0 Gb8DQGXDXehOtjXOTmhWMC63a5v324G7yNgZLcfA4dZJh1XE64mbYLlBtYfA3FQq9e5jLZ6+qLkA EFb2mdrGq9FDYG/fSf5+qbm4AYuIUBDQjSlPaGMTaycEQeJ6oEv1trYMbjOCcEd2pQCw62OIiLCs DH5LAO1cw6mn1VGO3K7PIbrcay+p+G2KRPxDTaHeDeshU1rUhy73y/Ixp3NyR7XznnoYL6rX8Dkx 1ifX+HysZ8JfyRZtQ1ML1Hq8gZam5CjYQQ3S4lmIH5wjiKM72s6OD2STrsA/UuBonJsh6KSewJ8f gbA3QA/LVNcWX+pNEJl/LfFlULGQcHsozEW2sYFStlylCQZ2c405UZcqeHQyKMfmVQAkXw3LN8EV BAT8i54O76ex83Op/yfPmQ6YIPJFWHvNOOfqKp4xzKQDAQafRUTh22NQK3GLN7+dsAwhAiW8NsgZ G5vZSEon2XALGX2Oflgxmx7cyuAge3OwGgPExU4fL6wrw4xEL2i3XvQBUb14QfnkldOsh+5fqRry qDmwWySAu5cmDnRsjR0pPu22Xc2dQtR6rcrBVE2X0neVHo0lG/1d3JeNXfSTr8y48xOpmEwxu0bm QlZrb3CbCRPmJL5kXvvJ86VhpX6O8oKettv947TMguBK6z/jL9m9KsdksHrWlFjUMo5DvKnv3dkY H/a3y6DBe8gRhCOrvldj20sphkirlKeSpdwPcYsP9Z9uyPlpZdNOKla4bv0JTPGRWNHod9zzUq3G b7wkFvJhC3fpYc8n3C1uMiTNM1HZEcvN7fLjxUwYNWhEWblkczi73q0DJslnCrbasc8VvIWH98pu 9GcFHioboS2ems5K0JMkS4j8wRsxycSYEI/LaqGPXA9xNu8X9aEdRxlTkTZfEsOE7hew1qGhtcWz xSKnc8kio2u/B5q4AJNfTPqxNgim8vYkagN8jsFYKOxFVDYB6JPuzakJiexnbhPrd+qdL0Ko5+rr W5HT/nurMLxkQxUzkoR5nEHUHkuQbSyZP3cIWbROp2fLnoG1dSOaGvlAR+OO3ptkQMkDuN70Ql3H kkcc6ln+apy95E7T1HSjyPTQlmqlbcqeNt17Im+yiRNCodLgYkuH9J4mMPOFu4rr9nILHOtnijDX 58rfzd0x1Ad2U5bvR5K3RdwlRxlZUy1VfRnT3MdTK9qxv0XT8yXfe/60TVIzxt+9dDlaUUoxQlMw eHyLPzFJizXiSDMw21Zg5l2kx/yHRobDv3Aq/qKJtsAyaetS/NRE7jJlZ/rkIzhZeiItiXl84RbT t6sxozTgvWITtPCnRNHQGYdlelsEmPThsFQvu6blrE8t78U4zdWYNsmCOUrv4OkwGojyBomtb58+ HR4EYg0pbZ30RVYB6zWLg8hdjrirGd8gOgI0i/0ZHCuG/GokcsxCtZk/+yMUscwt5JHUD3WT0MPd a3a3Y7CwDGQDVE0UcXmTGJFL3YvgeI0YwiBJcqovMZLS30UFVjz3ThzIWscrGDvbHbelWGghRgxF JbDR1qxCImRCKKfXXZhie4zmSdZwJh6c4n1TjaZJ7CPiY9vKyehk1AxAkRTW38z6wKbCxD+GGKsw +oq7ajlwd+YxgaexEGVfV9sQfPIKmrBGp+esN4kGetSSzUswgi3PpsLNFdiUeXck+nqfQspkTUqA gdgv8nP6fyivHDwKhRVbQy2N/GfE1F5KrBFFnYFE9k9OrxfpZJyhfnnM/avJ2x1oct9UU0sNySO9 bwEG6Cq7dErZve5AYTRq+5jaQdKxmR/couqA6SMjomd2OLmupsEBeOo+6l3WVg0xMPD/TuHXdLXc fafH/c3DeXpaVKDtz4CUIQ5fZs12rM/S+C3uNCCT5o8E2Rxv3yJqvgSq+Lxa5XPRV+cX9WeQLDD/ xo6YouoTjeIyGcuigE2ZWpQXiK71zJ+mIYfykJcIOkAlQV02yfoewSe0OnjzRxPN90piLStXH9e3 7drbGe0HriHusvwaVdIUSuK8Y04watn4zZUIuS7hgRfJl3fUt6m7Yv6mQiUwKmhmFWKamJKkEPSc GFa0Za9ljBJrPx6kB2MxPHW/H47iKML6KJG9gpeH2Ng5y9rMKWnTyRqYajFTjIGprWDNpUhPps1L gMbchH9q46gYBboVLCB5ZNS4MQo8qbW6r9w3tSBUh6sofu9MoAUpgza/iGsRkDSc3wE07n59jDV6 A4pRWqSGOXbUAGGrTGFObY5SEGLGqwMp0lkdJF+sq5sTq/Kjf43LLMvLoVDqCzY5rSp5AZkI22E6 sQtZ7861JwDRi8zL6gstYAehSej7yHfn1iPG2jJaWcPWeT6H68tQbmn9GfhRExnthz2v6Jt8bXZZ XdXabpJQ/XcGT3oS9IuuQ2tt6WICA+m5KWz0TZ4gwFSN+lcZI9mCdzI0rsXdjxgEcdkRJ64YCWNO SrPAsG8JqfZ6qwpNrGIa2x9NjpfuekJp26oyRbH737CbTEtXlTfScb63/IRn5LgLfWexFS9ZIcmB jqU/FWydZl4z6jL+nzyQvQKkar3thZNlAUnyD67Xe5VouA/nD+vP//LlFmyzMdYF8f+fNQGIy5h4 NcpbIYmoCOCv5IW/Ylnu7Jb8zX2/zrBvMkOv6QnaMNRRxJ4LREg4DOZZV2b9kcFuy1iOLdzAERR5 MXfffobto0yPiDiUcZ/zzUJ9+DkLVcd4g2PKHeFRreD+kGRs7R3Tiu6wIo5KRvg2ySgpT/9OPewd mPxSXCDGt+95htpAndK4NaVVJBVvia6b61G1aV1BouljRfb/KdLCJgU9ljhtN7ug2P8YLYyXn8Y1 Y/k/fweNqzjNbghi87AewxFJ7IZ6CyamyjfAB15I/K7qainzktz4m9IMcXRU6VeInwKeJiLhqsVO bD/ZYTB0IJjtXInbFcg2GYQHpcwkBKH96z3w2iWkVllf/quOJbxS7yfCO8WM/Agc8e7cjkTHxHL+ A/pGbmPM7+ryNzyD2HwNX9IBIv90e5lkXmJDA168l/deXQWB3wogF5py5qPb0hrCs4G6nK431moa Pwu6XLzuMrC0oz906o8TF4CgP9zO/3PZ0mMSUJH7hB+kukrWVViq0cyvruL/nCnml0whsM09rcRb RieGcYiVE5gs7qh0zj2MPdbudfGGUqaWzZ4Xpah1xx/XsAwytPeDtQKlQK2m/S3/3s8MKdJrF9so TxkQ1Qxw0wuS3sv+T5hyQPl2NsBz922Gjm105Z0LW7qFApKqVdayuHpMdFcm2RdbbddE5K5/Ut0b tjpApOwy5qZmXc5QN/SmJSttT2SzCnAEp5l/6jlkywQxKmGETPQRGGaC0QJeekbCAp0AYvpdfRqj ud11aKhNOTP3+Chw8K9ZhGxuFF2gUDVS6VGrA5uFKfVc7H+1nonJk8CC3xkhnpWJwnOCI/s5DpC5 gipR/8TmqjcxK3NKvl6UbcnFenqoNgobKKqwYiaDVG26GanxTcnQ4yxVw1erQeE3I7ZyIiWj69rZ QU/JqDp1MPycB31Bub+gqnz9TwrsvJvKjSZcASsyGdRaWqRvF9Q0Pz4leMdgJ8Bxlpc+sInPq85z ZBClM6faLKDf0SB4Lbpg6ZjYtP/Dmc4q4nkYp0FHKvkWuGhqNOsZ0NPdyrB5XVoNLsjsBriOyD3n E7J5eUsklTEbf8070/qNyGX7TU/kc/EWr3YJXCxHgRMAKrcvy9teeRiX+LCoMaszSiA0Rn/zppCk xk/WP/gHpK3FQJnu1tq4Ka+wKlNNQN2az2XVWMh5bt4muNBxJ7gNPK9CEdzkja/p9DpwUR/s6URn tdAeIODZjZdXkMbCFXN/cLEUJWAT4FDBTKVJz/KazrfsG4XZ8aWSJVvDCZKhP8LDivhE1cWjes/z wOfnruFPbUT9UvGQoRG93hkouFaV2O6jhRFtmMrugSKRE7I6mMHYy74ei/06Lv9VVspFjLYS7pFA YbPxjoVUBhpUxzGDdL49T1ZaS+5vK57Lw7g8aiKSbKF1RJDOefxXrxsESCj5PGX4t3JrQZqavmrD W4iDEHujWnhaDADDvAfUK5nUqIxGLexRyfi99lOWw5RpB/FYVX7UvPghUHkJ8j6jFUzi1fvE6X4q gqmDOnU1S12GpjvofUjKArrXHVYZJDTt3gVuRHHWbREyxVDjZfy2UKhimdz1OMcTkxt++lO31fH1 QFeBn7Zw34Vv/3rQbx9Hx5p+3771xwB7Kwyz/Vrwh4o/iX2sXNfZ+50O7AKOYc5YCO24GVGWl+gZ KPNHp+DTHvz4aF3NhKVXrjX1ugYyWDIVG+yp8CzV8IXHXoXZ3BQMUNNToPzefgzojMmMfskJkS49 csXrzd6+yz+kczb8Mo5U0Af5v2D9ZK3qphG61sfFPFmH1x7holeQDf83qqdcCNUXVrThQBbr/py7 qficlJavhg8I1O7TthYe/0NkRVelp1vxzFQ9VsPjmb7xkwBN/qKzaSTG3kvtTiH73IcgEE54kB4k jiwXiB02UNQnJa/MxkkhNfETE4IIviO8Jm6zbRPESUFTV0IVU4Ea323tnsWwFEmymUI5f3jaD73L wt8imHjUFR7GCQsD0tdDhnzsh+eppWujIO3gOY3KiF0NLWTyoTQYwwvMTnxZQkz6yFRCrc4H5mMQ MDSlciCZZgz73muaSUg1D4zwizYrTZPSRQ+CbKeGwdnedxdEbAhvplVHUytUUbnAnftPCNaqyBZr m+PzbYgPQLTjYjDtMLkUKsTDAsj9wHHNGSE5TQoYcvt37mZ9ircFmVmTF3efLoQo3bX3e7ke5PX1 SzAH4gzNZpxgU+8V25SWaSeFW6evd1ZH//NFUHyGHuxW/YkW/x1zfo7lOVC88YKgmZiX8OER4V9p 05J8hfLbV2pr6yeZjqGKPFnGlSaktX0h9p/U4VL4+b16sC+CL3YBbpSPE9+V1JHSEjm5CONTgJVz uZw3YfradGMipVBoZx5/mCr/BRiIaIrV1h5o11Fl6298srTpyqH4TUM7elVyMF0P+YTo7r401H19 8d72/vdRA1DW3lgTkpF11QdNcT0W8t/B2ATwOyxtTeKYM2Yvvp8j+j0RdH7em1ELHVX2asjRu+3t IpRC4B2kKGxGhrUZW0V562EowGKPCK0B40x9vu0NtHMkjiPuqqdLjvEM7TGx/HMUYmB+kfF2GDKi JKBx6LTFQcEk+xIUsZs+schI+sl2Ogog8Gd5vlgMEKQaGcV7QpXC6dLUolIp/8FnU1GKrvmau6mn v4wX+Y5uMQsZzlgZPWEDQgcbWr3odfJZ8Q5KToI98nsDp04XaFv48ARTEFjJ4q2avgquLwbJ+iVu 59RGk74mPSEm8y9HoCNwQEs6Tyl9OIwzVr6s60imeSaEy8nGRlQSpvGJ9gTrhBHvvAtCWhCO+J9A b2haFdR3qAo0q94cNL6/bsHucH0OTd26Uw6x099oSfyMhsjoNcS1Os5yl4X9bAc6Nmwt3RoYa1Nw LTg03rczmHMmRPBekeWqS0o55y8gbaCRI32yq/HSQn/7U+UUbIBEmYty9pup99iG2EDRkJIdG/UG T+UhNyQrZupTjax+3uj5gSGNlSk33agtqYUzW1Xyi3gByKhqpn6eQ9v9cYK3it9/Zn4YANHgKHjQ xl2J9zDE0jV4Gbw+rX6FD5cpRuZM/BBmrhuSaT1QYhY4O0iIfKies5LgPpivxSreOT3UtXfC1T6h fl4wNOXqUufL/LZdosvpntOd/JP/aQ14gw12vV5wZc9Y5ViGwnxqmDJuoI1XK5FZ/MYnMjpSqjB5 9P6edwa8oYpg6moWsAm/PJ7em+UlWqqksbPmBemwMRLo6q123Ff33381bPEkx7yGFCmTauIr+OU0 ri97I+a05B6Xi2sdjXqEG+VFdf4vhk4Sjkg77rpjdpQx+6DWsf1V8wTFMczwwWlllLXEcBeirPBk wSbtjPbzFlhvY1lXGc2B2GE7hXbgOaTU2XasF1kl0+u2Fa2Ne0nnWGoDbo/LYDx52Cyz2vyliTcr K18hJmpWVTNYxz9y4PDydSpfu6D0fkiocnCcqAfro3qLJm49nzKe/EWIwCGkvLJ+h0DvJUpPu7yp 6NtFHLju6FzWOQgfp4nkVyGvMnNl1dyh4XwM277PKR0CvmRFiz8N8MfOGv6qdI4T1CCW4hoL62Eu tcxPKuUNx0uyjMpo/MKlRJIwkeninO1ZXAFeT0KSuxayria7hlBbxTPi7FOBpeawv7rtXGkPRYEQ +oVyXHKAxqwBIRplvn1f/tCLDxF4UZRXr8BrdhsEQB+oiA1lAU3HhyCeLphjvHwjNJq/d6KzLAnb E0syj9oyx4wTWR30upCVXH0M0XAs/a62v0Fx9FjAbQ8WtK0pZTiqpmFx0juDcLfDWn34r7xyDN6S Iv41SdFZ9ZgeUzM9yK+McKt24vtBoliGNyc1lx3F4FhDtQ7pVnCunF4l3SdaCTCSu8qWss7r9KSq 9jI+cHeVVh0iOZYpEHywwHvj44WntWAoHDiJ/eR4d1jK7Q9FNW3drmEESB5nX0KkCz7sa9uCw7bX ZUj3BH6/HeoN5Um7dt6B5e+3/26SXkynX2ex4bNIQPAPzpZ5cHwlMlob7lV50vlbwEyVYjbU7q+2 xjjiTeAghYisZQFv3tPuO4f+zEQ1KOdvPY23iYnyaftgKUD+oATds3uEif137d4vE2M+gaK59Nj6 sUA9d7XCvOqvYPuIFoRoOVq5AABGfAQe3yIdcdXjpshjihS/qQk7qRAtHkw1flqwDVpRLsS5gFt2 YN1YfhGp5laW2gzBcVEQDjpd4yW3J9aYhd5tRJi3Jc3u0Kh0S+/OagVsILl1G8GEDatLBr3t/yOH A0lEIaS3Yaxog1EPiU/CuRq9SWnGUj7h5c/aIyo0uXpnSq+aC7QZEo4QETKdvUse5Z2LJ6kt6dtJ 39UAGQjy56L0UrZ4KuU8+ibkG1fOBGcEhK/NPnJylxy8PbSczgsfXZYYRk0PKtwC9TGseUGzmkHG SZld2841ff7rbUrqeJzEVjfK1nYiAkkoqW4rHqIRp77p/QUfaG7eqm8r7+sHzKPuNPusJlAgTapF SxaStvvBEqvEyOcm+CF1Wv9Q7/RVhKOt3dOnw1eC07pVDmsGWUSKnPsAnT4F809RlGfc2ZM3ZA2+ 4J+y3+/j+63ERxKG2UwTSXZ5PeKXumpL5i2lgtx2dSLVbMATWzKsPAlYnDBBKtns4YYwyRJjOQrn MjeaK5Yleqbf1iMWtTfogN7BOOm7ePaLQqq9qsG301L8QxivGFAN5Sn8pQgFfeiNbZ+mXBZEmTrN migq11z/IQyX9VmUgSsNbHVjBWv46zrISsvO6/0wVVSnDTlOvSggKKdPWStxe/juH6Y+PSkaHAvD FY+BGx38PAABUz12asmV/N03B4HLT930/LDsqScOhpdkv5hPDg0D1Qu3x4ZTccxR7NQCa6bKlGmR kzCTNN8BNWHB/WOE2TC16MPFN2+bGZT3WZcioCAEYgqWsrGeQCw7socLxf1vNkEQSTJtDJ0Mj+73 la5maiiViV6hpqGm9tPGoWFxbaBaIiGlrG0DS/GAHQB4Zn6rYrxkTnwma5IlTKUaEUQkVx1+z8YG oNRpuzK62HwXc7jOR5aCYc0wOIVuICnsXKeY81FxDjRjmAXMKuPMQyaK+GYroJbe6zfMRJqEmsE4 V/xQgAn73j93DmykEfVKGM4aUmMiWnLkTlOb5rHhj6rbrPZJsOVyKqjVM7X+R/9/scxSVjoiPT8/ kjP5FKp7lIekwBPa2GXdYdAjHJaHTZZQ40S3bl8CeDUXBNPjGow7n0LWY6UnxLNLZ7d51MeH6zHl /9eClstRgC3hjA+fIOVE37SWMHJ30nhs3EXFfB/k/IxMGyB/N0W0KSicRmWffe6QZ5FPKmE6J0nA 36ZgHC6SBzuWkHroaGocz/7LhNvBe3bt2zbDYp4PHAyLUax8MjGjdFZHCgHoV4orvQboGkhNfwt9 gVujDsP8d3KZx7I0srPFAFJ9LWJwrORSCOjjSsVe5LuxDRGrLXjE0z/i0PEw3k3nmn8Sj/jEvCUj CSCbwuJxOz6tLP1a4NxrgtHKu71KmwCPY0VST+6dR+1/t7fSH0PWIKKWLtNEFcYIKbfsRfJh5zaS NE02d2txxuRGk7KmSHniaP5pJMgHs2bb/+Ba++zktX/56B4XkSEABg9oYHxcEesQMXFdQR5yVtjk DM1gwt7GtmCd/SuNUK85w5YH08K51NORSw+bwpX+8PO4H1utQRxaQ9JN1bZcqKtVvn8PwSVohmJb SbFu6Wa22t4d00LVcP7GEqd9Dh5e44fanxipOW4rnE9jvo+308O2rC2w1kumxGPKOURA2qlhOmOu m/tBeC1EbM4remnKqrKBx5A1/PyhYXPyRmBUs6IraAop4BEqsvNLKOSXWaMbfm523XHd5hDeHRpL MLr34xG22v3JxtfjTvN8FlL6ViLWgsZu5XL8/h5xeo8qCVUIg0NXbtAKogD6CPLeM4mkecngxfgC 6JkNw54wplaEu5YcFXDupTCFK8GOTmV1GB126oNRYgN5vhR6Q1FJJaSXF69QyR/g85yX1RLqjQrw D0MTmVjkhKSEJeC76FlKdgyt01lBHFrLS67PRwMYOpOa3J8Bv50xwlOKDLbiSoB3Z2PtNgrXBr6M +8wsjyQGysiTpfBKPsiKKaHykBZkGHJYcrq0PjxfckQ5+4FtdLjhtxTgL1iK/HrK7PGMtVMnLxsF bdn4/xqNvZ6QPjj6kVyaqe5KGPaBl/JRXAmrAYMX5Hcuy4pBbc4z69NWmMZvODgWv8Vvq5c8dT/0 9YsSiw8jVjd1/tHS9DJgaR5nsGqBfPPIz7nnVu9QPOEL9LoIspIFGUnNMANl26upNcww5cwClGlw h3dJtXX1Zq5UzpN2NB53Z3s2YKKqaQZV9n/y2niQDS7+jWjTe4fJtSbEZJNGicIt41IzIWgri+kC YhmdtFvKC/fEbbOziLwx/VREIigJv6LgRP5o4qAo8R3HvxBgGHY6AQVtWnAY6ZUvXdGVDI8ZeN+9 bm6I5RYdr+5/2PNv/EUlaLP4FUv/jd1eNj4t165y4aTRGoY9NLnAPFtDzoRjNeUoA7mv36kn3NTB YDBW6oa37+FUiM+yoLkZgjTBx+8Y09tloUnH/F9AqZKuYmPXsT0QAav+xisQLLZ0jI1B1ufJx9fT dy8yfiFWHcvs2sVmAo9eghkwsotARwMqFlPlr5AN7qE/b2yfJk+Vc0HjQ5LYTm1hitOuOOOhrnMN i//mI/wpMYGb+60yPSDlKMGw5bV417bT+cPP8Ugosw/5ubCVE7veKJ++s74hHbCx26MdPtC1ZX6H wgTYJdFZbJ0fKipPEt/0lyXOtJh2V3l2uQY95aOAieKFNzsfwKKvwUCeJjGBY39lE+yoGJuOT9Jc 1CLVJ6ieWO3B1wQ4utqFpvndfwGbwta4RZfR+/JLqPdug1mnuxREoviB8pflAuNWj4HsG6ITNkBz zBTxBX2fEUpEoMygmRUZtcCFl87ncqmYLxwE85wEtppOJsrp8F/IW9TJ9WbuLNhlVCk1yTFbsWj7 Y5YJbLqTEpZuRK3mStO9H0e3gCfJYygQL2PFOHL7adQQjOtM2o8wR06gx7PGF2AqFQfS3jmXreeU ei+CnUA5QOWrwrphZP+95Jc5zj51NqQ/R50Bv20/vpBgcadxyLaW0uLyWBR/Jt/rRsImiBPbaw9m wGThCRr8AobNU2ReJaCsCeB+nn2IMzg2i7Ge3xB1EeX3CPtsTGtdezDkqQQqFqPUsKaubUtOonMl LjB1M41+dtgcqRW8U4u8RpaiwD4584hxxKWoZLxjMrpjSdYN2y5Fn026kqEGNrJ7pQG719qL20D1 im1HJa/qyt4dw7a7N9M0YrHszWlA/8i07EzkQoU1/aDWPpd3mbLiZBI5803xrq8VxLFoD2WPItWX kVCGeUSdfDKmKQIRYaiI+EP+aVTUseFf/2hGiSRtS37nVxD7ZDegDkbMRbGTJx8jIRdua9AwR4C6 Ve6TIwBrwqYlxckqTXa81JNsjWRoAmpd6Uzs13KVLrC2mftBqfxnSaX+zHsxoCoMBFDuYdUxg562 K+43tf9fBYW4qNBRaz/J3J+yplaaFeg7Fnjbg+GjDiGeTK3nk8cyjxvjIzsRx3bMoicQdWib1SoP 7sHT9SseCJbmoF2fqdzYhwuAZuYOKytjNwm6ch8cgPil6ROnlm02kKPBtH2FwP5cP9mGIxkpf9qU JxA9120+2F8s3gUM8niUJacIxuE43ZDjbEvpfE0x4u2Eq+Su5MTc5676TzZhyeI1bV/bgzsSivuC H8kHWW/rlnXFSTUz8Pt36QqHLv/vsi+jk2Ss/vCAugU8i4fxZLHB3wJMIAUZOaSycKt7P7uvLL5H fnzkrBbayZVRtr6i0U2iF6Rl6VkFC2kjRaeWiGI2R7mUunmxzxYIwyzLegJdX25f56L2HUF1Yv93 Mt8gVM3490qUnS7vm9zQizIKRdTEt7ywB4Ka/Ei/xylqp8gYAWJwiebbzsGPNBpSOYVVQL9WVq8L 0t+iJaIaSxO53sB17tVwGvC/kioo3FD4ExIdK8crZM7LZXxvK3nhg4zNByfzX6yeaGlWIW5XK2y/ HmkH/DSEZrjyqwa8toXRnUYOb2aZxDNLNNM6+hZmi25ZnR/SUigzsaGN/ArNXYerDY9bi/sUBwqh XrLorkI6I1xCjr+SV6FnQRm6RQFBEKhsn2emzhxEFAq4s3LlYKZNUU/YKUzTM3SS9Tu3LRo1Zuc8 +n1SW/qoAZwPpeez4v1mv1lWCKHW78ux78Ou8loqi30khVr0aza8nFNMmuzR/X49r9ITiMJoHBz1 B62MO3KFuZbzSDA+qbTa5k0FUR39+zUKqPXr/DYE0kP27ISIUqCV8eI+s4QwUL9N8tNpxNFJyj97 fwSXqrnkAbGOuJtbeOECIpgFF8TqY0mk+bfu/uXwggxU8bMyzPUf8Av0SmyCElkGF2kEvuSLEG8u TpL5t2ooyRPhTTBg1uV7ADoFRyHLQ+wc8ePQsZByZ/HUY6VEiHHHzS4amvalt34xgQq2/tIUz+3S KBcc5UvcOlW/2wJgTj2WYP0TzxvRKT2lWSCqHRJMpN8itGgSTP9hNLzzqI4+XhmKD8dpsNlpDXg4 HDTkwxnZsELFHkMKhcyg4DyGlXr/phI2tD5n5IL/z8OFqUvw2LgjDHJKpGJiNsHgfh6jkOVTPB/j 5vkjMFPqdcrAwZIySGnnQKANUYQM7wH8XMVtLJ7Nc6PF/hXcY64j85TzYEgzaMrXRnfL7O4DbGes YzzfnvP8o+2OEbot3wNsTk5Z321N2Zew9q/tDfDswvgp/ZvC+hzK9FHA2SG3YGXUP/JuJ1QsFsSc 13KggnMVEDiqbWu2RqA1VyCzNUqfC8rdBrFm4WZe+RPI657C6m+ZKF57IIaIQxmSazUXqYQp5J0n GrOQF501GWCuJowJx4hg49wx9plb4zB+fpE7sdWqr17RJLwdURlXZzsuI0NOVsJ+QmZwF76qbZpj TJV9G94VfO13S2IikVuSWH6nVmEKVF7/mL8gD4z6SxtpWMqSh5RthpM5fJ5vUg3RrBVYVNRlNm9R Zxe9G34BFf6wnif30IatVHwgp4D+AAh4DfUDyGEbhicbQ2eKOdepZ0OjsIfkrwD4R4/lZNfApjD1 CKx3NZXCdeJzZVlyYL2jOPU9YrwjE7sB8YmennsQZQ/xQoyvQkF36ShlTjFNKPhM3n/1vDo5b2Oq C3TTGrXdDqzc11GJGTtj4Kh9AjvL/dSNq+kgcJ0jQWaH18H23g8JEuR7tWhveaGs7VxWlzuCe+IH wqUUlu2dkg62rndVyEmEDo+rebiht6WKPo9BdRk1RSNDQ4g9VK4ui6+p7SLswSAfpEfhUOkz+tzn oOhrPx+sVjXMSXSFRnjkLsiUAmCG000WFvMyBtv2jsBvdD58GxqliYKfEx6A3+eQra3CNpqZZHkd rgrOFxvroj7xgnYFbfB3fMY3HF+WQql6JLZHITmuu1R+lRPEgfJ1zZE72YNOgR+4A51vobOiz08T 2qUU+jXYnFIQ7idcrojgrR4jg2O9UdhZFlKhiahQVUn73BhQTbbvuFkeBzCwKBOzo3Or9a2uEAqG RbdZQ5Jyutm37j5YLgcjMv/wiBFv3VXxVbzCbjvcZE6kq+xqqnaqjLPosvsTwRPlLCb3/Ma1rJcP T4VWvH79kvd7NIcjA7DriBNcp4PfNBrhxWQebJ7vheVE2Mcq+e8S7qK7ic4je+64O44Sd+B4x1Ep EZ8A0MKSOn1Who2sZ1avRk+SiaLi/Z7PLm4tbpInY0Neo9RhD4E/cSj+f48oMZBocNTTNj35f9YV uoPcDcYg5LGniweHMvZ+DDOcox9EJID4aFfO3mnDLQ5taBREwMcfsSLPPi/nXaFs3e5MW8ILd8dO 6BtJGacM0yJcl3oynB5b/d+TD9NMcdDnWK40IS88lqLf/W3sKHSHxWRoXPemh92cKgkdduk3wwoI G/sMCAddv6+hvYkrnJ38MZotHUKA3s3l3ZRC4kkIw7I9wHjvY6HWgXRdbZzQlXX9KmKDNPUbYydZ LAhpRgeX9x5Ej8wd7yyT6q7odblXplA4eQXXwECmYxnghkWSX+bTtrzN1/FH/LgZh6R9hL7cYJhQ KJ04PYNV+Tw2LX6R/xqdwCdknKR3pXPxt1rqR2hH9mOLuDtcdrlYS/rDdpJVp7Ysv31QAu36BeDI idnh7mfjc9eOlZw+iBJxKTwTmFk8WFselzsE8ln4/q7seJj5MB/RAOViN+IatninNKHtRfywJzJo ZSaL7I83JJOdJCw8vqjLwcpVCibLz03N3nhup4HWqcvToG4SuuARnZqnF6VRQvlO6deqW9iRbUha XFuiXVnkI2FSH2ZJsAX9IbhQVJUC1xWm5Atc6rNWYjrv+A/Uitwdlr7vz7u2gC0TsM6UpkYorMFt kYCQikkmrChoLFXf55M3K3EAb6txcHk6ExSIoyOdFhSSI+HqnBTFPGix/l6dYaqf7HIN55ihbKSe 66moaNrT3yB9jxzEMuLfTZexxOXmjU4LCn2V/fmkNSGfP5nBKO4th9DpaiD+9vFPFBzL1JtV1K9T FCZg6CfR1TcEhc9XwxxQjSJH+vixPH7FDmFLrEuVYcoeUH6572Qid24id5a9oVcKdYecM2+gIX4w fjhzsFmj5kR2X9YwLdYscqB7RTTFZSDn5isFJ09glc/1qha+IGj99X6NDRZP6lkPq+9/M38i9Nhn PYAR+9fuvTclPXk/yWIkHN9XTycP354Ubj0DNy4ReoOmpHyMAFZSnprMUKB2HqHsF7Gi8bt0FYcl BT9wj6nQy3oWVL/yBwSoXlgg0ff2fgD+IjXmW2xAj4guDhtX7U58LWzal7mR76xdTTM/6Uw1KeuI 0Fgf0vd7/opRNCB95lFirXaxFOpfNv1FsLiamt/VcMsTPaX1Sgxq6tRs83s95RdEqgh6VAtWGyYA fGe/+D8ojmKaLmLvDUOTu3XkF/U8Gd1O4vMQpYjROemB1XrcC01PHyJVPAA3Y7yF39pWuo58mjaN gvzjCkgZBJoipOvW6hiBiNDbSxJJLqowmiKIdgj65uVNhJk2fj30JG84XxnYKolV/gVkYb7F2wK/ LwqzxEfDAY06u2DGkyGvE3kIMzpWSz5kG3IEMsmI8Y9f1xqjZjiCTGXeaipqj/ji0ebLa0DpQ7b0 hyxCfwF/CZcAh/UOpF7qdPW68N/tNOcjeULLh5OeXVk+kYlIqczenG4fuXe76MR3ixf7gUcKoKP7 Ta6AC0Vqf5LdjH6F+Q5eB7j4y6Sn0QovydMe6Zv+yQW84bSGkDZrS1c3F703MqYsd060x7W0X8io DEnIeWLHG5yDMMUXz7Mhk+SsTLYNOfs5ctuIyUNZD8QC2IayxDfgPMlToLtrlvNbSbEr4cmxgtcQ QYET0fXgq+nRk7IBGHj+4jnly7shGihGyQvwFdtYWW1fhV632XuZZoKH+hhs2giLcVq+76AcNCdy mj9xsIzUrjM7dB3DzHOCKTFLSlwMmYD1Avb6y59i6f/Jz+j5W4bLNtUDZyjBniwQkcsy4dxYTcJe J9Y5vo6mF4M1rgLDPJkL6XKaFPEnlXu6WuIOvDu8nhL1palmC/4LfNOz5tDEL9NgYpZj+Qs43zvH ST/VFSqtdg3mmyTaqZlISOaoTprRPIAUVPjJSpeODphc6bh7UsVHD6lRHH4zYHPD3TackV5Sr8nL dwjbAvH5yTeKEtul5DiDgyLRIQHpyLUndPfUHbbhRH0fbzHZN11g2DzXjxQYte1VdGw4nQ82qp2z tP8JSK8InRnnjvRRnaUK21F9Z1vZ4yKMZDLoZ6i7YLRmLBdRl7C1sVdlcjUfu1whYps9KhECk0VX 5b119BBx8GmxbBwI/gLuh6PwK45yJHLykCJfuzcnHcoUaFlFv31OLucTxemvq/bznQ5TDp4IhRLb 4zF1eDbUW2Bh4d5tUAp63BTTwHg8S8QfFy5yrc3Y7qaoC5lNiFjN9oDSjLtjRbnhDYTcjyOmv10g UdF2saimeGDSWXzy33+VMuCe/jcCDW+ogMkxhqac1S9cEY4/5BTfOTNwfZUMmuY9iq49MimkceU3 /3M9BaunFboF2dINEhwtx6syJ1F9+7DdDqzM8FIgNd3FuEOy8JdN/DNQqyvuSxfNfOQgwxbeDGPr B8wIcAZzhlk60cxqXaNuddXMf5I+3kHRvd+FMNMY+SoFJ5sn1708Yo+FJZJeln2ifTZ0HQG7eUIQ LqAT0EH881/z5gsObhgvhEeJ3jLLA58BzABgVs8VYw5ZLws/sJ7HIsuO7jhc/BYv5gzqLoZSe3WD iDAABKx7ND4uMkzK+O4ff/hJE9ZlhfRJeS4Hcl+xT9Ly9NWzNwN0xY8RMd9uJTGlqTuAr9yQjpX/ EKtSAONSxwlNtlBXNdbz1cSt5eo2uGO2W46BlQu57qjUo5s/RPj7VXpHsH98OUghVfh5boyBBvJp 2ROyD77HLsPJV6/mbl+8IhKn4hCZgdN53OrKTFrUM/Ge2ifznrazJ4+ZG2uU4LH4o5iADfbfc9k0 NUnP2uJWmhzAEnSoQeDO5EklqEU4nBtOr3Zw+OBpiiY0PQsOyG1i0FLMO4KFG7AjmP7TYkJtpDrv XD0whEb4PiMwtuqGHBnU3ib3Mzqfmm5SDB8640Pewo+EL6jBXP8IkcOZRCCnKlMF9k1lEInlZKvW 0HXi1fNjJ0NZjh2oLX+xI4nm4rRvZVxmnDMn6SVN6rnXLV0m2kMKMLJ0cxAboM5IqWJ0GZiDjaRM x/p15bufn++VRaJoMz9QgKErVkpUGo6c0HDoof3ifnJs+/l0+qjFkX/EZFmhsvdzNYPHidVpl8ko 71R9BEOReiT/sBKcA3wiepBcBLbhuwGPp3geOnHeNLjtbb2cXdkAC0Gc0ICsPmOh7UrtQCgs9QmJ ps0O7fFQheJmhkGGlScdNI/AKuQxilpD+65OA6+3W66Hkiav7p9aJ+CtM+EBss2GarhagMeJ4gth NXdaRy/gEvJltUqloKdQZULLxHx08F5hhh7J9H5dUkWvMGEen2mOkjaq4IyzWl1TY4KEg9CdWlgf pdfAyOi59ZLVOJImFy81f9ofqqSGCnatr+j8gRW/K2SVHOkMktvGsAn/87UE0pmuqV2FQzvfyNHS iLg4xD42DkLQjNVEwU1/y4Ujzyfvsd3a/gW4FehGWnBSDsbtSMIT6i6TtdFAXMQyE57RBlJrU9nz ZcWOTUrsWzCZHPBuz4c6ElW18rIRViDNZ2dgR+3mz5Zt4VRTEvzE7PytoS54B2UqPYNd0aak4+vX CW37cP+/7MKJM0eOHDNXlbiYB+6fAfvO0w86qhEoTm0YIH+uJp+Z0NdlNPomeLLZbmSTUPtiqI32 rEUjEGbFfKLslQdJxBJwdTVEwpuc6vSMaaI1SL+C6zxVYATjlnbrXbGrCoJ7wke2i4LUmHAlEvDQ mA3E3oGhbRGERSCved6yYzTpVq9lUQHidFH5WsiQReABK23Y6TIHZ33NP6LzWpT8+0t4XgEc+iXD IN88F8zyGAJYAeZYC/C2iRBMiBFRhVVLvqW0OpegOzZwFy3Ruy0FfgJgiLOtIkN4ndVQRP33lYXS 6aIyuSrYOGYqPY1vfcwrTzPLuZZFB1IyijBM9tTsRjaHu9GifA3H7vb80/Z5CnWTUjpLw2MWSdbp LITrqOKxvcXVLUbqlxHo0l26vUj4fRaSHxDRpBvBhDTQ70coXRHQNLOHR7NV48bu3lIoCR9h+Fpj K3CDxXIyvaiemkELl46jgilA7vT1IbseI7oKa0ON32SHLB84q4OrmnWPMnsawgVIqbtLwSfbqM/1 tqQFXflTESMUAC4T0WXeGCON8wh2UbduQ2SeZqcQRAh2JenM6g4E5l240gnqZN0jefuH1gAO1iFF hF2mV1k5UAnuTx+XHrflrsMCxp2Sh54QHowDKHwbUiUixpoopTrLN/pTHfLYzOmx7XDQ1Af4hjc/ MfWlAo5yjqzsCx/Dn55dEGzHjru5eeTsqEkAuyDTNXlPPg5LZ2djyf5EwDJdRkhWqQTjdM3TuJX/ TRN0XXzOlmt3qsmxmM7e44C9FLe0wyM9Zb9qPcnZSdGxr9Uroqep2SGtWJqWBhP2KAk5n+J2eDbr xXgsHTL8b6NRleoCgbq2BDiMzR1RL5t461ZYtX4prAdGQO/HcPqUZvLnDfZB81LFDTVo7Es9MLG7 enK9AZOiy1+DJ0TeE3mwpF3zW3wu17RI1DZ/j0f3XPftw45mq/af8OzyUbZDKOzauoqvCaASZjlA lZ9PMGBJaaXdKFUiw7OcTLYvKHPC4vW08lMXDwdlqXjilrbrLAje2NLl2qlyVm8t4M4Giftj/y/M VXQ2EclzUKxJ5ZMshD9hbHzZib02IdpCSCfXK4ANhn2f4Gmkooa49la/KsHmBklHONXh2AlaKQCO 0D9KSBILw9Ek4jFQwK+lBBOvRCylvyaskpwTcK5WL7LvUxntVVT2LXoCYjeeANsnW2PkVlu+R5nn HqT2/hI8Ok4Vey+SNldm8Rg/D2hTFNREvPl+YIsVo87VWtMebifKFaX4/Pnmm9fvPUhMiYwhYr36 /WiyyqYNgeOYK0W0P8FKGA10GAHD7+sIpvvfaNZZFpH2CrPo2nGV5YXwFuHri7C1aTdiOyus9tVG r1l0o4KP6MNJGplQ0gCI7Qy+u9Yn+BDGmi24VdDU5uS1tmS1837HtBbzAjLyiAOYt9/j+WHE5wTm krWiw1DElFBA7W7EwfBLKlbfY93hatSfkt38w5R9/BOTOotiGhXGJNcXiilz0HlSFpw91JdKHPz3 BDzUwAM99EwRkFQvQmHcm72OL94Q/qfN13zTL3FsfQx+BbOroyKLf9E3NwiWiUN1dMmdkWhSrdGO Uk0ewgc+Z+rjXrURfm5maNVm4oSlXu4zIhHYVlF8LXyOFD0nHy08fibrcg8ZoB073cRiBkJinxuk jkK7/aO6QEizj4KJApz1Pplwq+PZ57adXl4zwG8jkCq8QC11gzy8U25+7RB5a7M9n1tG8w0VaPFY 9ExZbGAtlJ+VHihfoueQZQGWQqgR2wcQMa4WzVFMw9b4kx8bYC8mLkq2i1tOecx5zX2obSZpmEt0 qh0tFkM/qx40fNlIRO7gl/a87fr8nZpws0qcdbB1ZU2TfJg66s2mW43hexP/J6IUHf4tI9I8inO0 WqOxQsH+K+xgPw+dSdbr+1HT6aG4X96eHjfLl2tJ/mJogXbpapK8RwK0LrzzI5UODrtHcSq27zFI K6K3JvGxaQCExCLxmWck5aw2jXREADYXrXPjwVGQoRDPzCTxt6n/LZdGvMW/9GFdpE0NeQ6EA5R0 k4X+jcqBdGWu6yVKGeF8/+4bDgxp4pZj6kwvH2UCLBacPLUMX45wNDH2vydSGsoF8hvg0wfaattN ahY8xXGokK89huJPnB4FIfCa3eMsGDhMDHijhBNrUL5mRqVJ3yuVAdlcM2iXQE5Kufaqr9Qyw47M +SJax7fCsiLz7uL0qYofBmbvOTfp16rOdigDMlO6Y2dylamYNIE6heRL5fpENfIWjme/4iN/g3Of J7h3VgX0FLnNOF+fZ335pP6YLqPLWomvfq2av2dbLiQ6GPtVDpUd5UV54RXQLnSDtqyswzbHI0cx hq2eaQLIpCDuob4HVCmOU3+tWu1l6wAo5DKuoky+5KNzRez3S3Ro0vF2RTkpF/q9Dc2iMO1sCzqH TXM2sw+8vdG4WppYWROEiVQzHyNgkL7BqB4/0RRH+yoKFlmaQ7+tnKf4LQY5dqtHm7KoKGo6p+vr xwvfA/4GDqJoJbeurXwdlSFBOquh704b1RbrN49Vmi0LODos2rtuKDfQoiYB/LWWzodpG1UieRVp LpTzfqkt6j98P6wlySNcDQTj2xaH6ak3VQeiVVc2rGFW61YoifaSW270CyesSc29OQIvC9hqrH4E E8CBpDxdK/yC968iriYaVBTUG3/JMy3M20/5Fzh073uVrsI55YEEigfX9tYB3VT3IxYjRmuhk1eA Py9AGaHin+8qoc+MNqpb7hP7UD5/WL2tycSRIz0KFuyFsoZ7KKq3yQpMM373OG6a/t4DdabOLtOv 6KO9ICrvu3Dz98Sd68+DunY9eORB1/fGmNfuaIeLdGcco9dylftgx4R/g5p2VGglbY6oYj3rjT9n gCW/BW/tvbPdaAAc3WERPlyBB12g4EgYRraAjGNvTZRtB8dDJwI4bPa+GR351i7sMiToAtrBUADR rRICYK3UlCHAuw3XBG26cLUFQpUvT07hxJiW+Ps0vYE+BT1RQNK/101+uo6n/vZQ2CmveqYP7O8X LrYbq4OHS62qkURsIOiY9Z3w/g8CTU21caSKhAIgaMCL2UGG41bYCNRJRDH2MjN9eqKF/6UwBv2B EtLsPYKgmxJmHrj+jKVrDzzcAH5ZEkN91qSLf5TMcZiC4lUPAqJxhn5L3usF6uDsyGx+srq3A8HS WVLaFfA3kD12CP8VEZIIUqelCtr/sfUSrv177jzq7/c8VZ0VP3VQqWsv+Zmz9Qe2YEbpQEmqEme+ LUHg2vOrOV8kf6e6o0mr1ZydKXseAnZYE91HRVIJEOVzxXUpHnyFDlKLvUMLqx5QPoaZeHgZhArh /EAI7C5pnhKbKQyspPNZ5P+J2xAgQWihAmrmUBpFzXoDAy7B9jWZ52u3kciRvRlr7tnblsgQpgjq FWsOebyzOPrlSfFjKyDtibctNZi27ZDyRiiaZxwihd7kKUGzK0Dh92tbgoznqgTNcz+z/WL7hvNv 4df0GtxnE444efxAisPEhVGD73cO8mh3xMxHupGVctR3UuIMXe7Ri4uC1CdM2jEvbbyf7xZsJmmR o0fohh3zaEOTOSHlIxgMn9H9b1TM/mEHRrnBKEu4/K6EVGJ17xzp+uKrFvECa0gdA2O/GLJWIcBX L8RJXX2l1B8n3t3cs658PiNt3Mu2VHytMT8XGQHavJeitD9HcbDDpfVk2QpWYukVmhnJVJPTcLiv 6bpys+p/oKAHuCE1qHMiVYd0dFOklD3EtKEao3CsgaaiQ2mPDDLFTrcxZwwT0B6ppZw8u8U9JOuN xyDLyxKuV3vPtp+sgPg44kAvSk7fW61miFBmjzMSE2ImPT9XuupVzOPAjaOZS7lcPzrYdflu4OX0 nyt3A22DdLoe+8TUME7+Qnkn2cJu5++t5wh31oYGE37nK1w8qvtbatI8UsI3xHn3SzgNhHaOaUxN Wyhk9dGFncIUudNNFaaabaQOhphsW7F5GQb4T/5tUNWywx76zeXcSRKDUvN1iJir56wZW9yZ4WVd R5eFWp+o0iF49rAzn7f9JTM9ZXmOL0LEPvv4P6zVpSLssx/5PmvFZSuA85BOmo4zU9bfZ/KLzrYI /Gya8Faixn6Y5Zj7hPtMwPc9+C2BRcXIONREAjaoiIu5DIFQhN6lTe0+I2CON6lymhQQBoh4KteE 3+bdgFfRIPeAnca0UgVBBfRU1MrXOGsRxTTkN5Sr1hsjh3Y603rUpKOLdGxw7AKuA8AHFNrvL5oD Ixqy/7Il5uRcRHu4oGaVG5wkxJTAk2QXpnHVyt1iA20y174pnOKQr/A8bI/+NEMifTdpHn6Webtf vBAxXCcFmJclMe9UI0479jH8wnVhoeMA/DvcM+66A653bxoCZjkxP7ilLtEeWr0Ew6PJzNJgxdbn WmBPiEh07CL1mgFl0hI1s0bjDJWohiMWEUWhUgfA5jYE6egyy6v5gryu8RLPYjVu/I+sgFFFTSXP AM+CUgiUDlHPm6Ja/8ZujPljds/2R5HX4uHzkUuB8sEDrsmLb83hICyU4V2iKPzVYln1bengguwx 6W0xzYMJPwxZrvnT3Xh1StmYTHHkHeQtbTDZ5PfrB2HXtJsruTWXLuKrOk1T1NbR0mdGgwCRKo2V xN2ITjryF5ingJ0ma9N5HbZ0vEnSa6cykjV1Bfpr43QipOsLy4xbzORvXikemfCVwEbHV+rvZYet zPqX7pOj+E7oPl822SVqdjeU5Y96f0Id8Ah88S+jv5L+WeRLRqkrJpTLwA6679uCQ9iUaHI9FpOq XGIaVYmew9k3Q7C8OUGDRxnW28smHE/5R9EUO2uHDH4R0cBjIFpE3fpipoJBRukbAgvGLt8lZTyy 3mZ4TCK6AcyZPIwz2/pauV809vowHXgd42N2Zxv9ndpVIB4seYMrS8ZHvPqsNXBNqu5eTuWoPmxp 8McVpc2j8CCl0Da5OPvO87j+HCGkn6UHpEliZ2btp23q4mq5UocHXvq2eRBlpZRQe4xnmDxpCTiF o5cn46FEv/LK229fxynb0+kwmV1jAHS3GSVRskPxCUScPrqHD+t02CCj5J2UHrwNwYi4AzWHOg0g 883uYxlur9PhR/oNBn6HP3wSA8yD2F2fUm6aVXxpwKbwFo3fE2FSHj/QhC42HF0aPnKXoMl1gGUu RsrUNmIn/FdbhKUceOOX/0r9C8YkYhoApPglItDxed6CS6kE2kgGNrwGtRktKJEVV4bFEwdA8KJT tOIwO2c5IyTgETTc9z8WcxIMPZKxCvndwj+1i7Df/eob/dxo+t1/d83bjAGhhMtQhOsnK8bIWq0c AzGLeWnBH0PJ1fNuAjG3lFyH77tWqZT6S5yXw0DXMpsAVbK94X8MHuOaCO1VGcOSQ1WZ1zaEBs2i vmKSJSNsQvuYSvV3Gfk9AKTsVzZcybkM5uE9BgnqJ8r+Bp/JT4b7I7oHKXoIqFmYWf52BC1wugZo sY72q8UPPXNoU8eoxBJAS6D+AKJzBJe5tH2X69xAlob3e2ay/Oil5Jy9HzIQFwAbOn5SPeCYsXBc GM0JBU40VuErDB7Sy10UWAp3ZI8+RlOWHZpJe1t7pCi9BFFq03WUND8o3Q4omcgVPlxt+7DpR/9t Q/RgARbB04h60Od2r39LmXvNUvRil+6oE7p5JxJ/BQnGxeKYgmjwsSOY6bcPA4TnkBnfUcMMMeYr DBPEtPjX0iZ0lg0T8cO6W+Rc/OBqvzPFvgYmgq6xPZ4zEKHpJafuw2o2RABuS7eltOkgXnRuBxkD KspP5SBY+KdeQ+yQuV1T7WxFKoBNtQsDTyB7u7GB3t4NJuDGCgf0I3HsD/1ZnEvh+hTZx5I9tLkN bBVgsrdlrHoUP4Mq+TqtEiSnXOJTcjjW6oOmYe6Kxkb2KDdluAEfV+90XSwGgU3dO4scjSNRwMVU G6dtynNwbQuySnRfJolvigj+yQmzxDmv/qynlsnJBSfHSFOE0uOVUwLm5wxpaFV8wtokh7T7Xz2G 3AOc3tT5gvPLjcZ0S7Zq2ZLFPAWxoQCzaa5T/IKbJFvSorUHyRkx8h0AjHRGsV+Zb/Qmv7hb4zXt /X0FE2x14QiOnX0s1g9HG5DXee9gdpkSSWNDnAcrG3mra6HRPXyoHEwOq5yvHynn9KDMRe0G0SRq F+aBc1gWfeWZrsqqhZjxvvnkYge6+srRd/Q8VGJSY+ZoXSqq8G8QkW5AUQPLvH9HFcFkI1H3VDK/ DOzccl3iqTFuhXt8+pCFZoFA35P96ld7lukyMJmTM59JDgsPIkn4OrlDexe+lgjlFX1TSMYk2Gp/ UL5eFF6GW7xpFPCMr0VeBHC65MBrjaIngJG5Q6XGgsJ+VbIWCzUGQ/8dQV1Erqf0TYN5Qzsf3ac9 LwgDZBqkGUQbuRxe8RckEhOXiWeztEPGG+Bchv0yAdndMK0Ws8x8TB6c030i5h36c3/k5ufPCfuA mxqyl+1PzFPJVj10C8EEGUVV0HN9SzFVPNzVVOl5A0zKMBUbfF427/W6ppWGBxVT+Ts6/vTmPXEk HiWt5s4azYx3a0zS4nJpafTKJP/w0QUww9EHJkP2z9xImNqMfaQ3ErwDAlaSMPIwLhIRVo/Ei8Xt 2NRgDGTiSiKyAi0MEAf2eQR5UxpslWXTZEXzJNG8gZQB9bkulX9jWeeKeqEOgDYnl/DcWQ9xssXe /rClRxDdD9UvZhPTu8fAuSs4KVV8g5YBhsE7QuoKq8kD+jQ5hk4aAfzLg+akxlhyhH0lgwLkz7gu cytLaOHQXp8F0UWNd0w9vzTZ1oU0YbDZteAuAzgMZqn8wlfQcAeJap2WLLZ8Gx+1uygItz3wfXyt YxR5dUSPWE2P0M6puHt9qAxzBy1DTvZdPu8B2FhKzvUvfXL5p34/WX3i9Gsi8OXUD2N44oMUk79Z oHVWyYIXkJZYn1IBfEU5krFojx5cfWo9GHM9xpgfYPZhVzEp+yYoVS2/8D59HnJGpe91UcISSQJW 8rGfH6GgJDhvYfe+mQK5qOnZ76zTJtndXnFcXD+P9xZqGmDjQyxwR99Dvuy0WOZ8DX+p23dDEFcN gdUGvMmIgAmSzO5MEuT0f6cQktgupiUWdlKo3o8YjWrwSV+bxrS4a6quaKLwvQT1LGYh8Q4Y4lek 5tQWiKiZeaiMSEMQnSS+HaePp7WV3KlrH8f9jBLKI5XR88t6h96YCvDlU2JxMylCWbJOBKklk5L2 yK0vvLQLL3nWFyhEhIA2qj8WaCLm5kokxiq41nSuRM2L8OCDsvR2HYdU46LrDYNvZIPYw6zICqx1 nwyePlz3I74nl1verBJ028eWEyBG03noT3NI20ChdprdFq39ZjVvIY3Fj+doBgDghl7EXU6rZznu nAht/n+uo08on/7vDMsybFF77cgNtcBVomJz1CZO7j9bOP2Q59nOuybFyFbWKFSD51iyMeuDQcP/ ss7cP5ATr9PbXvU+w+/5uIe1JL8w6yqHmFrdrTYr3JWHGvDRDVRHQ//xb6A7F2RDfydzxfffaxDE mKxAYxaORtyR6KzUyQBGv7OQmFssTrXPJRPiZ+ZWFLMoWW6fdPggyzUz/ulP3XUrye70XQQafMXG 6XJeylq9c4hW4VRSjFHsl31X+OLX28u9iJhF6kQ6C5wxh606WziNMNqaDRCg/04gO2LYPBvnCH2w kGqpPvIvt1IitVKfgrUE1UFLh3l/WOIScik1fpDAdqrl+PhpdIf8GCCEamfU/Pzautju5RcKNS1M Ebh3lSBYXj4Lt4hORw3AhrDZ8IDP+jGF7W/9kh5yMmCsZ+dyRcMY1Kae4Qs3+S6SN+ON5+MWznh/ luasldonrzw2IUMJno89S79519dzKzmKTx1jnb+f53yToASdKuAa0KxXVPnaLn+UdByNNMMBW0J5 9H1+1FhcPz0eEcEz2tVZy772r77TMlnAubxpqdOOxAeVjNPHmrGPtpECDO6aA6TsfnRY+6BAAyXx 9mFezY1R2e1ZDNDxxNlRpgPwzk9YWFquuZE2ICTVVTtFx7FQqhKeZ1SITp7a1iwfNoQ/aVFVd66M gkPiwsQo5tfdaO6wOiteBLQSbsr7ndks8hEp9AUYugDEbiedNSvMm1UslaNzFLaq4HLc0sJX30MR HZ5rE6JCl2/WzjoU+Mw/y5B4/mEj75Baqr1eVp+WbT9OpQC8Tm5hRgHl+NREhxIgIzJ+Vz0BFUQj l6GNwKdDeOJ+iROg2/tVH9z4csR5y7wK+tJ6LaDwPH/JKl5MixkqI4teC3JI8fZnIguHsQqJhph3 b1uDMbKrjRpE740odcid0LbfMGcsDgPLCUBTOeoUe1XlCEYD6K48jRHxOqVr/Zolg8me0ZW/5uS9 DMxo7ie7qPpVFyjBqvlzJOEV9LeDuv4r7fvSTv9MtViMcOErdIouceUhjwxEUEBKfbB4R0OMY7zO 1tqgbKJVQ02wADdMkrKOjj4QPVnq2KbP1Sj+uM0QfMveI2t3roG2U5GTORXVxSGQQ4a1zdj/1CqW FlpeN/wK9ikV+1b4J7FsEpT/Lu8ibn1RpkXPpTvOU4TAyaIYWY8H6AOWhrCHhAaBo+0txYGgN8AU IbOEF6EcDvpizgrHWG+FpXbUqZlKUBXHCpll8FTsQ/yD7Dlbn5VzkkUlOmZlBifhvYPN7psEMmq8 xYgkavz1+lRjlOw+bRoepI8oInmQ0+ozKAwSzkuyu173H0D9/fTe9vX75YTZEh2sa9EAfuj36PUL 8zP+E5lRUs9Rlo1GayWHcQHggNLTa/37YlH+WypaG1sExpuA/Mut+6GBsSrUlCmrkz34KUhtdUEK Z9TDPgn4IGdaEDxXwzc9tXeM4BuGQyAKCZVKG0DkKpa8o6Dwo1CfcsCoiUDZ/VemD6KivJ33aGcy X9LmNRIOtjGao1fLxnyQhur0kJzw8ldqYqN068WzIOhfsPYKjICYhLoFSf1D8FRkkdm4Ajyf/l38 7KC2Tkv6TvgqCz4DH29TEX/MjujO4cpTJifCsOtEAVxlVJnpAbZHlYjkkf2W/EQJPRD3vbx+P706 sbZOGBGUNciYuXAb55lvtdkllDZQoYHfoOQZJ1/mQEjoLeSBDMBd7EmpRKNFHrhKAHC5zxmjszo+ 8Ufhcu484veN32fUpfQhAcX/4ocvRIjwM8cKMM7udrVLYSdJrmxg3S+SC/JpdEq+5pkkonyS4DQw utQdFAqOV5l7RzMDkSo3kWF4oRZZD7ReTf9V4GK+dIgOq94k2yUbBo43cfixKjKow+FCZTDA7J66 6MwkE6ZNaKyGmDxTQKtXa05wOto3R4nAkmsEE+w5qVAUYYzp2n+Ka1xSTCa6BwEAMWQBf86uKgn5 UK4q1r2iXeUrjKn1Ss6FLQoIaxBslqWUpu4NYYgnjl8lWyIeVpLBPFDWfoutlUcP3UnJxamcLdUP 5HMyhm9g2lQfHaAfbhMLGOOwfXRNtRr0O1YcOqS4PkR35scHH9Ctzra2tnGEA1j013TLTpi6LBQQ n/V7NPGXQPKbPj+14+D519obMSqxz3NRmUlklRgZMIQG9xwBuk0+xPCdlFetkNnLUvDhDr6qYaEa W/544Bkog4LnjqtaCpyw0QIJf7sx7eYXOHsqbazny9nM551Brqtxz8m9wxRSxhYg7l48Nuic4Q7Y uXUzJDijlYrVbuZQ/jbEmDxX/NsGFmcFz4pGfRSgcmr+X7YKnfT21HjxTJ20YE7lFoclrWV+xObE 1NO92Vu7D9xWQUL+F1+h6mZP8YjhsdTTwxSOBQS/ptvxymxhLP845w5xIHkXwLqIu3u7yHyg4+dz Gvxl7qUpGS7hve6IFR8MATgAPJ3xyKwml2QWPPaPr+99PvlF6ky4zeyYC1sM0BS02srAvXRyK9y5 e/vuzY3vBqs6lYT2UWOaf2u5xAqAIdYOiN+6YTgWlk/lyWDw7Mqr+TPLFQ7wiMquoJBmWA8ygjUf lNF5wMJ4+WD4SULLX1LkGd7F62IEC4Mo+84qtMVLzabOyjhWZvgmoFnARLkiB/xPPYov1B6oI+UO lKKUjTN8K/cSCbPEBcGY0EFSJ7kPmYbZWAoz17H4IABwRZQEHvvDDxwnqERqfPjBWZAZofUNM1hS mIfQRY6A4L1AGzLNxnuKrxRmYgA4t5Sdf7vYAXteXoEDmGGI7FLdbRaZTn4PuJOxNL5YIRL/ZC64 oujGHgbQkbuVcKHeGq6Lbz7t8PoLLpb0vPTusW4y2RuFUdJrCaAjpvFxoW4GTSMB5nuiEwDiXouy JX4xLi6FeUdkOMb3Y2dwWCPFlTvuNkBDYa+xcjCza7S6ssz+2mdkJAnX+YKJ1N3ToYmXTFTN08pW yopz2YkSMb0C5ypR+PxIBijWMvZrFRF2DcJl5gxpYEQwN24TZ11ny77XUE/fRq6lz27wMtHPS385 FvGdwDqei81IUxeGNM2Vzx9USO51XNule3npOclS5q7SKLx242xhCH30DEVEQ/4QA73x90ffmyEP n9CHfIPVO1k3ye0N+PWxuS/qyw24QwF+QaO+id2qsaHeZJjE12CowmnlzKQkydOV+164suXm4uwc A8GBQ9cY1ICrpAFP+6KNqE0GtlGhwkclp9qp7VSsG6VWALxMlzUE3N0He3B9XMPsVDahW8/WbNUf MOLaYNid5EVPFT+xBwfqV+VVuyUFrqCKSFoAJDccJNORxDIib8vADF5sDY/Cg7TdoNQ2jOvCSRNy 9tOorX016QZqAb8PNvC445sWUlt0PXXOT/J5SV2ga7V9hdKM+pVEiX8DHcwz+orBiCYRgtCHcNZx ay2HIEurPdGYMofFA7pepWxBD7L8Otm53EsFcRL7Vhe7F9iOOhIWMTyUXxV+LccJBdKOpsURBT20 hcEMCsDX+Lgebo0wClaCPJ8xQPgVE2RGDnAtusbr6MxOPVe9y+22UuTC/zYYU8r4uLTETqQZf4WG JeCeiMu99vx1Mf8yaDMr9PoLSoKKRmeUv7+BIwA/6xEvZbGr9FLdjxu1PgDAFMFVk2lFX0ULRMj4 hdDLUpuWfKfzovPkhfg68ZD92NNKH9gKezt3/RZw5ITyGkDBdeaymXRk6qruzQ/R03uUetlOYB/2 iXUHj4ymqNqw1AnO9vt4I1/Z1EGjW24eyekOWREhEsRp6xlEpWr+snfZOAp4w0Y7aIXqp9ZrP3xB jVXA7YoN8k4ohqN+HjW9uRYYtKrTWBNnQ0JPHt7Yp/oqhadqUoELpg8ypGvkJ60Pz5sZ29VAZP+P WvbylECA+tNdhduMCCDiFvs9V3pDOUElSf32VDvXxbKCkd0b2Z5NGglyqrvfCls2ehwR7F8PZRwm I7ODuwMZ0FScvg/E1BxpJRpQZDJFmOA7FgtO5AiAJxmLzvODuO6hPrh9wU0q4vTl4hcmSo3vIMpp l0Uq9JEMMlQrkQvuGKJsdNtTK5mH1XtiCuuAMqi/Ra0RspWiDnAkSz8+JwMrQ9obHd7dAhIUyCkq QmDJjFMJ+vDYCfy8jQAZgF57iZNHF8CmkT53Pa3Ri2HLjf9wKKsgEPdcFc8Q/jPoFZ0/pVhOEJi+ REDJ6t/mLbUE3obRS7YGaLc1QJ0Sf0pvClddVjS8LD/+aQq7kc3hmWD6JUd+m9BVATUp2ngGZRBV mhHBJDOBVheDQIaOVIhZ2wpySVrbVEBeNw/foPNIcNZsLMdf+MUNJV3n4jmLptuqKctndv8WZsKf cMEeG80d4blNsyTi9hGKtGkScRGT1x08jTIDBravuWFgAIxc5vtrzqPjbt0dQMEY7G8/XTJde91p 7enI7PjqR9So0nqgq0DnnDyD+Zwc3JW89V9doh4jYMLKhW37H4V07ct5bCKbGB0FhgMdvD2iOQ4v wiqrgIaQAtTSq/Jg0ZlnuX7n2iR5HTOFx8Dbuiblbz8esOYtoNr/TPWB5YLJYBg6doIgxF1Q9AI1 NIKR8cV86yPBeZL64DKk2AvwOtr/jZw37/Vj6LhCzi7Zcx/z4E+q7mFnzAzMRvnoop3etpwxSZ/+ DiDAujLPj5S1lhj3dKs06XGmlI2LVZELbCa5VmPNY0LLqiP2TffIUQtcerAQMzrGe9I2x5sQii6X lnrQWG2hpzNHyKKTerZW+Qg3qEdFt+AiiA1YC1Dtt6vLMevNNOKZO8najJ3m9fLkMVKgHYFFqGyQ RyindNVUM6O5ggp95Aqj6FdQqj9V7h9JNktTEpxv6iWIyAFRUbS3QP2rcHk8QzVaS3bg9E1a1rAa c36kYu065JFwExP8YmWTEokxCiLlVCdO9TL5A0ES4cMbZT5zVU0GNoBiRmzO3XdsLXlVusLnpeRz /LobBxD+cYVHtrejQ76YUUKk1B9eSGDNndXGFYre13xeTEI4NooYZehIo971bS1rUwcau6XSAyZ/ ecEAdg+RFzYD6Qg2plDaibbSS+cVlgPjdME0iT1hFY67XPe4YgTRxtYG5FRYvgwSYQYF1OTbPvxF lDczE5kSgE/QTaZu3jEpiz7fUgd/DBGKx+MiQ+76qP9WcPHUzZDQ1ApuZeQ2LmMZbQGg3PSQt9Sy ZlVcTS+SORSZdyhnUAoxl9Pdi+15YQu7BWM06eTFfJqVO1lF6FbYCU1uysHrGmhhCDTSMbalZfcK /s7SrUbcJbBrBqCYv2ufdUV2XJto0xLRcBXpvYr/j4tssh1rvtnUMf577nou3M5XB+AW+8Xsx2Dx diIiZQet2gVHq6hGhDjSx+WTZDi9SSh6daRPecaTDpG3NhLtQBTkq4xvipyr4zsBtTaqSgg6S22j 4SJjKbPHvfeIiJFnMtqDlbOIejNpqqAtXVkjXJ8FZx3j4rnQgNkJQ5bPc7QpROpR+aQBwNsOym6j K+7lI8pA8MNmNMI4NcURWaq4fqK+vu8F0KDblzWA/CLWoeEaxgtuWwSnolapPK+g4rV8/pDV2IYq FaqIj+DXs2hoso2RMca8zdiIllEBolefr+G9WpKCtdNdx+8eiGpQm4Jfg6o9KILTD9wA79pmbarZ iZ3f0r+lixuqe7Gsjxev70tuR4+g1M/dp21Ims2QtazqM1Sx3IJ2DakegIKPf/l1LY36be8v+sz+ 8y7VuRfavE1vSUGSff46KKCwr/K4WEiUv85fUIgv0mCUdDWnyQRYIca98OAKsX0nANF1DtGkF6OA FeIenQLWRnmqkQWjzGtQfgiP82B9vD/QZhHO6eE6XiusYC4mYAKs/c31zs/UycR6pT1WMLSSgo2+ 3AMEx9uwMOuTFac8fAqGumjppr3GWn+1iojunvlpJ/CpjXD7xsWx4rlq6Dp6eDWCD6qk/e6JYb6B MN/2kTsho2OFkRRhY7ZcZFY2/HbfvT14x3Baxi82bYWwzDxtJTBI2q5hIOJrqC0/jd/2JOXRHg1o h2yS3u+2Rn7/Ln+Cx7h/XTPNohh2Nwok8y0hHr/eICZkxKwl5opfYOwKaqSYS3dWS25BTq8A1KI9 n4FE8cF9udM03ZbXlwxC4rmBWZ7RV2a9+i75oy2nvByror8hjp87ckChYFu3PxNDwGqoco0pr38f JhyQnV1IqXYFZb0Y/3T/EpvF8aZ0wxw7bQHfdlsCXEGYHvrselDB04/WlveFYKxpphNrz/YLN+1X EC7U2e6mCjsd0TTJX3NIr4PKLMJwi7sPCcBHnmDth14Ix3Hosd3LBArYZY3T2jXcqZduEugeBqhn HalJeXuhJZo4bHqA5fRicyu8CxKzqFhPKQTCE7j2TQrWjOnMbarQWAkLpe21wfCONKDPg0+BtQd1 84Xj3UhJRqcYvsy69f7U1N3YrjQofMsn704qZLD2hJ3RjkM942V78m5yx8HTPqrlHojttBvMD0vq b8Yg+MCa2R7bqOZ7QHPUNnRZpFEWzNYK9S40AmLln/Co/RU+TB0By1h3uM76uW5Yz1aSPn2Miejg L2e6+q2j84J9zpZ361DGDU8kybYrmFvujkuncQE73PE4NBFGVARemqAVeJb05c5gblfh6EVWdPzf ZOxKdf+qMuMcOUR+unhgihofauyItSwGwdMhYtgZmIZipUbbTmRAu9/LApvwpv4ucSvCbHTGPsvn i3ePvEbnz2bEZx+F9ES3gUDmaowJ7EafSviBs0uvm+/nNvtlZ+IZSrp8LImC7AceeMvH+dg1yxKk WG4+kwNw9AxZKYeiZIA9JDQv76PpXQV31/cCtpIuix+JvTqHWQdLlud2pBh69/PQ+dcywQ/rSYs1 9F2oO2WbRkqzgbr98+BCteKBPndRtrBS+YIPA34iVhl5RpcylHH/W9HR6IJan0tBp/0AE2pi3MfO W5oAoX6Yd0r2fw5mutnNH849SXwwCuyDjIbpYGgKYz5KBHFhxch1H2hmdB80YDH3G6uOHSsyzuPO 66mfBNKKJ5j27gyzwSkChJSCEqDUvXn+NUKJWHCpK+fqbBomsmmgbNCVaZdVXpTXkDPnX+ysXSKb IeYYRIn3j+PwT1ETzBT0w0nK21gq5zU37Gth5INePapGfuh32P6sdg1JGCCiWGtomiaktJJkqbNd Sy48+SVNoAKXF1gL3BY5qGrXmktLjm+QnCFYVspgwUWUVASoSI+Kh4jUtbOLCTtSXC+ZsyaFcIP6 qKXW3pUwvo/s/GFrvVsk4gGYWv1vAJkYu3rSjOqc6HQBqvBEXdiDACR6kFooK3kbbbclDije24Lf IEpu7O0cHoYU/GCi8k6llt3vHKMZ/qb6Ky1q9d2OFddByfm7qOQJjwCD0GgDqR5ULPi3B7zADnjm XTpWFnRH/d/Bk9VJG3TiD9g3ZNPl/JDKc1BmtuHkHRcMUCqAwOCww9tpWD0BbecRnp6JGEsM3ByL OLgECbBjFGaibh1ObRCDXv17+W0tSABFGe2TwhbDnyUiYCnKZkGhAR6mUhbsBXMAwBdPI46pWu20 adMEgezEHt79VP21UNG56qkoEl4+rOgaUWuFhNtyEzNZx1+kc0D9jo1SzicXQ6W0KtfFgjuaqL8M 76LZOS1SJL/izAtF07YobPD0NhR0auLAMAntQOO+WkmkM/Ral0CJb235TonQVckIAJQoZUSnm+Mb OEY8aaVMzEdu0Lm0janCbniFBjVHIEdp4gcNdEQlfVVkrxuTSKqDkFVy5BMGCs0iZGncNiEthHXK mFxQ+Tsyy0KqtLG6I/6Tt0MygC5nCBLUjrkU3D9VknZDf2/+rtAw77FsS40WvhdPP20jATdAo9YQ xVioYbYttIU8u8r/bQoOt+IEurt6d3g7NVypeJmR6MgbcBsJeljy+FHz8R1Xho0m9LubUnqf9awc ci2Oblnrd22fRR6gIz3hoovQ+40Ra2aMGaA6LZPv2VId+9qveBK3QJfr9PruBKn73PkjsP/oxZvk v4FIiCCD02ThgHSMVSEqdhnbpVBILWRscmoyTrXSrao4NmWBcM2g27r1IWHHWWU8VldWbtSjF2bM yjuN2sL8pMQJnrne0HdRp4xCHqJKuvdHAHH/5FjUPnneulxxjnQTFXVTesf7z7wX3SJEaRSOc0On GQ+GWaGzJobLTGQi54mM/ZdGnTnaD5XnR45E1H9kcGk3G9PohUd8g8zGw7hL2V1SRr8l4q9Yk1Ix 1TXSpWKNRvVvrFk3Pl0llW6FWl2kc8Vx2h2AYqa80jdLI9bGyquPiQoDnoeAniwNgpLtVbmPKeLe xMDxr+QWnpDgfJ6XNs9j/6QC6kxaYcZaa3oatytGUtjVLPAsrO39qUgETGbPXHSBxsA2u4T5GLvM 2Os+eLuoWbIEESDAFOZog/tYrNcJk38Y+pSb3HmkrIQhPpPmHWpK/B7FHnty/Txxhr3+GlfjskRQ yu23MMWg0FO8knrmdLfWOpg5C9bWGLKtmxzWSu8VxzQ9dHmqfuBTnDdT2y7hwCsJ6Rw3CpzqjaYg styhyR/WSh/SW0dGBKWyZYj5DjxpsIvJDBlgFpwJR1vuEjmoFoUFwz0S9Gc5s7zqDyGf9UC0wRkC mcKuLhpIcrTcHv+v6aoM7Uj7UlH6ZOOFzepiHexe4lKad/7p5cA5eURU6OzbnTRj7jxnYZTp5x64 AT6RwG7/aZ4ozAxZNe5r+7jczlFBeuKJ2se0DYq0GjT7FaRNaRW/vsyq4x//xpUvi757W7H2QYnn wi0K2rSYkNxbnjlb84Of4cnblCioEgcU60hMPhOIlKubR0XZ/76gpyJ2uHsOchROeWtH7oCJgud2 TCkwFL1nWeapdp9CCGG+oEdwJT2OtdV1Q1pZUYjlGHiGIyf5TFKz9ljXnf1k6CZq/xgRM+0WItSe 9+qlaHkaN1QdtQqrCZwTVBf8Wt6sFZhp120rFq3MVGPu0McaC7oIfr0Y3RR76t+uVzGYBJl1vyn2 B+eRYNopAAZJX2FCfKRuTt2nd5XD433tCjbdoqpIkMtERYPlL7ogw0Q5trzyLOia+R6rOz8ZNynW TzcAk5TP8AsN/jORSR94RJMnCpVsPXcFwDlfZjZHyMDH98Aih65vo3lWnNiuXLl2Ld+3AyYmQwnd 3SPc9+qDDPWEKe5lhOKgkRpL6FGF7adES3GjRMLsqbKK6UpTwcYFb6bNICwREG30ejgonWh9X3Kv bEGXTJM2yTFcQInf3Ds9OLLS5w3ABpTWG3bq18ocEj8QtUws8GpTBvT5+oVhMzNqZXAOZms0yQly 5IuiSQCFNxKGGwJhjHpgRFHhaROjSzwgkrUqCnzennh6M5blrKWrGp+9CjaFqbsDGRgwYltv+w8T BERlTesafIbUSbdcUNsFmeQawBlh/AbgBNzQPproj9bVF5q5w7UgkW8gL9e4Mnig4ZKiNAIq4YdG A/lj3M53PF0ORE4+SlDT8A98z7W267ncT+FqKxHMZUaTsNc55+1f+3OJjHusGzKBrA9TNj7xJsLf 8GWaClhSFFgiGRT3obHRFO45XOzoSg03VCpvJhdL1ULzUQhhmOudg4H9ebCpfy3DWcucxXT8XlQF Vro/0XstHjAllAUDJ/rO4J1/dSiL0lBzbglK8jZUNuNDOVmZGxDs/pJ1JQFmHs4c0IWO1mOvBm3g A/A93qqAaG3Ng5DXXHoR+po0O+/vR1WL1a/JCaVetYAUjb3/l8gCB9cEPWO9dLxTpE32X5wbw2LG r2qkCprdILMUIZcx2P3/lN7PuQxGngMb+ez8YFkMUUy8UR6ApjprOiKslo7SIA3Fvu96GbPk5Fg7 QNjlKhFKe3RLDdue3KWI4J7hwgaqcQOiR+INb3p/SAaREoReBKNzvRsGRgTNZeM98DhHgNu4JJXT 4kfRfPLf9ArsusXAMU/KlR2qD6WkrBgswMOwynQh2Bw5H+ckMIPLMnwKuFSLz7ElM9hGZSAUFks0 6P+3KlH2qjN4PQOg1+IbCkbu346Ns5lYTyfu2OdXl2J9j1wtzCseNMlC9FMP2fRuIgEZk3XqTnAu wWlgq7Cv/qU7s0eyFRgM8rtaj5ysjbuBQVkOwJ0jxdqWFwkn6Du1YXMYKhyZJwlEUKF27ZsC08sg UMeT5e3dtFsEf3F1fy4PWc2nCGTx+qMn2A70wi1UT3aqiF6e4eNcATyAWeva4tN6+wNjSdIl/QsH 8shZY80rvYOIejQKdpPxDJxKBZCznQKi8dxhCa9wvtMh24wmvnvS9FJwjP22JYfiB1DUbeM1GHDp 7vYzRNhSbyORJtUUkBnJZeSATuj84Zg2KK8t2132gkTMhwuMtyJewFOfhYoKyYjO9/T7DZmzAc/0 f+5mvH6ma0JHNBlXAMcb+fgguG20gBzmHx9JUzsgbgu8CfSPVV2/8u24drWC3/jNnZ2U8B4P4CZr xgJ6Bc1JetQQfWrzA82pfDYuA6G7jeDOPK+Y4xjeoHrnT4uuKbblueH8ZnlUh+8ypR7TiKc/9qmS BN4dZwueH6gIMlSs2LB4cXasGryMoJ9kGuEmf7oLBL5+p5wTkfT0PLocJJNWkwlAA2gM+OVhdQpA bgy3MtNgx3W4x+7gO0d6uHphrLAkjT/ymHM674bV/OSysMqgO166AwKcB3cvo8ZGqKIag2487Qj1 Vuo9laUe+ppWcxt2HL9WJT6KQL8wRMdilyNUFJX7nDSimhMqmbTzvN1hiyjjkDBl0Hwg8CN/FN9a 95hDC7/EB81Ut5IOvrNhADhH3MurLmXyzz4dZ9MY5o90EiXGEPIg37YEAmdMo8fjF4uDgv3Uuav1 v/ijg+uW045rsxhd1RpLTKLwI+f7x4QtJmu80R6hSFHaO51p2/yMm6pKLhFeuyw6SFow2LmD7aI3 DxSmuHkp4ulcpq2gTDKgQXlyFdhY0/q7id9oGt+A/MYCPqY1Wyo+6iWv4+VlCfFl++iWsVFRsoy1 KUJibppbohTZ6MjwIvhSYNOHwlxaE4RbQNuneNDrQLG6LSWDm/MW1Wh2NoOs+lZ1X67WfNUXjW12 RVIlxxNDWNkyQsAPpFCwMIeT506guPh2s0nkr9Pt7PubhNqUE5zsfWCACYjWhzdw9gdjZf6hRHjW EzSSdFeTzcyliAwBHrhW8gg+zsW4ttcQywwaKaLpkRGfTkI2u71vn+6zWPX0gj/5Z4X2fT0QMlIi v4wncWP0BXvg5TkralJsXMtAn2SvzTQOhEvZDMb4/6ftLo0/811BnzEhtP3ejOUxHD6LlfJJol+y qLrTt/TSl7bY+c8lUiIz2VW0vRcyeDZN3TXZWlBgnDThUMXX6AVNENEDguHLGyckE2R+BICDRvUw UG0nVuT4VmJ00/UH9TBcA63bYuevcaiy1abX4vYtRU3/UG2yE1rZCpLKp07UKwLIqR766gCcs2Od AvUcQDt6mLWUxtLslQP84nWFoKnCDKPKAAxO7geDT1DjZ1vL+f3xnNo/JIr8T9L3cJWU4Hlgr5rS cLEDPiIBb+M0x9u0gEN6vNr0jHQHKrw6lynBzTB94cueNkSkvcoAVntya7N/ds31gBLQdZh1T1CS zIEbO/8Tw+mAXB43oUYZWXlrhLChyBO+c9cj7CBJ8vOfQwEszMiPM6qc+3SYKf/eVaYZOCAKlLhe 6qQuBvo+FLxbVsdmj0Y9MLLGMQaRo3USJ0yS8wHOZiIAW9v7tvpBv5BYJzlBlhC0Xh90Ie/T6y1U Ge5tdJfpGhrcvaOx/VD6B1vfGhPMQjHM4ZYh7gR4kl/nEyO08yjRsRcWUx+1UzRg9EwJ487VIet5 y0Z1Gkl6uQCLYVDOI1VT+Nr725Le9qVtA9udTHRPf6vU9MpQPJfnc3dlAgS/UUIQTNrYdGus1ZYc ldM5x7rODF49WznImm8YniNTkKzhvzLTlrzi6UUv0V9Nkk4CsOSnH2r3i6wJfupf16sB1Drq56kD PjbOzhjF5vrZLv6xxr35RQ1Soi5WNwWrd+LeioCcOH/Gcmmry9Zyg0/Tfrt97RlEPXru9Gv9uv2b 6ElZevxsfmMJBOp7ogc3qYXAsROodAOo0BRMyDxAbEM4XGwFHW3EQ0c+ybuRYx7nBlBmQQOxbbmD uIxG/tp2YjUgQlGCL4XMenB5Bsyb4Xtwjw5R3HIwNqPYLLrQHW5yhfqXp46zrLGN/3mZAPh4q6yO 9uSij4UdUQkZ0Wub2SZycp/4hpkBVgql1TEZTt9DVc+r+0H994TocK0vmz7SN+3luIftJsGRSSDr zDD5RJrIFPVHRyAAFW+diTmI+xz2OriuuMCeUGkkGNlKAzdDIzCaXT72+qvpzJ+e8tzTYjBOrXQ+ THTzKpO7Tj7L8NWz8foky4VsYok9ixh2W3X4ci4vSTn6oQoi+le/mTyjz37stZ6tF+hiXbBnpTf2 sWKXI9ogdvkKo+Sxt2f68J7FW/D+g6OJMU+A2sCKe238F4J+qj+ZP9nIQ5vDQk/9vyeGK6PjJtgv +5iNr3+c38rqgq+5QZ7UpJz6YaLGPjVYF71y1VFNRvemG4VWRnNFOETZ5OPkxhCiYQkvcSzVBZUI DEonEyYA2GFtzstpGNsBrbT5vJMS2B+kVJkZYvC+ozy4V/sZ8KouJ0MOaVHipWF5iGeT0LTkG3Co HMEEHlizjTqLNReJLpgq+LLc/vqIlJpgzP6gUnu2puJdeOjbsV99U/+25+6IQciMnX/mQr62vqlc 8dXvwdQjD/NzGUMfeFeeW8qLIF2cyB2/vxXgb1kE/ItoPGPNw38pIB9RLQSP5tOlzhw8bgoIAt6X Aj4AMlh6TwvG7Eh7UlDeKDknuoDZp7wQBexc9fiW0heRgEG4SrxfpuA5waVV07LPz9QYz1K9Pa4c hkNCfx7DoleUfFPz+OytKr0Ne7SQi1C9ii/9dHoUrAvwbf4p3qATvALIvIM+iN0CsZv5zUnSUvTc go6ewj6knlHskPoT28qc9wp+BrMzE7gCCiMu/3d+3qB0oqG6QusU2Gu5iX/nEK3ian/LoT6OZm6D KII6poGQUVAleWdtLetogMvdYMSoyFiLnP5QzAwdyYfbME1PN06sNDDTqakl2ThnDx1dT82bCt0y 5Q3ERXENVW7xFR4QSOtkJcvkiNkvvhOnlnBGh+eOWx2OJihScxVEVxo9xKjs2qg/noYvK6iQ2HQ+ XVMi/t0Ytt3EW2UeNpL6+nhc5ytO5ObB3Irj8XS9DCq5d7GMZTkqOQWF2uG6ApFuFxuuENQPRlPy BWuU9iCaph91meVoc5l/offbhjJCJXPPdLej4r/RYQ6akVcUXgJjm6cxBaOhSEsmEa5/WKSp/dLL Kze7UzKZ9DRK7+2kZWa9qA6+5JCHSs+pVqe4vYAJ+GbZTJmn++3K7y8e+yevHJov0Q+iVa6WEU0N XwK7EWdt0QWMiEWzht2c5+r9VHZfc686hhrJpneELNOtThGfwweQ1lXL7diWcT2EUj+AE1gBksO0 GVOv2lcCkbcqgJEuU0RjCUQDEVq4f7hv7OrPSY8gUc0DonPRbg2H8yIRZcXeDijwpYHYBi1DGMbK p/K2MTO/td+B5ETpZSg1LA54oSABxBrXK8vU1wczHLxl61dG5dasKEZMEQgndQGbRANtSqA2ywjm VuC1SL/qqnRYC92PDtxjLXcRD/XNcKqHz4cdaAtDS/L5TsgSiiRx1oAKQgFOs2QW+qW/OXhlwh3y LXi7Uq5wizaq5iVHr88Ya0ysmJ5mA7uyM+zcjj1udXdF7B+kpdDY7mZ34R2D+jKncELIDYIIc3xK MioD0wjrFDPQO/h0qcHj6fgicbV7RReaTXpepmWdHttDDsOZp6m68ZsL3jubZ1z3iOgaMkPRKMhE ooN0EdqPJqWRyOu28+F2ADiBkgCrzxGfU7uQ/Y4qAZcY6peRkgwuIiiaD886YwzOfuzViPXKdERv eXRA2oyKXNjeLXUVy0yqwNUh3hTN0wBHu3sr1HhGPkXE+4wu+SN0C9ZvsB/HRpJQX4VbvQbsvEwP eoMCvV+pj7aqR3333dJMOV5u9+/j79HSz5gXvzI9tDpDsmBU1sNzYh5mHzIp5LGZ5PzwzXVWvw+w 1BB4NzfLJJ6EYjdxsdtqnlSFyRs1m6YJbafDXWBOKVmWd+SKdxqCkaVVxBPQaTCjoPhPzSQyNx0s Px1Pe9RE0mbcQ5Zkxft42M5g3a6xrn+hbClBjlwoTWkZZ45rBXwlHYw8Xn8/oWyF0GjVvIytHRZX /zKyuVRfB8OYIizpclIYtWQi6KM5dIcJtXQzF5LLeNuDOn42fKrcXkmjUMUJW1iVSckIOGK+NUGA Oy7kVTqi64yp1z5ZgNjmq3nFlfbpyUeN6bBFoaExqnu+Em02IGKWAZj6lukreXxQrsLTVIajUVIn ZkekFeoyvF7X/AJilJ+70LiuT6f3pwUeI5TJ+1od50sxUjfR+SqQz/5zzniPcNm7LWbxanSyWYKA Cml31svrHbVXQInWL2wArgWGERzkd8AEmijKtgCUpt4UF8oedroe6OUgrng57SeE3885hQGfo82i UZJtgnRBMa1izLZS2WuHteUeX2oxDd0H5rOlJvsJDEiJycbnNTphI4eVEEpafzePpF0/RxijHaS3 9Gm3uam7IXQ73Hyc24EapDO27X8h8Gu3af0UNsbiNw9EkNV4voKy+J9oPBLJNKzvMhMO/AxOwRL7 sR/M+v1G0Py+ggmiYiOQ+n8OOUDsnZFWEtoyi4ciRwctbCnEAorD01kJ3axpO0y0YQlZZ3J6MFOj YOQrmifB1emaJs/3J7jzsYd7Kxr8FlTPKQ4fxzhvFD+mXPT4J8Akya6KbaI/v4ZwrwuJc1S5quGG kjoaU6hyD1AOuawiQy29u1uth6XKJRez/EnWCUqg53s/22haKwPEhvW8qgb8XvBpbdqyygRWKL9v UWdB4LsaiUo3wxJfGGTZCtTKKZZOeersZQoyBTGC2pcOu40cDVY7JNoAlnIEL4AjjDUJB+LjRo1I Z5uXpcopbptkWK0maEVOlZXYd+T9BY15g0I2zyj0j++tTvfNIW6/byOBqPfnkaG25f+LAgoE4k1C 6wVe7tZGNI29jsgw98wqZu8r61d4Go96fFTB+8rxBZ1lqSIh3EIb7jablFWQOqwuGE1a7h6uro+t TnhkybgFbj0jWSesYHdObSqxOi75BMhO7HPCAvyxgOkwPs4jngxHH3M8eICny6zw+LLlr6G0Yi2I A2ptnP/5s/paRq6lsPFRDza4xjs8w50u1A4VKCO997Pep4boDa+krHjm2RK7gX7/h1czggnEsWGV pejoVOOK4sYhfBvOuga4Z/ihHTYnK3ZR/l+z4CWdXyLwzFb6UNIVMGL19yuu7SEY5fJsHLNuGazN AvYE1Tsj7B4T763TiisaifK8ET5uONhfY8v9dVLz5sXW7cf/rCSucY8e8UfyKDimNNOWOy8zJbaD zh2+9WauvTbMAsXoEIEzkocpyuL8YCDBO6NCke0BmaeJqbT+0Znb+oQKdEyISrNdm/oSlq3AB735 iyBUAvgBCkve2kzd5mUfwJPMunOa6u5Zd6lD/XqYI+FmF3LdmfMuNGdO/eTwhjvf2iuEYsG+guMR idgIm/aPdX5OZlrpURDxQQ3EW2+DBQbj9l321m2BTvOIYxdpZj7j43fntHpamzNFETtynzFpbq5e PcSXfrJ4beYTb9q1XGSD+kSy6fl9GPBtPGpTBqlsUgZlTxYyfMrBK86fDw3iJClfy5mevAvzCco2 4VcAkvTLsukJ4mlqsufHhbwM8boPQJJwT1VAt9ogTyzRzbLk6mtLPVjCwvxBgIgJ7d5g2ZNzI2mT LtnLmDOWH0ODai2DJ3xYxFGNM4NQegkUesXDT6ou/K+zRUS8MfbrF8//VMD4bcnErTJ4Um3wl5FS 5khUbTAHhKBrA+5DXRbZdqmrKPJFk71nNfRuU/SrWYXhRKtvG0wxkwVgZzV9JzT8h50MsHwZ7J6E JQVOoH1TWAxRo7QhXlWjRBZ+2OwsL0QK2jaz2N8GzP5D6GPj1jrv37CpcDRyTMxCuuhIWpI8ugQm IeuckdaSZ+YhjPSSh+rxuU9iNSenKNcHbkiptOzBD5q0oQSAkIGeuX698dHSXwFW8/JSJZBA5r3w dnkFpeV24aJd34ejBouM1AXtKe77dT71XB8M0oHw8QZ55FXYv0SHeFlz2Gu+toLC9A6OFJK1HFvz 0KYygQo5HlQeiXpWc8+fVOAsoyS/8HsRTKRzHWJ7XDOuvRGc3U2hGqLBzTWIMXd/yRMev/WJt0Yl MyGUe7wUdt9RiOyhPgUOVB6WynC/43dO2Jq5oI2HCukewleM2KGY3VDVmurHCb0KMoc8/GUf/6u2 fbPCz0jzpbt3nM86t4ynBRi9KLHk/rmLS+BEL/mfhvbe2LKQS5ZfTSOgHXo0OAOURm5+rHm6+lGz 6FGckNV2+vIlNrRnF0q19WxHjjwABonGA39gs10a2ubpEJldr2SWb2DuuFJXp17I6JhYswfWrW2O GBYQm51FesSWvRHoDCLesPibSShi5CrD6Fpx7kZ38pVhAxLYLBwNNY/a7H8nUhpFZoMf/Bqny66t KwLrzgwJrgZs0q2N8uvTN+NpzUktUCIYun+KrRaqSj2gk8Swpoem+q/3wNhltfM4ZCadZ0D+YYvs BqdNrtlX2G0w7uflD9FjmT1jLgd9X0OJWJr/8L453SWJMQlJT3NSsWuegN+OcXYT0KB4lvZWJV+G c1ExCsk2kBi3m+ArNjKS5LNKihx+SdfD6pUJzOoP9NWYq/kDJrCOv2Z+yIckOYI2wPJZtTHBl9Se rCecPwycVW0Koxo8Fj3U7bUJeqw9G32ku4ym0WHuxZ2CbQWOp6gDUQdGvHjpi+iTVRPoQCyFyY2P phhYG2Kg4g4Th52FaiWx2tWXT9bC5RQXdyNUKLc8IfQ8ryU8zz4+B0oeGmzt9xqdSeIAuuWCM/Iw dhnFl/ncLHEf5hyHHY1S92xFV6m2LmERIcfGWsdNYZX9D9o3SNnG12JnNpjBGA0vePY+BsfTIli7 gk/jJ6Gwc4lygZ4lVOTtKyjYTBonR7Nx2mRQrLZoT1TiEeJ2s7C+20SKRu1W9W62CJUV6XhsGfrg TGpI9UEfriRx/Wi+a2AzxHtCE0cksbXfmWWuc3P/xF4tpgoLBCLlVm+9iIl9iAqExczlDNZzqvEc WJGGnq8ieUV2K0sShig1xwBdsFnM8d/FIasatAiw7Ic7N4uKzT3VGAVbuB23Emi43hbvF0G8DH3O 4yOaeD3eFgeB/cbzjQB4w+WqCFf5gpUQZ2PKxfEbUs3xaCIgShwJgo1GuaNHi1KDRYclzdP8JqTI D284hGBSriBkgBHbl1kstpS8TnYNyEZacs6gU2ZyPf2ciEMQki0KxLSJICqOJQkIuq7L0mqbqfeT b6PEr3NTr08MbtBhMKYtMosoSEDN2vebrUjxyhH/ITRPC7/9Zmz7eNOxzYlNE6wEL/TcLchTDewZ /dUGKw95kG0jPG/MqZclpWrKCZjPvfyLhwO7noIZkBbcV5hwsC3ZqqZPdiEFUEKmxwx0NFRFqEu8 syirbIW8NevXveI9kQ+Qxg6YCNDJkWyW3ikeujrH5depyBmWBr9/VXBXRCUygx0EmEg0iOSOUmA6 NWVBjGmjEUbbZjsKN21dtkQke9EGx4W1qkyoxtDQns/0q/732dHBJpvQSx42FEtC1SQ+SDXkVgkn XMr075IcmX6DVKKpH3g52+GIUIIn1gPmO1H6POATumnjSNM3uCIuRpvntvulDUyyFTS38JZYMgx3 J6vLf7DwBB1dTUFJgFxdz3wMTxSFGqp9HwOx0nce61kcZrAsOIwF8yYU57fp8bev3q0EehXehc0g PR4wgGIYp1Z2shfj8/G/eaaMHCefBjXffc++As6ve6ZUqWJJPO7ZfdYaYXhXTyzw1BJquoz51G8g h9nc2yYJlMq/v833Cp0lKhfemg1LPrs4VhXI3+Bf7XKdx0jzrapILHlneWlPs1bmu2+psusmImZm j0D0UTwq4QQhlbN0a8hller8HKdQycT3I/aXEHuz1w2RTSYl6IpPtTExnJ+3zH6pUYmv8irViwJb yGNayP9GCvUGnfjxh2SbKRXYjoJUbroHzlxLMllGhIjcVOhZPxTdkOOR1as/iGS8LKUOIhp/DUCo smzf7E0UXQWOjS8VwTqx/4n/GGP1IG1JGZWuTrcumFMbFDHMzDx7g2IfYID7+mNBFtDSC1QNYna5 By1LdcuGvMernoA7jQsnN2QTCaHAdeZqvXb5zbYrdMvjINxkn+vjZaAU43mU/s6p6dDdAbupG8Tq 3pA8mQl0WSsG7DVjSR2Z4QlreWC1kkHMDDQHVcU80A2+YZIuYvM1bVl9u4cdotpaRo9NzIaFhz8V t7vhbU+6CfLspBVjbgInMMqH4MI6cLz0Z+/bqKHY958qiOvxGi1mwut0YDsV9zQLR4EI8aTrM7Yt abTHeJqdhi4Arc8SWXQ2gMye8rOhyd4yAqwjtjcVoUoTHKaxyrbe2IxKwOFuG+zgC/szbn1odNvb 2GNYBzysxYR0ASEh/AEAoqB2UwNSL2rkUjWiDsHfSugUtcWdzOy2U1HUE62GISs50YZ2YCP2bcga I1dViUjlTViDJA5Syu3x9JtrLJVj6ZBf6TEMknHeYxUbEqsm3EsRp9KMpNSZOZcexFPCNEUsFd3j TPELpwAlQjNbUXktA4QZ4WFM+ZQnZvjWkVl6oU8Nv0cty2uEDhDQHNXq5grDTZY/6AqStsrhyYDX j9gXOSE6c0PJ00TmglMvkwIE7WW69bEQJOE0R5zVWeoKDjG1tgJXNKs8q9ZLbbA3Wk1d94Q1dP1G sEQsUa3/HwZgkQi4wP+lTIBFeggw1SrG/b/oXRfkmgF3AoUE5CwSWTFQPNz8EvK6052iVfq/DAIc QsXT7XOGk40eNxEZGrtBawke9ZLH6+9kvf6DlZBFMt49ABkj+Wtbw6ZBiywRUVD6fxZBAJCA9Zhm 8i9FIQpoKopxfw6hB7/seXoWg5sxE2cyS6VBsJv4oP1mbe77WCxBDVx/qudIs2GEkw13Vgiu1+L1 XYqYrT9mp/1Idvm3XUE18LkTUP2Bfv3Kwz+qULKJQON39nSLPsY8OrIEaVu6FrbrP3FRY58wa2WJ AoVqxIqx0Xzi8zlJR39s7nKFdffqbzOl2o8FkVu6/m84YkfBq1ifYyUDb1PPfySdMnwFKskW1GZM /HTjYqZzer00DrxTg5VcSeT2k6R5ilxfX1IWa0hZhj7D+VDxrX/DjBDgcD9K7a3zVtPR3/dasml2 d5gALsqYdHo6uXjk4b22MXmhMploRdM1QGuHgGBo1LNiEVZhyhrFBxrld3R5Pb7MhAwB9DtwkdCx VsfYch8cmZVbGTxYSLlR+VDfg0xPzGIhzITjX8o5qduZIYfbcfx4AFi8vgMi74BARfJyRiDKN4Vf eWZA0hm5kxddseJq2JpJo+MmUiS/W8wLLImXxoMgC6MYXAUeu220q3AyZgvS2++FWvFvVMOMHZTa 4pkAAO2dF4G4EgO4r5zszSCfWgdcK5yTfIydIHfN31ufXkxedJo/XWgbXo7Hf6OCSAatU8N1XA+Q +RK8KlnG1uQX3SBNe4+9Txhx9rvPyJurmGg8ySK4y3TrA3X+MXqEZFnh1hmGUYejEkwGPrIGDePF kerfCgs08iXgVLVsrYlE0CFqe0Vw4lTcSa4VBI1xxVITsoXzYNslSoYhH7cv5Is0MOmVvKrRcdg3 A3v4BWwWidD9lL0IikuZVCRxEdYPSfFKArb2Q5Kw9A2nc6j1jXzX7Bym0q5y2wayyYST1uJNqo2n 5Jkk86+Utb/7qqagrkbET7nk63vVRo686YiFWLgEGQ1Wzwnw4EkGWMukZKUCA0ItTfLBFsMNP13o lFRo0qAJPULWAiuXBAFGkY54uHCOC7U/QYLk7lwGcmOJpqrNwJc5MjP4qTCL7lzTzb2ebJ70liFw Mf4mYwbnbQ2cx1i6J5NfN7IjUbjCRiWEbvv+fiXoXxjC6NEnoR+KXtjfGnYp1kODSX17La70UviA w/PryVo35HnG+W+g1xWVT0M/AQpQnrQCNzPyt1ss7MXvaa3f/yHmr71dgOESS2C4xtbq9GquU1/v BL2ZAN7sQvlVfGiPhk+ZdZzL+1+u03DCShpaMp9ArheZcSXpra8rGeg6e3BysYZxvLJ2BJ4QM48q hN1KSlTVmw2BA7u/BAbF+mP1WINovgBqOYEfezrYcbdl/YIeDFbI9Q4s9cOUfhIhUTqK6VyEZZss dQvOeOcnbT/eJbDx0iKvltEreuWLqoIN169LiyqFfQ53Pyu9vn8wqLwUpYyvMR7U/R6pFYVBkIOn nh4DTfkWNSW+C763cWkZRJfQl0fMITqyYcPlMlQ+ARp7zN0XSTPPNHRoFcmlHyqntWreCEFmjagz 7NlkE4F6R9Dhpjs8jiG2bxT15EnOvnyaSVFpyzuxd7qdy0jdNs16XQtsV/kRoyR4UhKwY4zu8vww V/tKEm8bO/nArf4pEGpG+QcoaSZg+r30MZ5Dx91vtPcMkt7aI1z6BtquA+/o1Ared8PWMH6R8EAb 3lI2wcoys7LpnyDSLMHsKM2JLlmaagGyCg3O8oFeZ4BRRb1g7BwRGMrecXPVUKq8znJE0hFK5vxQ Lj/Zj2gn2xu+bMSEWHGtmnda05Sp8oUpL2J+kPJhamT18Rxmztw6F5PwF02t6jzKLVyLg0sFTkIz n4wQlWWle2V6eN3DWkcGKdwp9xMasxAqxsLvr7Te5DDbHI1WthFX1nzmzqpQLfZZlmvwyiMx1RJ9 1X2Qe+8HjD3av74G6HmOJ0U1QarBcaSmLK3d+kpJJ8ma0yBPZ24IA37SwX91fAKDmURvy5hz0fJW dLasmaC36zN1ELLNxgv65h06OVu8r4ln+DLIENKFTOE5RIjZRbkTe/lMDBabPaXckdxZ5wznyHme CLIE42MHhHpb48zWQw3Cup9KCVdITFOpleJ84rq8gKq+CfcoeTZ2/bVHqbbFZFn2vd8vOVe0KxBg B7FmvpDZ5VAQRAkBXHPVfm+wyq8u6fSFH/pJwyP50NdkI/99hTKVuw7mYDdAmod8FFTmWOXLhVy8 1TcT+eunaFEUdDOzSBZ1R9Hr+6lSmGYSrlgynkqSLKI/0ISSkmcGZHnzb7o0gkUcwZwzyNW7FpNr RWepRjP6Tp1Z/8yInfQSUcAB17LQ++BlBRjRjKQzM+aNOvqnWsdd/1RsLOZmATPK3iQLXvL2RAia DNRGcAB6NH4iycbvLtRMvMzLYRBX7T8N6r+La0Rk2DOEyLP3vl8XXlobKnG2A7Xx25n8XcKpk9vX prCjrX/XZ6alWceczzSofcWYW1Up1Hrtq058C/TN6PXqTCtsoDhUZkIcMoV3yB6WNK2FD2dXwt6A oX3HNahNnEGej2ZncYPlKVnsL4dteI49rrpoTEM8cRLmuzANOMqkl5DQqZUpKf1egREcCLJ8Y8y5 23KelDo/J5AE/2rW5+7ifC+L0yq5aB0jBHueeKj08KpCaegP8JgS2NxJIBgGB4cQ29FFR4iqShR2 IFxVo37wg6KSAu0h+d6m4wSCLTLm4UkFdBDXYsBzJenYd/T4+4yuqyzaqa0bUoB6LCJihEnvOG8A JxHsAk6KpxpYcEryniB6Q8fb6wUynvVc1YFC/wS9qw24JKzXklFGU+NpBivlKDnY+zofdOO3lOxP 2HdM2b20QzMrFsf9ox5VBVg1IziM/HvdfVDsKanmPDvMz4j1JOOWbAwRkNwslDDoRhvlIW9GF4gD 5tMPUrIdYXRDSKdoOpbfCnlahnpxvafDXCWEFr6tBCGrGxXnrH9x9uHsmuJt3Bd35YRaXmHPazvA hlwv0q2ZV1S6LAlAnS+TiJjlbyOB0wJC8rYk/lhRnMqmahTJdVIgjf8hOI9jlbwERwQG5s9BdjFj QQLe1LwY52xekJF8VmSZ6AHA950erxtS7JFe6ygIMi+2s1Ri0nZNoeqdTq5Xg6/AhBPpLkmvYrnC V6bS1i5NklwJrDguPG6cZmpgFZUz/0mYhRcdLzFGo/Civ9Qlei38tvrN8oxdKpAgkShp6evXir/8 FZwkjmLnkOi1PXr/ZDatZYnoVA1uwQSsS2Muc1AenBTV37ec20OwDlTDx2nzWXckWQNV25kFulIX SqyZeX1/YCSot2jDTVuCsFvIrWgM64yPuCIIs88qOhLgnxqSPp68BVCYY8VKLRWmtwTRjy+X5myM GuW1lvkQqP8Ulx48/OlCJ9e7Ih6an8gZ6KHKT5S80DJVE59lCasuCx88FuLv17ODw66SrIg66QD+ Kad4nXNoXUem+zrTDefCFkjpM//YXSpd2fIgh9eXEeJfiVfuEDcP3TfivPoV74TBC6Qg9mXvJLNv tEdJQfbT3pKHnv1udJ17+1WhtgRoTg9/coTqtdzrncoJIJ6eS6GJcbWBQzBfW7RkvHMi7i5DMbHh 4d71ShIr6Z3roBMCdh5aX0F3oXbfi4oZDeKkBbh3i/FPlHLROFrLpFXoafLIKKCWJrMT2pRyYj2R ebjUMS21zIDjkZiPKGnGUnvgdmWsk9pIQVxsIaWc4PvRw8ahQfSx/sZTaltOgHvOIZW3+umvhV8j OhFNm/BT6yJTf7sTFItGssd1VIdCWzsqz+c4lWoGMS/PHP4v08rqYZeAuMHHVmkkfSA1qs+7Nn+d +diperEJrHphCMLGl3G32sWnaaHawSSdrOACYffVKRB2xfpG9TbHVsnVCGD46eKIAkhoPKs3ihcp NpsuvfYyfbkkV/hSJcVGDF/DfyxMaGMCM1DBiqYNuBLX4ncz7UxRjBM+vGE7jsFA5iKcbxoICVkV ps0Is2DkgRW1H/o3uGMAE69+tc/PkMNTXDLKP3s4G8OD79a5JExAcrEHeOYaTfuGO8B+jUZNOvdB b6BqkklflDjmFkqskgR1ut7RJrn7CS0nMPeMrrttKylsZAhl433AupGgt1dFhi51jZrlUDNF0Uef eKQcq15j64UaW/PIn6PZ9jFkiy7TuxA4PmmTIP/Ky8mG9gwu+hNxLfxiNNN2cjXxB3/cSgSKWtpR k3Wvu9fWTltwUxGhCIT82rqy2Mu9Dwc16yEJDuMhQ9hBZm5NTj0hh5mnyO5iEVUggH2Wewj5mD82 eSSK/0kqtXQF+HUHoz/3b0yI2bYG34cNlTfZlUVQ31WrD/+0B6QJSdlpOTgtPOmzHfdtMUJiI2kx 3gbUfHD/9kTmXpF1f5sfkwJT2u8MVSlceXYGqO3FmKElDu9Sgx2vcNHATvnt7O7xDDtgDwXFkGL0 A5xC9Z1/g9teDaqvIOSf6Rvzucz15pBWy8AldkFF6eEYw746ASoaKmX65RMkipBaplivRZBtKaGm wav1gZybrdlRxqLKpkZRwXYT91HLjnP4C3gYe4NEgSgMN9xSBp29NxmmFzdAx15OtZhUvd9X3ZBq hRUtkYLRKvcueuHfDtWY0yW2yIBd383w+ZTRfCAKlAD4sXEGvBsVNx6+Jg395rwHYj0+jeSxOXze mWndtBQmT9s1ObK1co0el8UrxcRzjdhwDUMEor2omJvjobgpJQnBYfVUXtjgWkmvRuYJkvpeyRsK NVIybc70e4lzrhHsg2lisT9/lMyU4dSblI/x0eYXyNLPEvXEPlpARZwOT7XFfhQDhkcTcCqEQqJR HvJDuVPX4m3ABUx332TVey/dY8VBdJJOccGdTBv+89cgugDrIePOoRYQu7XkI2fyDhnuj4jFzxqz CIdHSbF6H3DFBOliW0MYe00IUEHbMMPwL++M5YCkkuyQQCWFLS59NTIyW/Auai18NzVP4tvpA6rr bkTDKTQTCmnia4+ingbX6z2zOpG70v400GvystZgor24Z5be9E3twQ3j2d8L3iIwRR6ha6zWjC0I kFB4eGTmLSW1oThlDtYDaH2m6uvGbCXBZUIqyPsJqCCf69PBG+Hg/dVwFKRNLKEascV8FpU10WvT W0pKzw3v4C7sd+UUECGZCBP/kISWJGUaihOQUZVeqI6KeS5WbpuG3V1YBgz8Y2vG5LKaMdj63ph/ vIhhRU+Nh9pxqLZ0JBckdmuy4Lbt0SOFSTJlAXpd0tosRN9NdckD6RcoURnDXArrsHv7+S1q/stx TRLJknXikmZhCIfqjrGpHKs2Er2ElwaH7NFEJ83/p3F6S2G3Zo80WSmKHPN70/Vi9K07eutguqwJ URWNdXiA+0t0vpArogI44rAKqAgkcXYICW80Hid4yzfHSh3VyWZtqyjoz805pJxrU1cGytkn87F2 Qijnh//4tvElCfru9tpHdKEyBkWenJcfLY+i6BP6oneOlF+chDXvJQr1aaprxvcpbiljSUgwGKhw B2oclKQ+wVszOr8KoqvGnvhArRXtmCJKg2e7uiw9d4jMvwD0sF95Nwq4Dy87ZsiS93/5RkXNtTLq 2nnVepRRrMhIi7uWLaDmcx21Dvie8Bg3QgXCCZkLTKrE+Wf4MIuSQ7Gc6dEVsHFUc2CuGcfCeJiJ eNxD4T5huBZBkx4woOCYDNUWvz6gsQrQvXkhJjsdvGYPoAEd/Ww5oB6lE4oyJ2ZzTL1pJKwojkx4 lvENS6pt8vdbjFaFhC5kYGEmFI4gPoe8pJcWzSPjDt5VrhjrLvMQZ2F0sFwSvK2b2kjiBHe7GWtS FUB2BN81ktjrzGw9vaguUtH7HCOrFMr4JSuU+WLNCPyex1hpIgmRO42NcKnpFyQcUOXrKBvnjok/ 3BqrtnUshFkzLc84yTp+r55TFQDjeY9AxofZ9YEWamQb5gX4L4AUkH50VT88mmV+ZFevncyZ9LqT QElMqMA1+8ld6+0LFQtFCPIKziMKEjIKk+2gJSuNlRnHb7+usGw7qGfB+cslQBfsBp4q4tg/fiWD WbUP7EHvzyMtf41Y6DqoTLjskG5pgJtiyQVKVAkQ3qBgWF1PjuKqjuO276f4iljOlpL74RCHOTnV PDsaTI68TFbcWZUvR6Wv+baeIGoIOgEf9RDgkG/+PptVafAjOvtDU7Fx7ijOQKbL3Z5S8F57DdHO pxp6Rtk9tU7SiJAQADickRxdTnpB0UN2pOGU97w21zbyrLs7HQ0bDwEbDk9oQAT6fu0akXBlTVPu jjXuIFtQqXA9gk7UmKEch2dbSqGEnMRrpAWq/V24Zaz9BJC3KsIPI7DR5XFSKmp3k9rcdFtJAJHP D/gkvtUosbxuKhkkxT5/QlhX03qiySLNk2ey8+QuADyCSrKD/G91xZeqiYbZKve3Z13aw/oscD+d JAKZ4gAgRyIq7ONIUCPlN7JiOQMlIrNNPvO3wB3hxHLqMSPmP5GVVZnO2NMRQVQH4sLeEVwr200e tTdz2lv1TzUg5QHHtx0yEpbPkMqNGQbrlwZh2Obe33aZ7G39mjYZzUOzI8T83VRGeum62IUouuXq e5Ej2aeEdFd9qIYbtN0wCTHWr8OW3ALn5eeFE0lmy9O7JCu7cUDUFUouzXCBSUjoFL+ZYEP67F+m 5MNNizMUpAOIrhBiIs4hN/gBknC5tGTZhEHqiL0fp+v7Sc1XNEWaU6R1VOhyUusmJJOwBiSnoMKD DOrSAYD3HbqIpmLjRpnmRRmEDDwnuFJ/nvKCPXEx+68/0bUkwe6AQj3VFWtXJt0zujmSyhXeCU29 y845AFU1+LUAprTK1P7zX75/Ux9jOjzwxFtjTaMWnx23NEz8Barvk51VF05MozQ/K/8DP+J+GrkX VbANN6VJQs9QsOwY5b9HfVqXztEFQ8sdaPhcFcpKfQI3OD53H83UDyeY3rdKj9a+H3AFgDu/dhpI LRl+oSxo5V/bMWeWBu1qXIUfN4+hEzXuYDjmWq8Jn10KJ2SnpYXu9Kg8bObrcGEEFAAoAkBCYPOB 8xcBCbHXz1uGV7wms/ZwbpJvZVzfA00DiC1W9ufVc7oboAXXNUWVVvIX9dt//peItzlgfjgXN82b OOfMIhX/xFK0e6gs4O4OCtWxDwSK7I47UwZf9qzaspNzISsGouYMpKkPYELKA67vEhLpIvaB9pk7 gp+zc5KcusLhDRqrOcLr8mqP6Gm6aDEvoiMa8NlNh9W3nJlZeDrRzJ3VYROv2xThJ4yW/JF/j+ZB kKHIIWRwDylT/2Jk93rakEJZ8tuSfKaaix3tY6r8aff6gZQwdBej8rDkkWijCb0GXqYQJVEwdcFs bHXYrIL14niS6JlmDPMkVmr2vRfSpAUwbm1PbjG7ieonwswFiLpfiW8qeA3vOJ5CNrdxqGNXkJLR EbyCfp5jv7+lD+1c832V5xD+sIV/d41zra+j4cU3zrZ77iRTmigBSMgqwKUTyc2vNFzEAz5fiKx7 Gzzq9wtRApGBHq85uxfDtb7jcY0Om/bnLtECoaxB8oU6o/IR6lonvX3ry6nzdhPZ1NVb1219Wkw+ 4sEpTSVk+qngk1OXrqjLXRNa8HRYOl/2Jj8bdbObt9IicibuIQsSPktfGMvxWklxBZGH4tHXztAJ OOJVkVDq35pGkFUnrH4uqgW7mscT4Audrd3L8dMgdRLzgysWxV1njo18ZoE+6a860dWcv65SfqoU g4njuDYvQ3fYgLCMjbNo59+z82CiOy2Y/DvrLjYXP9FEU2bYlTmRRB16Zgw8PzhKJtB6NDKEqi+8 D6kktDJKoOGylwTnhPbAfjsplHkPeC5CJ7v3J/gZHevuZGpMP1+l8QUfynjltZA6Iy1/6ahLrt7t 7GrS0+Oj6wwfBuo0O33HYOOrrItb19ObDquDp4kiy3Mr8sxc6qUaKJR1bB1yuQVptWkN0eA7ul6S SQrSWrtYbo34T1BxV1mrMq3BBqu6Mde9OavY2q+FF+Kk/jLXGzKFIzB2jbCnZIXJn05BMfhhxL10 s5QAn3GJWGfw732aQHh2+m1K9m8WHFoE0MwBj+fP0WG/RbMv6/7xx26SAbfeaNQgfPRjsUPtcmFo HdEuFG9mM91hZNHjjKnr7y7Wp2F8vJeP8UEbF+EOZb7RxZP3RGDEHhfnhfhAqxIjszET1cQKK8+K ChJTpEc3rBveDqn2L5KOHxSRZ2ePRwqTn/WLNvWzLIx5POY6wJnJrMx66eLF+hbnd6X4sqkw+akk as6y9Lj6RG2AmZgU9LAoKCi+TWjxxm/h9pOUk+RfIA/ZtSdzhzG9aQnoS1klyTx9qhbf39ms1DhC zuLwvjCCvrOrFJWvLCUqf6/pdOU//XhV51AMX0UfbBolOWCeVT4vVqfjUmYaezkBxqSPrX3XGOQ1 MKJPaQLOkcJfd4/pV2VR4Boi74O9dweHzkMZCvxbDPUXME6cINGeTrmxGfC2+pHax5COiXC9DQGo czQDTBmEg2boz2OY4Jz1RWLrj74m7LjeJGytx8mi//nSGC+OebTISmQDRfNLMaGSqRGrWIv7sl83 AFoHTZAR2gJZteoEN0Z2Eq4VMu4u3dGWqB3saFkl4IhA04JE5k16AWWcdh37yU+6o8tJXoaVPJJd n1cABkfuzsCqq66V6InIRpwCY2Y8M4QMAnU9+UOG6wCMcrrgczVU1EOusEnDqIq9Yt9Gf4Szgx6z iuUjLyGfuVwfwa6OseeIjnJBGUDamxFXPKvGaWfgoDDhQdHvyyjk0Z2Fk9SIrUfYXEfs00yqCBAB Y2fp6PBUCZnqjwZhNGaLKjFRX6gapDi2zLS/FbIa4nY0x6Bnj/Sz7DNskOBRCJRdAxnmGBVxJWl4 OtC/4JRLusMnxnCY27XC6piyjiqPLw4emncfWNwpO2C4uw9FHZoWNhDK7YvEDSrPKPhEpGh1JFMj EAKsZX9xknoZYJ60vCKJm9MVo9KT+XPCe7KTmhON9tBq5pt6f0SL11422qvYRIZJegn0Y+TcwpTn O6oQnodEcpdMguMhNcSsFT5Ql/bl4cggwO9w+18Xy3DrWgkt6gKKZBUwIaeXaTnKGB5JGj3X+31g 2e1i0NMfcqSSlLOPfIwR9b1o2owGTpWbB8ZtW3Qj1MuVSJ3FOVu1CPeeZoHIs00Y1IYNT8oySk8t Yw1Vb7THpt/q+M8M9FVnCd65B424r3LF0tJ55xoWxQkJ9iETjk+ReaMScLi4aWvYfRuYCeOiy6uh uSvUIN3lhP6luaSrvLs+fU/tBRPiqn62n9gVg72zvoXvgdQbl+1r0ncMKm4R00OIVbkQypPI2r4o k3JGKnePgCnNgvzkYx77sDmQ6ugSXjxApRdb+bNVBnzCmNixSNGCsrrOJF8QR6HlXhOYakRsf365 DNaN2aYr0MlMrZsKRUc0GQtlvKRTkPoig7/zlIAIYz7y294WzSvEPpomc9701xnxcW7IjNYuTSvN 4FjeMjq8ErFq6Xz+rvaC1H3C0VnnKY8Ojk+1drwpZhg4/jgMV3h4Z239F9Xp9Ee0srDGn73fFc2H 6BAwDCthjg/7/My9kHXXjywkpTLFoCxodCBxcifI+UqHHTSJqCFI/ae8Mfi6C/EBMMY8DFpURkft /mBQhfag2ivPkULw+/Htw3MREp2juTMAv37usxJUHAyHcGeYY2hkDp61gQ2PGr0/Ox6NPQ8NxlLu EOX/ISrE8Oz8oRj8dZoWt7gCznHV6Ms/AZCokVkKKQiDMmoZhUV0InAesqnD6HKOCgNTxxXEQN7o Ga97sSbWkI5YbBE2yf0ctsblqGoGkvBJuGHk4n/JXrS8+X4ctwkF68ROa+d36GyyeSfrYCshsZHC bqCV3FFXM+sczwvCdfm2KGrZ5RrvirYkjj4kZOww9fRGoMcpCxCsoKxkvkfeZAIRG7vMaebrTBng sVQgWcdQea+fwE+apJS7l5vS1MEwlmRcKVl33ZAUkESGD01zeFA8ofPTzx9XiThpeg1hVNH9v5so opmH/zfrwkl4PnI2f0SLbjE8OxUHQfA5R4FElHbcKjTicqbuejuDg9SNsjNMYTyKHiVTk9WeegGx sR1AxXB4nA5pPAVlH919jbAiWmLgKP5w11ZAuQdxEGCansAffUFO0BQZxX/TrDXLSD0dhC6wUS3y zF0Au0S2WpRrnMim/kq27j1ZKOvnoQZ2guVuqO0Mzx/Av9CQrkGV0/gMlSPzaEgg4iWpifieNfsb wA4BlM1vxNmOxyZrKaAqztaCrww0VRtYGnD7hMqS6n5nc/LBHoc4ccmHgTMG/EXyN9f/OJYua5BP vXIAGzT55X5xSjc7W1XnSXHe42ww5w9a4i7ACumRo4tiMWHCYdeaRHbTgdI3iykizU1HKuHq4vN6 +edDw+YRVNRRbbGwjLmRrYrCeBzExO2DSuVenO28n6oDH0PRPeRD++dU2mI2/BceivwSzpyIKLeB 53tDTPfCirIQwehZtMZoiBZdqODOmYuAm93CD20MHrrjBqw6bLidi5KwrTVRi80PDItJtetJKoQo d/CeRkLBp3crk3YMRHDyZOjcmM23klxhPbOVvYCEOXKMH/0YdejXlo/lhoAT/F++VseuKG2OS077 iwknuNKYAUNK2GPRVi1YUp/2ZNDN2NuqUa2mls+5sBtc2eAcK7P6BmZ4WSGjdwyFgbVwwchoU2b7 E5311+62tLGfTVWQVEHBDqIIPLvYjJWid2Dyw9w+oj0YmF0vVxlI5MeuWLdXoSUFlCqPOhWHdwOg 17pVWqf50oUmAsN+38rItQY6/spzGZXYFSlCTId2QpwvjNL/ybNh1isqTNs4EAWZZT4v63ZOifLp yfKPs1VkX4HrtoRUvF/BwIe7mNqOb/otY0D8SZQWg2PLS1N1f4dH28rIAgN5J4E1cpeh9uhpihuZ m+rtC0aEsS8vlbxtjTyZKRUfLgsa8yrW4sbpCMIf7B8WQGOafBHiokspwN5XslixXt9uI/tELL19 /NOOk6ut6nUjzelIdUtDYu+mW6yP+UQE9fanMvOec9OsleFH0uFuyqkuAiA9C2f5xD/1qJWBUs9V bXob4i8GYa05C3Bl1StOXWR+jXmIMuvbh/hLCOet81+RrngFEKewYIWNSYm1PNR6cQKBfNArOZt7 qxVXs4j6zYGZLTDCqBHv0ZbnQw3imoQXXuZKBJsvVHGoCUZIPeHTE3W5ovKyWSu5uMfNwGLJ0uHb 1eqtNcZDNqml2VEYgZOeDfDMjiUXGi+ix2P0EOxPOtmmCgj8b8EzSNDrErrsmcXP8DtLGn3nMeRc PiudM7xJouenIsJJ9yNv8zN1GtW4keAWleyO+2y9o5ELk7zaAkGUeFKY18mpMQP26wkUqhHDpuil O/X/pWoqcJGgJv8/+D+57x/6ljvVkCHThR8q6u1YG/CzsXqMoONQBEO3iKfWG+57abjTbWjoxmm4 g7YxPRVFsLUpS6OM7IdXijwiQPGItfKHqAiCH8yRbLzmx+bbJXOpc+foEL85egLSFzmhzkxy2lUD 0ZKd8mX9hsTbq78mRosQOBexoehEOUi6hr/ijrBCb95V5TaREeolGNWG1KgsL/LNWzV8VH7Sk+vi vAQPDn1iPawV/lRUvEokeN3RfbYeoQNLlg4BjziivOOz2kT/fUrnCEryQiKkN+8S1clMVkp1Eq8Q az0eC3HGS85UGfHhCg5wj/bEtHcG9KLxSwflf0EDwZhjNNKGKPYfoG16swI7nPTp8TJT4QP0lkLn xg6FyRUmHh1wbi5Jz8i/1zQ3XQkxFwvtNePatu9Xd84kTa9pQIKb4pRxGym+EnFyXqOMM5n2VAFg 6Uj36KZIC9ainb9ZkiyTxYIu7xvy3pacUDObxpF8ZoBOTFendXpCU/bWlHXpgXxLjof/IhCX+Xhp 1PwcPlRnuv0FrE9kJ6KZrIRWOQE5go+pJe2jSBUTGfPn2Yi07VTntQaJhr+64HK78cJQdkW8+e/t bFmxRh/2CRtT3Dd/QIv0azLYk5th7TX8sbs8LnfHG2UZPCYl8uISOqxkJsCvfO6nNpN3ybra12Fn y+sseJ4By6e6WFv3Uk89a63rjS/I64ESnMiOQDRTrtRKVcV/2kABBGUKJpv7EhrOKkgRXcakBoOy Db4y0VuDuuZ0n8ilg8f6vHU7YZaKJ1/zPcorFTxvK1ZDFHAI1V6OhQOXtl8msQ2hzkmKfzEf9SKQ +qbHgiKdH668QJ8jOs87YzTW13hBCZC9OfvtYVpcYAAPv5UOEnqc62GqHnLSmA15yr67W+eSPuD4 izOep+Koge1UL9DbInX86e7pNXKxfnsOgW2NwP48Cl7X+IpXUny119ciCr77Xps7w5aVoIvn6X0S dGZe1nCm49Mf1RhtNOl9EKqodNYPa7rABZiFHeZdkJnNWTKYfS2nc8VXQsgnCL97rRtlV4EA6fjl psuYjKsUIgA0XrMOZduJEKrmAIyvEkLarILJO3zPdsSN37ZkqxqPNuXKMjVEf7j/AzfVRg4pbc45 Oe/5d/rp9FHAvBnmddw9XQzTb+2wKGQterLGphsd39GfsEmTYlbmvBIVXeHomw2GyNk9rzQszTm8 sy12QQGbgSZhERt/LXJ4d6wB8M8Y+UjpRlzeU1+QOEnxyyFD997r3RJ8KKQmqFfEOkJZNRo6i/ic zoTUt1jXic0jLxsVypgQORGVi/XUOcIsVD4ijfy7ikprsM1fNXsi13m6hrAoSZRrmWw6dLk2A0Ui Ed30JzfjCxWhPo72kVacFQFFAQzBtW4TbMsUeQ4QnWa+s/2Br79Q7OUhiaXmSrTgfjc0s4M+2LyZ a43aToiSljYeW0EFF2jc/cPgenNwVjeq1apEO5BiMRAUt/P3BqdI5ArGs/g7VszHpu+PdI28ZOp+ /hddFCU4AavFf6zWwQjtSZJXggXCmZp24H982CN0FTRL+9YnaHDEBXfFW4b+/rKZvGsEvBZLmx55 MVxpEkV2nntlSt2jHLdb3V19TLEFSkgk8OtOHpMaLM2+/9rUfWUoDyEzDUzMwVS9MvAF8IU691Xc 7U+CVrJZBCxFTXvOQGxTAHInv/L8jm0Kd4PCv6QFSrFrc1aS64N2nYGqMgiG5fiN2aJShROJejpY /csf6UAM8N3ApZrBIIOa/BIEtJG+otqs1HN30qF7fKIfJNj5z6tWNDr/klJtr2Y6oAiqB7y/NtAv ktZKmwqMXFWhFxYsj1UzQnufvRt4L80x9L9SzWQUXVXSuFet6l6GSShhJ0yBSJ3fNjte/fiFFGlD raLdWX+rJVcw1g3XsRTllShGxOJkNmHeyzcF35oIzj1igPMsMEjuNjJ2ki0fvNy+X/G9qFIs9vCT zbR6F4PEFEoQV1kv3qrdD5Gq2XX/2xf/9JUPIWx22TsYRJfnzz9yZpzMJp4Th1mHmSaWxTS2FUjY jqrBIeasOH7yduhT606jXklORRiyADoKj/pX4Q6k5sQwwqgyGppBCVUiEzZCnp+DXiOh9Mi+Cv/T 4m2FA0ILvJan//4KbZuZlkUlVdjP6ufJ2ymfRgcll8Oa2WaVx13QVRcnSgf8GpXMqUWJKoQG05ad fVGWDv/3UkDtnATx3kkG9ZZ+dyAr5SNjrUpmyT7Ajg6swMXCWJpXXESZhwbyRBhDzSVdwaThxkeb rBQPVNl4MeiOHGG+Kcxjnj/ZajLhlXG/U10st10oF7Nq6CjQZ/TXMeTQBSqNX8D3mpe5Fx4u3fl6 6BWWeg0/BP+Dbmwi9qCpcplgaoG1d7UXd6/9Bbmv4wE6OHFBdmiX5Zn7tbou/I+NDiSYHvY0vkVU HFIb/qj6BdHc+cpxA2N/MJodqSPY2SYr+aIBUDIVu5jxUxXJP3yFzVWW3xfw+4RNXArP/hOWlgn5 mCnoGszxtBGfCY5/MES2ANqcyY2t3qK+QVOUPgq6Y3tPSexB954MOzWfsgnDANBGMNuJsBKJg6h7 NbNB9EM9uzQAstOkXQqUJdJ7fUtFTZqz0jdgPLPWmDDzrRxQf2L+jA+hpbRKSfSlcHlp2qMzjJmn WDfVeqMgsvEqeZLOrF05h0Bf8GUWddOS7/8Yck3T2NULU7ZKyuiHtsaSfOpfBy5qekcVUL+K+ka/ 0UwOBLdk5QU1wqUxgcZHlWwIMG8SJ3kOQSANYBDnteLq5dzwyO1JUuq6zyST6X4EdwBJsyvMDUtT CIzO+82Qg9TQaSfxSIoroAHN3iB2aJco/voArYcSAYRJhYPa34KweAGMqdH7y7+PC10cxEm6bStI 6uIER0nelMzNcP5X9T71CMiOInw1um5+s9Szcl2u89zvjUwTmLARLZUeamA72PwCOA3bmg4wq+nG 2FpGaxJzo8d83IPiay19eLZ24NgDAXqLyEdjAEwNicMHfilFVpUxOCMFFErVBcwxAgw69/FwLN+E uuKH8+pWy3hhUvmVxWGynShJDZiOR2e4zeEmKsIY+ZZ/9Yc6nWN153OU/T538/Cjz6J0nGo5mMC8 ob8LBiRe88M13FORMy+/v2ZdL/9ylevRjKQeqv2XrHqVZ8JTmNqo1kYAp1qr7oTTp54i/llwJPuX tZeyVvWlKdEy+IVYIcAMtqIQmTOrvIdIX0U7dl5FiOZUUrrAjzybxMLkcwllBeiiAIuoRhV9lIDk luRUkywXumsyAGzR+TN3HuGRt2JlV2EeTUu2LQrVylc6awcXICxYwFXc0DB3Fcs7OqejzFr+oRBA uyFdzxNXo4pE/vF2IYqLAi5UjLOkWbix++ufqKz0A6DAMRnlPxIQva+3C5T8szCTRBd7chopAaES gcznoXTkTh8MSWIpmz4U3TTRlN0W0GDLAg40n+/zvr0KFRFEmPwRcRraP6j6izXXvlZp/qFwbLqD YQNzTKbthm8FrHld/3GgePUdigVWalSOWTRbpN/W3Dog37cvVEIqMEI97BpvI8mri1j2TXpqBvIP HUln6310OaKKgV/tmL29GBzAARUTym1/GPpOlRvY2Eq8OTuNM6q5VDCZjR83GRqJzrASEcGygMeq zTmpDmLdf5BfBHKeHUhUm5iZHslgzOIyvc2b7xeyuyC6MnLFIHNHSp7Vqkr4aZHEmBS69eFuKwL5 25iYK2ZwfRzEM9pNwhNkJmT+i+h4fIwkFrs1ODVhCm1O+37H2hLMDx0nrnlQlHGMd031xtiSyCky Bf3DkMdpIGgwPmUtEM2C8vM5TZcazIiMsCCjcojgKKKDKzZ/w1wiCb5K2MC9KW8PtQdnIUgsZnq+ FyEMRIO6gfPPYFijGJxwTN//c+ENn23/74yofPdGyBmuj5HwPJgogmCaiR9z162s0fo1PMMH7Ltp Q4Kcf92BDElodlACVciOPqYy3OjtrTutBmArJ/cfIFn8sDSPPer9w3AkyB8FrJLBpufJkaCmUdL8 UCuj0mDI0e06Hk3DxHA78L/G3auMxMS7hv/SHgZNf0TMqMyv9TQZGV5JAKNH9aZmZhBiGaBvuFQx GZdQQBGpgDDqRQqOEUVD1aM5bCxQ5ITMSDCB8CKM/hyLquV8KkrAGsoyiChuestYQRlDxpN5EjXy rq1F1XCSIaviyRd7xZScL1cForwijJUF20Fc5IVQDPccTJLgImBNtNSpG1OJsFS8h1BhgVwjM7yT 8941jZosXXO/BqYtf4lTFYnY/JF+81fy3dSnF0qA4WtiriF2mV97VkH9SaCbL32SDWkBlM6F/LwY XLcXz3ZTA1hv7VY/kHnONh/6Cqzi+XhTfWmanD0xequj/daahEY3k6G59isDLyAP9xSqvxpRi1dv pCbsweaFYA1mM7G2lhbQceAn6NDrbuU/qy4iY/J6Lda6B48qC+0vqYas9MTlCRDwa3ClzNcn5H24 hj/HCxxuug6sgeA9LLWF24DRUnYR77bbWW4i+8JbMVJ8w3GCJ8jWtiBl/vy3D65pq6NQZd8Wes9H SuY9ljATNq/rYwXE2NuO/2K+HzbT55cruq6w3VPjwdDrjg58oYP/7IsxEnDwHVM1LLBxO1JCEzJK cj6vytzcsmMBM3WQ5k31qtNi3na5afRaF7R7pcrMzWWl51dbuK/YPpzW8EmaNzNG74DmgAKL87OY 3c9bAhWgQuW51bY5eGokFn5oIwgbyTqOMosEebkBRGVqKwf7Bx3HnQERezeCS+MLTTSGodGsjYOs DNNs3G0wRrYOymDQa6KTOCx5LbfTfG1lmaSP5EKI16cpWE0ajIhtOyKrpCR8x7oQ4A6R3R6nMsaI kSGbTPLEA/GfCjJpykuWaXjFyL+EK5yn1PV/iVBvA8HAd0VrnRvOT6X9QG4DH6vsG1kdPbIZOdzX bo/lUZj3fS5FVtrQPYbZIRHn2typTXMzMy6S92ujJyERtfncrWoYXa92nTDRCURbhwi1COyWJJTw akLY/Nvls/WQa6zQ4KvAp6DSXItGLPmLS2WmJ/bnAsZ6QwCHwe5Wpar5D7vZw28ZL418N9pVDDQy uYf4Eqc+WACeIyoQEv0hnW1SV4zCJ257UnAYQG4sWiquy/R470snf+2Vzj9YcC234DT+rmSe3nUO jPk+b+vh5DbU6/xfy4CEscKxXDLhdfKvjP3MEwxspcx56iY2EOLAF/vz1C9ebmuYNa7PiTfPFKLb 3t7FH1kYhtX79ukEaEG6ep1EB6qWR22jKvYEWK02K226zA+EIQmrIpqMIr1kXSMMKBFVYFAMeB7O a8z4h5FcnGHZsei3XX1Na5uf7gbfKoEAQg9p4ioe2JUTeMkYjco+7yh7zGieyeWAHOUUvRZJ+voH JLyM3X9saQ68fUsop/dRD7jWnnJ9h0AphFJ/+9fGZzx46ggK2q2JOtSJeK9cSRFVFznfu8fHdbO/ Cy+uP8pekQkm3PtyY03ubSoRSy/7X9OCv0SWWPy22fMh1QAkCjiK4ltXrTQ9CZiq6QJ1mY/kJUTi cZKNr0pPXZ3MV3uRRAGS/lztfJsLltRlJE0/YP2G5Alg/8cB/Q51l6of6BGBqqhjACqd7kfjAC1m e4AZ4jwiHbRYzamwfYnzbknBe3nsQxNvpdLa7IHnCw7ByAuaTCXks6BxxQlqr/4s711elQCSgWGr 8uvb4OmPt/ElsHYpybPM4xT5bM78yg9+hsTPwfTEdws7EZvhprmqgkofHnS7CNtztQ6+GYUHG2le yfI77lW73hPMqHug00uvfuBvNHkgdnQK3hZgLt3njUvZUPvfdf5uOZ7fCi8phhdCx39Y+b60HaZS wyjuZYoDiOH5A2AIEtavzGQSxKvIZqllxExXhWqbLOxjjXkvANZwLjC+Pw5JPNrC6Eskv/yLqDXi xh4TrHV5CSbhocFNCu0OU+TuKZkIpcYbS7biktAyNzvv97lAtyepYcs7b0LMwpuwY02ld9oo6zlx 5KJlJEmcZAd2XtxNX4SbIOGUIts5jLAE12uOUTabcz0TxaPidZUtUed0G5vhaiTJ3wkvZbFi+TG9 W9UdgZ7d/uiFUW68e/gr9RXcpwMuBMSSFbeWR/UnPIhiCqGVHsr3BWo+dR6EAwlPU2dh6aiztdfz 36MR/0mPfeCuw1AIht+JlJHLrZ0NcgDj7Sy9LJtCGSKnHAFLOw5iHKTVE118rNQPBGL2CcT3RbYz qc7DHqXCdXfRH3UVv1wfAhM0Yo9pu1VE+5Nrz80KNQR5PX/Vy80lEvwNkQVlnpDT7JrsCoIY8sNP SQAKXsgeujaLFhtadk4GHmmtWyGDDtyNiNhgW5uS6AbwTg9fljNIvT/h/Nf2i4tDJk/M31oW5e6R 3yPyyz3vGXPoDWfZlvHz5g8QwXF0H2o2BQeXI8BRIG41YnSImsLDux6Isicr03e8wAVzkhM32pzX MQWZ5WWqHZCXtGp3AJv1mleimHDHAEuj9ER7KpRVDf0MXxPcgD+E2hCgR98VpWa5pQahJd1wTSd0 8kpq5wyjLQKMdiPxx6asbJlE+ykuvuOBBIw8UzMTS/xmuf8aYH5DyINW3FL1a0LbRzzMJGaArUu2 1NHyBOGEaICBa5fp/cWtl5nqa7JsKO1n33IBufs77AOQKFahaJJMPLgS7aQD9QsLGKNeObRHRvwl m9qz/M03AXIO+pn1JI5I1s5p6EELgEpgEFujVKHaTrglUv2RBkY5ZjNV861LGigkyoWWuMZWAEbK TGM+m1IufBUvsRHTZSIJq2ELUtzdLAYG9fMgg6I305qncFS+fhGQEHJtR35xxTmKmctfBPQMN5mD r3OaU0JLaBUy07Ghd1+rveneToeviYunnW7XlyvpcDDMa3kAByeyKZv3ZadUApSynXBHOY27ckFi 24e+dDvohVEqVIMo6fpDW/DH94A3DxcLPx5+wtnGM/sm+OdfICqQ3LR1IAf5u9h5PywjtLEPPtso 8ziePLRdwB7BH0oy2eJMKRS7G1aiccGgLAABXpOhy4T4GNu/9K+2JsI/zdsggO/FvmlWFRka7EuD jar+6YmLmll5Z392vwoE72LJieZERGFHE81qof6w4lSHuQrgUYMnL0HFM8Ki3YAp3tc3PC56XKaG j/YkOGgY2qHLP/CAxoeZ0EnNj2wawlzvlESk/UksDHAnd6LDFPD+XVG9kqHdOnPu7K3kJpb28t32 Gc4HqmBMeNYaXb0AonCVmL+Luqj9lWa8uyOTfnZGEoCUkq4jN6svccBFSIXaRUI5MtWOY1xTIL7U D2AD/inxidyqYkhIW53zv5d5kH5ySXP/4IijvZCCTwjGkYSOV1SAMlhvn9hKyof2DI7yl2MYEPQZ V7g5IxoHzWlhJvvXIh48zet/MGO/ahjADCgXqMjCQiAZ6owpnoRynL2Df9ybJoA09JvwNersE6+Y w5Sn/CQVokN0G/uV/dU5wuZYmbCQeuQbRluLqtTxGVfgzt9k/ZbmxH+GrUaivi1q1LnU4Aeljw3h 3d4JbJPxFTjs+LMqxMpuDnkeuq2g3tk+7pyjdoLEfxhT3BnRpQgaYvyyK8VEkXQyjIQ9Vck0m0WQ w0YucjgBKAt4Cz6HrRW1da3IikYcKCDoxP/1CWPA9SRQeBvOmYL6QksrWJtu7afS21RUsVKZSoi3 IC9cS7rUHwmvVZa8+MU4m8df6yNyZnaFfBIxpLEftHBNuN6LnYDrHfZcf4KeVoZDODUrQT0w6sUy XXM0eTveONh90pcqmspf4RaUsl9Tel2EAo9tErZbpQIn26pjc+No+M5WfEPRnkUudbiP5MFyaLNL s7LwzlmKuvPYSObbu7koz6t6GKx3dAyTcJ0UnlSfCTK77k2NUElT6F1vlXs+8xHcIG/irts+Hg3g zoYzu9fCGoOghERLnaYxvyJk7LGdZjmp6eIvX3GehLI5OiIVkvQ6Iea8BFN6+yS8xpFgCO0Z+KCR cnMaDBvCVavtt0vqjS8RaGl3+hjk/ZpVHnXaDHK7/AIOfDemrkX6OEVhGliIqWCnehROonV5orga Wav7XDhivbAvLJaBVwDTM+i+QG+9A3fX6jbKBqUC5m5/tlFhgqOGqgRfrJDW/xZHU3JfcwRFZLjU pSGlRNJ44BkBsYXzMZNkMh5Hj3bIY3BZws41mG8eiufN3BksPC545l58wBqLLsmtHgQ6MB/1QIyW MZVrbYKVP3bDsYSop+eFkijc+tHkDMu73Rz3APm3fGjEh4F4zp3SCtf+UQqVemTt87PabzVHF+w7 dAOdvY44b4HjKp/GQBll64MS2vFAxXJAL0Yrxd0hm6P4jF2WSVWPkqVh5zuoIdDNvudb4zyvP3Xk Btpb9RUrIIwkobkP/iGpfkTaxzjj+O4iWvscBqwx7aDBPrhS72fDIIaFNDiAPjobklNPjTTNsZUj Ba0FYCUYZUxRZ3AWofZRrF4FjOPC2/0BtHxzD3CEqVzn84f+iTW5F/XLWAEkrpivGOYfBoOQa4ha lwllscgGenTNnUHVIVYGtEgHUu8OWMSm6CeG7wKacnk37ibT7qdQGJQHQxuA5CpuifLue2cZSDWn gHf2IZW5svGjFZfcFgPA2+oqIUAvIeLuUJfJMj2ayIG8nFr9bKYkQmp0LkcRNHsPYafFzfCGHp0h hBDRwE8Q4OYo7j+38zYe9/+fSwcOYPZm5/834ogKfCpme2vT4Ru3LE4ubcSUiAZEPUSSEsVVyNqs p4+AH8ibxumGTBeBaa0DA3CSKwxWMCF7+o8cIZQt1ktfjlEA4a5HiJRccdTW1+3wemtm0EfEC/YG e+0iC2pocg9lcBAG7ASjt6YgjUt797siiCU+sxRg5shRm7+3ahPBuwzpgI4PEbhrbYLssCyqJUQl HyNon5AMu0mnQNfnHWNF6BE92ARr/QBdW/I3bIklSxmO7+aBFOAWRnoATXudcC1wuaTz1zRJfzVe uqgr7F6FO16fhsPqeB1QhEQJttISCgk84PosQp5LrdBAUSKgu3CNdPqY2d3R9l+q8oLTD84Zz0/b IMp//Nxrv5D3LECFO2ezw9CZXwrJgs13ddl3M/oLUnxl0LZAPeXJITFlU6Hk5Tf7xANYHtPZ//+S 4AYI0mxJvk8FdUSLqkf5jEp92XD107gfdVmvewTONXGbhGjQmy01Ebzlkys0NL4mQRXDj3MIJSeK ojevyPHMvCAFbPVsSZ5FU9B7jDv18xWykzowOn063+D7vlVh2xXPiGkQIKp90W3hxEZxrsiVEzw2 Xyb/HqDkYSIGkTQkeNpWL7ToFg/zglIuAVywDJT14aZ74hlV0shlCle9tEUFA+YgdU7eeW/XU25f TeW53qdf0g7Aut9AUwOsxtzn5ky/EFNGCJmhxAAylnPCSNR7JqEnI9gAF18j/lwsEvUT7FMMSO+8 prDD+sMwxQdR6LfzqwPtJtRQKWQQZpxXcf/+ylhQmRKchoJ6Z+tpCnxoqToXpI1zeD5c6tgRxX+f 91DzM0Ay1wwExK7QpxSxCJ/OgFkwsj1ZYqnJkqhhP2w07kvlblObSU0P4Fo8z7rh1308619Lvkp3 G2RP88+79p2kFBj1T5OZOxxdAW1MBYIZn1nbIqO+LX/mWD0rot+iGgobLgRfsSmTUbIgJjK6aiWy i1rmUXQBjdvM9jtko64VzVHjXOatkW9zyGeXHls+4b2DoqCJ5usTo+IoHlQ05OzHijE/9LDvNURA ov59o6FjhThgQBfkMhDu8TQVO2WZfrpvkrP4+Z40KnAMbCxjUSrKljIYe2vbOwNYOvQKcEJz8GwW 7EohoECnIQKnaNCuIG6ZHTjLr/3FHsh/VeukQUBvnCiqty82+mt53rzps9gmjKFekEsR8BOQOWki efueIl10JA88Roag43XQnJ2SnYRtCkpJjm7k6ux5ZkvXeOyg662NdwtQBlPP+ADmwMAl22gjPIVu zgbhwsJS+2mh7kWwmoWUZ9/89HQxPymitmut6vfxEsYi+cEXysQiNH3huTdhyjm1ds3RuIGkvM4P QLbpMqoKJwTKJzqYmJhnBuEMCj5i0oj2TAO5Nxxp6q9yRBsHrq+KHfnXmZdaa7f242T1oazmcNDX H3QlchUvxAEMyXgSpkBIQzf41kPOleACPjU8ahYMqxX7lPrlJV0bdmYOeXSPxcjrMjlgrjD9jY44 e4IlkK3/wEd5LgEOZpo1tew171RvzSWTBMBbMhpjn35f7PiR3xIXPf3vbTMQuT8fGMHzqD+0m8lO ANLUK6P/cP3hApjQ0Y2wIU0FU5AfBN3LL3F7osfoATzEZIrM8uPfNPyAc2xXtYXSuu/I1GKq8jpC trtYANbrOGrLz1V4gQSsTs/PkBfnWEt+SMZ3YZ6LsI/pKGchsZqDkBt11ilE6+aoQ0Bpyk/uX157 v2eGB8sSWRvMZ5Ag7NE7eAEfw7swxKRZKU5CACjNINc3fUD8kR5hK5bSbTIbqd57t8n/upT4yaco jThrpQyFG3Fni9bLIDnXAkB7aTJDG2DRAruTYrUMkKV0ndREv8QugD+2zffZmf16o0AFKOQtjGAk W2PHLIoUsCsQQaKk07CtZhVI8RJ/tlZMmgUN01/obNBqMjCQ9LGyk5T/efxsW7fZyo68W80yPCXI pcSrRdZD4/7JEW/RuvBhPfdJZqI3DfBq3q6Rmen3GEwX6vePm9XubVKRRU3TXsZM41nfpcbEF2kJ g+wkKY2nA3FBBr6U2VP7Gi9m6S0JxlSPoUDSSI3Jl/RdKd/wmOoZTzgPjuxiL2/xcbaXLMZmh6aO aTbS7HKxjl+hlv3N4rI91BeyLtDFFPuU735aVUbZo7c0wyJJvKuFCu4B6XxiFlBfQvJ+ZRElwTRi A9jhOQhr/NxF9C+0XrtbSIlTXhOj6ckX5jJ72dKMbKWP/jrJN5hiGbk+bGFscu2qMJWGtd7JWXWR 0d5b85aKmgcuo5PpgzQiD+x2S+549QNVGL1Ckc9S4s7xek1NT3//RCb7ngihO1RcH6CbDPdt2vPK JKFrOmU4HqGhdW/2kHUT5HmlWZQ5sFZ9Cr4Ot9zV8AZGnH6CU9jg3t6X3yxMQzRITt7NZ2/b+5qY G9FBYuXG/NI/76NjpR5lg/3HYFmzmNyH+YQgR2zoNa0UM/S5oDFnYQP3GDE45oJPd4sERj7tDac/ vu6F6wVks4GCrp5M6N/j1m0YAyARwA3stXZfdvFMDRAQW9c0H/lWFUmuC1RvopPjH9hpsRIcnigR AgLYkBnRE+Lyx8fOZG6iHMxRdBnx2zAClvGB3gEe/IAkbJZxcHMPKESo72fKzJsdkudFVXd8zCZl DNtIDJUt/A0l3i0rBb1X44BrUwcG4oBlX/pWazfXYMVkgJFTazeArKIx87Uq46UJ6y1lTJziw+PL oiSGumlSDFqelCS7dax4NTajpKOZN0YcK1UoFbnlGD5Z9jFsK5k0ljOsxb+MvalV11ptJoYPm2kO Jir68PzIl3KTeN5FbNyd3BnTUdlJAdTnKTi0T9+zEeCYuQU3RDB2aTFPkfaNh8IoUl+NIa2IcZLo J7MYbssBLILh9eKGIhFdHPJUj64U8FUotuMNc669Fqg0QojCuse1ku3rwGgiJkiu+7ZbjKu7XIzr Ww8xozptsBUUjfpBFN5GeLXLLtfFCtRgvRh3mIhyUmz+0QVC+0IIsI0acuvSvG37TiCfhGtqPnKT bW5f8q3IBLrd6JPMaq2zoyjdOzbJMhxiaql0DCYoVqrkIHzIYUo9+wPY50G9IeocXCymg4uBlyFL CCnoopTP5o8iGHlHtWnxHs/8K4rle4WUPrtF+J/sBhjqGjmCq6gYy9XAne8Gc0NKG1kQqgt2zL7p xcvl2fZcpFWjwIlG4x4czasMUbf3kfRw00yh9KYu63PlQcDxUkrNeMCCf2HLiv5CdBfeqpvaFuFv 8neJuZ7Fv0kXv2qsIc1Enz0lIVdWVMJUdM+WJqz4T/++XrVnxgR+W1OI1FKMb4Xo9XcPNnFTpNPr Ae5/BvYPPpsiCYed7jk1rzWFXaTtcvbEmpiPahQ/nK9e3N5UsQUvwq75iGzexsoxZVvWRQrIzQLH U9CfElsMbA0FSZ+IOwL6wf7srn8iNUNro+nI/a0ia/5OGbpW89qZQQ7vOiy/4ev6tDhkl7k94jl5 QqQQqZsWEJMwqLXUA4M5FWPzKlt2n2LWDWP3JEMg9BcZffiKyD4G8OmsfjQjdvZzb7d6AbG4imdJ GQNDC+kK1aX3ryWX2qgqchzwiCfGz4ws14Jn3iRPQUorUWiea6MIFRmYiTJRyPBX2FxlJAIvK8IR C6J/BBkqyceRwMGbCmgK4LDRZ2CDG4R0+Cs1r9FqjdZaCQTp8A1QI/OBYnimvmxLgyfrBzwq9Zju 4hu62ZoGpc4c4QdxS+FHcBfRKgtmyi0pI5guYnMLnOvLFFt7WLvoCFRUA/1xh1L/zPB9C4pfQcym jfjfP0JoOZKrmnTnuwPrDgFaVW239FpvQz/h8fQAi9UkSzWXCiVmipPlc1s05n1XVwd+2OqsqRuN ubZfKFzZQ+FOb+XYpd5GRdlS9QZ2x8D9fUoKLnOP4/0VIKrl7JKQfHt75rzbNFhqO8uc5agGjZXo L8EMESHwuLKExpcexLUdR71Hr+P14LKDnOBWZOLLnJh/ibgR936h311mVi13vDOA9JdMGXA/Fw2z OWJBeEhecr1C3otxJD45OCQNWPvWoeeGV2IRQp3b9/t/iHMR7qvBIxqtSYP8KfoNerduH6O5v13x L9OBY/x0bihk2mwCy9rdmwvM6a66dfUB4tybM13RfbL1xmb7hmpnEOvdQpjKfM5qRviJ2L3oCcZS NraeIXg2XOdDhzIDQeyrFzUmzXPtuYN1jPSj8b8ZVJeahLM8fiiRxMuVtfDQmlBP5pKPkXUG3thq 8Fp/44i6Hu9z1Htg1Panf2R2U35aNpdh/WsXqnwtEAdHirNJVTT75PWAOnwwvglG8XZjy7mXvJzC PASLhPu26kHKYw3PIcQlLm1f/v3RQSUfB44Zy5AEsR+Ahz/9wewv2Nl+a2wRmTWcfnrLhn+ALAb4 OzC3qHipr31RaMpntWEQSUAO2+Ff3w5mizoX4dVCV1LlYyQ8y1GRoneOqnhDBCzRAW4RBI3v0iW9 qpBq0gm0Nqo0+xkIZXgNKtH06dbTsiublAqNFIhQ/DjaN8QuRF51OzFvB9E+UQXnY+mvTKu02zD/ zWAHJtym7xrYMw4uQupyWrDFSY9Bui+F0xmYajikYsDkJL7KXKpKE6gFzT33MASrtYCVVl0WvkET 9jscfLTpv8+pMCxl1flfG6JDaSuDmjoeP7xXW3G2gZQDp2atamQ18aaLvF3kKLkm+8eA/ZziLruA 7s/ju+KLSv456Hy8/dv/rWo8DRzq072hmYaf9qp6f+dVTQPg5JKyMCMNXqB0kts9YfZcoPQ/CQp6 plMcor9ZmaK4jA60IQEMQUmddmxSLO/FSBxZM21+ElVBJpTJONRcK9gY0JAPVloWsQKwMsR7Tlpg tthtAfq9n9tHjUYMBokbSnhocRY/m94PWChpsLQkSy1z0KZpxWILtQBveSRkF1vE7cZweSX+Xw5x bgg50XsQXskpafDzr+9hV5qBtHqAwdV2lwzEnc0jGmDiiC+k5DP73XC2+vn4L4vv5aavQBtKSL7P Y9cr8ZIeht0sVJ+UvZctd3nzrJZgi1xcZbb+YdALrMWe+UiivaBc/5aTeObuR2VNt6IODpM/SDDq D22J0SxNo3hYngRcefD5bS7INEJw76KIxBDW+af+4/Nml4qCs9ikVj/4tL/UQUxpXIDVIW3I4dsf lkCmTdYbyVbyN1uWoKcqNMHxNpRIxAFAiE9IWkstouupU2TUmWipIwr19SzMpIgcWB5tWtJHqvoZ xQw8xYlJkakx4LiNnHWBytLwnwlJPpPGRzyyDymVGeeSFXLejT3KcxcLdg9dxq8zzvFkoSrh0mh8 E6jdVUHDz2VsZ/qM4OYHJXBNB2nnxjpvFhWXTFjIHHiwZwozlgEdVGwBF8Udvcl62IXUx67JdeXZ pox5DyerYhL7TETAaPRpxSeaA+WjnUGPeGkfaW9Gzkc0yRaLk78eHfPo0veKD6bKeZmht/tbPweK jePdlQdZzHzeqGDroVN0X83kbGhT8FfUL9S631Fk1e3+qDrClCGCb8EHvR9DL2RHtFmEYIpaRWas Bw7GjncTUTDs7/7U5SiACPJPlf6kPj8XFEmTs/xS8zLugkkyxlhED0ElNMYT1YyBYUyW2aCm5P7g EY9VAQ05DB/uichfsgnDdNAJ4vMCT2b5+Iy0tS2bA2dNbzK9dtVPdPEKLg7osptEWS9kO4WbQ+T2 08d54ABe+3HYi6I6eFAzZ/OXoTzXCca+T1bb2APDasZ5SswKv8OWSFt2hwUcX1XZCpVQvjSnvfnE PKeY6IHkHz8WNenJ5flYTxhApasq5qLUa+Bm1Ne89nt1aS3PQQ02MqQ1OSWO6PNf3kUYintPnB7z r6vK1BrFWBP2PIfb7KBksYgmJJJVwvxbVtQnGJnMGU65NofAvTmjbYZGG3VhOuxg5dMvv2WatinG MvaamoiQ+Ra0LxbcFpJ+H/BpPoQSO8zMo9keymTxpibftbz8yGdo4DQAEVO1KgNEf44NXnhtCc2U jjOUxZi/20cGwLsnju6vMiOpuKvNwiJTa8oDNAVAc609lVOcC9SQu3eByY+zHd5SeD8vJtfhk+dj zBdTlys0oKuWxDcX5ytyF+uhR0UyRnSJVF2UPPoFHZcBys7fST6j/xcXOvD5JWykKgETRLV0TZuL 15hz2hx65sQuZLVu9XI8/ctJSvnzP9EI9XtFJyJAXXYdxGXhibgVnZJN6OxmjhPghDn3JefmOLqC tV76+amVu0IqccWtF4ONMl44JYFe7hWFz7Oabam9G6d5mqbq6d6cOVzJ5iF68rtg6oNvtveiglba rRUlJlT3uCgzozaF3hRfPo8M+EjYylJg/O9TN6UHG4UiU5Y7YD3rTBs1pm0J1pC7Tbjz6oUVPQkN tHzBB7EcoLp586ZJfPQ9aWVo6YME63l1W1t8Oy3r8c8kpB9RUVJ1RHTsbfcBhfTMlnAMs0ucphTx IG5w4SjsA7FSbjgApfzjzIfvwk8s9nwJlQnsgXpCTNkqD2GB4vwmQuNZjC1ccTe4gmuI4W/XhK6M 769sub2Cn5gQJWkw/9ZLNooO1taeJC7slBSLeQVeDOahoZBNPCC36EDO86NCrAG59tA22hZfAtSR ep6Mo6gaGf/+d5SdFvpBJQD7znFvYrfC9lujp7G/e0f1O4bfglWafNcKQitw7egFamfWVijp62qG zqcZS1jtY5KR1iWUzjPJktL8HU1B3SdGcbvqhJZgbgwtp0XY0EC9Tht4VPNFjZPyX3fef+Xfr1ge uM5jHLc8ZzW/nceo9bR6R1ruZeN+1O8JUZ6Kf3jImQHnwhbVOsgfOLKjVmmDY3zSjlIM7/cdIhz/ 0QDewFUwXBQ7RevDfIWPJdAj49Rvp2fJN5ZEWFU1bHOxNlvWirRHP+BuAea3sTp8o5/b/d5YIRhV c+s00Df1d0mgpWvydnh5IjDVhZLEfw3CHkM3lKO8xQJFmLK/cskE4X+fA3rh1GXewhjfkvUnkN7Y M9dCgIFgVKD2poySXHcvAVcyDT7yjcXzlaPQLAKZNyatSNVyysg/lyJKwKAmuLj9yvovnh51foTd 9YU9cIwbJMLImFeUrnvXtsIM66Z0XhA7FzqZzKVDwk6keAnZ7XzUCDt5AuN8tSWxMxuF+xv4Ztdi ouc6XSqxgt+C4NSzbVV8zvoQbXuW/tOKXmBFSa0AIedx6EBwl1pFwrioUtvRvFSHkr4UzGK4TCWM kMe+W0bmqKmRDIICgPMmWNbADJBxyu8wHml7slc3I0tRiSvW9nalZLQJu94eohrlyXMEwYOp/++7 s/wEt9vOTv08qkR2Hx/bJdhYvkJFArOHDSpJEiqB9WNMts4QFJrcSI2jThTQpoxMPdu/LXDm6/4u Bzcw487JjfKsaFpfB4rVENbdU1ABGw3L4QJJRZtuWk+PSGbGiTwlsi+G5l5Ibsmu1J55A1zakRIR I1Q9p47PhuCOeznJK0KxryZHxYxAh+vMe2VO8MbOYdvhCZ2dYmfTVNsNkIr8BchsgC5hkc05CUF5 ejWDJaCDseMOTuv4chT05IrUGb2EUxwzMTcCk4TJ+W2J+LTicm96btzB6J1XssfwYQlLEOOXcphT kbL8RyuDVKrajy3rHFTOfA980zclvM2j4wFUO2uaEMPiPtT48xRZjP0clauaY09lvEMN845Yy54r FTQWWKa0emQqeATtjN2y6UB5mv/xMHmjGnLl6GjArp9ehRaAxXaTgk/35WAC5YHGGKZRKwMoK8v5 yBBxn9P9/OwaLptIwaNxacfcG4+GDR37mVebEXxHhvIfYuLDD4dnsGszDC1xjBi1WpTmGmt+A9Fv m9q2fsw3u4RqJ4vGkKi8TjjtGEmYq6b1n3q3rPzPciN3AWnkLTl9oZCtr8Y8eVN2u1LGJkJMavLB nCfG4EOMP6lGy7c7ECTDwYAxf2efzEg7ZE6Ce09hl5CeCcEJ0xFSBBoq3jHvgt6b5BD42sk3fSq4 Z8Nr/pbEij7ExhC2YnH/FLjrvSjH6xJRcZxkZTe86jpGcnsozjhFjtmd96Mi3PdifqPJSmn11EpG Q0VVdnuYR6BsXVDAdU3l8idB8qAAg6f8y0tr1W8YCsmOALfh5Lio31Ff05+VmALgh/+mVZ6gsNfN y+/EL29XJ2dynz0wRHXt4mKl5M1RIEPNMtYCKdJXoU3nASwppWPkbv7j3SKmH1Sy9Y4jkE1TfT3t NTUL7X+jmFobz4iX2EtPsbUEy4Iz84XGSRGEQ4iC3GG+2DO4XdF/NoJc3ZrEcsmAoOUnbZ2CvW4v QomtXoLkKaCCaiKAdlx8DrXJWSjmFjnyy2YUfd8iT7p/Judwl1D42xdDM/Fu7EBfmwuYTHJCuUeR I6JJ/JXb9lE/+ScQuWXZZF1miJErVlo9kqxNJmsmXKDGhFRn6xisbrlGLTFHTqr1Hy0KrbTi5x1d NObd7LRztnriVOfsAFo8CP0VNcHxDwEOWF3rMpW402mTAoRbDsMKpBTjfCaqDpBdNI9gzuic8eVK BBj6DUwNsLDttRdOJvM4mYRgpXWAiArl0Tj/HLpS3HAM9YTHTaaBTxW9KGCoqOLf+enuc2Tvd2o/ puGmJjS6Iata919QNNl9U9sBNY/UH9tqWH9w8UrMItsNamdBLgQW3h+/tlLBV3TPdcxy1O+xN/AS bsJj1Jv52LG9VfFK9UuZMKwXbCbC2bcMDI05/B1y7HcO3YRiarVDKdUvCuYcN5aKkvp+joA6ajCi PhxFg9PJli962GPmbl7IGaOBYSLdxGBtBFfAFRHV5Kd061AlQhTz/XVvf7jR2BctH+jn5yYVO6vd 1ojVnISjl3GTLraiAoahklv0T7XjFWIFbfHWoiTC7wKQOXX4TeeaKlx47Z2pUhi0+OFlqTYrk50r dN4kHfwLSPFewWKBCfZGfmlKci3hGusnl5cNOG16PC0MvMbuoOHxiEpVA6NId3wwfPumD+8l9yiZ 7YHRKRh+y5NsRPCxIGYXoMpO+IB9SUVl5VH/eYNuRwBw0u6trGCrXJIuMJlMiZAPVLtnDNGlStZ7 uC+iVXhwqEaIlCGsXr+59wJNfdD8gEUtijfG+BBSxT5/EdMQV6hI92HXs/6IUj5BW3vdMwvMhgeT /2AuFtEgGtFCksGp9zqc1KW5RB2Wam2ZN151GDO+FC1AvdFTja9JzYFUeJOvUKzK0Rs7vKLuMiRh SlFVasTN0EBLNK2k4hccgHd+8PQuWWeH12x3WleNooC8xFJTzqA+ya8faIQZUb++nI91flxvI7CU 51cAbLZCCv86UYGis2rnuKkDoBGjFe0iMhx8bpD+UpFp8DmjoK0qltzRzB2yo/6uE1W+D68YkZQH 1lJWSjYMWVBgFhzOK/n6idrrWGmk+zkkMOIMG6h0cAziq4weHOTpgh0Gxg5RB8cps/KecfBWgWHo Z/GqkY2k26XNytV23xSQD4CcWj9st06S/pKF/o8P9dzrahTMWgsOUj19YJesqtXCWrgwXkZGWn1z LIDQy/Xrqb3tw5pupTHfSE3bPMwlPbU4E5v5JYb+bZbj6rDajPMxBN/oIg1YH1u96YD+Zm5oGzqd YSD656AxD2qPQFTHk/5pz9bmIWyDoSSptTWcwkO/f4/EJFMHZMkR8pcckxOJy12U/BQsxHzuVXLP rxiXDYV4t7r64baK/od6iivETfAeX0uTa+VzDSC6mjzjz+IkdxL7A9wJ0719SV8EJ3k8X1OexOA0 nqIDWVeZ6KEbOZlarbxt3PmMDapi/4OrSNDK4XLETZADUo+7DtIt8z6tku3llpapU77w+NkCdrN6 eWSLNqoOK9rFfscFtrBn9/JiN2mwZKrkRJ2UlI2kssIQAMI0D+jbl5sbRXcl8k4US5ml/3A+cpMX 4yZxK3tzf4yRhvXsM9leGbQj3GtZqv91cJUgML0lp9PvTnAps1gHSgzxBDRrCI3Y+chOD1/llE5u CQmermvM9FAOT+ZDmayHh44LS9Z1XZX/Fi5hxAm9ts0EP2Yrs86xepgpRNMx0lgUs++EqxRV7rxy 8OWyEktA6Bw6cHRe1Mc57SCJorZ0LT5DS2tId7KFAwjFKyW7/0CIyB/KMBW17XTod0chN8AbNcnF LOvS12WjU3lpIXINByV3mnxnl7NXItOZSX4FBtG7tTZ/qN6u4stRPTynMjIEWKrREz9YHrbfqGN2 Cc7k9GKkKh1IOl54OLHO6EMWDk3IO3xCip//SoVcE8wIlNvbClamxCBAgdoYKRIoa/2yDEEC298f Fu3/uMgFuC5lcu89BIILbOIMhIHqfsdeNffZaHjTr02yZjV0QybnFBUYxVqvgx1ZB/57wzOXI0qf LbUigKdbQX0Y5S/lUU9I16yL61IpwKl9JTUhidnzYjyPZ0H4EeMrrFC2Ee1xk1XTDc6oyrluhMKq 8wgUArgaWyv18V2+E2q/IO2NDbo5OuQXs4XqfRTHDDdyuTrTtu43CUWmUx7Dz8oGWtsXJT2zSowi uuVBaYs5YL+et31s5RSzg2OPuoru5yuHKYtQ6hO5qh7SxBjVgRt5OF0VDtVwfWMNSj68fUXSITxj b66/0DlRankAx69n3OyMIHZ2ult6SwLZYOeDjWS41IMGsEYqy5T4PCL0abTNOQDXhFAQFGTnwVoo T30SbVwyIaaN1bLy5ayeMWviaftBG8gqvnzkNLWqOV7J8Ht+rj49WJQ4y5b9FCZpH3USMWj7DelX QWaHfb6+PMVUYBRkfKPyMxnl5qQeJdH7nwBpx1G6i8/SAZPNZ3nuO6KsZFmbIr7QSxPiPs+bR9IP BTywglqZn78cmG5B++PVigGCozWy4Pl4rNQJhV8tC7usJf3bekglPW23xRxVo3k5ZvPEiEzB+zVu 6T03EGipYK4ngeBWdivXfWd8eAJawaS91TDwE9YNkGGButu6WxMAhvS/av/0KSmytEKOCG6R+4VK tUfpKe6i1YS5k8Ft+0H6dee8wuenzdvaFfy1UrAslUCJ6LrZVdabygHi4ii/QUYfv0UJJSGLmWEn VQfNqOXLa5sxPCXnSfj0hCopR6PVjeky7qEvzOw2j4kXH5g797RHdY5RDq7mm/IyzJgkFRVOWcHB 6yetTlZsnxcZ2QkfTe8dF3CQboMpJcnm6yAPwP2W2LkctHpF3RXOjr0Ny4x5yQZ8npmmcPTFmTwu N7Em5U0uHsIqQAkklFkjFJ55GEg6DBAjq+jHVhxR0R8zlNoSd3cFXinjB26dhtcm/GbqBuH0cBA/ 7T/OVOt0w7K75fwZT2MZk7gXdGefN69yPRX6ftbr8Mb/Wp/dMj/CmtfAeSjfr65Ato11bNgOf5fg fKEjlAcgojNWWaCEKcK/JShhMeMp3CiTmtIVW5rpl5jNef3zC6SOPWp0MgrhuZBcl84eBoITx0Fe hXY41Uv/wKS9O4LboAUDjPiVBlIZYizNNx7hzJ+JBK/el/ThvH0vKeNdBldpIgaL8OsbCH7ZzqQ9 zqgSuiVRQHxfDXaK1Of9i0eqrxheJwQnvSU3FcABjLLmUsz7/9uILsLp4Q4b0tNc2fm7NEkA2/Fw HsHs1BKAcbaj0PB8sf2wUn5GW90WNDbiQOiLUpJEpkpmhyebm+w/K9gDiDpL/Q3IIIyXj33Lq3ob iF5arFERpvIcYs4pP3OrKdAjkTak2t5bxSo0SbQXOTnMDV3SEp5W8heewNmR4MSYj2h9HbsS70s5 Fv4aD5hNOJXcYfQQ921lem56NBzkOrEim74j6dzkYSeLyKVNFkUevlDV0Ym3mSEqI3GOg/HuY1wZ Too1xZ9p2bLHw4JNtkL+MKLhv/UCv/GOHSjelBFlTLVBxoEf43YmlZtQCKrxWGqvjHf2XyXmig3P X7tfCRSflmvhnFmIQLjERrRuuqyMz9szDqICEW5Uv/u9GEBO71lBOvj/Nmqd+ImbBnOgsLLs4Dz2 h7RxIN2Ab1vZvw562WA4TYY3Q3J1PV3GjzLCaGCVllpkBEI7Y7FvrGV+y6Y987eAFxQi4BC1R4KO VUlA4dOELv7BAwJ2Qbv1MLcIuughNT1hegYu6UypVR31tV0ZuI6Vmc7ywWtQ+1j3HzfbSDKcrnsF tKfM+F5iDwKYDs2bVDYXWasYFPp49Zdgbw/T3xWN7CuNVZ6UUhNPKH79RwE01+Fg2nkyMISUIRRo QCgZVfxs/YFs7vGrq7JAkgaM9d6NVDb7TXmracrSFGL1/kdyYzH4uF8CiEZHb6XRW52fnhG/ZS+a BxYTFZNjmKSRhC27wKYMZ+ezdrHxJ1rNlRsvXz0WReZfVKgldYJl8ovEATPyoX6G2byesZij7YSH VJ5TcKhgE5Fqq66Yub1nHLZuwjOZF0+0s0kA5YUwqYpceWXVlMTcAZTQuTIjzojTYY+FvHbpTfL3 E9zNLHFJrHcEsyF6cfXoSFO1otwv5XZinKQSeTmDcchSVjmN6axbLenmoz2UJnI6YZ99mjBjLYZZ +/IO5akvmYBVE7dtaJC5JaKIJn6DMrcDQZTqk5O+4E6z9BjqoiRsoOsMQ45qGxoqmMuSpKdNyob1 rOkys9EAkWIQ3rSx35t0GwaNa0K2Ni81zcWxsHwbEgUNM4wHc+1+PKDSLfSIH8hbUyMNw0akiCFa ZxPsd3UlhpD4SBwDME+8Cv8VrLjtLhYRr171LCdIk6EDng+6jTS+behr9CM3QjzN7xe8E66d9ep1 wcAG3G++KOwmxTmiKoRRPGXEPqAhpLIcI7RCFXej+il1pRxpPVOUQ68utzsqwvJXHarYmIsqqM1d oPQDhmSZuKBhEtJVvIsxMb9x9oH1pFRbVoOsOco5Gog0eXwXmSlny6QxA1mBGZrgSWjfIfNmRslr x8ISv+ovZirTnQQ10r08OiZgv+tV6+9oFTQpxcDYDC57Jp8rA0bOkiWPGjJOVhi9de1nZ/++T/mk ihZpH0mfW3NBxxqPTbD5dvcJhNGgOZ7Yj79e27NGeeXBf4lM/cJNjEJbObJ2Rk8QUiPEhk1ZqhAx D80U9uVs5qzwo7c2Dv7mSsEqhykMekDB531sptstwbITWbSvj+p2FiJq13Ay8hsMroZoe6c1lcFO rDPsIAAzZG055iW2Pkq+MNR8FXFaT+SBQS7LJDDTdZRxKUfnRE7TEetWlVwW6v7P4tYP3cSQDtG/ jv7nSdXR6TwIsXawU14Y0PpI1Ei1ZEoY7Zzm4FnrPL3wMuNqZ4+0Nu5nCTmhYcTHldiu7GDGabvr X0dXbwNSLMXcNx5TGt+SZm18ygu/YhCe9KdLwpfWLL8HAA4PGFeCLlybC+9+bizjl9nEWhvfhcu8 FfakIk80mqwmIZeUhOfcpQeUFuYEl9bqj/qOHU7YEol+y3y3aaYUZv1iQ3Rbp+fC0Me2b87G4NiG lxLcr/3E1yUfOoBiMq/cdKfJJVqH62b+8a47Txn5F89TgAJYOaV6bvVveQiDhaeqdqs0KT/HTBIs VY6ZvSSMirAm8gQe6uFtNHfJMckMJ8f55hIZ/Vr33fqryG19Z6FyHEMAjzlBqWSqWXiYW9xKAN2S p1mY89IKOSUsj5yk4NffQNKm5HTxEaUyE4XcFZzSKQE1p9fF9YJlF8R87k8+FCdBq6Jz8tjGcudD olhkBi7OITmdJ4N0afs8bMddJJhqiytUpuLml7adPobr50l6sMpWr9NSwYLIDQfFH2gb5wSqH8A2 GzioQTEl9fngALpOWTOdQ67fG/NFS2zR5gtk9VHTtia9X+gSYaIjbWo/DrAqzZ4ij87A7+aiCmtJ QzwU5tWDYL2qwhzouN7qkGqt7pmik2607wnYghmgwDtXkIBcpEapRwBak8IVADuJQYR6vLmhy9KY dtOJPPctu6B8LRiP53WRlkLlhLgVyszxwnskR0JZ1bQCKYNybKFScBDQpYqkAXrWjqDNFOpNt1AY 1Wvqv8ZG3puP+LEvc0JukNp/hofrUOm+M2pQpa3A/h7JwmX/bEs7ZCx6LUVjiBI91fbm1ZtvpKyu jR4xB8DaaLdSHKy1s3Lfgxlcmy+gyZjJLPckpLqi/a4QK3i87sSnOh6g9MocRtl61fJEIm6pZkyT 92zD0ZJtOPvSb98/7GOAKIVnlysgKtszyS+cHTvx/orM7+h51rcRV0xbeU3rHhyJfX7TJ/KiSIvn Z0QJURE7Bf5s+ZIaLfRYEdqKrx1Dbwzq4e5VZO0rdPap65f5R5ZJpwH6d5Dfe1jL5t6cw3M3XVTM 6Ed7j0b8WudLO4v0qEOczPdjKmTXIakzHgzbkABCdXku6oMNt9yTCnOSGviCjMtoP1V1JZhdzDX7 MvOWo8DFI6Z92cjF2ttgFyI3Vd5TRv2FB/F2bgQaYOPzpcFQA1jKfcgJEOc/TET+VLw2yg71adQ+ 6q1Xtc4f+GBV4yMcXzZ8Fpze0CH4OFJ7PuPIoL62pUEaoJM7YkL5N/8uxX7sDBnCU105085NCpzq NHzcA/mWp83Lkydlr3jvnxgM5OQ067k6LzqJH8G+Mg4pkDmqI6jfXkMIhG5XumikOfj+RnRbjoQq aIuboidoqkvm8ykVPqAg3drnyyXY+mwq7NYqtTQ906lgY9e4ANYZxpD0YBbsxUx1dNmWQKDYrBps dhI7oDGZQe98620izflB5iGDKShVrssr2mKuVITy6Z5JdxLVhEUWrcP4HA6H0IxgqqUrqfh103X4 ic+E8VQeW22qUVkbBum8mVZluRoZcN5bgIwrsQuu5ixqw7dgh88EBTKctZot65vIwXHg5KX5xWYc SHLS/vjWM4ThVbnX6zvoYSzByCf6Ga5m3C5dWmBLnlsKZo8rO/BDyD0UIo4i6Wig1GmApvu88YZF QT5c4507sqa4lc4ObbSU3JGDzF7jUZwZbtrKW8tzFoXQp2B7DpK4JXFzZHDPRMS9rF1iKVO9uvOj R9NyrZHM2GYE0GHd00jBo1EK601WpqpytRSbsX7dJbTAYf0vA5ZTzH1vk2MCJXuJsQ/QWzyADLR3 bwxWJPlhB1YSV0oGSZv/RFh+iyJ9EbJj+iILc5wo7b2J0T0ef3LLaUTklFNwP+qnvn7Fq/QoV1kV AO4wZqKnn0nslnnDQjKzHWyyNG79d/pe/9zBvRbYQdmJnsVfnqOu/62k+kYG/0u1ph+WGzrKbVUJ iz/l+265+DC+MroW0Enk8uPP6MiTPInT5pvtfd+3hbvm5/omRiwglbiOXHvjETyNZwn4L3ccoopK eZblNE3ovJ+kcLzjWYaQdlnfBzyYV57WIpm6U0kb1pZs4smfTlzKhXwAPtYG9URFEjxUfmV+90zE hIucXPfnc5kYif5I/WlKBkb9gwnAR2f6ft/OabyJpiFiPoGX72ziZcdRaHJKl+y8kBmYvnkpnYtt k2hvAJNacTl61ahm0vgcMqBn44TnuJThTM5PeuixOKJrfIOc11otFWFQbklvsqILJqbe+IuQF3Fo yqyjdKwECHLccgDst3tDFjG/84SOJuz0otqq2c1Oyd39SiK4CoZuqq5kQy8LUSRrqtzCjxh+2iVk uQBrglvZTTAxiy7YFhD27BnY9RVdKXDp23XoPbE6u7Fv/Ia0M+3h4AtdIQSyBF7dFAop0UIhmhT4 CXxMZg/xIg4c4dnLE2tJE33EKVObbE1IncNZrQxLhhtNxcCaB6sG6orooH3rRAwuU/2FxFzxo5R/ NJ0RmfYREnmdM2RyYQVSPgh4OdcSVfWCLZo3r6P9MPIFIdnmZe8WDn1Evxu8eAsZ5/kkZf/W/4eT gGCixzyeli44B1n3nL0h5b1N5yiHfReZ86KCF/4676cZwh0JVIVEgAor7F+19UGqViubd99uN6VL wOmgku5J1QrfDHfvAO4ZfUV7LFHQbBSy7n3UZaWwvTC+n0y1hHarFUwlXAoeIYr74Xh3DV4MDV59 +k9GuGqLaXQlMYgFynVRcRGGHDLAYlUHGBfDxp11LEzNcOaSUSlv8zt4ARjJ4WSUzMWx9+tDJWoG cF8IiY5dumJbM3CWD7izjDLdEnY3Q8AqCW61qONrR+jG1XTwpuAhIne5xCp9jzCiYlbK2xnBUESJ 4WFVhb6cP5mNCOGvAlBrG/YpWDz7u99aJDgDtIP3wDp/z0vavFKz4k1zEzThBfM5x7HpoN6iKTOT ZJmRBBQTYwsxrxXbnwsr92caaXNgej5+/DBJ2kAY954iB1tub4dQIqnPWBVF18Q+7UACAw3dGHnZ sOJRoL7PYtBdWCvlTMP9c8TjDii0XayIgcIr/iAy3nvDuPpRt+molNx4DCI+Do5SA/5qkt5Y2ZgC HmBXq+WA6Ns7qimU5UWgBsdIwHzcgz1dMFOEYyMP3wiOuin8A+5hZc1p/QuPMDcE7C46nTS+/gPG Mar1DIVoEj2C4xJgwOD+xSIDn2DxIL/3oofpGFTNOfqq0n/zSeQlScI3a2iZ8luLBuRafMzNbYwq 5dJ45K3Qnk7NkHdcDLLBHiw+nEhHvHPKig+LSfObPorLuk3KsWsBefghqHXd6ScKPLshF6MXZVsg k4LbnwFB61pjIZG0CHJd4w33iVhFdFd/TfHYsTqA1sfuyYkJaLv6g/YjfbHDMq/V2B5aiO4d9a10 ulhVs9CDxYdNb8JyXnwos8l9/7kWnMnxY/0HN1AjOFojVdNujWSkRD3iMYJaiu3z5IoCv00vjBZW SJys1hyOF+v1FpSopiQQnElnXPsUqZN08ggc+bLAKr92FK0Ixrp1qzCcC4y+6rR68+yw8hMhSthi EIjPA711sUefOVzpHA789UnMMluSzIVo26qeiaS7qUdFiz9DEZL8qk2hPRTu9d1ysoUc+58fDtIc jQCzAaldsxRMb26eKcjUG40TbtS4nDrYVG7q8QQF7zYv0y8I/y/cjBKIc7IJxy3znRxuiDaGPlr4 7SC/xTf/8GBf5jdUm4YceHo2QR7f0ZvgoBqbkCNAyRqYW5zpnJVP4JGekITqNMS/TQcBrC8TO2UJ yycT3oyQLx4PyYVCWVpBfWZacgRsIwSCbESxiehkM9XDVannshQuL4cZuLQxCIPz+kvyDTfHnx+x 6DxyXEb3CpZwjLKVzuWgj0qjiX4qo6+1sNFHFo6gMhmFjKKH5Fux0Bg76Yv4+ZGbv7nf7+DbHZJo JyQ+2JDCmkDZwb5M6aoH08SjOGDMqmESbOIwLRiH+AUy23TwykGDE/fcHwuyiKo7GQJvO8vYwiwW f4oU4W1XcqsOhuEaJ8unuZQr1xldi4PnsIa4OWrBevNjF3UyNgYvun/XXWnEyzQxv0KCKZzi2/Fo pahvJ5+bBC/trHlroXKNLiSB/m18dTQa0VBsAN8j0qLbqHMkEoRCVZALVg+hBkcD8qZQhzF/aCYt EBFOWUinQL7K/bqGPK0GbqqnBE2cHic8QkKSjcuWqbFGSXJM4R1+KKhSYHxjj1gUlfcbWf0Yl95e qzCIAVqE5JPwrQZvWEFqZR9TN2EGdoa4tH9fqmnol4cAkT/ZeByv0+kgKR/W04NUgVCWjx21IB7S C7fLaE5MjqYMaxUIe8gyhQgi66GJsBgjLhG3Cv+iE5D5r315z1jeCVS2x4zvY2Zbm4daGaozblv2 4osWRRWRLQ0/1Jsy1UD3V2uAPDwB0pkwzd744GGQhp1N+CURV/bvy3S0hOeXQzcRgNlL/g7kFGgh rHh0N6kSKD7p74yHK4/8D4cLSAZWpPmjJhwuuzrjAsjQ07BOGYbiMiuA2TZk3bmDa/3mwd4R7f6I 1CDi8JsZv5EsOU1h29tJ1Mmig9K5wQKyJo4e9wIyNxFrGf27JQ5hkfPa8KWrxZnk8auvXipptl+U Qm3dkHbKU54ZduLJIeGN2gLY47DR8jBmV14t7KuhQ2PT9+UYf4g/AWnrP+aH7q3rePiKvsz8dx/T t7eAq1J3nvuIExxY0yVbkyup9a/g5juXo8isryOTPaldZwTFwLPjSoIXiowVnlOB2l7lsgb7eqzs rQvfxoKFrlimauk3ZpOFtNP87GFZWHh+NbURIl6TPIv26UPQfE2plYgeSTj4W8kK1lRPlo39yDao z2dmXKv1d83j8TFqMFiNPMeFcEVxZb24mKJ6S3+cTxHiX+45mGNjvr2z1ryTguyu2xgSAXxXoRoI oPvqA6U5sEICDbwnC3FjCxUDVRKyhLsydmtYh2Wnd7bRAs6ermU6hMsWCDF42/n47k3d1nfMe9DY +tB5SEgNCaEyL8j65DMp5azYubw5xv759eaCdEwhMQvO8Y6LAli9n/dDI2uklHJlizOlsn3dmpeI cys1JBfD1TxyLkgsQwl2c3lKUsyLLc7UhBVVaOZJMhW/LzufY1SQsFECm3emELYAYPIYls6C2sd8 K2C/egZXvSUpvkCg56Ud3NcrtfaD8IIn477blwZwPAQTQuSYhQCCqyX5vhEi2xIoBapjKR2X0xu4 OAw4ACPtLRe9hOoudqf1MjDjSFQ073EgPKvDc37WJUrETh0PaxdzHhwUceByfU0wQlVlDj8wLbqF WwXdC3+aBZ6SGrjny6O2fuE/GtFZNaeOgixrLHy+gORMeSwfLXfpag/v5z3HyzUXINJUniq1MuUT xChEpJwTugHqf33E0g/4danCdz+vFW4EMNy5FbLp7U7S7BTVn3VGDhP5+yDj2UAS/l2OzRYAvNMX JbVPUyomKW0gMDLdU5YXVYw1zpZxzmuvOU52gYDrDrr6LywKtLq8OM2c+0KPmTyDzcE3QL28Wnc+ lNpkDW8YYekG3CF9RyeBSyGyq6bIhEkqdL97VE0nY8VZOMy8ZrfzD/xKco3HXMtyJlw0ohbsAK6A bHMg7Q4ps6T/uDEg8bnv7lQzEslWpGAFMPC8fw9pFTxy/bygt7scHHx5r+1LV/TbezAXprLoZNlq qmq93hG/umIz1q+mjfDYv5Wu+q5ebQVoeP9GP9S+yKQYfUd/pE8fZL+3CfV4ifveFlRPEL1QDdoQ DPc7q6HA9uhHBwlrlWpk/+xJTmL9wVKmdr6dZ+F6DxSmlAQjPYBjraFYIH95XjnaKvG7tCgHSYEu tbBCRJrthsryIbhYLLOe8HIHtLzbaovopUTjjWnJKW49Xd0hdQx9DaTCObYl7mCEUAQlFWfdB3VR 8Fxw2qzcDs0cEyZyHRNK7r/SDA+Y4ncCvEXfpVq/Oe8WHNGjg2sdLZQTHZDIQGdDrgU7alhTwSTF m11eCJUZK6O0znPGdywIK6QfyXMNbLk4En9cXLECLoD6Ri7WtToOmffbojUwat+NTZBA87HNHuEZ JPHza9/my+D08FMtZbLWDX60KmIRVxPySb1sxNIMmKrVG+JBfkGa2wKWXk6LjuFErX8Vv8DqGbiB RyBUDnLYuYHIrUHqlMoGbH7cIcPof6/BD3GucAq3gqfwIwiHfdhO/PeffgG+VzOw67Gu3S51lDos VHyIsIZP2vjAvrg4DtpL2OXs08tW3z/41ultJrUjS93H4MOmXXwEY+KKR9Shlo5X81RiiPnVdYr/ tp4Ev3pN4DWUoEUTqjsX2dopRVUP6rK/0D0k6mGK+qalSnEyRvrNSQLJQK/X1bVRruvmlSBoSnhb 2/gpaEXDxlkm77yLMiqBVFss/ctKwJ85uYTysq9gL9SGfA5E2HgeAvU0UyO3rLZ3bLMruNPghMnm HSXG6Y0u1Cpy1YWKaF+J31JHfA5xbdCn/udjAfkqGKL2ZaWaYr4hlYLDg4plb+PmSzM1y70H/wbJ WP/9WLHBUCaVDx0XG/OIK2LkvDJ57QJj/4dLbMUu6LLC/yNWAeINYSH9rY8VUfex1aijziixkNV2 WhmbugsK2FIJGYupCd1RcAH+QcnFKjdCYQkC1f5iA6oKDKukM6eqRrDweCCqRRtBzvJVzoPe7TKU UgzZmRniC4oqEp/0Qhocs0UMHAAWvdQbLt7GzW6cfUtlo2fDmLZKce9TeAhqBY1UOkfvSc07ibdL Y/btHAG/8bq7bBNw0C2ipwZEqvr8oc1P1BjEuxorm3U8SqyqnEiWOzMrd64Ffgsx+s854cyWg5tO dka0EYM7f/pXUOgCa/LSvbmcAYXa9PQFrqayh7HYLnNEBeDFS+N4lWKZMc8mQan3MbiAV55Le98M rYePyyudK/1ks8znbmOuKBV6lz5CAjil+H6VLydwdAC2JnVfJiELZzZ5+ZHge5E46xryzf4xMtvI rl3YQRPJqu8+fSKjI1NDxSJo3bT+04QOhX4zwMtoYYimtrPMiegoLGHICpqZxlEW+o6rK+y24Qow hc8ECxdvjhFJz8B01I2NVsGU7NIth6dd5de9SDCX/L2MKlz+E4jNBhbquTi/rF5KqjPVQGPekvrD yJZooeUmWexUQAR0U0Wui/rGE4w0CpXazTi1q9y21x68yiI2ndXgGuW4ti0XAuG9bi2KYBqpzRyy jMiHb48lLnQRtX5C74nVlYNZCnJbo6dsCWJHLbpu7aJh0MxJf6XcLjFD6nd7LU6Aus2ttARAWe/X CA+fOBfx0GIO99dsKs5Jurs5j89OgVHx8sBbbtv6K1HL06YEdry7oBP/74yQ3xd5IiDpYosDGcUm RHytdkZw8MH+v8mI+FvVo5Rmp3o4t/991fb0kog9L3gZQAG4nYN3FG4ZEpvwFYX1ZyfXIssnBxJU 0qTCFK1m1mh57+IrSVjTfKvraF+5mzivyKJhtg57OZp7v51Q8O2+bth3Mb0n4BH0VC9bl80GSa4s LnQk4YlC8ezlQFEmxMM8s7KXhogQm81wdGmHotklLitKIGAuN0Y+3Eu14cRhEOUK4FB7y2+0GK7+ Ou8ulzpQGoL/uxfKDgAotB5tZOnIxSikJdVOYz1HZnKFaYMhcUZGBqMhi0DQEww6fIgZjSxI8f6n ZvmhCqr5j2L6sR22KXLemQnC6wIxyheaENK5MxOjyl0iIT+pLIecSdfTWNAqEHtQSo4YrxHKMtnF foGYrGhF/MqnZ3YHkn58nvcZoo/xZ0qDV3xxVSYi4Fush++Mq5JByIypT6M/PyNl/NMYTUlkzkYT 9mWeBHbezHvkIL2RQXBeXrS6mcyH97qCZUDrc9/pNb7UqL8RD7Ydee1DmLS7YifiQXMS6sHXp9ah sHfmpGjv23pzp4KvVrBGwMAjpgP5aXJxSinkPlQWR0d0EQFU1p5I4loSCO1EHcQve/R+xA3haM1Y Qva7Squ06c9LCi/aRfTAAaayQkG5dyQyLmwFmvtsMAPQPsq9hOcPC71tKhEuBqH+PVysAwet3QcM n8qLEDuVoCgk8btFTlAX5BsHnGu4JWCR+IMHpi7RWVqaPU11phEh4cT797RBiVidJU7FuvLC2XnQ 2FrC+3NaPjCvniNqbCNA9gobl4yz1X3XYEzeROANpplNeEXup2gpHp62zHxVG6BuKaPB35fCmcT2 ZWM8C+PoO2Ov3fWSxRqHIrSjQc603IhI3tTKnNoWUdY/G2QkwvbI/1rPiM5U6loW0L/2o+1dWS3H DVrv9Cbiq0QcbYJ1Rq8ilUAP6J/M2t03N/7sJMl7I+f3hUtT/eufn0u/GANvTKRKqMBi5rnkZ6K8 gKyMoHHt9QLz9Mw89EGTclrhwtJHV5UbZ27qE5j3shHwsnTtsh1SgXrhmRlMLoU7z8lOKw13vUXt 7oVaJfrLB+oYhpPckKEvFJqVR4pmWlX2c5U+j7NQwIwucZdgbVxm5jsYc2mXrAa6FvkpqDCZwd7l sTSIWlkBK/bDl2iU/jgnCvm21ENaczFgfGQp4r4flqpDxFf2eB0w1dPsLOLvzDkDY+xXaZfcT+Rm oBeHg/KgyPN7GFZYdeA80FEseJrmufY+lNiWNbY26A/gIcaXehaTbEOD4urkbC2FhLCoCvggxi84 +Kr/f1rTYSfRxE1lnHZvHhmXIXRED9PsJeaoJr8irYZCfyxDDGpGL5IYTMuzStGgfhBsfhtAqkkg WkhD/VveWjN1hyCu1TEGgOTGknyY3RjfZMxl2PTAdm1mRphhXTYBCafUz3ffinK8LZvTr8M0zJCY Z7AHYlflxrrxrcINO9N3xgX5Pxh1mLMdm+sKWCBvINi4eTftJO7TO5kLDyV1KqXTb2o7wTE+E/Mg UUSpTRu/sGlq4vSXWjzUFxaHDr7h8/Owy9YqOjlGtTAQULqYmY9nclum6a6n7xTKZSMDo+NAECHm zLCSfGJcSDw2P+DY7oQ3JCWXLP33Vbu3R0+ziWAhXHrBoqnKNJXyYVdirC8PXj11NIb47Zso1oPM WCy8I/UAu/xYn/Enc+KZ9xmKlbXr1h7XAW6OQMaWnZxS9B6UQdJYMmH4UgY/v94twA93Bi1Ma0xp sbvF6TU5nBksPjKJqrXpMn93gB3di2KYx0qYmzFDjBhHgyzEpMIWlWgTToyfg94hLffui80k4Fyd DTnFQM4FnmJnh7tm7LE4h5tfBvsHlauD6yvfvjHZDY1Ai+DcfScouVqv7j22hrRiEVxbHGMqdtLc CnsDHy4LxNZ9tncCJ+LicjnUgCaNPN2B+uKx7kV8zfeGY2xmp8kfm2pAza7x5w/WKVxf8XrnGnZi mGQ+mKCw7g901A1k876ZHg/aPcE27lb9DE8i4C7958WfXMzg1Bz+Gw+UCXoShXlzRhEO+++Prxil gdF4xFEulks/RKjdV5UaNgOxgbMRn+xHN+tgjgjLNof2/QK9sedgrL+w+yGr4N/6AnMiFE565C5V HGCzswZ256aawSWYJJnnctYeb30vKunGarKhin3ElIjVoFeopapywtjW/rfRSh7D3SmD7wsSt3hB So2AhgzX7dhdT3NFyOmkMwbs6Rf0I+yZlqvqH7EXANb0k9Z71vHZsUO7IK/Ir8OIduFjUcJgFa70 arv2zvtdF//4h3pULkZa0iFF2EpyimaN1vQLVJcCXOUfq+yvT+PVQvpJU/UCGzxqeeyXm+fhJr7I Yrignmmut1+894OJLbzY/0JcuU5BqE11+N9FRqpNnYozVqNRvwS9Es2CAkaMTajF8H+cOLA6BWvY 7zgvZgAtfCS3+hfkQv9VqGGT+Ygk89I4pTS2tSeuYgeY+Nu2RUYW+4Xwss7WeeWSIDHoRNRed7qQ CNd7I+J+WA5988IWBXftxNztOliQUeSItwJ54GZvMvf8IomnEWnLzjudt5GfYs7iB/WVhnsHnEEC sPAWadmbBJwbUl+sBGkEvH7qGw+8tH5CFRzxhYlDjzJOyXJZxfu5SwrZ62FnUjSsg9ZA4gQuqNmK c+SOOMRjJ7jdXPPvtYLCry7nm9RfW7J6zO6LkC4nb10N3gtDvx5OrYjqS/CfeuvVXX7a80Er0YPB yvNR2RcIiGlKiviUwueZ/n4v0vwa6Z02ts/kP5mJi0IOyg+H/trF1su5UAbVYdctX4UCVxaYYBm/ RUhpUFHADAktBpM+rqUDcnJe8YQopCIwAeNNSMalga5jWpiXYPwPJyRx0/AVgnso46I8i/AwTLb+ dUH3obnxIs9cP1RQF5e9vob+fKGv6llLZB6KS8sxTU/rGvw7yeKqGSSe01G85bc2UergtrxaNqH9 oE7ffMd2T6Ga0SvvqQjbc38VWdw4eLl2EZwEHTuILIzjw1dWsZyhcSY8lCUmYHAv8CZHtUvFH2ML CRfjQF0fg7Vae8NhfExTfHLcxG0T7N3yfPii+FaOBLF4qDU7mmuzjH4s806j5Q3YULXnkoNzjInL ItLJi254WqXfTcYUwSKUME6urGpOMht/r189XlCbdL8/ScGO8El2vlaAvd/R7bjNsLX+PnrCOBLQ ezQgKEGNFSsQqOYmnCMwNX7L+gPxFl5ho9TlSPwVSwwQzzUbJiQUGDpmlddJyyRSubtb5r04VDdT DrYQbHUcS0szyOHL+q1eGS3n1lKpqT2um6UqlKpsdiPyeg3huZ405DN7jd2+9HFjbgC7jdwAabCQ 6Yj8ihbMG/qcJ0vw/bNJAKcvtVvmj+dr+OEi2SbRZpa65RWPq6rkZFAbhylMKPxfLBkITICUWhA1 rSHe+oOc8twVxX4uRHxPo7vi0sATxf+qCSTox2gSM/hzPXlNwAcqhEX696x5ll651FMiz9N5KFt/ yOCvPwR4c+Ig4WfOAZHsK40zmth+Z8m9838VkeBPMRQWsxtZVJc9wKatV2xVjP2Op+AIurYSU1Xi SZBokuOlGuKgxPIjWv47cyvejeX8piUsPYywwZ2Xyxbl1PNffo/+Lfv3Y7Afv9McZ89NcbeN4H1o wzFlOUe2qApsQ4rg5YmD7eHT3hZPOOpC20xwTHzJvrBhSbV7j0w4IjHr+bsgmi3hhnBhdylQzOIY xPozdFaiCvvnNH+6+YI3jC6x5RctK2KTI35eSVy2vYuJTCubE433SYbM2bwRnCj9as/qDstg/Kiw g7szCkjW3OJFh1FxJAGqEFVVuEzajtd2TlVO/RdoGEJgNuEsiW94hhvZmeqBUvYpkJsuuMzFtmhY SEMnzEz6YsihJWojUEuGY/XQpjvFo1pa3qnQnnHSNr/6ZoWrDGi2DZJd1sjiaEedAfgZpmK+h4fh rCmkjRg5QIT0IL9KS7dvsZ7MzQglVNgMpFejPOso8XE49k/X2FVMR8+YLN+b0uDeNkBrIbTcGSvG dG/IsQwrqgHDXWgVr00p6Vzb6g0pJn27kbCnR4+24hH+bc3HjuGo5c/Qh2m0Wmo3drb6xXtGV7wC t+dpg1Cavd07AOXeai7iPho6ewSy78V0B1oWVVDq1WbKwYrG+t9tKBZf3v2v4tvl495ybG/sxv4F ohtq05YzeSzcEPQCQiP+VCw3gbVfo0VIUOSgiFfKAeT+VIiXzAHjiDXWO8gnRx82+gLSKQRAzZAC 03swrwNMsBj2zeRuMZOG3Uc6VE+7FXbGFlNMdoHHBUMo/NNFrHqpbAaOz4BLma2Clm0AjEwW/iw+ y7W9JUhFMq+tVw4pAHNHXj2oQvwVxyvg/vvhp6aaT6tPPQ29q7IUttlGXk3pjztB8V23fDYjxlAH 9tpCqTOSYMO18uly2mrvJn5UCqr9HiFcL0h4bVVhcwQ85rVcpZOhTPYGAEVTXPxTE0YBKLz3iPHz Y3zVHKkQYYv2i6Q5jhs8/5/KwAGgwfBRwq3lIwj0rmnyWiIt9Ocx09lDCzIvklRFmdaVLoUVvES4 0W8ecK1XN9BYheDsfJkWR+CfaH7uJcEc2xVT3+nvpDILP2DZwACkkJjld99HmFAU7P4eS+hIXNNF kBMvKc5G3IvY2Ed8tHLMxUom2uQARN9NvE2DAJbzXmbiBI/6XW9i/AtZP+YEz1/XSH1X3WQp4tPs 4JzmjYemEipW/K56T5mGzN0Mcu4AqqkHvpX9es4aF0mVEPqWiAFC/YareNohIzRoxjTCTFXgqwVX a9M96b8erXUuAkJ3+NN5C2tPm4u6ToAk/vel4XgQAei1V6qFwGmhONZy2LtHG5mJ9sKt5lq/LeHf muoe4meMablrh0Yz8zcxODbdxRvXtFP31eCymSc3f112EHMy9p6CWQxwlgf+QgQgukH4F+SqbVWw +evYrs0Uwz6stgPDurwKbYejEySkmkBAi6QL3RzJIWrhUSDIBbJVAgRGQl1DcMr9r+ehUVX2KsAL jpZcNE72yDL7Y0h65mBD6YQHcUApMUfI2+cMpq6EmOxACKTmets7gD+53U4561BpYXC2zQ/Jl+o9 bT4QEf4ItDhu94xj74a+GcG9JbElpuCCDa0tIpsvpbU+tbNSK/r81PxOp1p6jwSiBNN/daNvsb+9 iYW4x9erkW/F3kcMokXszXj875a600e9GlvAnxQWntukAtY9V9gc5uoKAio/mUE1676+R0t8Yzi5 MU/mIfdUSEQ6ooOX203rdrH60aDzMwkq+9iWBxp8jlrwE/tJuxixPqemhH+tfyQXBq20FWCRN1iM YD6awo6u8rzssFMAmoMr01fv+DUhBCLJHGxkXZv/RUgooGKhIWfcCeGMIfoVyen+BBu/5qCIy7gc ld/VmakcCmKrBsNxHaFLUHjtmUSn6DGxzwd+SqT3NYjgTJfWFZyQvaWIYGZPahTKDY8pfn13hR52 0NSY+UoECiBDdDOmrhGE6DvFukzdz9dZbXVh5VFfxomvlRnOqfuNpvNKODY+u1jFRCrKG4MZ/Mh6 Hu0elz0MHnjRiT4osFbsM6NROnZEsntLInwntd0pCjcslyKgf7pKXFjWg48Dgu46bQJlAHjN6kZS sxtkG5BwMvjbV13VTRprOeh6lQaLxjQ5+wGcffODOKtgFM1NtgStr+FKfzgHDFrwVIqVFexrjhPn cLLgZ3jwehNZhVa2hGfvRd2mKxc2DVDeU/XNJuaRBmjx6yJebys5jIbtyHbeZSs/geFM+RxdwW6I WMw4KoYj00MBkycX7VJic47sv/8WYyCNn3ivVNhz4ry9YBEajY9fAjWRFYzgmw2kCGQBGonYhp+O /JIN0QbTlinF3gE/9WjPa3xTK9KViBODTVvuD5BMdPjz3njUlRhpGjMUs9XcB1r+s5ee1z4ECEEM mwYgUcqDzfpZEu3PimmLuafqA9Z6cvCCNJ+ZXEw5tHx0HSnOq8ubg/QNxJgr5+gKQZbNOsqnPzL4 2kTZSz1BsVLFT3wDOSeno2oJ5+oaHNIyOcBuqFXu8L710uVxyLyBce3MwXd7xJ46ewm25fv/y+o5 OhDB9sNapBFxDphnSN8K3PYpt/d0MLwmbn1q9+tCvSKNkFKL45dnadybGcfID4/89OdTQ2eT8XQZ C2uyfvXitb5GdW/miXG0/ZUzuVCAiCF84A1EMw4/tx/pxx87S82oPR4QdVwARrn44E/9lpySM6IZ fBlfPSzBMf5c27xmvbRHw9fxlvik5+Q/UQx2GPUIHRJ+PYGpRalg+sw3J0N4IIVbCPDIfRRYoUOn sopzrP1dBJMOUEXe5ySHgY5QNTioC5jbQKYB2WgX5ZSj4kiqLMIhFrYrhAjLvmX0OpP79F2ZMPxC FY1YkUzB8/GVKfDvPRFXequfyXi0ZX9J8THI6/32zaDXqDZAf3vfjiEeiv0MboOlAq5ZzGRtvn1+ GOHieVpkHJ/ZL2M4bOJY3emRPbm+LeIxIiCN/PJ0MCO5Uqp/M2jukOMXTfBxa0LVT2kFS+zErR0u 3Kt2TOJMAxMSTX1pHWRtMm8OfI7nckxAYpbkISyiknqTxVg2EUpwsCPZUVsGDaTS3L+9+ym2BocF 4pjHLDPJzqZMlEZNT0d+YuqoIHWGQlC9f3I+P0ovSXhRQpUk27P7rLrob0yfBr5awoGMjMq1T+QK LpVddnzLhZqTMa4rPrhfHtYC/gAq47CcwCnXxKW56ZxJeWlctOyeZ+1jKzmV7OGiBzYMbosB58wd lRSTMg+PK4/y0UdevQx01NlRDIhPAl8jiAz+jfW8J7JjGvlHkryAWX3oE7+id8lsZMBUsjPwGuwN FS+dJWv8iqhB97JZln+lA2+kBN/xNjyz9G0u4XfcAazhnhLONX/1V04592yHWSmNBrkCZ2JWSOtF lH59d796TXYMWvu2CcFpNgwGDO0wBkbzfbt5pW73192Sr4+dnNAhleW3rouzbjcgMUAuQYwtkQsQ xV4S5BYRukGN6aMP9XCbsu683UyN5bVh/PAL/G0Ra7CYfQX4/0thRqr2n6BZPNRHW6MHmmOgxZ+/ aI8TyThEResMXWTstT/vAN0D5zEDOinxcwPZ5viLiClbSj5huWeuqzBtak8MrByHDnNTJjnelPS7 XDFEmpxr5xFtooJzZThSPSYOEqP7vjoKP6xdgluPV+D0nI1vV6sjz9E6UizvjAI0TAXHxek6FKiv xIPV5NkwfmPrZsYdEgFcYalALNPfmNcD6zfhEWsB6QqX427OqrMsIgiugJzUlefTjtJ9/f/SR3Qj AbAfW129fhb9T+E/3eRC153Ev2Di18ujE3703yVa94auADPo5w0VB+5JfDcarM+VqZyYPqwjQq4n Pepg/bDY1Z0uDgRmpg3dCxX/ID0LjKG7G+CjfnQDwGCVWbm6Ljd5lSawOmSOloaVTEFnBSnS/l6+ VkyUuWGN9YJ3eN9lHtoUw4EG3AYVx0mSfKN0C0HZEzXEvIeTrs2qQPFa7XZVutByplcluKWk4ogr jdOab3Sj19MkxsYT/p/WUHJPyhAUsP5HIQFQz7mDm4HgA+2JdXph1ld69hJwR34nHhvRUIeV0fhb C8RO3P9ytMqTOL80anvd2aLJxrbbXkNynlKC55G/c0Kwio/F8+yXieyRp74y7/zTOItJWpUlhICN azuivNtvnGnhJo241vdWCHouSBKZlObKlBdvC3u66SaFZvr9rlerPO7Ap2yimMiy+1zTJW3ZtgJ9 XS3YqYIIvVbz8/0XjL1Id99PaBnVIT/SiokH3sK1WxppRlQgb7GsrE7f2eR5DnYEOnDFZZkIhcyP aJ7WYzXHwC1nLQiS5rayXRb/zj3Bf+Qw4N09C0FrBRWFq+DaSivpZDaXMK7blm3YSTm9bjyhU8cb Gndusse1+jvpo07Lv3GaNu19bR90ZjxKvePMbAsq3h4POKIaXum03gUx7VRcQR3S3HUjXXLSPeth YpggH9H/iYW/hFLRIzQSf/dk2cDnCcnP9dr7KQYjwZlTjtHT5Ne0zYzo2xdMYW14bate4YA06UVx FXFfIQw1+rT+84nqe9bO8SCNWe+j0NiAuH/F4j6jin1tXr4j9YLcKwAnrDtBG+CsDYrWs0LQbIwH zOrhs3wniSogjHG82sT1wBcAMlHtwTdFagyyqCfPg2DMqFt7U7ctiP+zBtjWAwnR4QbejwuZzlCZ LNL/KKOHqr9S+/eY8YZ2eqJitCVpa26LKQfLWFUFKl6GxsQ0eLk1hoExuJ16Ul4hAnIa83fveFlT kS1lFQS5Sl0hqCRctGjRCUDwViKdPfLyolk16sUFZKbEUyj6CkPD7vHNepNmrVV1Fv/fuAY8c4fS 4pKpZfCA6wXDCeymQh9Exs/SipELkYOBzBluRQd5R2/srtj817mmT5Z1QxD9p4c9IekMdd3PdriA 7ix7lwI02J+xTkJ2oJnEk152GUEu+zqyut3m9i7bfRl8e47uVLe+8p5d00y4ZDgg5jQDttvU2MQE nvY1yPMr+vUBse8hYgUeG4EEFVKCep3aLZaHgJqcLV2GkSUfL8mUr/p1B0+IWUUw0VRM4RkVbx6m K6O73LulFgoCifzT0iIgtZSP/9HEyhtqfO1vaY2k5XUDQ6dwhmtqKEJ973tiNi2SK6HdgvN58u6j 66xAgF8NETnDW3uQXJryBmh0EnykKbu7TdwiCcK/E3TTmhu3q8q9wsX/n9ZegPRqwni1gakuULz7 WSthMRhZhKd9w0EwBco+KB2pRTwBHSM/nX7sQJLrukKelaagXvM6VNsahd175sZZQSO3fEx3aA1x zY1w989ETOMCfy8/5Z2b/1TOZC5Unv0937UXmx7S43bq8p2zCBv0Nn1keQHG1RIGoQGE99RIelEk uvqkSKD+Qk7o+PGdqUCIbX/iXvr+UijG+ib0n07j91on3dinDigxG+NeX1Q1Pub9XenlFwr7FiMi JQGBu2EUo2idblcTn8ALn8eK7HJbznFVq02sE7oJsAdvgBexvZsYQmKk3nAraU4og74hQjoaD5kP hh1uxVGQlcJuTtfO7Qsfuy2MqYQJLhz9piTVAUUcNvXclFkmcm0zf9wwI5Qo01rzFaScrPnJBaP9 WtFcpHgo2ndR1IfM5YIZmAgvJchUDgk04RogZbfqH/KcpmZ9Ah2RiK4nAPAqzk+droGXNnInqqgR Rsfylt5jkgecfGuItfiWj/FJvx4Xjvk+rzDK2J4Xk41wSjH7JF6+EWAkcHvOnSSw6EAdl+ZJQGMY EnLjMp8GclgWHoU8SqATCtYZ42ErAIpansd77dkMX2rvoly08AWuSC2Kk8Yfj77sH6G8VqaUkuR8 CE5599Mtr7fx26GEdsq7cLh4J44x3BtGPZVFu70OtToerWd0qqsB+i2XFhCEMGlaXS+w0GdgxQAB 6YM+Hc14uJk/E+zkXVi+DYdGQyCvvHMlXObrJ73+f8dee/ECZjUxa0fVYEcIqEtuDNiOTnJiehG3 zMipRgVHIctCIQf2etFQqW5/h5yFkad5fy9c7/s7sRCUEHk8Cxt9kjEkGBUV9f64McDAIc/XZ12L 5LejTbQhyO3KO+2FqgfkbIxjySIZDzDg8dX4i4Tw65p37PrmDpB3IWzORVJ0YuMD43XtpuRMXAFq hs7BIvc3O1HnXduRtSwlFzBEI+AlkgZ7nagoTLw+2x0USopdbfuEXI6LAWXmOjUmggpdbqTrIGzD NouSbo6hytuZGz2JMkVQ1PqLXWkIjNAMjjU5PMC7cmKNiUIqZe0DckzPywxsz/mdSsCEniryO5ex yGrpXw4OKiico0nqZVH8aIJfdNudrdeMtjSJvSM0q6iHoKg/+3RO8rL/nNfrLMmgROe4+6YITo4b xSHTqWF5fg3Z5b4ZdO3EqEp3frnACN9F3nKM0y2VDDiT+RYRXAjcDHIXUn9inw/ZBPH4WTQYavNY abNtYMek4PTctDIWz6Pb/sdaK1UsGu//p5RzidivxkAA6Ml7TaWfu9qiHe0dwn/AIoj/26Uan0cB ks3p8MeVjKdY1EYjsDyw3XPWBVYyj6X2IprPatH4yHwRgpwfEHNx+GHMXPAV34sJtN6g5WoPeqfX lvn7w/LB6W8pXH9r3khXGNi36l2Cg4EfUGUgs/FwYcKfzccKWkOJvq5Dy5w7EYChhi06TMYkGa3j waajyaceu9DSh4uC1pYYRjJUZHiOT6YaP+i11LcCGCuMS8g2lozVtj+LFd7XFsfMesrcGfo9HpZg H3iUKVtVJuYBZV0grhaW+l8KRCoxmSzjzEklIMjuFpzS7CUmoqB5KvvcSRyIOsgZC2vFIN3MaaBm /4ceD35dQsD+dYTHhonak0K8ai6KExfxqrLh4Ge/zSKkRHsQkT44EJGC25SCOjq93hs3w/qpJOV6 Zm5I/943AvFlBIgY13e46PKRqnBVjpAaGq4mI8odgMGrSkRbxO6SJ9spFG46HB+T/ja2hwcP38Yf y23PJIotPXkUOLxnnCJBhzMmSYKZ+5Rl6Tte1UKXEy0HbAuI7SM2LVikQcO7Y0b11K2uEzJGvfcn MlZM9ZE5tGmgFK8Nk+Oo7teN03Dn2JHwXmTXSy5JsOgWbUVRRHyktuT25jnBisE8OLs/rg5bfjGm oGfIEkaWd753tne+FKa89bSmRAuEruxnS0sY4lfxoJqZzWPOkomWVCpsZlQF7sn9GJv2tdb8Kdl3 KLgs9p7VWFfLTzGbef+RVmWxkfRY+d5w3hpf8uSpAWlvR+BGha0ERVWvII6QGu8/0EBfhxmZCwts psh1gVq8KOQguUMasifH6k2KZ2/dPuG2wnDWHGJ+IBUwlHBpfzO7xnz2N7IddbqhuYdxBRJjEhB/ sGj9sfRl67bRc3g8KIffLrKXcFf4ONAwoU2ZWAggegowNhLZedvmTlkMX4F4bnp+Rc2Ft40apONb TTGQ0iypK7S9aRKn40OL5P/ZiFDrT0QV8wzhb3F9GeXK4vKCpFt+QZn4rhuExetG2KzzI0dkWiL2 Mf1segWS+1USBAmcs/hKAxo0XnI7TtBvAlD8lmvo9ablJk7z5nQYWUVmI/FclA3syrSTEVXPWTWz VG+c0zRW+oSn40w2oPjGf2ZixzH3DrCUWagBORu5AIirm755TqEpjBbh+8GG1+ddd74VY8NL9z3Q TNXHpZOIFDyt+S0AKMwsrTwqY29cz9N5lQsts8KbFTRj4Anhi3/UO/lSgRvVaOEkTEVqFuMZgtEf BeJCbi0jlz4xiJGvdtt9eFcQORLk05xPGps8Ub6v3JE+ee8p8PZlOPnxOBElJqWeP2YCLHQf/CzJ GlAy/Ws9Yb4ylD+8h5CF9AZORXxOYQX8B29YolZSbeRpanE3x0X5R10rKEPUB52c7V/pi9e+qr9Y 9e+la8WVQ86c5cEtpvVovg+5YTOk3cyCYBMjfsSO1S47D7L6DowfDAXRaRtQGzKHOSIyVHnXAP20 fxAmQFqtb3UCwMZdyYY0eL7l2GTHSX9Dr3kaX3NWAawzLjYLTfcGrBy2E4ml8KnRN0k2OXU+nuR6 yAoQ4qerZKc+fE6r8U7KHnVJ91k5HGgI5y00qlMGgDEdUqjrspJltlpx4slj9vHaEFZwb85hbpno ABvPQw2H+0MbmLtETZaG5xvjXcJs1mH6vAY8KxlF7ZQCl8/ksFFqzm/sg5Jvg+8GqoXuPqAD8s60 06kOnQpfmYyElvUq9KH3woSn2EVdTvcUaXu0PR4p1DO9VS2/q0aEQT2uKCKnYs5UYwTk6a08LJP1 czX7c09l7L8obu7kkbo325LPiW/+nvujBiGrfOirm0PMEMdeDxDzy7X/+K09SRKdq0mt9G9Ji8/B 9OvlthSVKIVDS8Ldko8zSV06MnvIIKKEbgnsz8mcRwCsL5j49COghROaHE/7qRDVhe2eX6oll5Wl gwZtZUgyQqzYXCPBMGsJyhv0rOJNovqAvMVeHCEUkRxZn6y5BzqQorJqXMZoWmXBhDQhvl4QdZ2m WOmgSz9N6pOtMWoE6VJyHxBRK65ieHOPK4X+NBMYxIGhf/DMfAx6BxnS1FBJULtc5oDn2rcsZs/T tJEV98xsg6w5fU9Yl/EIdXMD8wDCeL09ilg4wPayscVfzMy+xF0GZCBYxG11F/MA1CBlRX0r9qBF MGRVpzWJEMM9qI8CSihBt7XTs5qJIZ2NuG7/1xR9vkKrCNZ/tOr34E4bNhI1sn9XIrG/L0TP8tl9 gVZT4SMcjQKkUqVmFjvGrp7oWPz9UIE0rktNh7VJ63Fi09q4imwCgloKPM+BBIveW3Ne9heNBUt4 +j//blHX9+jVLDvu6l+gNxcFFiOIoNjiCAb3NqNsuc3O54Ewg87t0pKhxyA5uT8EInUimKxzvxEz GHzDqTjH6d9v9efL7MQjwNdEaRM0dJVYirfb4t7qMHcXFGnI4+FmxU0B8lbLIO2WnYJfIIrarCRJ g7KA4930xS2Z/fUGwN+qeuMHzZca6kWnJulT8/npmqBWXNauyw7BLFb2NbZbJJgAH8sOy9eUx7Xq eJ3xPwx8r9BMWcyP35EOd9S5+GbdR4UWW5+uemjEbiWuF4q+c+H3ZewWTqW4M990Pe9o9LSABBsu cQo8bo1aW1MBXD2C28kf9Kfmelj6bZ1ZZ1i3TzgLI2mC6QzJbwdTYuMZ5Yt0v7u/uwGkOJgCeum6 b2dghiFaK0Zn8q618+cA4mQC11BuFA/SwFlQj78G5tZEPnDtuq0ZcbIbr1YpSD6baDZ+zvGc1781 pkeoYwD6iCqVFChsqsrrnR71sUVKPRF1XMGGkSLTQmndlggREsoUyiSVskny6f95HJTUzkNnMWdg wH6TdQhRHK6lCEWxGDMSAh/ZH4Z7PMy5y73LX6Pfj/AZ/XqIzrIDQrhWbuuRFtQPzVPFp3jyCYEE A+D2vpXwGltIt9+qkw1areGuKznyLoV81ux9CE3lHBVhkUFqIqi4eQKpY+51BscP+vZ1EkvZMS+a Z0/cnL3C//3wkDdwkCKnjeexP0m4q9N3sZzxi5lNit66bzOLk+0LROfWeKJjpi9VgxHxgnG+QrLR jkr0znvTWrqb5qZpHVrX+glJhZe0/wEaELpqGRTNA5erPV7c30WEhn+W+QAG0d5FBFPqbuLkRzaU aVDL2yjsoYzSSCiMeMW2wH+pI0j9WhNijwNZY6es5WDUiAL3pGap9gf+o3fr/GEN3p+caTYkEwe0 XnamxYJPo1zYJCEqpiUID8RfiyXDBMs3BZoVyArtagnQ3EZNQKBH8/S4y7BTV+361uUQLI3ha2lb Si/E6zyrNrvYvOUKheIgVxBQ+FYZ3DJPvKqhtma8bTZvRNnRRBs6aWxD8e2jZHuJtaDeWQrYyGtc u3BDc5yf4LsoeIpcKH4nlnKyyh9O7NmLpSkRetA2cBGavR2dSg7Iy7panWCLzeYmOYu3sQe02i4c BqMWCuc0ijK3PdlGo9VAaOxlkjQ8BZCSgq/krGtoVv5GwheN9sWXjACWnvtfUkSQ7gkfRQGcncto 5b9HYYPkZJQV/fH7j7QawMp5A0C/fq4L4KjRFU71YPislWGarQnWK2tzcMqvlVxCBEqeXpsEnVLb Q2V7uvAoX/XdZJ08u1ueOu237wOc8FmQPcK249z7YgLmoJAeg42PAqIlDX3vkkXfDwgM8dhkYpjc pRNdwmu3JgWITP7pGk2aBrd4al+Vq+lTarbtGwAR22N/gL6ZiRYD/Xe6xHV4C3iPDuwr35OcXC5i lRgfhqq5aNldzMR9rA+NEyRMvdElQ/pjTTbYMGYw4jJ7Z6V+o/ui16wB7pkGlxUpgHgGk3YCpfNz MhN/XRAG7zpvTvkq5zd5dSBSxv38MsEH6Kt7S6ukemGwZZ0BfqF0TJmXB+m2YdCkHXo9h0ogo7bb SBWp3RrAuFZKJbOTJ2xCsPfmgaI67fXVpjJMjMOIAh390qucR6szC72tFSnCqLIjTXprLWtDy/0A 5qsxA+gx2A83O+EA8PgVH1xcTSbvYb8+uM1GNmUOteXcP9YxceEZPXTkfHTiKSbdyINlOKBGkMAC R6t4lT1zhdnRoCJClzV+ZCmSKokFeqaUdDnxZlsjdNM8PZ6cBuzeHI8Vp+y4fkHBpjX5EvpU028O eHDocZ5xD6Lk8LYV7acL9Z8+665vOGWFvIen6PBIooP4CbuCNgpTdDw9YNUfkUhx6xIkzipQDD1L LaKgwasdO96YyjfdjZ5oWOUJIADDkGn12dOoRge9qRxcsmYtlh8KunYmix3IbZpqKa6TR6kNlBuF vLaPKLQ2NvQC4ghJlz+KrNdhFhvCYE+4YeUU9kK7yVYJ4A5oTcgEvRQmmhxDi/USoDmcYjFO9F8g FXIx5mQ0zDFN96t3FCU17JPo91Vwcc1vtbclctT58VDrJvWdv3NK/qutfZotJEqbWE7AsQiy4GV/ EgHtz2QURw8EtLEa4et2s98UhU+C+ycGokUrimTOPnV7VzlksIbYdbqYkYpX6JZtdpTPypvCzSMf Hyn4fOS86Mt7yfME18hhFB3Khs6srj5wy+cR4ob6t32Ek5irizOIZs7auKkR5WKHBrC55VtYs+D2 TfA7R7284atsH7QPVBs1JCLcMlIRWlL2L0Z2904WqbBz7/VEDermSAwHOUWFmgKH3lrc4JGcCLqb NS/D8yiD+UpP5fpB3MyeKXtAUHM3ZH5MuzxK481choxLhX1urK/6sF7BzR9zvYYT+fQoF/VBzzIH orGEhajKQDiHT2BwZLZIE2ltJqmy+7ecl9p8HcS74X//5T0rcGmYwvAfkt2l6ZcQ9XIwzzRjLos2 Y1omyH/8QmhOcYdL2tfJRHSE6Niv4Ymj1Groxt817VLitiGlbvi1t8GdVTO14R5xEpRskUQOH+Zt K5FqBUiXtsqZU63PxQnub26YSW0cYZFLLfJpAprZw8EK9A8gc5gxB2iR0XfS16utXvMuIjYTQKpn wCw04t6W6DD3uLQAhQQ0HJotKFfHFja0YYVQ8g4y9xY8aLFNidd8sk8lF5VWrFh8pHA4Y4OKRVrY to/V8XYaZvyr414Wu5LiiZSzh9Qc4wxKe7kNv7h5JOVoW2q2T4xyddod5XeHjSy2W8iay5rSRPh8 L8zAec+bR/b8IW49CbEAN1AnZfiyiBu/S3Yme7n9N8L3ssENnvckXt/EWREaoSg1Jt/LhtduZa3B djx+1HfuXck8EdTmNA6+f+ynksYvJthKE2/kFzWs8crhzZmn4XIcorASC0T5LfXGlXlhqFFI0l7v zXx+bbVHA/TTREVebTzDmsFjA81Sg6I786JfPTPmm9YAnJsxC9jWnnY9dupxHqiDnlk3SSCz7U9R uHW8MN/3XvULZkZ/QFxysYbHUWIOOloJ/HAcpnSAsYTFWu7KMEcdMICyzHEUG4egnIo0qFZZ1pEl D3GeRqV5fegTyARnbeubLaK0eXMR0MConKQwTL1k1AWs/nmiRH6PSqS2+Q7IlbyHpeTha92hGTIf t0enazXB2Dl8MYfx+GU6Ylo7XA9HfwW6xxmBnNYrXPqow5dCXoq6V6tpBOCUvOAvYHhZnEBFjXez YfVDx7CaSVozQhclhoXOMFXDYZFP3+6yu35fBA952Eh2l2Hegon2vqFq2PbKzZlRjbbOnUs1ZFdN MXOkhyJeVBULTAGmP/5mh31N/tmkvP9JoH9ioq9epjlhDKy+e/ZRx4CUoSX5LEuS+8uJ/V9CPi1Y wx+vbufu4bjX/TLnxfgH3GI28GgTdjgMo6nxngORNMRiaykAIuj1yL81v6Psez+tHZxZWrJiDL4b S9zcdQcb/2jGum6o1KY+PyBr38nJdqZnningx8fJvZKnuR4hum5SADDAApPWDX/glRkOA8GPaLzS 9TiU71gSLBW7vLAucm9mZeXsirPX7TsMouaHTXEPzm42S52gJAPdBtUmDGI8QVTLr/54zChVkUWP mI9bV+HxbR5u1rvFZv6NdU4kcy3W3KL5tWziPF2ac3OnHbFKBNFA3uDAG85jFYUABIvlOJV4B6i4 8AQJWhqo9xD3QPaqP7U/dNg/ZVfbh7pvcg4nUfsaw5Lu/yvomrwQvd7ldko+7mk8CwGdW6/uKP9r nFa7CtXSR61ErC2zlFKGbh1zRax5EQEUXJzHyUGwxTmldl227W3a2uDvc+vaUr8KRGgiSpeF0tr8 1vMypTzBFFjAzFrQi5EnYtg7vZns7lcueIEzr1lJ1kbxETYHduaDP6YeLmeZS+TBznCEz8vpPNAC yBwshIk/DyLlRUeE3/lS1nYOBqP9kwag0BH9i+G8WFdj3BkP62kIriu2lq/qG82ZDURqMvDyXcG6 StzLWX0aWmGTvVGdmaJA0IuJOnYeEBZx9iuMzTtZ2QJOtN7OfRwM6icURyDR39V7Qs7yJROPj5xW qgH+bElsO6buVcH3M1LsNSJD6hLlEOzxD7z9ffcpa/VGIh0NMqW2QAAyCZ99H6GG3vxHlZoZdNXE 12pEkjj9NVGAoc6c84vHgVbZ7Qj9aUk73924B0jjrkwnUr/SfVQmSIs2f/GlU28QgFcyAfV0WDQY HqOKu7lN+4KrCrY87sRjcYQBeeXOFoZymggLi7IgWDbnLitiVYbNTllLFzmbwpzv7Nn2Flov2K7Q Y13pqWZxXlbi8p0g1a/QowW8QLlWmLHCczsbJ72Tb+ieaKzycAFx03FWAkxRv/QM4YRRAEGbBhdu ArPyd4nRR310TK6BN/937bt9kk5dZnsEIV8U4YvUQigQRrPNzmmIipxD7V/hvp4CWsmIzgX5r19C y8dd+ladK9YVBp70Z/h9aQ/goF9DXVTmTZe/wabwc3KzzEswX9cL2JwPVCcOIiRb4PvDjYbWcbot KTgBMTQeAwMvR18sjQgtCwR5ETY7hByg9+tJrE/JhNxsFCIncgjcLA9L54f9O59+Q7DI+h1umi6n E4ZkO415yWeb4Qe8Gt8TM547NwcjJzfFzYIcI4OGADvCQ1uyK9X7CDaBdIEGY1BDKuBFmEO1E6gj VA31fvIq49pN5UV9KgvRabcalBMwqvMdOzWn9xysMUQltJunnmOuXlSW2ofmNdntxBOmzWIPoaD6 aQEUJq8Kw7v54mkKNgu1ISgIxu7dQUFZRJ9U7NE7SigOb4uK9aCYcCeBsdjsK9cKuJ1NUaaFho4W 9GfQk6culDqsuwLiG776LJlehjKcXLRgv2Iuwock1bG6cEGB38FbmzytrlpibtXh8ZkahQ91NzoX KRiFA5otb+7DtmbGOmj9HvekmbnO2hPAux7rbivXCC3Rjjmtt/Oj9sU0/ESBDkVUpi2IBZAo2q8l CfTOgpj5kPVOBzhueOiroZAUKqaxS9XMP7bGusIDzFdoiVlSBzm/x7W21u7KyQEST3JPY8k+qfMS 1MEfAajy6Sv9J/ET1bnN9fnsNUkHK1+4uHnbpzBv7cqDwubrCpxa2lzoBFaYcqB2811/7mc/XLpZ Il25sDdKQaMBWc8HlDo0n3yYyyqo/ThhyjwKHgUj1iqis3XvRkBPMGVtUn4JrQZfTVTpaCNXLLat 5ExM0Rtb7/imvNsgQfcMz4VMBrAMmi0jYkJ1jo+2F5ZieutHF9leBV1jUptbW0ZkJaURrjWfbSzN E+XUU/elcWEC/Ulx6sbcbFS0VXE9cIm40BAyH6qkKw30EfTXAyW0GMukrEBwnYwFxkihVc9s7/Uf J4IL5ryGhFf8NtE48RBxs3DOYCAE1I7y0q26GH9ZehcCoknsNuu/kbkVE61/5AGxTcAwrEwKiYco m1PXbmiSFhRw5sG/Kgc2XQvOUsA/ZnZNWL2xZ/Tzr6pi+hh//+QCvgq8q5lXpi1W2WTZTV94GkNc 7InjyhNxllw/WC5PFXi1IZvcvOFGJjokfl6uqOqQY542wlT0/bbcnmBBtAzfPW9s2QKARny2tSk3 Uw+zkD+7ro7oTYoeeRymApGKziJ4NCQYgm9CZ8JaZr1k428hoTlcvsuUfcayP2GxVe7kVeB5CruL 2V+JreoUuUaNrriDg8HbUjkpiA+9+OXVomHrcizXdUflXhqCtRcVGL9pmVLBlL28Zhjnia1Z51tk 1P44hlkLpGJ/bQoTIs58k5FyX36nWQ1xSqiNpTjvV+IwWBIP8RCy1TDAoSwFQx1ZmWvPgTGSwlT6 hjnrStCXTPZBBH58AuubwKeV9uq/fjIzVXz6xrx1xBPjYcnZO9CBjE8d++6JWMpEyxYLwxSsY6Xk 1k4xqQFnsGg4qOS6CBX1T3y5iPgybZVzQPw8Ip2u/1dJxvMrbLt7ixCq2kWgOS9Kupx8S83YjHwl 7MK61+lO6uEJUY1Ef+m+jjL7+87bdqPBARdfi+ht4EZcLsPX80u5M1/Zsq1LIYQRDCmL6C+23itY 107Z+auleDomEgPYIZKC0zDG1sh+EzgR82DLD1CDtRibM/NJSOSWoMDweauGOFNoNovO8lAUucRB MKkdT4wREbrsDyFq6PKBsYdeeXqBFWhC/gILJWOfNMgc0r1l1v65AOllZkT8w5Cakg4k19AjBStK lLjygFyhJenY5b9TxGIBBKHy2V1GSJCKnNSsmoFb+SWyj+AwQC2rjczEyb4P/T8y/cGOvRx+O7jX yvhV085/fjvA4ogvy+RrVPA2VKzDluAtn1xBjaiZ3VPkvH8Sx9iknlp0EqJK3kezjPL63nstiunE 3SgB8oIPzMvcWGxrL99d2F/eu/lOK0Rc4FV5IAqdntSXvIOu6rO8Vj0CyuL4/oBUJOgRgpaMReKo LeFN+wItWZaGNPCqr/MY8/q/QIuuz2eoxEYKkfS3237AQf+5WV3+/Jlc0pXCCBCpJD5f1+N1f9TS RoUWJHsR/5S9BhBWTzD67jPsUKvzb59MwyhMPubKIWKR9Dhvp1ay4rj63qNmvoFr61UTs7Ywh1Fj hz2JL7hqHqNBQjd9a/8wriGDkBSasR/ah17rDIOvLhiiJyzosoyp7dzgoXlQ4s4OymcZqok2as+r e1rntaXf+ZREwA2c3d612+KqzjYjylHkZvzEpbcLdBFhKhRPkNWQ3Sql6lIFIWguMGP/4Q+K94zW WI9GekMpDtBkNni4aMv2CYr2f2iyGa88WPTKdQvdlzQ9VP3At6nu3sTJeCboVLzVhcLX0huPq823 gDHTWOYt/361rsL2VjDW3YjRoo2ilc1sM9fCKryS3NSvgklh6xiGd+eJq0rNtrUjMxDBVMurqZnU IuZtqfns3jq/fTjOcmihev7H0IaClcbSp/45CuvvnEJ+x0Ub2qVByaFOLol9HF2CPDJXLOHHc6Cw 8605ozsV6TtydhWLu8Y3ywr1jVIMHHUYSgingMnfvCR3zSJPWwUOFs1ZNljJ5S/yGiGoFku3mWgp KyyU0KyBOCPSfyjHTbqVVulL04MUnprRch7s8YRzw6S/vtMyGHn6ZP67T8l0vb3Q54LaPfzifkN3 /LPMnysSMGFu+SBQek0JQ8Mfq3Ynfz1xSt0a8tLKvj07syYMiqlJB03h6aRR23an9LH4gnuTiRTT Vl0/cZCM9TQ0zAm7LQPaL2gR8Mynlv5udzidptzq5wufFh1m2JHFXsABfvojRq7HrRhrbZlAb+uZ JtBIGsrsRGsbbtastRKuMT6eLYngRcwC3yAX/F1iM9mW+OmJW4D0iGaO+OXTVFtQzBZG7DCBxsVy 4zvOUexU29y2UgFlE3rxaDhv0GGrk9Rn99dz0HSTsWjkZ7/jHNn/jQ5b1TNpGvAV9S8Y2/x0sfPC QDKkCIh0XaAvLoQIajFp3ZfnMliyXW5HdLzzWfk7KDk/yXEQyuIKgOMaKWqN89KgvTKw89douBb0 5dvkX6hqBjs7flsonVg9nu573XdmmrlQkkGy4AjEN4YzQ2pXn7U7AtCwlW7ZxBHvHqeD/wiB5Kl5 uvTOSyWwYrNmAC31KSszEGZGr0mLbk8GuyBY0Q2b3uHtUZa3+xrY3u+hg2feygrxRMa9mKfeMgob gpBdAo9sEfOKb2GLeVwSWJ5/Ttrv+901yk2EGtnIsfT+n1shz1RqrfCRpukhc+JHKBXmlXlXzPzH wz+9BpEgE+xR06e4/Aqc7lpIOuy8H/INkMUsoZfTPBfDLn0M4HG5EG0vNpsOx+yfxVijX9PhAkKw AIRDLqFKJt4CaMj3/hG6ODGmsjcDqWlR0rqZ7i3L2m8h0AsmoFCAjUQhdtHYjjs8rHE0Iqg01S3K NiUgbDXfKHZGt7FCVMMqjn2c+XRU92HjWlBqMNaKwuK42BzbKVJ8waw9EmlxKC85pDj31VnSw5l9 4/KomaIeH3o6AaDM2zr5ktJAKULF3JHd88S6pbjBE7LOENBQXZqlqTnlTXy1OxO6MGyWqmNTxdog 3ZnuG8shPSc83IxkhsTeIPEG3J7UXUsjkP53fcC2bg+mVADi08XVigdJ4VLiPDvq2/qN3AIJd7fv dUPVfR3hI3diEPonhl81v4Ty+WwIeEhR/Y5qj0yg7ecU0kKDoUQbPG+nJMhnlg2dmbSFSK9+gqKi eFI0dZwD9ZBi4wZ6aqNXOIYBAt4uqbw6ynNPl56jzfLQUNa/0KDQe12LgCy0QAh2kAsH4GMltnTn 1dk1KLsf4t6tA5icYYaol43r8w08z7oyvOreT4kviRFb+G8zSqx1xkRLvPhkZUCm7CAq2+HGVOES KXLXU9yXF4OW/V+FNwii3ApiaKtZhBQkwu5d2Wm3QUA/tEuDc00XD6vGdr7T7+rvh1Rfh6Z4zFMe z1jp9os/SYAJwvJAWYDOAXjth1J0ssIJJqxh6uKFeS4hHPoXZ4LvAXcxiTiSoJvJjOSCe2kDPkxm ORSRMSNFNcUV6yceNAHlYASgw05lyY90QBWu0m3dOCe8kI+YaUT0dg4lWiaBEfegOJIcX9ADURDd gikvgC7d+aDkBZrb1uDiTMfMv6RQCaCiGa7U4NN80e8w8T+zw8OoLlbCtTLLzdh7lJSYaCBBdh92 UQPdFhYa9F6rTfIcDTefd8x5nDzudrhsV/m9Mfql1PFF4p/7EYE1LbOT2h7byUrACNSBK5zFZ0is TZmBxMO6hQYTL+OrI0TO2iNHVgowDsfEf3COot2e3BSefx5rKlPce/KXUfqqY9VL5iKi940g7jFY dcQRMbOTYJ6U2uL8Vc3Vfd2VDz7fSKBYqYyT8R6zSD3KgRYCEPY+RZX1wHP5dl5sXZeqwKTyyEtq knVuSZ2zqNw/9Ejmgj0X1ffkdlz07H0vBC7GJlGuAyUbeQC6e3GmTb0v28FMimEt5G0AO9PMbFdA OGWAzEpps2LYgSjcDKrqGV48oXEmmqGaxfLJNaCinOMaOidVJhU1N6tiA+dV8oUe+lw87Cwm8l4/ m4DZrG/v9JJ6u6np+C7JPntNDpn12KTdqSuXVdpZCUlINwFZYg5yfQaO2bBJY0LZmSpsB/xiDwg7 b1Id0TD/VTSBNVwLnaLDvs6WcStxyVNw04fAtJSywIDKL8bLsSpeS4fAIFF+b+iK5jzggp8C49Qf CBIaKbwdCGDX/QK8k0L4o3MBY/p0xN/IBSPReslWH71yZfmrzT+lhZlYWtk5iHnY5Z4bNx2LObTK NRG8vwYYxva7VxQxdrG5YFnApxsslloO2cjkP6A3KmbAk5LGYcHYJYSaYRE3JKzN5U8HT0+qyDoY 1ABkZZMEj8xnbIkGGaBvMj86YVfhqQ9zhEWBS919jI4q+SdfQyV905revwFHIzOAT7f3UQYxAoo0 LAnKgUdhpg7l+yIkrQ+YJcaod9qKaCq70XGLGP07uH5xtm6hdExwUBdyRa/NmJAb4/QzyzXavw+5 LWVTeSLZqljDkKW8OtcxRvYgPREAbD1j7jy/Laoo8/wfTNvGDFi+Ep6dTbt9Qa4rVoz4yODQZ1NT cLtdUZhlALOEUmXP9di40Xue7kNf8fgitu0ir1B6cG6hSwJZVz+7xwLXk9rcDNKbDm5twQAH8dG8 9q9GCWewLhxWkjoHXsvm/yjdnMdnrpFIGQNYksN/oP93vQkgFqmJWf3/1WEs50hbZwAzC19LJNTd gTWDkha5xPN2EOJ+n6oMmxWw1++vtNaZEBuyR2k9S8Ww2fcWC9Vpdl8jzwHu/iD3ssshNAhNAz/B iYFXvd7WeEGcNtTnef+YqAy1soJ+q8DHKLvYQhajQ1EaZpev4v9JEnww/iRiGrMomEluMTna7Vbp tk0A/+r+8Pv49+IMzkAUKDBYpWwzqiHcl2jboUD6lowd9AsJ88S9WY8zNfEKwc+DxERqXfj1TQ68 qoDQN3g8k1jvlk45YKRXU/nusOGGv/f1/lh7rXs3SdROAg/oDuEcOgsrAiDM5c5/q6Nij10uAvOL PuhM+ShqAOS27R4nQcF91VoSSD7Gg4+nDMdKcVbYMM3D2I5RXF0Ky6TmC+K32MRL0IVvVVZ1kQ9A 61RLc1jTIYi7igdGwDVZzbAoNmYvSPJwn9LWCPT1DFv07Hhv7MSMiUbxE+mDyLMnCdFaO3GzaIGV 2mTpd/rWIG1pRZ0OTKbQNHMYxIHqa4pb8Ggmi95hMdZK6mhYYDPkiU5X4dRsgHHJEsCSI3OLsUBD qRJvHAvEUse/rBntxTza8ZtLjVnrl71L/JX9fQfeENWyl+vu9oHIsD4HED+EfBmYmYIpNd3S2mle OcVIvDwIWyeD2/VivuhCyfHaQ8FCb1B6I2IAlCbKCL+RL4IPPy9drI2dzaB7SrZrTnOU79lQfNXC oekn9cXn2hR19zOvG7szUdlYMp6/3whN0QCJPCTM2oFcaaH+AqcVbs1DTnx1WPOzJ5cIXM7Lf7ic rWEA2jJIa7m0HRCaRpC4KEB+GYd5vzMkyQxVFS/oHcTRAX/qdqzQAI5fxYXF5r4LxPgF4gcf3ooN OosYxPAmVbpwQmUmhcTpR/sJElMrD0oZxQmgxhGofZ2R4TLsdgiPOfk35OBtUMw5KFoEK/hbnZnc eeH9/cjCEBYoUj8pPqdYMK/rxwfLdqbNkf0jE0ZE270S8+7egFSBPNPe80VAuARF0WzMzsr64y5D yQmOcKEp+Ytn/CsMAyRZ9GfBK+MMeDpKgEBNdhMUTlH3dPwJfjSk0Hw92SFhdTbURyEYeEJIBf88 Uwv1D6zVzmJwRtyQiVAiFc4Fg+1YzJ+FDscsMrzYzyA3Pxw5oTc2waKOSwLLqf58gSMfULRgkBZm LD0hgDz38pliMq3NDllKx8srTxlwgWDftpyrETDNxiAR5YZLJ1ojwBnZWCqeI7F6KZS1v7gqGwAU vPtdKiLt7R4iC416Q6ICfm0mK3OO74LwI95DwIEZUmwiKoaoREyaYf2s3e3jIuAc+nE2SYfykf8N IGGd9kkMwxMKCLscAlZX0m4rQU2eFDIe8Axp8PLxOQ7pozgPs2zztyMdWL7ZRfHoMbqFM6qiyBx0 MQUXeRR1U0/f0uUhWF50V0+yipq/6/KbpgBePExz60cunPd2Q//ZQqOrC1JdKZ5IFLPA/Wejem5/ eeUrqlBfBke/WdqGn1zdI4yKwGhxN8BUtOEqbKtME+KwvnEkhkVteH48LroNsPDrsgvdYpKnuMq6 w7DOb8VwHg7Gf61bDS9rJNOACWMxXHFtKdoyVCQKy9sBpLxYH9+Zvq5RmGcT2MBFJYoNoNSLGwIp RKO7VaBc46FE80rXpaYfhpr1dlSyMHFZR3az19wOG87gBaUHfYR2qMXEjWEvX45lQZwfxXB2xnkS nz5xwaDVuEJL8SwbIWuenDIjidiQYxoLWqhVuye6xAMCsTK+weUTCDkGVuGw7Wenf6FVtEEtGxAX 2MziiBmUtfcJc85shfwDDiO2FOzyG2uR6YQIrh1mtktml/Oo/RTncmrUofJe+TzjKOtCGLCpqYsx hahAEryv7485mcwcu3fVv4O8eyxt6Pg0uLJJjRs8CII10gR581l7M0KElCXYbuCQM+wOHe3UbUuE JSzOH9EsG8Lx97HGUo6j4nUj9H1+P4zRQi0fPkoaaO4elSlBAhWNgXIg1YS2KB6U50hQg48/Hv3K efnPLEKoP59YTjh35zAc/rE6Kll6Hnaas4dzjUT5uDzT5+azVuXe6bKBqBhI9IJIsEysxzqx/Kv4 8JY3bO6ZImp9ZCBlCo9sF0boXXYSuuUWiyNhIvaP2F5pyX8NNo/WxFSmPITRyfIueRspmzpKl2T0 p+JE2qIiYNhsjn4hWT6p0UUjxQ95oBmR5e5uEcrUHV8Xw1s9obvDD6Sa6cdHULdRRsZV4vAbGFmd qV8zIrkSPxQI6cAykVv6rVFQCQH4JicamwYezM2tcakZtuw5lSF7dEp3svsXC8dRx5ZSlZf9K56s d30xcJCa9z2Wzye38X8RTpWtCGMlFQXwckQEQBKabye+sbA6jnOtTqgpqfVSnPZbE1IY8DtwCvt8 gxObdKr7m4kkOan5OefCN4g57xhw/dweoxEeB/apeay2lXlu+q9KN7FaMC3oPuwxCqxoExch+9oY Fo4tC+Ysms5FBC92psCRFrmRtxv9mTxL/wsZFwzTqPkisByDdy7LfK+XgIriEmBQpuDA84HujPV5 /YBBsKn+eNt4lE59FDrZ/KDrXYVGIz4oufC9IQvQENRJM/JqrTIICB6bjPbqb4jaqx9YqX0OBgCD kuyqeQdUcOmTu/mQjVYt5x2dXk6ZOnFt1XZe0yn+MAkdIIB1kifVI49Aon0SZFaD9d/omLBIHptw Ifovk0msT1WlNIEL3jwrNK4m0sgv2DCB6D/cN3hO7ZxD7EDD66g3hcmBYyJz/ebZHi4uSOYaVmnv E4AP7DYfn5JszRQ6vtQ2Gl0jXn8RWS3nEwVeu+KYWJWw9f6v6zng7JIsqpDfgVVcvUeyB6xnyNm8 pL8qRyS20fMsym1EzrY1m92/faPoa0ZuBwSU7nnv1qfyuXwU9E/u/QTPNtB/+83U6KdL3vE76n7L SjgKlg0YhxGwpGGL38L4puzoARtJ01i+78LXxm62ed2Kj2eB2/9MevZQECliGojrS7S8HfOmL6QU wqAdvPrJxgw7bjqAetVWswBRgRZiwu+OgoiW4w1uHtvMlpZn9UvMZdTO7EsnQ61tFhATnBsYkfuY 1QVDCdvSYXsoOMtnZZfy5LWjGkcCicOtFQ91jOvEZT80C7d/WZzvR7L1FcCchZVI3SnWonfZHe4j G2eIRVOYkeg69LathTzq6ZbOvmXoNc5JO/5l4xhD+0eFhTEvA4rBoUQwAaRZ9Av/G7FOE6ESG9Oh zejab+Pl0hbzKVOU9ld793hX1BIC1DiibJ/H+n4Nk1+MHSJRGYx5nfEp8Npb+aCA0qw6mjvtAOx0 qzvlms+cH47SaglBq+OOl83uLDCkUO7D1lDrb9n3ld9QAUBVl1G51R1gt9OK4irOBb2joRSC8onn UXdpb5BYDfWwb1Wx//KgxyapGONq+b3idmDRNQ1lMJkLi0/7C+qOU5rT1dP+vs/B5toE2XGE7Fwi vPtrwk5nHLBSQ06glszfBvVk3Q1qS+g7+1ZICIy3+qbXOJBalexgEIuawg71Y4tbc09mowN21Gqm 88+BrDvE+TOofuH/ZTnQiBCW8mH7Aur1+La0asjLgsZZ9ktgnxnXX9ldguBzHnjnBsTwNhsOpD+o A6X1Ql0O3s1U+lXCmCU+z6qKUz0VMNPj2l4MrQRFiNGzPr4WdNaT9SWWhAMQOo5Eq+JZZd5RjPCc Hm30p6ptFvnejsuJ5Erfgv5B7rxv9jXVU9TQhFOil9OIuZuK6+bxgvnsn1sUPGIh+EV8KkzYWFBW 8khVYL3Z3aXI+qyFba1QwbxhwLXxPv8i8YuZkCNdo/HvWADQJsQJtlLRdJ27dERQPJDHmhofY0d4 O7D4NkEh7nEAXzvdGVLQTmgf3pPVNb4pFCEcDMk/IyBTJRalM4FkKkHsSNccE4M3O0ISxogHz3bC uLXjAmxBuXdm2ZY2iT+QGxmbso6+JwNiai7K0cr3UUwa7S98+Vefs+BpaF5gbqrCL86VLJ+Y6HcD XrKNTKfiTWLDjV76JYkpSyvJGKLt/6gM6TvXhEwbVShpEmQNZHz0eKqGynCYBMaHIo/6iRb8SX/r jhahxW35IdNUuyDJujZ+LCEn87nM34YDiDBCnFxLX2eWP295hhArEEanmQyOQP3qOyrqJg2gATEt HhsWmSfK4P/Vq2I8SooDMIxIPa5TPHPR03cvilyuzpt0gg5qtSX32o9WjxbteDotaR7h44/t8kQr tQ4PMLfwUhlRlfc3o8u++9lMYCsSH63X18xRNu4NyDy78owFoMH9Nv72sip2smry2Ter9HAXB5rz qBYKfRN526YF10GAlceCLYOKSvbx968jrFNTn98K4gnohHBQ5dyk3+1hhVdiY+CJura8BKWd2d3M WSpbrIpe21EkPqTnfl70eW8jBsUDynPxaZ2NrnJk42sKmpeyDSTRkLAFWwhUvxn2bu7xIxNCfpUP boSj+yVqom7WmX6ChZFE46ZrqGx2slfBfFxL8MONtxIIF7OG6zAHLcZsTndkRHJjlQC1EFUVjLSE wFyX/nWxs2z1/aWA3Fvj7XoXjVEDtZXLHD4YVVFQrrOWfw+eO+kRCX6hdSPWn/+7er7qwNPWR3Ct Sw+F0t8RxkoaS+arqNIR0LbZUXtax19uMCn+lXcRBlp7iC/zgGFD8IfLtD/8YkKhZtWMxOZofrsK FO7cz8iwJyu7kNKTtG0Gi7PlyXaEN37+aGRz1hs+c88Ny79+erZ0BsD58alIpg6qlRkaqMRQh6Z9 /bdVBn0XGR364EXo853CPy78OGU2iEJvBF8VdEO+LzQeleNdVkRuavj1sEyjqGMT7I15QI/B1O2O OGyedqYyHmdd3jzb0WNAqxOhWEZ3Z+HtI6aBhAc0pHwY+9fDj5I6xkao2Y8jysbBZgJqZgSlpF7G v8Ry53qxqYGU2IjB51+Y31bdsHttWLZuNSBGDJuGfhC/ZudkYxFS+2xc5BF1y4p+jIS7f/AHwBsW MRoJynJSRce/4MQIR54sg3YLJlkTs7gYE7dXmAE1JsWNfLJ9X+6KDs1vq1FXL10cB80Dbe5A7j4B cYzfFzr8rZTt50kIhoVPZhcScDW+zuYoS5mXY/XQHY5FNckNHHR5z5Xz7qGSS6H2QKbdCrQbb8BQ w9xf0sZXm1yjvA5lu/uMMkJLt1dS9VuQ2n+Nj7KXTYQK9dUKj6Rl6HAZByTKtKORiddessCZKCK2 b0Mp2LKJATstBJFm33H/3FfF59qCWnn02lhyy7GbC4BLDqEZMynvfjVFG7cFzBio3m9VGprQdqZk mQ1uinK2DRBpVTpgIwh3Mua1Nhu+zwrIAlqhXV11JPxMRti37/cgh2kKbLldJlchctN8YDay6ZKO QAp9JEjDnOLDL8VWzjreKIqulJMGMXC1rB++GcINwvpX97xHCxZ5LXW9Ix3+UokkricpUkaZ+/W1 beS4tZpzIDasyUrOe97LOx4rLsWCIBWti7tbC+k/m6OljiyndzB5iXOjTGb7R0jX341CRhKhOKCT +Sf8Gxo/gbQmTXbP0xCjZgmB9+CGY17+jxOrw3B9vGQ3ginkdOAcAkA0/OYPc7eH4i5XNbQFpbJf LiohtlUAhhNXpzR3uG5yuxAgQn7YztS+2AcjcQT0QaVNd0X7IFKhWOL6yT81Trf0DASYV0kN4X7M YznZli604MDmsvLbR7eoxt+uUW0zir+YOQQw1eG9Uug454cu210b3MZC7eByHQECze2UHly/RxNy d109D1FCmYwY8HCBpJEudZg4k4EPbKiZZ/d/dybqDnmRUVJB901DuJ9ibSB74o66SgT16XFgL5jw tH13Kx2GiIw4PlkPuTsjUwsaMohHtiJfoNgrAb7U50C9ajl6jYx7arG0d6/OwggL5bBhUXAkDyam oCRifCwWVmw0LXoU4FhlMkKZsWxkVVNy/xNC6yV3zCO+HcE4npNJIQ8OGfAB4DS+5kmtuseWck/x IYt3jXyl/0XO265FWjvqoeg77FCXA1I6OjakIMwANDBAEG9h5eF2lLpzgqfgK2ZCXZawHXBFsmq5 Q+ndtsTEyB5PsXotBcegxPCrtN42d2P3NAwS9+1kET7IFl9mxNumErJnSTi/FYB8VbWbrbOPAKVk 5rgHNtYlLKEJcg4vH2eobi17F2winDRpNltNJOfKIkk2vUQDxelD0OdXxwNntk1SsBo0hzDrYhTL F00K9dW4ynLf8uqkhPLyFJ1Q+O1Zuai3Oda6PszMthksLvmrAf1CDtfBn2kDGooBt+KIx9+qJrS7 fMHzTCAFGKRnPUlK3KHMav7IBG4Mci+vyepj+dIutwznVPKgGzRW1KcXzkjcUNvCgC//zu5KcEKy Fub6trz2zISdoJ3/f1Tar+nXEzADnF9V8aVfRVeWf7MkUK2li3hUFrdcE96AOrcgLfLPZf26Kl43 WxQJpV+5k/U8YZdRLM05mrE9+1/VPSXUP2oyoy8BfiNmFKhc+J+fy4InK2/zn0LHzPrEbV1z5RkZ bFVhmkpjsYu1HqGZ/cpM6sqnxZquLCQRrGuD30nVS2jlfrCXMOtV7a++5HIZx0L/2kFrfO0tvPh+ gFRMjoBxf88KKt0I48hn0+/wZno7WAg4aWcvRM1r0ri2JqG/zR5cvJjd5/4n3W1zeZeNo1ZQwDTb Tv5zza6TTQ3I8aVvwDI87a5obq1+wmFRmrQaC9aEDVmqE0h3SO8mHGQGBlbO8/mlMIIz9mT5F0VV DhXbffYE4+iIulLsT0brUn0B7II8i/Y6AiWmwLtKXJsh02iVdUPiBPTE/fDIDEL3g9R2+X8Rlfzu B+c3K+TNRkxUMlbS/KiKUuCcXpJJ7JYygn01qyXuJPOKLBai2RewS+Et0Z0Nqct97i1YAbrm1pcD eFu7bgPupdiGq6ypW4eHgz15CtWRe9OLmNCy1ZUM404U0YT8/jDo63Gie40uF7MNrqzi3xjq4XVD VpC2Hr7lcuuU481SSRdRmX2VAvav5QbQ06O9fbnLWd4DWQUDxV/9CqNUx49GVvvv09711cICvpaa SOVhWTgeJsPAPX8m8CC4M6zAPlFEA4DTzUGIOoaY+H5g/q9Igosefqezq2zXHBrQw0Yt2n85Lq5L YOmDdaltVH/vGc0R4jtfwaZHfMicZARVS/z9sLcX6VSZT9Ttwm+HmmjBJf6boIjrgfTbcATCoOny QEgVdazs1zKYNZG6+9q35E0OcsF/mO0MQgb7YvkLwdExL5oEjDXpoMpJ+hm6ORh6xeHkq1epZwMU UPHie6VZNVx+zbZyHzjuu4siOuXm/ooI2PFcG0aPfX4yXNbqbrpgu1X8+7hG5RfISz+rJxk/iFod Mo+kgfGxrzwlSr8SvIOdKg5yb5giZtJAhPWMc96sEouz+kIo7q2DgDeUorHG5ix9Q2jK4DwuV/HQ VViC0xTcDigqbVsl+ZBG3S962XXGmxjODhmqwvKIRSSp2mwUSm8yV0kYve5zh3MWYd1H/XZ46t68 Fh9LlCk1c3lLjGKgbUxiqdnZ9ZwwYhDEgUCSOP8TUuLtn7JWT0TjvCrAI4yXhXGFvctpSx84l3FV 524i/e/3KnOKQa3sz9RT2XzoI01o3IgiByXzeL4tsCk0uJjVt3xuDYPtpZl16oVMhp8N9PT2bmdj Hc6H3ijG+pF9XKcCBpGoYndrH4g33QbubG7DCM7lWfPVPdHwMhYC0cyquXCqPztPdFcV5ELXt9Et z+o+A/RIj0JDmo7D8hpGnbhQ09I3lxGjHVqWy3xBVvG/KAQhd78m6K36Ad5NtCqKTiXkyTmlFm4g z4USmxf5dZGd5EvoEY+9oBh8PKMcGipqfjqIRXkiGPcw8Zj+QW6kK1svLLFeVUHvAI+9cPr7AKn3 E5Ef6uSCA76TtKH56KpZWwacTBoRkIIDyBM4OyXW0jTkHwiTKDJYpRK4jm5wmpdTrGlK5HfOHFEz aq0sy54zs5b5iEIJ1MtZGnPTa3Wa+BTco8RvLJEQrc6w99QefmtWCtL8PFEkIPysy3TJas4AR/j7 J76TqD7g1Ujqpi80gHHmM3hC6L0ElwJhvmAwrkCQCxcBCkK3qLfTgMp92WZwgI7OIAveipUHbR9Z iaQ8TjU1lOS5Eu6eWmbl4DHocLj76j6tmODCNfhVo6ra7B/8o3G4d1u88qdo5dAMFW9tUpgBchX/ b5Uq4Irbjxo/GGJPyHsBngfUwYvp1PU06mKPgS5DqzX3liguIZf1CULX4uJHYoW/vlRlSVNQT9VM 7gH6rPr0EXDH0ZEjEb+WvcHxchKU3XMM6/yKU1GPgxT4XH//MaQ4lEkA3UEVRIvK4u8D8HDB7bWx /B5gY4RXYsvZ9A1rgd6mkEHJlVOcEpRXVw6lqFO4cPHTngcMYUiw6Wa+MM8PlebAYmGb+NL4nakz LDnNLqHr/C3e5hdFOnLRH4ab3e6X6gRZuf/akpmCWJk54d4f9VK79Cip4EJW5FjX83VnGxxDOODk FYPkcaavbUegbXWlTKKKeDsu2S4qWEIWRhfn1b78t7vfgLEx26iu2Zlw9L5SuM5G2mIdXR+VduqO 4WG8EZ47C4TizUWyBW3nAc8/EYFqUOFhQeddeJ39bPo1SdUt/KFPsmfTg/xky39Fuc8fFKi/9/4j 0obZqn5SnHPfxlPFaIBp6q3qRVXxw5jmf/Fsc8atFdBJ8Bkst+3Sj8uikk+dQyOIXnTSxxVj4Mih 8ngpmPd0mNLXl6Ys2bQUzvBjL5Kyd8E+3Utrchd50bVlpAEAtLN9gblZeEgEWdkzjthIBi736IeR qMZLsGH+PVjvAatW/sCbF1iwr0REtyDCdqxDiumLIlRsJ45HQKT2XZ9vLChbl1CIhjUvTUlYChRl SQgcDsEMjxk8EY17XrUQwbC5r6bwJuh/HhVD0NVK6T2OD3MqNdA+KJG2HDA4Klwt8GBvyeYPrOlq ry7A/35doiuZl2UriHYj5+Zd5ceydqqGHhVk95KGy6kiEqaxaTw/c4WNj9xv6I7sGpX92P5/hx9y Y9pEaOCcHlhjI9BqoqqaJ4GK1tq0NCYZfMQXUR934P6iAIt/zQzC0U9N1enKScsGR1wTMc40O6xd dKPW2/ciDT8f6tTSvmo4UH2Ah+PJ3E83b7zX0UHLH22T8xb5wVAhOfxnfYYQWrNRzIjKB5MkQZFu 8zDfl/w3ZwGDDo3cJM441unWXG0dyh63mYeBsWJkMGMs5iBgwuNq3KdCsl6OPmdt4WqfWVtv2A1K SsHpSk7ZFsXBEweMelQ06+HgQjgO09pI4jVNusBJvxMu6oKR5ckTlshbv37RtYm/verLGElppcr6 ydNnNaN8+SpDJJ22tgn6C7xlxeqwgpBAG23LzO1EwQqEz8oynSbPpO1jHwX49n1wI279XhXgtsY+ MjpN4YP0GgoLiYl3sb3HH7uOxzmpQBB4NxX36X1jGh0QD4ZDEpcmsC6QaK9c1BT93sbC2SX/iew7 XkCNnzO9gR3C3LOOA8XmHRaNF0LHRkUs8rxfO3S+YNnd7wXOX938JKDwmZUh4yVrB0Muh1SeGwcF l/KslxtREl/9QOHa4AGWHlU2kSp/HOQn7KcpwpNXFNsTHTiGGR/Pjhy2u0IoGVQUp/djS7/at27V YKJDMHhPhvaGk5jQet2RSBQ4SkIkZyMwAx5rNKaWaC3arcpwSxZpIyRVyg8RFMWv5KEoFqu4rHW8 lBo9Ao7Cts4vpnbmAia9Odyv9exWfcj12FV700+ANlTiUj/v8Lkpu8DG534Yn3HpaiIBY+PnZx2C 8aIOSkpKAXlMT1gyheahDLkO2VQCRNcw9EBmN1WYMZk1YunIBxYLiGyCd6sZn7Hlg7ZLY3Y+4CRT pCUX0VEb+6isVeeNQazev2eWWCvOXHKtEfN2USJpYqQ2hinDjdfoxyitqkGKQT1YNryyeqjY1MWB X1XfUxl/HpKnZhXp+AikwiecK8mAlnNNIBrS8zkIMrKn39RGK66tdBcSTWKWb587jmzBZ+Gjosp2 jJdpNsIkmRIzo0VjzuCPp5GtbeqYEV2RPndOXMty2SDdtAak6QGSxwlFJbvwNDdwsmI8h+O/88Ui gpCMtpJO79OeUYPUqChldwHuRLRihXvsbCEDIGKyZnZLvT3lsl98Mkke2YWiXueuqKeHwqjpCqdA xuEipfvW2MFlUGPHRnShZ5q4+kGxz0FfuYIImb5zKgOKiviZaJOwQDmQiMI+j1Bn3AhmU1tb0i3r tQNM+5wreRabixLSVaLJuTat1ZoE00VZjc3I6eCl4/PBQNQfBqsFgGvbAbI3Vc6ty4jlUNTGw+0L 4iKHR5Ma5Con0G6j4fr4zpYxY7ksurbPs2MsQXzIsWHyxDDQoBIuK47IM4V6AyuGphwZ2bipDHo2 aH9FYXOn2vx6NLm/mQRgXvIbuyX6YqgL6DHYv4keWdwjlqWYVzDNIyDynXK9qmxisUgAXFr8wZwE yMAd3qE4vLaKfg1TGlxB1wJYUS4rNSwKjzNQWocZCYnPX3niTrHavC8XEmty8YPXGuG4X0EUl+RK JvTqXIqbiX+noikwsApyFAWraoqlDIqZXC+r24VlQDL96unxRD3ohBcwhEsFjZoFVgNDO3umunFd fBRxE8Mr1PaA3rpJVLT+jIFQHAZhLd4jhNSl+/Qm4sHbYJfN/bI/1W/3Y7AUBLd+uny1mN8GJDas mXqTFzxSWc74KK2SU8E0SSTTtu/e6XDYqd618VCMUUl7UdHOVrCR9nI+Ed8SurfHrfTPSac9odHJ OPt54wLBPOGEDSctmkeRwrDl1s9lsWvmKhQznL81eNEmcFYhvJCxOk1JIYvsShT0K73oXO6hqrM7 lhg19xJubn1D3ymeLGg1d0XDIWSOEMebP1c8tGx47v3lfZJlyDIaot1k4JmPDEmawZ8RuoUHgiyo eeG2HpPj1dfwjSAmI+265qrv4hh0gyP1eWcG+XcVEdYqPPccP5kxkxKTNi/TLktpnx3ve6ju3EzF oaK/MzjmqeZG/m+yyRjF3PYeUC0GRZZ5mYfbOtSJnngAAyOABgWWKkPPwARzwwOfRBXk5azTNKzy ftyHAr6CXf4/MmhWoCbz59pBFt2T+eocI+qQTXpzZXd1h6m00xZOmtcVJ61VQGhKSpmUdVK6gpZG O+FGoCurqIUo9fuxssin5dbXl9PAwzHQ/qVuXo023HSqK/Aa1pSBKIRNi7GzTdZ+v0GLAO+9mxmi TonHG0Ytvxm3T6DIQmlxP0Q6E6cC+61kDbXrBtEgqtiUAjVJoxxwZjKXd5GY+yHjT+rp22NQxLFC T3yFU2V2AWN6DtieAxyQs01uimE2uJzrGga0QaHAH4xCZ/gPJ1CXeAXhTyEbWDA6ddusjdZ7KBuk V64Wpn5z22ZIPkt/JkZh8U1itcsK76DzYK8OQvj+Asx+hqqYTiPYHyBh9G7carfVP0Pd2EvmczQf Sp7MQxph8/qUiSqp0FZz3UZWc8HgLCiYB8zTj0yxYMjzABYn0WCv9idXPmih2xh6BP/miJgYmX14 8RhFl3N8IYwv2fedL4R3wkR8k9n7ciZdiHD6UpqxyitOl6nt4i9b8slHFfhP5pXWr4Jfo6C0L2Nb sdWDiOpM1wCs7XpGvZ8+52eDIs1pajcbdVclzvpVxPY0aYkvFRFxytO4AK6adQzdG3LSqUFxv08R HG0iuMP/6n57mauQgevNNJQt3B30mxCEdkGC/9Q+FJ0wi8Bg9bkOoCpEA/OWKlWLfQgFL6XU2p9o oRnjkFnV448XMnL/pBXtGNKDa0Z+mPKUOazTo6CdIwu8skcXq6EOe6KY7qYk6uuCMGg1tRfmdyyz PRaL9XFJZHrYVxstvxZzGUcPcbFl1UqHlWuxaTAQAFhwJUMUaOyGCIU4TqCA6ksx02HEcQ2nakKn 1GoKex0AE1nnch+XyO1jjeZUvmhfXZigX67my+8IZylpkBwZhV3xF29HQGaGKkiT8j0CtB5fk0Qc 29cA8+9uPZp+AivyAGc9ixIRJeqwXsrVWwkT9qWBe8AB8FlEejXTKP58LGkwh0IFYjqFGdhVkpZ4 qh0tG9OFyA6Q9XSscCAl1LZVDn6TQAOm+wbeTkm7adPlFVk+0kl4SFiUpP4W21IDQs7bZxlToiRa 1ssLR7G62tnrC/os2LZLKdXUPluc0OP8tWhj1ioH2+cjv/cTu6ez4UBpT5nxc7dh7xxZKhVt4m3q aHlZIQiJ0wsd9VajOlAvQmZHWTHAACUmmdUN6PLZtGVX0jIwHdu+a9QNahdu0NpExdoJf0YvFMC3 fkmPzykx6VSsQCrylcYgtatR45hwALSCSzabRMcNSLSzR7ND1XI5dgFGzxHpW9FvY1j/Rv+zwETb xhbg/mRpF5PrOpXnB/aJzJsjGv5dId/Mdwvlg7AV5O04LN0dPgy0PekNUEKdSa2gcz52yUlzz6ri EzobLM8ytkRqBl+CgT5ab6guGJIQkgD6mVDmwjgvvufRoSt/ICSrV7OWxLmZdlIc9Gm0NZCEBf8+ 84Ohav0+OD/B8WNai1IAu1WX8niPnU3ewZyjjyUuIB4jBz0J7V5VzJnDyiZSRbtHrU2eM6MP9H7Q IPLkWlHZjSiS3Jcouv0a9K8Q3JTBy/jXA3XmIjiTAszN5BBRL2rWHPUL1HVxJ0f09je6xmC+k1O4 qivfJznKGsxWMGiBsgUkzNA7ACnavN1RU0u8zYrRSUToCoHjFD8zm1d3ZnrgCjEsMShbGysaekH4 u/TlPuZzl5Wv+mauOkz3U3+E7a8raHeDgmvDnYNtj5OGFJri5xlBSxUXhf9QWRJcJTjRxdgGacSO AIlbkMkPotWDCom6QRB5g5tukwF97BQ5eyWDBPsh9DclB6nfCZa09xB1tsLeCnkJIUhttHChsOI0 0UOGsxjzBkiDDOEEedOmAQ2uDVe1UrCay1ej9Bfydjh/wCH6/5+gX5kVyoh+m8ho9L27XKDmWtFa z3xuHJdVa+yzngRYURIguU0hH3keoBmTCAcUC2MgtRQe1JGUBhuYPWXCNEjQpsL3JMak6tTx9Kz1 Bj0cKiARER5pL0mFFq8u7Pe+SQF7H0J4xZixXmUzcfiJhiivZ3eLbW3IWMKU2pe4LuSmuBd1f8BM s3+R4oEWBaFLDS7ev5QkZlpWC7tFNE0xtXgNoSwvAIFN/erRLfLZRA3QCSWO0yxLuJIaOu1Giwf7 ZdrYwtC7hicW2KfTYZTaH6kvBxv0HzUhugKqsbHXnkDxQcO4UiCByh9xElTRxdrbd0PlY4rmlc1x qOaFdhRaY+cZr0sUqCJn0Ap6Sl0xzrL6VfK5jvFoVVHGNWZn8/shZXxrh8I5Ok6FphxbBD77zwuA 2mSwkLcmCBvX7DPnyMDgj+lnqE+AILsj4N/psKjGGwJhMi3wiNmJq036Gv9d2T3VfOMax1LWGgDJ eQKKrgODR+NyEtGTf28Uigm+hemlFe4uM0ai2CJH7bjwPcbUWJrIT9QPNd7J/bm5dSjxHfNu5s9n IQWRxofD9rYZwgtrNRSAsxCqinHPbMuIDFjR9d5R4Dr2aqHeByPOW/VF4et9H4NCsveaBSajn4vo 65FTlcGvYCIRxTWKNAoLHfBQrZ/TZiJsRN0zdwx8fOcO8HaziycpjrY9xzwLacfc8SIAN7znz2Th isOqjOm54Rlyt17iQxB6wvW8x+iFUG8oMB4D6JlZhIgqto2FARdsgBwwAMJIQXIHThpChdXKbOXV zLsPrX9pXkwxwknRkx3gKPLllHW4mJqjwMo/FkbTjZtw8VG0llyx3kZNwACij46goOrAL/u/e7AA gHSVhJuaJHF27VopStofYkoMLyjBkXFYMJhxa5piUDYUX8mV67arBjf0yc3Q5BU9dAcUTvkshAEy zsJaIR6sVXUqsafF00G+/A2qVj+xxTI1HPFIAxIuYQwMd8SSq6eNJaNDUpInZW5hWejHZ4yl7hrJ Mt1h2n6XYWEq02535yjgJLkVizTGlT2WE3lqZJ6XnuerPp3SmLRvMcn861Hh961HBTdWENHmJ31Q GsgsHAyGmuat4pfdBEWRHLJXQc2l60AxkWt1Re7GNF8wZJFoUjkkLP2TxPJ2yyqN3dbQjDLZeRI7 J8oQ88EgMNcgK4H4Vrf+IFmu+raW6j+oZjAAf74mz6aMSIUfihxOAkKLFHaJutViuRSqSULxvTiL wtquavfNV7lcp4bMZmxobXsxZpKCVg0ojHMaljj6EHwZ41uXUubQWlJQ/AGgiTHksLun/qNgMJ/3 eryNfNedvAR2UGFDiCWLzzdI7IefBGXh/9shVHIUYjOujiqeBgmIQuICm0zJeXENfyP8oAHILlvE DRQDeRuvhPxlS6gm7DOg9ifLLrDgmxrbc7xJhyQeQ+yglkEqvPZUYlZl+yqUsVkWlhH4KsjVP8oJ Ugxq1InZKpaQgeaHvA3pMKNQY60rzBP77+jq5mhTHuCcQoFqF5Sz+a0BLcsqEc3UJ5TPxVnasAY1 paPDu6GoUeW1g1o3K+POJ6P4u03LEAyOgZx9CiThauEZKCMGNWW3Y6XLtpLOghbpxAaIqtjmyItV Nz9kyUDn19M01mQL1g/OhAIj8QDcbz7EML8cI1jGY1ad53yoepFqK2+ph1SQpgPj6IS9XK9pqS7G mIoJFWTpBPMPviD0+4YdQgghD3eQrUtu3tacG9OavKPAKFmsF2itdqmG48xemAlkffNv/z4NA5G+ UzGvfUl8Mbd4D11784T5ZkVI8iKvQvCQ0ynzkEKnpNQMPPuOkblJLylLbnRBomKf/AbRMcVE77wM pzIrdjnTMTLYRCTYkRW7QmE0hwXix3f5nsQzaHUL4RgOSZqDZFjoAtiletQQXmjJzPYIMiSPfBWx iH9cQ+uUafUVuQDb4cZxeqn2z1h822JAHqNO+YLu9vsOB0KmyfBgWbnY+0vA/bn2VGdwwVdzRn0c 8cFBFXfsc9Z93YoVLyqkKITt8DKIZnAPeSxsddqM9skGUYus8SOCwJ3n1qpk/6CrScOmGGhYeaJ+ MpD+FB0iaLqsh5iMZKAkAkfeTtW6bxweWnKwez/OVlWi1jQejE2EUupX22ZMyApmySzb0ly4Og7I EK8cUaFO2SQoGQPdIpPk0WYLkEYyMIBkTnXIiWWgHBj3WtQfHHNcPMR8B5IXESsL0oGf1bsXBF8q adGb671Yp3ueVSc0Y1W9wnK1T4/0t2a8hHANK03MN2lf7C8zg9/JdbaiSN4X7aPqQ1CWqFu/+ES0 WXTVaWkLGEVXWP/oSgX3EMoKmMurOc9sOJelkkaqP7t9hPtJAbaH1gqy5QR4pq9cm6tb8Sh8G2eI 7LI8QzBan1+BMImExfYc+fL/M6gf9BWZSoY/qGU96kGJf9TkjDSWa3wM+1mZXcsVdnlxcfHssfFE KLiP/g0ZiSKJVvtb2tpWslU1k7IOmZhFff+tcg8RJ8hF92d5063ahC2wc0jr9E4yJCWrHaQ32du8 0VVObIBpsMoFxkgBKYXyFuGOJcl1zP+eksNfJbcCD8Coi/1JEQ+jLkvNKEy9x5ERMwwy1/x2efni YKIXHa/JJgDNtqy813syYJrEd/8y9D5n6P5w0UYsqgIytkbWSih+CxvrOZzUPW9zK2X6oSaWa5fq 4HscBlYoeslDU5K+pIUEVybVdV7Z6wFc5sLuVgrNVzAgWf1pt4EhSPdnwRemZYsFQ8oWPGXbOqDf YwXv9+ANDRhUVtUrD1E4idvUp0LFXkuGFTcH92Iz7xiXF0wnDHmfYhi9uNYzANqBgiLZHJYqbonm E6RdXHHSX85RexRLzgNZ4p/k/oGku8Cojm3d7qS5uZr9oT32zRIVg8bRJDsBZawG2aWmc4aK5wgA c2HX5pca+mYcAb8fQ9PAhW0jYVTUZ+xp6PnlrEHoIr0EXjr75s2aBSSXTLBIX+cdYdjOpWA/7Rxc cfV5PjbO1zBmTF98z57CcjOzc2AIW+emO/6DJMOIUDmdWrK18q0L4vxwAGvmiXV76hMayVfGG6Fp I9+DPb5FXemTEWMNfqoPi3xWDT8yeDEO8//OXZVjoe774XXTJf6eDqonapuYxvQhmWW0ZKRkc2t1 h3bHQWdZJzyPBKWoBdvR2SmJ8kHTkRcoCFQN69wrF5ePZROb+GO6dbHmZ41x66xcbiYNcQGEFS4Q xV0ClSqyJxPqj7WEmI1zo7RfswHqU9RDcCFjffEEifpxiGfBW0N+WCoJI0//2NPM5G6344Hhf6S/ XhIiusn7dL3W+R+Med2hO/S1Kfb8ciRSE/YR8OGkHtSpquP85+ZmYdixqv0KlX/TdNZQDeTftK44 sNrvYS+lb8G+yRwLFYTOXjU8B40WWqpd7hzibkyc6i+iuKG1cmyz8PeYakt56jyddYxjd59a+wGT JM1m/J5Pef3nQ1OgBBLkdB1ZvjsxQA+UhsDDNAnrBQ9JRCs8xNRzG+P+gKD6DXOpFmPd8hoFsQMC DHeAroWNUpbSPwkInwMgeRwe2RqwSQ9AnpudxRZ4kh4NVDCw3doVsvehP69BNz5olaLSZsi8rEq2 fq4WBiWGHJEcZgO7g4LdxdU6Kfa9sIvUrMkeMcVd69zuLnM7p7sXzwN2VBn5JY+mPgPLAGEIBaJV t+DyF4Gv/f/ZVIyUOyvYdU38SzQGvjg7XbHnZPFHO/2ZWCz1r9e9F6iD7ND9sLhaarIZRAFzKaa0 wUfijYiWV/jefYm7lrWeZ7UbFV9XnQDWT2S7X7oqRlwg5ZHkhHS1qOmKDzGWQsqgbUuUtBNY32qA nBXM8mpo7rilGZvSi1Hct1LMFZOMRkRUH/jtLGSrsOl9Gd4VFv0RxQ3al3WMvpRYQnYnsPQQwIJt GCouK9jHAoQS+66SPngkYxjbBl5+qDHPOMb0mY+LSV8Qx+2/aPkySvLFcwfUmBFzE9Af40bxw211 Ok1Nabjfvni1S4bb9TkjuaR2N4uDiuxJpqNfnLzof7jd6gCoTibQwZxZbD2w0u+cPeIof5jjhWnz TI0K09trMaz1xYMuSONwEIbaZr2SYuxtz4GVCFEZmc31/Aeq3ykgqmLgx5Od3j28kYlLUtne4vvw FachdVGgFSaQrZcqh7TpW8yq8sqvHFJghKxa9lMWtI9BukGYB0wyd2Ln73D2dyIXlreujzHa3WwA 0Ia0vbzLuUZQoquR4ZF1JnLiOuvzeaCRhBc4NVFyEHMvh9PvC1vS8dT11SpuLlKJ4es5P8R0Xo3g 55u2iAXimL0wuHUAccuE4z3/JMClJjunDa3RxAwjED+nEGtV8JvtbN70RixfjFDC/AY2lkYpLvCo rGnNsXZgXvjnIF/1p/EpdSS7bDY4kDNlH+TtCEby4ZmZWxyurrtEdA5vcscJYA92Uq/rHhNiFQaa il16Sg8AF0rRFMVNPJX1YIgPt4f3qgD60VKHQPwn9wyB2wyRjUdMX8M4/q+Ip+snqswMxp/ujtmU a+xMvYX/5KmNxVXMwEN3dofOmKjCFfFrkYZQpLFrI4W3IQ2VcJS1dg6+cKG5gfgtd2lXWeBsRRt9 xu0uWSGkZd64gqutLj0I3X2WzwiXSHBJrBTifXQ25Vg05vxL7P9VtKXotDnvpWJWiZZZI3prjWpP 9bgd7IwMnE1t2/P0b2JoDdSrV6FsXzMWCH/KL49zK8Es+m4u6h+oBh9DDcONd8Lq6x697mZtz5+I uqK+8BJCZ697wpAT3ndwM4Yl4xEtM7J7YhzVIK44aoT5Tjzh390Vv01JTjKppClNR6pZbk/Kmsef RWVprzdkqULlRQqy/nIjiywVas8GsOcSLHkOeQESMc2pBzRTSDW5kd//sy2vgHaN/jM4bNZQ/HF5 95UVkSsMdEV9piwACq8SRRqS2D8IcTuKZKK3FFCvIeax/ArDlf2Um9A/o/pfD94f9rd0+3DbAiHn wbR5otlk0jnpSvsXJPxneY9VWH6wDc6LnZFRvMZJMQ0yjlHUKH0NszRu0+Auzupu+3k7zGIOdtvq e3ScTEL6uldfeb9/vqQRfMfRTN54wDzi6tSKpPS9AMiBJihtP8Eco2mGAhx6nhq8lqQnex9FG6SI ELVhwyIejL4BrOgEuVlb/KVV9gfiFC9gAEA8aHeWFKeVPUyC45sfCHkVMAIpqjPA8bjzqx2E2na+ 5hPGSHjfhjJtu1gsP9LIC+aZmRTlxGbl+ux4fFs6/disUKysK1KO9ttX7/hBSFUobScsgdgPILhh 3wKhlonWuMNxyI5kvpVJdV3k6U8Se0s75wd1RLRDLlXHEXSFZWvWPVvxZYyW6aKJ+v0RENBr8KXv cXilxlZWvSWpCTvZ3PKKU+w5AhE3iE4NWH0jI5aHkU3n2A0+h+XYNKpRcUEmai3z+vG07Kor5h3d ecoURoSgPRluwXOI8L3wuCsg8808dwM8XBzIFjRHh6zs/u/g++/L1hdsmUnCV636ZDpyb6o+EOPO HUKOAqkQrN3sTmgC73jmmuCG0ukZkjezWkeEBThLCUyPBecxOEYpIFEz7RtlNhdFrbZLkEPUaToq lgIY17h2SYP+c6o2BsGD/CEJAzqGJbFBz2JJWB6VSo3yYoLymldRRKqcsgfeOce7Td6hxxwXxXOP /FjwYCTQ/XEq68LB31tSs7/vM72qsIroDFzVlbCC7KoL0Nazb8+yJ3u9U3+QJQyz8RyYJApam0d1 rYOwcis8yL2HRip+ByPwtG0NnAi9RH9ND+QBccyvZVsUN9a7NGRWm/3pDdLbm/Be9EOoDz5tru+p GyOCL1KCCIoKPDvQ3U3xZm7jX6w5wKIpM7gIpC4/VkZKOvh1Y5x9g9caiXMe76p1XZYsPDO4e0pW 3CBRYww+2WC07bQSjsWfqTRgMUMWa9SeL3ngHE02/01MVhOPyiZOQboN3LcLy8kjF1Tcl6JpDfxs 1S0hJlft+VeuNyaBDk5nJ5achk0Ke7/lhdPYq8q0hRvkOOqzgMaWdXpF8q8tp8ImCdkYIltEPpga 8GeC8TdGh8Nq1y1yrarT52h2XlWvuLf+rVAu5KnYeNo/Tdm1yZVqIwnPSJcdkig3aOgxSZAdfLk4 xkxd9A+G1BxE52g2M2mZsb+BRjwrxryZyoj1yR82MKZZc4G7XlwGs/ZO/vSzb2SjGG8+KiX+29R3 RxZWYP2UBlRFI9oMCQ1/fOk7QkKlfjR8VtuoRVwMGpAL39Z0QgECD9cKVmNm2P5AqTct2i5c952o Jz/kBw2a78sQ8ek5U6RU7aXtRFAC1wYSOGKH43TO723RmhFEdAOvPvZiNiL5KuWVx37JYx1ikkLu nCivUxsEjXLWOfS3pan4rhHT96xp8gKwPkX2psLL4wVU9vJr1X5LGFaMbB/JjiZ6lKJOG/zISEUR UarqEOPblAU2NN90lXhUVfbDRDghpgnzSVbe9XaXL11/be5iLLm5IdxvVDyc7Q4kP8JcWSV3cbtb 4Z8/E/Bmiead8uY3I6ZbuRGbQdkoh0GHQUF4Oxz5MIMv5IU7xqmon7SnVzIs5+z5Ut4rgBOPp+5r yxTEPRRQ3lml/BTwjQNMsiKFsoNpS4xMA1XgEq/CgpWJzU+EBLmpic9asN3HH+7qiu3CETp0lJcg AIhGNW76PfGjXfa/z2DZorN30cMu0+nPnI9Fw/VS8AUoLgORCIO9OMcpmqlkd2bwBsIsGANPEZYJ CwqcalOdCAKPBOVq1fLJIe/tn6F0vL7QNC/YMZXJY9FICcmcw6kbzkfL/Zj3YLaP7QE2a0Bjh8bl KkKvE+T6/cKVpjDC7U+pZBhlUBr2IxWTVXkPk3XWw93k3oEjvas4gYIVuW5Z3Pqc+Wid9/OxfQ/H vqRMTmI+blWLXSyZfkWZV1oYOF+JaRTEcEcSfQs0q8B6tllN7e24p/WOj8k2K50zUGDySgT6i+6W fV3r/uRry5uZ1hn9RSXO5hv9/V+OaENhzlDrOagYoFkBRUMp3Fg/fH0JESA70nazj4ImcuSkpyh2 JxsZ+KiPiKCPEQBlsO7B3HiXjenG2QLlcrf/VMANP2ysaKO2hTvrlutQF+1gtlPo59rgLbygQmke ZqCK+R4BqfVdY2eI9nP5EVTIFFaKVqLCF9WR1Um1AIeN5RdoOj+6Le5C8LJ30p+VCnWZAMnppakB JxBiMQW/1G0PSD4xIJPWOjWWw0e5eO1uxFK864NRrT9enJOhhs5hbK7/fnEeVar4ic8i8pE4APJQ wx5hH9pGXOSVjM/ROpIn6BkJB54w/Tb8x1Ty+wedd8Omw7ncfm+5t9i+4XMCgGX8GraXLUNRZtYG SswVotCBL5qIXFrUjzILRHQwfAIqpZm5yzv6Q0d+es+TOUl3KeNqttEiOXmFwq/PMYmdB5s9pePN SJ+6TtDEhj8aMh4t0HpyhNW39Y2BZw/UTSl+NR1WjAYBFIHfIPqt+D5DC0yu8YuXzQjt1Xdcmuje NWd9CFxnFd5cxHqMlrSMWhAL+eqA+x3IjfGGK7J+pZtPmIcgu/ZRkF4qyIt+3ZJNEzwyH6l0mS1a ie5vYUMideZRS9tEWN9bsyPY7aKRFAG9Zl6/lZsvXasf2XHCNOgJflmGx2nA/OnX6jScx84cZKoP EImlQWfcc4VYjVIOUBGyKLGM+zyywd8p6ZoRvc+F8ame2eCFEUbWbKLiiS79JvqFYbJEFnE0UEJB vgQUkswpM33jzNafhDDExElSkFqc2/gOvEo3qp8EHn8bbZBRpl+qJZJlnAA5WE/+GhUfEjMzr7P4 UA1azgTVF8W2Z/sE50zKV31qLQRzpXh6sL8CRExOiwE0QhMYADpamPUGozPo5Av4fkbxJqlo6eS5 l15IzdYka2yLx0uc79Kwj47SuxPLieDEbHq2i8w6Cg+ScN1YPxwIoZdh/Eec9vFdp8PbUuLfhjAn OgQUG9jMToZBRTmUTys0ppbyoH8rexX/ZQmjSzOzuiT37mGSIvwA2iJhJ+CpBzAmS7qYg7ZeArk7 /LvcfvI2FiYnhUjTipgChmbNWJITZZ0RB9dQYFKf2GroUBiTI0o6R0P0ZXPqeTtCJVCkPBw5jTky e8zxmyRiw0g/xBjCmz9QsoLusF2zJgDJbtPH62DZN5WznKKt/ECdpxnyRjJ+C1vB1oIBUJcwRIpW k/2I4gQ4P1bcd4lAPEH09BhceMw3eqlkYPN1dMaOOGDbn3xsxApLyC0hBH38uZ8holRkH2hn6lj5 bw89+cCRwsRLcRVN85znqyxnP35kQFExdD0hH4xtwY1870Weih7n0nFK7lG9IpFzKzC6pw0Z8br2 ugpEdA/v9sFr4/MG0Ptb3WUBpR/MMvtkp6MCotqnjTgpCTxt2KenaEncfbsHL48W+WZfYwhp/vqs 6coHXflvIp2KjcOzUaBTUbku+UQPdrfOnVjhXt27YWmx42Tw/uWz2XZ4up3C3naczEp2X/8ZDpl1 w8+BBCOvUcjo3bh51r3Rm2qO8G3eHfGSPE9RmfgK1OhgSrkdjgOqHQvhXJ07E1+B3mN7eZNSnKHb 5N4unQiLAOTeGcYV4ET+op3xUy8roOVNcfB1Rr8VWhh52JgEHZnP/qm8iIxG8EUZax/WqD2BLNYb x/bduTI5vkP3J7AQ4JDCPI5fPRbujzkGHQEr9zFrUx/3ImDfvm2Y06ls1VK9nxV++UY200cH4Pa6 yolgGH1C9C8jMosHiWei3n53BjnMcPs3sTgSer1KkuyVzQ+XX5gSRzGMyxqF6gne2akNCqXaC2j9 KV6aOjjIcwjwnh6d49USGmhHZWLjIF4CcKFwONg4+J94ROk3at2ieh5o/TPSbO/gz9B4YwBkITy/ lsbHiYxalGM9/c3mH6oMyBYuSevKWvUQNUge6VpQ+bARcwXWKORRXNbMfLmqa3F/T8hkUT9OhgRZ WH7qzjprgLtcPVyXmAONMC7GEfamfdLh2MOfEYia45lmlCfByy4HLuUvxEu0+bqcOqDOPQaM1IXc uWVpPmMTrcDwJL2Onhc8l9V5z7BVpd6voD3KMHZ2f1Gu+jLZlQwjTb3+TbvOVv2XEwY1bayuSMrW EQWRdJJJ5Tt6xkguf03183eiAmtkunkm0XDQNopLaTBZlAv/adZ+bku/2zKYVBEpQvNGf8Hn2w2S tVK5g2zjvGYA6Ze/PzrfHjNFhb0t4BnNrriL8eZvJL4ij381gWOXhsdkRtJE4JIg7Yz/ML12f1P5 I/7Cixk9DSi+AJry5exN37jclqU6nqE7r0FXX7wgY96YbqV0kqw5ic6zP2/jv5yXtZe2bFBF3cRJ ho60itLpRRFpi5y+TssZ42Wkx1xK3Ttyb8O0VS+rOZexa75BVXPFqmkkAHarLEv+pb2Jz/K7DxWA 2taGNCbIQ638QflaWv3MrTNBJQRNwoiuloSsN9Yg2tQsrD/qtgxcp6Yusvz9EbSZSphCBdn9S+NP zHjkKWUb9w1+5M72dCz+PFtdRyjcReejBSwVltcoSexcpr0czgq/WjUYQAysrxQ486+knYV8wAIK 6fSEJKA9jjZkrWIYtnED6eDFlr9pDvcOPEXyVWA4iddpW0HjT2IrCRB/lpy0z+dmoI0JVTW2CklW zKsHOmo3cpSYO7dLEfXAKbtz+bAJMCwkID8mIEq3k94kphJFaO9mwWHMKcxETCiWDxyJddbsXv1y 32V1xbOzbSFHEzMWGEqEDJbXI8YJR6ysizxs5SLuEs8ZV0Vnkdcq/xYjUbh7ezNu7KhIliipHcfU HYTqSmDDInTXZ+UDX5JTIHuh5/6GEtqv20OQISW+tNv9Z4b9en1jfOipEXFXyDlBDnCSwYIwq+wk 0rjPqofldBE4M6h9151JgIb5Q05jghSe6sPtksRKyQbOw9BF1jR6U2GuTVdlUk3BEsXSbN3eu+ly ocO25CoqlHCA5Fs8Dqm+3SMC/bmgy96ruCwandhV+9Q8+DjFHvxj6TNV9rg108V5eVXw8fG2+D3o 85ai5z8C+U2kr344cOtijr5jdAuZx71GJ5CTqQfpurmKmyHPURbkZt2rGPB3JCwmHR+m0/aL/yYV 6zOzinpTncW+Gqct4npR4uziKoKGXRBewxnmAb1ZzlYqdU66aMd4JiZqOI9I1oRS+0ANT5ZhJ4II 6JKGzEKxEVbueNuCfS39eUTr8HF8oFLcFhZDH1tu76ghHlyfUYRS5TVSLzm74TWFhx4PygN2GG0f Z85V1a6CNHD5K4HKVEy/Ukm0UIQMdy6tRWRDoPjO1qJHu/ob/iA6m0tT3W72lwLHldpYoQYtzQOD LVT1DWLCLqi2IyXDKZT7GgXSdvPwKGoWbXzWy/0OdXZ5z+vSD4xsWPdgq3SA8Z6vYsXXraFTq/QG NjKaIWEJs3O67L+gFyDfYH8EIwCb5Q1Z+yjGkKoFVkwIkRMXJz6VcXj7p/V6/CnuMp3wzClM/t+2 tpUHrRvdFOJ1dkuc6d1yGJMsBx3yeIQv+nu4OaPKDyWrY45afArSXkylnn1P/RLrPix5PUmFy6Ot oVp/QYkfL4/oFkIrltw/YU4V2+TOLB6gPw8tUHSV1CSI5Id7Iy5EO7f6L8XufleaKANf400CBKSo RHkhhqzsLccRIzd+eSzUJuE8zNcr6zpdt8tWqcQXh87v19qi/mktim2/2JEbu3iswAI1yijc7ej0 yFyee5PPJXeZScqArYd5bCV5fa2Ij5eR+GK4d5iKBO6IFOIEVie8V7kdJkd13pAiI7A8pF368uPm oAIN6tJYQawq2Zx3SY0IqctTtQsT9hU2M2kTPb9MqiB15DyVco0JHQJDYNso9fK6/1pEvH8R/kM2 mHwVTRwXDuIVIxR0DntvOU3da6An8lY6tmv4mxNMPo2PLsU+YvTVREVhqAMtC/5ornsJ8bHG5NwD a/pYbBlx6bRrRU5b3Ribf2CF4N4fCmxYCWsoK+H+GE1ga7Hrukw6ICtj56qY8Irw1b/CFDTnPcfK dFUHD4p6d0zHkNV5iFmkzNARu17THRqmXCFkSSx3OBVa61db+kUoSkW80EDXbR9+w7Xw6WxBXmTI ggyjjaB06pUG1t/mc6k9lQr/9tHW17V2Y0Aus5HTUfKv6rCFIQG9St+m6oGurmxmvc9pMHc5oqBj egMTWNt5SmVNj7Fi7MOMe+ABXicuGzl3RLRacaR1jDpj7CG+Miaz6pbSmJsxoMQ2oYllRLJBG3Dq KhwKWShsXCtIirhrgOf3cUNaSHGaZh63qls98bdxYeNKtgPWYn7voeR19KvRiVpKPJ5s5+vDECuf tcTk5j1WUMDqk11m5KGhal3rcqNEG5zdMLAiSeAA2kbJyeRxApyarJukUdgXjvAatjO7ogtSdk3Y 2C3KyfZU1onwvHvFIr4OPeCnc/gx6VvuaqD1VCRFFCHNKiQYsrOxlLUz8BA+LlzQj54oH/TMSALE 2eB/0q8w6yuQV6CNm7E22MqnIDhDw1zLqFTDLqtqZbmhVpziefwI0IrDUnsczEXUYTzsusuG5jTg b9jlQFIudAHEbcW5fLBex0ZlcYO1A82LLdTBHEbPU7fGgq5RNc/tWRE/H04QwKpxkEA8hChuqw6W 4Acd86WTdwFl+LQHZK7A0Lacja+FUHG/MwrlR3wrjT9teTC5CIE208IPsohNfh+oWH/cNKg9wzsO JNe7VGYZkAah4STr9RgJ/IYP7EPQhU8bLyDUXBLYIeWbO0+k79aDUCD//eUsbnbR/7Zb2QyomBK7 2ojzfbgQWhIWYUX8KcP6OFU8qOhoFEVoUcX6WfFVvAY5iJh3kDPbzeef8zNpK2WNcIzaqQA/Lgb8 c6s6JS52gXxOFsFeO3nCEsoY1GPd6pPjJKmz/ZflOqzTNJXY7rtChvZoSMJfFjY125rxAlVJIX/8 DkMAC/YY7kX7nc5aHsEjvSksf54BUtbk66GDFR4nwTT/XGWcnzyAEgqFsLHdHnP9Gf6aSmnTy7XW fOnIhnqi4PLMyxT7tUTqAX2/Yl+RAE+jjin3t7F5qQr9cW1FPpXGpQwUP51c91ACJVtMFr4UW0BN MIB30nEQYW/RS991+vXWMxyFJ6VSbTCdnfmoYxUMdFu6F1EF0JQhIEmpMgdP2T+m4/nWbUit6Wii t6GOGEb7q7YeeJWLBQZJQ+8Y3XuKYmdCIWeBl08MfIzQmjK5OV3Cjdu2MrVL5kOlgu9SPrNr3oyx eNGcMAmiWBAdJnk4eOmQYiMMzo6jSYtomIk0iBmj1xkCm0Sv1BRf36f60rB+vFhHbvVQ4R1pU53d DoSgC3s5x0doB9Ip3F6mHTsvDo2+9GA50TxTToOCrRmYDDSrZFI+Q6iMf25mvdlo+cHTUS4Wtekz AKkec22eoINuo//rG+1QIv0KtE0g7pssGS5kIAdPZgxhunjFo5WROMJBN6L7xgdSnZwZdBafLtYT 7Ss8/hsyiZ5oeBqIFS24HD1yU0lKRb3C5n1ABdL1dlrjD6mHAlHWaffhRltr36ArcXQud1qQ+Wxp 0Te7rnmbTyAn2Nx/O/5B3g6AL1zjWx0dhgy7i+wYPJ/FElfgN0Xj+ILl+ndbGi2RK/x43g5P57dY 6jtFlly5okRKnUk5LcSPpxUdD8UzS5WvL/Dep5TgmM8ryZ4vKyhGou3Ogmt5LL99/mbHnR176brl yCKeFu9+VWPTNdwcU23wdn5KlV2NlAGW6SNk6QzYQoWj9XLwddS7VBZbmTL4ifgio5c9kiuJKzvR AKKhcPxvo5NWwRlU+yW7BLYlBDwiT6TtEji/dhQ+FmuZFKcY8/9tQsqiBu61XOwJmKha9inuHC8O ruMHtXkWHWte1zgs1AQAUSXKgUrQnjG46QyFBus8ByppVKAKBjn8FAtXG0cbOy+rGCapfIi/oU7M DCDybhqrn7VIM7ybpT/LgUlg+KHYKvHMMS+bsmjI9BPHOwySB0h4+tZV2HTbPLGX1KHFRrmLMhYh Ts5s8pt5dziKa5HO7BdhwTO/xxnb9VDov7sXic0xzUx2Jab7HRDRwvL4N3xkLDEjJilITf4mnv/D H0ho2YTv7wOwthnIZz+BUxgiT7B6zNuwSevH2XrRDChIMvZhB+UE/XQeeCEWzvRtpXGe1NCX+NkZ GtVdlg8DeRIu9L9tLEHzgrN5WIXdPB7Z0HbqdX8xLHMnKtUQ58ePjIpf2bpGZGuJhlr+3ZXZy66j ztKduy5sD0oTZ2r+F4QRfTMs6n8TTJ8ZvrABscWzNA2U2Iu6GKRpt5LSnVw/hak+e2pQpIIv0Bh5 uvjGcKslwl2BNyw2OkmRCRG1/rlBuOhocB1HvujvqIxFdS+QFXEZIYCRNaDkxmUJWs+l7qy/fk04 Ibn0elKoQhE+UF8OGYUfUibsRy2G5CEyHFm6Nx1XRcEpyOQ5RSe+W9jWwtWuRVUrhRw1+zOS5Mji UDoT5ZYdxKxz4XriReLlY0r5YsAgznmypMaaQLWVSJuI/A9F16zUThbZ37rkQ/BCK7bJ/3hwvmBL gX+ZLpQ3sF3Nyhb5oU9K5DfbcNvOIiIyhbLNi4hsUJuuDsbd526Atl6rdsif97b16qAiUpPqObtj P9iSIhBYl++iYi4m46BdKwB3qWnSuw4vv8eHYXPnYtZt1ifNIGRHnJZ1b2XKnbSh48jDUO3+8dMU VZMP9063hBc1rtgG0aLpc8rg6G7G2gAC4929+hqc6EIzrfw45TRAGw35nYTYjxXH3uB7RBOOocen wggxx8GTv1RUUGIOZfl1wUH4Ngby5Jmb2DhpnEMuWJ+D/TenrATAYBRhMoYyK1WZ420IRilN4Gaz vHVt6xYe6ty6n2bXn3lhWRcUS2egx9hdYmEVGNzdRRbUXgVoxYFXZF8I8+r23g+vmk4vwKDsDpan jBbIB0xvMJwT0+4MZ+sjVEDKI8lwsIUy2ahkEuojRrywfobvicdvXEXExbOdpU8ur8JGe5TF3qYq lzFZNzh+FSbJx9Qt8eD5neMMbQKjyEUmUOS1qY9OnYPTQi93kMMq8f1iq9mKVX95CZJo5GevRp6k 8qbwjAbPc3tu7WCbqzfjyiFbok0g7qiKpdY66McSLPY0SCC9Ir1/CLojfxrDdEG0nn/ZuR+JEfcq /+9QzXpu8tzI5FpJMyr+L3OjFPgDUIhS6T0n60nFmyJoGSEX0xspHHZCH1OTGnIlAH+Ar2e2Jws/ wDMPxZrriY2nBKjLdqzhmrkFzrcAAtvFdUaOc25C1Cc1xpktV8sl6UnrEYsZhuqcqKiHflggIgNR BSr3EsrvQ1h8BxeLnkv3PWls0nbTsLsBUxaYidCvBVofeGTWLYahBObztg5x6mlL9oJwBCzXK+8F ayMnlp9ort9sxVfRHJoPYS2Vz64KGWNUeSb+xfdnvyjps3ehuzDC0M6EzFK//gS0IWuNw5FRA2DM FPnBMDgS4hEoTcon74+ZCRkXe138lr8Mgwb+TUJ8rd528wMMSI4ucyBe1fGODY3TZsn0VdF2vH7h 7MZRqt/qnf0y97kMXvDmHBYppG1ar8FCfv8RFkDymqywLe8/54HaDbw/h1nLaSSEugUc4qay3x6n 7qOETr7m612nJC5usmureF7KOEgJMIGdLYytw4QjOrfIcAF67J83CdJc+RL/7v0uysrPQwtPGjLx IRyw7ozfFR02ILjZpZ2bjpE+LOO3a2gLAekSHvIBSfNZyoHtCdnF58UIjh9qnTjWo10eshhsdG5u bKzb5lJ2rPuDWOacaWZSvhdIx32BIRdJOYahVC7r0kErmVtzKuh9vn5XFs13DG/46ZL7Qtzl6zPe m0Xp9Dv2eX4/gs1aUyDgBtG5yUUyU4+We11Uk8K8fsojYMJjKL3ltQ5dc4bt7jxr0TZrbIXjary4 vLbJLwwCMay5luZ865Y+AxU4wryCJVhEyBAHH7GFFV3x94RSleS8vsfuMCVX7+fvXArwnR9FIrbm IiR1i9F0C1AY6C/d+kbMxoDzGqRTbZ17sof1A0G6BdQGooTC5vh+ZSmDjSyJjhKDtnBIHlQgFSYu LOLtPs8zB4boFm32MXbEC5wSoho0ooPLLDJ9v/rOKlcbWQKsq7xrKjHI+Njj4q2UvcVh2cV/XuqT OA3aMi7G6FFDeYkBowoKtnIt1JI2+i7ruwJyX6Bxx/JFY5aN12L3YT8q73pQ/AH+1N9SN6V/3Ywm +luCf88CVqlC6jzE2ilSpfavD5MrtiFIdchczKKYNMaz5divjb4snATEaXkAjt9dstl9d0beGAQ4 VDAdCo1a5coTZgjUVhXrvcrABVZ1093bWpGx3PcA1GXXWPjxit56Ix6ilw4TpzNMxgfAOvzcVGo4 C0tDEJMz40jJOggdtmGa1GuI6JOMJHEX4XHUqjxksaHGxa/Hsh6MJn9PIc4FfhoVtXhVFMSmzH3c ksvR2F7WG62g1qP33u1W5aikjRb4/9CWN0Y8lYFgAO/o55s2X0Nc8s34zzYWv5TqZGtn9i86PXuQ dgKgRAtPmCRrFK2//poNP65rWndC2rzGZNRvLzXX2j4kVwdxeIQZDvzpJrKUTckbpAr3RVjUYxcP usuRCMQhprZ9MoiwrDlP6a3Y8NWCcxI6fZD0qoCy6jGAnnqE1KpNS1v/Zqg7cKIkxplD6MOciJ38 GJanGxs5ZLZEw42yx2gRIi9nE3uL+kiGB8XGkZd/moSaD2BPTC6yWAqcTPxA87eWalv6O86XVu3d 8NTsuOT8v7mjONb3rCeUA7bd8q3s0Hgw9Ir04zzQsA6cbu6yCSk4mtQcr0PfrbKxJx2xLksNXven pl/rEDimJuegCZlfODFQqCUa61ZYNYih9vj9p1/Y/kuOBcNOjgPwD85uxdm2NGFV++O1+fWvisDA 8bU80PRMO2eV2paGzgl2eJDulc9AQaKRGM2azDewNd8ETWtv6s7Or53z07EzWwlyw9haD+9NAfvR aithLe36vS7EAbVz4MotVSYT6OwJYSIJIz7qILZOuunKiPF0TjsIjaw3U0Z1PQiUQ3gdmEWARSDD vwR5extiH0s5Nl6hTyaPWONa6D2Y17YrGlz1VUWShP8M6R7JJvSVeKfXyMHNH7eXIoClZWNasvET xcbI6TF1WmVSco5icfpZ0I/u4uppjAALaR3wQzEtiXKFcLcZJXPhQTvF7tFl1GJy7E1ig1Oe7BqR Bu1iYregX2EDTdE/zfiDp3uoxm900qcsTWkr2PaGK/xnKWSKX1TUeYO/llXGohbjVXgjsSdPZgdb Au+nZE2HUozrPYA0FdmZpoo4/lYyZzwx6FlhX6iY/vfd6GPSnz+hEhPG9dDqYh4rco31nG1epDIY 34UvRDoeRYwVPwwF1uHzC9GiU0ih3uOuYrur9NUxtf72CekDnmnsQ54Cly9ULn5j2qi6AnZjdN8Q 318RRKvbIQTwofkHChR2tB8qZy6RhnUzUg3fz4t2iI4EmRwqaEZquJE+KOBeatQm5SzVONZO2QOA MNYSFBRSJStkOUgx4riZ2MIGuvLYckKwdM31qBqfVLPhEvR1Gwkbj2AEhW6zCaq+kj8dX3BLJq3W V+0nbkhTO78Lkxoe7fYG8884SwoMSJv3Nq68WLyQ8qaptIQkMNJjuUmeAzacpu/kPOLHa7qPpXCU eKSBOnc0jtAvfZ8hF5l7SniFY9IqNlQWsNG7u8OJbtMU4iCmHq4xg1S37KvlqJtN2auH/ccqLG13 358Ubag26HalmTt+fcQWDQpr/CT/+oMC0EObicm0FABiiVQedfzsH24qdhVHSPRAzr42hv26EcfE 3qZ1AoJx9tQYN3nGXDuwJhDt7maLZsVWORe5c/FSQQLPb7SxRF5u06lY68yO84sRh763phOh/Hed WIcued9LiKLmcEY1JuRcdJ+fXFzFf74Wz6tBNfBLm/0SnxRGb2OGXk8KSmO8/giBWO5B0xIi0i4u qcNXJ7YnjQP0s+2v8nxWZUKFYu5Nersm3GKUO96UkyrC7jG3dojWdw+Q3ngiqAcjEyHVjOQSNR01 WojZpnMXz0h6hRO9H4xt+SSA5+xQvF+qxzU9++SoOeVLQxoaDWY28ykfCxDZw81DlzGlp9kTBC/e nu3O34E4beyMWzyxi6ZeWDsaq4yucZ6BfUdIDzovqTMnG/wCLcVAruTtC3eCy/yBtCvNkBCAPccC FvCFSXzUALUL3chyqPC+XbSibpEHQIAtqlehhyopePcE4rUBwRnQwtGArepO7R655CFJ0d/RpNJ8 RdgPCGzERn01HevZCdPLiwI5iVDrDkiB1kSlGAakIrIw0onKe0ABGAehFdRSuGBxq2u67xwYjgQK aXoDhQwJNDVhujEPsPoCugcffUBQB8dCPBiWRYmCaMtJgEUO4mKtOTmGSaGwFyb3WCxd0dWR7joJ Vcor/yVgbmKpPITJ8R+3YlbdWJa0Vu1+duD0lSgKYrb9DZ/j7y80Mi7PZaAgutxSsvJdV2Dzo0wM cHt8WfW+ARZQEvdArDsUzPgWD22e8qVxPUSQchVQnlLGO3Un7CD74jWEztn8VZv1b5d0PRN7NLDC feiIehM+8Crx9S7E5QbiC++8PUKaTQWUTezqZGKB0A/JK/Ot/wSd/eDALzy2b7KT+QoQiABvp6Hj HrOvnp5R5Z33q5IHbOdGHNBr40vXUpmzwxjPOaMHf6LZ9X4Xn7xuwIQC4uQ7u3FB3Tafd/17fctD w468bMLp4faNE+gUyUW5d58lKB98RJPHEJcvhFJIYtBq9hfjn+NsWs6+kOqemU1MW8qrezRHmet0 pNXZCLBDjWK16Bj489yALG/B6EMmJWRvblsANakqx8PbCi2Pwh8NMZaBzl/A+6wQWDvpJ6uBY8IT n5Z65EN4D/rE509AGzwL9LYEi1yg8E8WfFdOlL+cz7JniDBDepZsU+eUSV+9tP1vzKk6RSDVc1ol EFN2Nl8Smx88yq7WqCjwm7BYLIxnbw7tcVomETIzl1e37ArtjsPrZl+vqPzWCGY5D7XvzspsiOl0 148/RHtJs60cv42XBQ5Zlc94YXQR7DN9TiOOVRCWp6lEUFWEuvbqJuKnjR5XkgOg7ZIB2qecIJ1v zMFPXVplMR/Hvk2SNf0ulhdmI0wEBAyRi3EBS6s167Zf2uSuOHm9RwbCIJJwnySHaHa/GCWTZC94 du0vySQg79/bjRMS2kFZzK0YGYwkk1aRidwFNqqVng8qcSR1sdHxBzY9EILYpw/yeDkwMVanpuCV 5umCgHU3WPlkVSGHHED6zyXhqvAVaIn8eHyTC2i0s/clNmUVT8JXpwVJhFV1h9+JIT5Xub2lg7SY 88T5sdxojJzuoFFUSPS4Noh3uhabXpsSn01zWl//Behm9fLnwIDIdAXUpsn40Lqevml04ZGqEkvE XHBxf4/ybXFtUe8fUeXyn3ozl9vehxe1G9BbQ8m1OAEHGJ/DCg4dQ6zQbi9crv5+gIkU7bK7Nm5X gW2MO5wRvCv6SOT8EQgkSnGvBnA/kbEFMX5LmBjmGLYoCqwkoKnGfBLk4AY2LMCu7ZZyp/aZLhNn CB96FsZyWRbElQEnV0tMEvH8pd2CLa3tUHqnz9O3QE8N7HQfhCEJ38aFfqZZtkGnPC+ids6THv0d MGwHwwDPOya3zjEDqToaPm+abeE283btVdf5Jcxhijm5UVlRIf66LSAGLwY52WY6EeOYwWt/ftEK auJ8df9yuASvtYuQ22p4nIyfMAWcBNXbwyOFTZEvLJb/xboDfClY37JKh2XkPPZ2leJKT0FMyLqM 8+LCanuwJbsEfqE+LGswH1AP4K8RW/7vafl4hKA2ekhTBf0c8+qLLgAFVh0kOcQizhPKlFK+dMWT 8WySDfTRgEAViHx6DQPJAvvhOi1DuyclF5rXQ8YL5SwEEnoq2maLbuF5EstYTdI/jt8e5ctR0T8z zLzIOYpYZYenZfzOKRQ4mMVz8WazPze9WIUv15z7KhLY4J43AbiSfhIkeGVogzFzslgy2ICGSRzK qvVowHl7vUtjYfbGKL2p4kntJtFmsu2oPlpn4D2W9flor2t03ZtskyxfSTFbJrKHLosW6sj6q0KA pI/E2xJCOmnJHV4YCGXEpz7YAeRDX04dXhikaBjD7bVUa7JZhI3oYrpWGvfr1X0SUNgg8NcVgz0X AgAtttRj1qcXimQnEqju410lGD/zVZt1Ws1/9CV82qHtDxM/oJkWbeHdKu7NbApZQHtof/YW8zNX nVDY2sbjFWAzRRdr10YF3Htaru9nAXPc+6VAU1qxYgGfai3ZQSuDqPFndS1HgJjkJxSdswZFtCLN puRslscPcfTr/YcxeQOaeMlH8uGnMgxjTcAT5qeNp4BcEkEPbgi2QgUvIPaSiiANM6l9lRENmeJK 4Up5yUczL/svMnaPQn9KRlW0QK+Z4NqYDvKcwRhKRwUp/K9cDF0bALtz1LIeejFcbk5JelQVGpNP UM2XI0JZpOhieS6qP0aJIn6Eiq0MYXZ+tf+JNptVXmvWSFj9f9FD6Zc+HONOi3CPMBy88itd6bZK l3Fkne3GOarBsgK1Fsoa0TL7Spj8CimxD2CfNYPlUQDJMpPwNKoCCLKGEm3AvH1PX7XQPnccU2E3 f53VIUuJJEhMiy3PNQSJz5MIuGmkYTBSnQJGxPbr/xN8S0xFti3C6oIu7bE6RAnpA/4179398QKe CZsqA8AiWvKkni8ab+8ZCamUpVKu10PqxnhV+XeLrhnWgSLa+7j90RtqSx05WeBkSS+21WF4gioe 7yvTxmQwDc0CbO9baYybXPhbUDBoNobWc97pqfm8dhRjq6KrJg35gplak4rxnqAjshf3u101MwUx xnpEiDOHo7qe1H5ggEanrK4heuuu/oCAlnJXrzAg4oM9TfyPHbs2PD/to0nNXNxcR+9YnsrHjc3i aF70gRuzA5XyiQJifQ4xChlU+1RYxVe4D/OSla/W/6+SzKovghA9T/MBFlqdwOo8SCgrqY9n+BOB 6BmZ5Eq9dVOvo+p/HJIXVzb7ohHZmzGGlFQDw56bVvNcrPWzHeFOTlqMGvFCJWUQeI9Meswc+LF8 li5bR45aEfZp0A67E9YV2jfV2/dWOeQPBwxO2a/L986k+dNQiVdckweZ/xjth+BKeK7bHlGKICos 0pgchlgp35kZeBpLCMRfTnK84351yOer08Fyeb2qnZ+IQ8CyhClQXJUonNu0Tz3lu1jVG16TN3Aa Xi0HuKKiGa/v+2V84J1MtDhBFe+U+zL9eNa4A5K7FqveL33500wc6CuhIZspezlBbuo437tHvQFk hCYMa9lfLkm7XTM8uyjLjVnf8O7auD98bFRFm6J7f6YGBcCYPRozp8oYaHxm3Q3CwapFH2hiRO77 1QHCFmXfyXoT5IPNtQjt5M8dzMB8fooYDvQ5T1vbq/nt+y5sQZn/qePXyTdbKf8/3Lmd+CBjKr64 yUgxlGsQCt6T/gBd4UfbWAKWJ+ZPExOB5raN00Dh+d/tSPY60GiCO7bsFQEslP/fGw+sICtTad2P /6w8oWXx1efDWTPbMuBV78DML0zQupyvAE/PDVz3dyU7kEtEPZ4/pck2zzLr76DL17sMt/qa+1qB nqkNssygN57ZTbjmVyoRb7mUgeamCga58y/urSMf7ZAjDX8Ne/zsGw5ALgOz64XRBy/RnjvGqHIJ sCqTIeUOvdgVBIyzRh+6DoVovmFvFaFl5ju005mda/45VA0MNw3ydjEngIyztR2c2b1R+LguiL1l 94cfe7zmKIekd7B6mFXLfTwjUVbJ8TigfOVySFepbmB8JbRLojFSErAa2R9q+I8P+zOpuTUfKtxK 2nEkMbahIGI12uw+oFryccyRN7Yvuf7xQt/wxhGkVUkdDcVj8E70PGGpZwlueuvJdb/VP6roa00r MeghzlQ0UR0S7yddPAb5NbkqbppnC+DLJPGV+LeGS90XXgb8phEv5PywAC/75aw3vlMZgDdO5X6X c5AIWyms2ZYyn7rSUy69uM00b1yUZBQzhgCl6LJIxSdk2NWCbjh1lsQdwc0I6EEsRZcma+35Or28 UGeGapGiubh6THjWu4vzMc2Dzu3IKhw8IAKG5Aa15tx41sBnzpVY2t8IF2RggFy/BU6putmCOCmK q0OuBznL+iX1WACU50+wNVKyCDeLb9SPodxZyK1I5AnUL8KFuJahQfJY2ZnLMVE99FkbFeV+99to 1uWLu02z1KKcxfuNRgahExJLgi8QXeoKzcgnXd5X8OYJwUm4+7WGDyfJkKU+dIrL9do2M3Yf72XG sxoC4Dw2khsbQ9oSUbspNsUydWxQU5mfazPvVFKW/S4vNuUhKUyOz9jwHYVeanhNRlUFZkKTv+Dy gRRlAxCwjTNCuiHgxI0rEIkZZFoNvE6XK3AcbI/tQUYeqGIFC8i0yGwIeWHwdb42TU+xo9y7bilt cc42oNdC3KBxKzktk58VQa+F0//7hNnbX3Liwh+4ncX8Y2AFsYMqILbIBl2Ku5iJrICVYR1EqhHR yvFz/5/61Ko3X2NXk01OrhcESRUQYSpz9btTNCfPoSiesMJT6vjJ1BDfGeYL7tiF8kbokq3dmI/w d3AI9t7aHftuIvsy3cR9IAK/9ftnfPc3bntuCvdjAwbeWPF915qSdEMqpjU54Ve+vEV0HRPF4Vmo gNx9Wu+Ilnhp3R7nW4Y5SC65JoTfUmOVO5cS7CzFWOmVTz+sFkSFURqZLB5PFgX4iqpAS634JnKR D6Bh8Jy3pkCboj/AmVbGEFypVi3JVZ/HaiSimQt2KcI/Nzpq1Vc3qd+Mvo0EqqQb4sSfkyqj8FGS hn8b6h+D+312PvEfwX7SwnCfzcpM02+NlzUJQijH36ZCumZKb1yRnOzQOJ4UdKuCWETB9aizdZSV Duk2TqrtbhJReorEgks9yxrFotpF3rWXweVTFhIC61UnrXp9p7/jgneh6bhddBq96kqVbD8y/qAG e5pivrXoKBOE6W5rqViJ1MgMogbBY8ZdpwzeIG5Oob0gv9IJm3ioC9c2yUu6bVKwd/yH+gLdz4zt jHYDKpUBCW/UcUGBF4QybqW8k2y7PtDRLbojBHC2UbHzQ1d8U7nRoBtg+rIAA4VoHmsrzZdnhPzX djAfuAYNWuBXaWKoYko4TU8DNOrJ4RwoehTIBQLiZiNS0Nfl8B9ZzldJfnkG3yh4et3WZ1GQNFGJ PaqiWY+gE1MLUdGnu41gKdO6XZc++BgbhdvTsXaG6BpQDjguWN/5tw7PrQiF0SNz2iidlT+/kmi7 x6NY3aK1idIVwaGfWz+ALzVsVV8dyrBbHU1fJm1NEcjpBJuIGLBl43uH/3/ZtQDRenwBRLbZ8cBh Fw2R8mMkch8xDNr5x1JwdCLg28TGCo9KssUfldS7yZkUuczlRnm6LEXzUFkV1Bv96117BcpXXuHa PdDgfsehbTuYHUfTejyIbPa19qm+XahTogCo4VM0GR/PBIEb2uwn2KP5TABlK6POfYnE6wChd2/n A9b8hdCc3t7afcs2Glpu4sx9aBkxM1FGEJoQdyLxejvQAh8z7D7FEQENlQqoQ2AOz8vpvpKdFTMP tXDb80geuwRfnkR5vNuAQwqUDqQfM3J4mp6i5qP8D99QPd5D/jjuTCRSvGisOHrDeXC5xkTHmLsT f1kWhkaId8r1YSccfXjoWPOn08ZZsWTU45S/7g1yAXwF3rze+QjYFkjbyyxEsZJ2LVcXj+hpCCv5 WLgMwAqhYdtfF/ihUThNCc7SU00V+qa5Xw4CqGVXmsXER1RVsgBpbHyinMPjT6tqVhp7De7MNnmb 37DIFEhThG5F8ETT4iUtx+JnfIkn3k+a/HJ1GmScsy+KwB+LTQ/wBkIoxGFP `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm.vhd
4
17023
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; library lib_fifo_v1_0_4; use lib_fifo_v1_0_4.async_fifo_fg; entity axi_dma_s2mm is generic ( C_FAMILY : string := "virtex7" ); port ( clk_in : in std_logic; sg_clk : in std_logic; resetn : in std_logic; reset_sg : in std_logic; s2mm_tvalid : in std_logic; s2mm_tlast : in std_logic; s2mm_tdest : in std_logic_vector (4 downto 0); s2mm_tuser : in std_logic_vector (3 downto 0); s2mm_tid : in std_logic_vector (4 downto 0); s2mm_tready : in std_logic; desc_available : in std_logic; -- s2mm_eof : in std_logic; s2mm_eof_det : in std_logic_vector (1 downto 0); ch2_update_active : in std_logic; tdest_out : out std_logic_vector (6 downto 0); -- to select desc same_tdest : out std_logic; -- to select desc -- to DM s2mm_desc_info : out std_logic_vector (13 downto 0); -- updt_cmpt : out std_logic; s2mm_tvalid_out : out std_logic; s2mm_tlast_out : out std_logic; s2mm_tready_out : out std_logic; s2mm_tdest_out : out std_logic_vector (4 downto 0) ); end entity axi_dma_s2mm; architecture implementation of axi_dma_s2mm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; signal first_data : std_logic; signal first_stream : std_logic; signal first_stream_del : std_logic; signal last_received : std_logic; signal first_received : std_logic; signal first_received1 : std_logic; signal open_window : std_logic; signal tdest_out_int : std_logic_vector (6 downto 0); signal fifo_wr : std_logic; signal last_update_over_int : std_logic; signal last_update_over_int1 : std_logic; signal last_update_over : std_logic; signal ch_updt_over_int : std_logic; signal ch_updt_over_int_cdc_from : std_logic; signal ch_updt_over_int_cdc_to : std_logic; signal ch_updt_over_int_cdc_to1 : std_logic; signal ch_updt_over_int_cdc_to2 : std_logic; -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; --ATTRIBUTE async_reg OF ch_updt_over_int_cdc_to : SIGNAL IS "true"; --ATTRIBUTE async_reg OF ch_updt_over_int_cdc_to1 : SIGNAL IS "true"; signal fifo_rd : std_logic; signal first_read : std_logic; signal first_rd_en : std_logic; signal fifo_rd_int : std_logic; signal first_read_int : std_logic; signal fifo_empty : std_logic; signal fifo_full : std_logic; signal s2mm_desc_info_int : std_logic_vector (13 downto 0); signal updt_cmpt : std_logic; signal tdest_capture : std_logic_vector (4 downto 0); signal noread : std_logic; signal same_tdest_b2b : std_logic; signal fifo_reset : std_logic; begin process (sg_clk) begin if (sg_clk'event and sg_clk = '1') then if (reset_sg = '0') then ch_updt_over_int_cdc_from <= '0'; else --if (sg_clk'event and sg_clk = '1') then ch_updt_over_int_cdc_from <= ch2_update_active; end if; end if; end process; process (clk_in) begin if (clk_in'event and clk_in = '1') then if (resetn = '0') then ch_updt_over_int_cdc_to <= '0'; ch_updt_over_int_cdc_to1 <= '0'; ch_updt_over_int_cdc_to2 <= '0'; else --if (clk_in'event and clk_in = '1') then ch_updt_over_int_cdc_to <= ch_updt_over_int_cdc_from; ch_updt_over_int_cdc_to1 <= ch_updt_over_int_cdc_to; ch_updt_over_int_cdc_to2 <= ch_updt_over_int_cdc_to1; end if; end if; end process; updt_cmpt <= (not ch_updt_over_int_cdc_to1) and ch_updt_over_int_cdc_to2; -- process (sg_clk) -- begin -- if (resetn = '0') then -- ch_updt_over_int <= '0'; -- elsif (sg_clk'event and sg_clk = '1') then -- ch_updt_over_int <= ch2_update_active; -- end if; -- end process; -- updt_cmpt <= (not ch2_update_active) and ch_updt_over_int; process (sg_clk) begin if (sg_clk'event and sg_clk = '1') then if (reset_sg = '0') then last_update_over_int <= '0'; last_update_over_int1 <= '0'; noread <= '0'; -- else --if (sg_clk'event and sg_clk = '1') then last_update_over_int1 <= last_update_over_int; elsif (s2mm_eof_det(1) = '1' and noread = '0') then last_update_over_int <= '1'; noread <= '1'; elsif (s2mm_eof_det(0) = '1') then noread <= '0'; last_update_over_int <= '0'; elsif (fifo_empty = '0') then -- (updt_cmpt = '1') then last_update_over_int <= '0'; else last_update_over_int <= last_update_over_int; end if; end if; -- end if; end process; last_update_over <= (not last_update_over_int) and last_update_over_int1; process (sg_clk) begin if (sg_clk'event and sg_clk = '1') then if (reset_sg = '0') then fifo_rd_int <= '0'; first_read <= '0'; -- else --if (sg_clk'event and sg_clk = '1') then elsif (last_update_over_int = '1' and fifo_rd_int = '0') then fifo_rd_int <= '1'; else fifo_rd_int <= '0'; end if; end if; end process; process (sg_clk) begin if (sg_clk'event and sg_clk = '1') then if (reset_sg = '0') then first_read_int <= '0'; else --if (sg_clk'event and sg_clk = '1') then first_read_int <= first_read; end if; end if; end process; first_rd_en <= first_read and (not first_read_int); fifo_rd <= last_update_over_int; --(fifo_rd_int or first_rd_en); -- process (clk_in) -- begin -- if (resetn = '0') then -- first_data <= '0'; -- first_stream_del <= '0'; -- elsif (clk_in'event and clk_in = '1') then -- if (s2mm_tvalid = '1' and first_data = '0' and s2mm_tready = '1') then -- no tlast -- first_data <= '1'; -- just after the system comes out of reset -- end if; -- first_stream_del <= first_stream; -- end if; -- end process; first_stream <= (s2mm_tvalid and (not first_data)); -- pulse when first stream comes after reset process (clk_in) begin if (clk_in'event and clk_in = '1') then if (resetn = '0') then first_received1 <= '0'; first_stream_del <= '0'; else --if (clk_in'event and clk_in = '1') then first_received1 <= first_received; --'0'; first_stream_del <= first_stream; end if; end if; end process; process (clk_in) begin if (clk_in'event and clk_in = '1') then if (resetn = '0') then last_received <= '0'; first_received <= '0'; tdest_capture <= (others => '0'); first_data <= '0'; -- else --if (clk_in'event and clk_in = '1') then elsif (s2mm_tvalid = '1' and first_data = '0' and s2mm_tready = '1') then -- first stream afetr reset s2mm_desc_info_int <= s2mm_tuser & s2mm_tid & s2mm_tdest; tdest_capture <= s2mm_tdest; -- latching tdest on first beat first_data <= '1'; -- just after the system comes out of reset elsif (s2mm_tlast = '1' and s2mm_tvalid = '1' and s2mm_tready = '1') then -- catch for last beat last_received <= '1'; first_received <= '0'; s2mm_desc_info_int <= s2mm_desc_info_int; elsif (last_received = '1' and s2mm_tvalid = '1' and s2mm_tready = '1') then -- catch for following first beat last_received <= '0'; first_received <= '1'; tdest_capture <= s2mm_tdest; -- latching tdest on first beat s2mm_desc_info_int <= s2mm_tuser & s2mm_tid & s2mm_tdest; else s2mm_desc_info_int <= s2mm_desc_info_int; last_received <= last_received; if (updt_cmpt = '1') then first_received <= '0'; else first_received <= first_received; -- hold the first received until update comes for previous tlast end if; end if; end if; end process; fifo_wr <= first_stream_del or (first_received and not (first_received1)); -- writing the tdest,tuser,tid into FIFO process (clk_in) begin if (clk_in'event and clk_in = '1') then if (resetn = '0') then tdest_out_int <= "0100000"; same_tdest_b2b <= '0'; -- else --if (clk_in'event and clk_in = '1') then elsif (first_received = '1' or first_stream = '1') then if (first_stream = '1') then -- when first stream is received, capture the tdest tdest_out_int (6) <= not tdest_out_int (6); -- signifies a new stream has come tdest_out_int (5 downto 0) <= '0' & s2mm_tdest; same_tdest_b2b <= '0'; -- elsif (updt_cmpt = '1' or (first_received = '1' and first_received1 = '0')) then -- when subsequent streams are received, pass the latched value of tdest -- elsif (first_received = '1' and first_received1 = '0') then -- when subsequent streams are received, pass the latched value of tdest -- Following change made to allow b2b same channel pkt elsif ((first_received = '1' and first_received1 = '0') and (tdest_out_int (4 downto 0) /= tdest_capture)) then -- when subsequent streams are received, pass the latched value of tdest tdest_out_int (6) <= not tdest_out_int (6); tdest_out_int (5 downto 0) <= '0' & tdest_capture; --s2mm_tdest; elsif (first_received = '1' and first_received1 = '0') then same_tdest_b2b <= not (same_tdest_b2b); end if; else tdest_out_int <= tdest_out_int; end if; end if; end process; tdest_out <= tdest_out_int; same_tdest <= same_tdest_b2b; process (clk_in) begin if (clk_in'event and clk_in = '1') then if (resetn = '0') then open_window <= '0'; -- else --if (clk_in'event and clk_in = '1') then elsif (desc_available = '1') then open_window <= '1'; elsif (s2mm_tlast = '1') then open_window <= '0'; else open_window <= open_window; end if; end if; end process; process (clk_in) begin if (clk_in'event and clk_in = '1') then if (resetn = '0') then s2mm_tvalid_out <= '0'; s2mm_tready_out <= '0'; s2mm_tlast_out <= '0'; s2mm_tdest_out <= "00000"; -- else --if (clk_in'event and clk_in = '1') then elsif (open_window = '1') then s2mm_tvalid_out <= s2mm_tvalid; s2mm_tready_out <= s2mm_tready; s2mm_tlast_out <= s2mm_tlast; s2mm_tdest_out <= s2mm_tdest; else s2mm_tready_out <= '0'; s2mm_tvalid_out <= '0'; s2mm_tlast_out <= '0'; s2mm_tdest_out <= "00000"; end if; end if; end process; fifo_reset <= not (resetn); -- s2mm_desc_info_int <= s2mm_tuser & s2mm_tid & s2mm_tdest; -- Following FIFO is used to store the Tuser, Tid and xCache info I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_4.async_fifo_fg generic map ( -- C_ALLOW_2N_DEPTH => 1, C_ALLOW_2N_DEPTH => 0, C_FAMILY => C_FAMILY, C_DATA_WIDTH => 14, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => 31, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => 5, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => 0, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => 5, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => s2mm_desc_info_int, Wr_en => fifo_wr, Wr_clk => clk_in, Rd_en => fifo_rd, Rd_clk => sg_clk, Ainit => fifo_reset, Dout => s2mm_desc_info, Full => fifo_Full, Empty => fifo_empty, Almost_full => open, Almost_empty => open, Wr_count => open, Rd_count => open, Rd_ack => open, Rd_err => open, -- Not used by axi_dma Wr_ack => open, -- Not used by axi_dma Wr_err => open -- Not used by axi_dma ); end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/lib_srl_fifo_v1_0/hdl/src/vhdl/dynshreg_f.vhd
15
11276
-- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 06/07/15 -- ^^^^^^ -- -XST was observed in some cases to produce a suboptimal implementation when -- the depth, C_DEPTH, is a power of two and less than the native depth -- of the SRL. Now a structural implementation is used for these cases. -- (The particular case where a problem was found was for C_DEPTH=4 and -- C_FAMILY="virtex5". In this case, rather than use an SRL, XST -- made an implementation out of discrete FFs and LUTs.) -- -Added Description. -- ~~~~~~ -- FLO 07/12/12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v5_0 -- ~~~~~~ -- - Changed proc_common library version to v5_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; entity dynshreg_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_f; library unisim; use unisim.all; -- Make unisim entities available for default binding. architecture behavioral of dynshreg_f is -- constant K_FAMILY : families_type := str2fam(C_FAMILY); -- -- constant W32 : boolean := supported(K_FAMILY, u_SRLC32E) and -- (C_DEPTH > 16 or not supported(K_FAMILY, u_SRL16E)); -- constant W16 : boolean := supported(K_FAMILY, u_SRLC16E) and not W32; constant W32 : boolean := (C_DEPTH > 16); constant W16 : boolean := (not W32); -- XST faster if these two constants are declared here -- instead of in STRUCTURAL_A_GEN. (I.25) -- function power_of_2(n: positive) return boolean is variable i: positive := 1; begin while n > i loop i := i*2; end loop; return n = i; end power_of_2; -- -- constant USE_INFERRED : boolean := ( power_of_2(C_DEPTH) -- and ( (W16 and C_DEPTH >= 16) -- or (W32 and C_DEPTH >= 32) -- ) -- ) -- or (not W32 and not W16); constant USE_INFERRED : boolean := true; -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). constant USE_STRUCTURAL_A : boolean := not USE_INFERRED; function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component SRLC16E generic ( INIT : bit_vector := X"0000" ); port ( Q : out STD_ULOGIC; Q15 : out STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; component SRLC32E generic ( INIT : bit_vector := X"00000000" ); port ( Q : out STD_ULOGIC; Q31 : out STD_ULOGIC; A : in STD_LOGIC_VECTOR (4 downto 0); CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; begin ---( ---( INFERRED_GEN : if USE_INFERRED = true generate type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); signal data: dataType; begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral; ---)
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone/ipshared/uc3m/feedforward_v1_4/hdl/ip/feedforward_ap_fpext_0_no_dsp_32.vhd
4
12231
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY feedforward_ap_fpext_0_no_dsp_32 IS PORT ( s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END feedforward_ap_fpext_0_no_dsp_32; ARCHITECTURE feedforward_ap_fpext_0_no_dsp_32_arch OF feedforward_ap_fpext_0_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF feedforward_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF feedforward_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF feedforward_ap_fpext_0_no_dsp_32_arch : ARCHITECTURE IS "feedforward_ap_fpext_0_no_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF feedforward_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "feedforward_ap_fpext_0_no_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=1,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 1, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 0, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 0, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => '0', aclken => '1', aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => '0', s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END feedforward_ap_fpext_0_no_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/xilinx.com/mult_gen_v12_0/hdl/mult_gen_v12_0.vhd
24
10054
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lFBmugI0D84ga5lRsseDteHM2yKpzsLcgwVrtK0Pm3g3zbd2rAsAU3XagiF/WwZpdWH7og60jg8a 50cQnibA9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IYoDAxZiuUZMQ6l7ZgYjcgAzxSPgdqUCVXf57dwOm9aakG+Lg7UwlqGklms3rYCGxUuhFSl3pba7 QhbMMKHNvYkyEH9A/ZgLnamCi9QwYy4AJiXsQdAZc/I2/GddApmnbj9SOUxglny01yoStEAlAV/c vsrGyVzNwX9QHhfJSsI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YvinNEP/OLhWH2QXhW8AevjzNbyfPb2OnkL6i8sL0qZYBUyQyTHxj8qwWPiJ6b2WduZrNTJgg/nl Lz9gK1NExqIOvTZzAQzsVR3b1gqV0Avy9vTOOnqXnQky2bDTiZq+tEyLL+hJCGcy1sT2iMD7DSHG Y6ijUIA47LlZO05jiGTeaZREsplFCkgjtZcuvKXV6vrxpvXdOlncvoaEHwQVTow5IXvjgtvBaeIa FT9O9Cg9TMVSGI8vv/xV40NsnepVYNZUc3PM+d5t1gEi4wzLEiIWZAW1SYPh08mMDsx90Vuhr98n lgbORi9FkufyjC5h+qBXYo2MNb8GBJgrnk1MKQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uXRcbWssXzjBK9vBjgUcSdK4jtFx/RojgM29VEv7tFbR0kgTfAJf6K+bh1mUBv/5aUtLWxglrqmv yTQ90hJiPPf+XHEgYMXnRPMC52p5AzgudHjvAXTLBOUV6R4PShSDtN8U89hJ4bW4dhFbJQlxLeP5 2s2Y/e1zmbUtpz3jQt4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GzTAFUYRy2LtV0Pz4mRSzGq0V4gOuaEdlJfk695slSzEOR7zUqEsHa4e4Og/DOEeTSwUYhz+d5Lq Ucko+ofBPHpvBFq7x6QJ5bJ/gvmXUyvGCuczTzG3M0SGlwNq77pl/FdDwN4pZHOokIAjQjn4wYk6 cx2wDJER4m0pDnrjssfO439dTcP86Sq4ZRfXaRG3Gc7sbRW6aIMozdSpus39/vwunfWieBYhzeP2 9ETb8ALsLhZkfSo5WnSxuSZxX2Srg15fMcYouoUXTkaq6Y38BIMM/s03hKLHhc61mtZTuIHQpKgR iHwmFZmogrFloV0uTz3qZykKUoK7Vqlo3+QWmA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qmzVeFbOHdRSzM+MRbX59Q/UbQR3IkZMAO4nbi/0LE8rGBuaSlNgL6ub7Rqm5uAL0favgOIXHmQr uSUbmqN0i2T9VI+5AFsZrCIqCdPAELto1EzFXV25ltVue0P6u/fyKHaLeWKaBq5fgXwOtpXtisIo IOIEcE6KWoS+pvHDihwV9itlWyUsFCRJ3kso8OEO8PEeLFDE3qTcNNusIphivP3iTi+L5GK/WD5L gpGVzrCS2BGpSeBKKu06KUSIQli7PC8rWQEsW25vwzvxH0xxQBnFTdN2LTzzsMYbvOurYU+7Omnj kGRTzk7UkhrDq3Oux/TeAQEkQ232IeceP94yxw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5312) `protect data_block Ny5iH9vXygQrERiuF3cdHFwoeR3AdQGoCp/Yv40CScNl2GwHUchLvxTe31uBO5/uV88aAZFKlB9p OMpcQdCa0GTyYENthwuQPYtB5zWSFwLatpbMgZdiKwfoG7N5+UrwjVnl49/U7kLNmVyo8JaB+/Pg lFMtfXU3/bbJJwiU2rcY3OU5RQFSdtYmGOJbTtlYrFnFfHu93Qu2X1Jmobd1pl2GIkLZyez4DJ7l gs/8lHwP/gye3ngD05CIAHTKBujp7FnXtii5cpiR93sG9dW6736RxRq2l4Snwmp3YQVxhelG7Lzo LCNvU0okJDqwEhoJz2gdaPx3iLLkwKh/JC2sYoQe5jq3T996Vi+zo0Dq9Nob9ceY8f9kstzgN4iK RxTDbLnHh4H6rBV6pJf3lsUkzpyKYu/VgZmDWG4zqxJQXwaEVDsehdCd8/+XNUiL7hJAV6LuCVqL Pkboksfj+1CoISbtYOW7knfcJWbPTVcphX31KZc7Ap1h1wsmSbduaEk2uORiiib5XSipA20Icyb1 P6nmgdcgDONXPRkvGnLtfEpNjnCBjz3YMfnEKDnPntvqYwFTHn2OIPzfJWQAGgxiJGL1KKbYlBYQ JJt0+lc4G9ZztDFgCnovZ86H9+vSp9EzhBV8STPKxn6EM7TQAqybxDxxKapKsh2zrEkxWhDwWNyg BPe748+/xZn2TzjhFPG498AXw0Wl3tnv1yc6yYwix4qozatFbs9mjFS8IZO75Pvup3C/FM5dm861 B3EkedcigC+qPekUsWxnjO4byXuOTRQqE7xAB20Dps2+nInDk4elzM6Xc3S58e9djwnIDDbBQ2No Tt9XsZojXDwDoG/IEE0x+6jLctgubJLvwAsH5Z0xMw59kp2+q9mbFMSCOAWrAEjFW+NOlosWWKXh AU0KhDPP2I1iheejEifqcwIFC0iw2CTjk2husByAivRRrS+sshDOFKZUf18iNCpFDpXvxoNVz08g IySj9zWkLBXI8c9DMkF7rcbtTWg18moRZXXAx+zR0ZnY24BM1NW6aMsR9m+P2bTuh32dJHEBrH6p k7sF11afPhi8lqts/0mEdY3wD2q5p5i9QwirVZBMnOB+yB6VDmF48pHDfpk0qrdeGbjN+RL19Hkc XrD6EIk5o5Nvn1YKZuai5tywyZA7UJe6MgIjlI5taXZDJVq1SAybnTRGc/Tuos3xHt8+vX6ot4Zt HD2rsyvNUU4MTHwlzmZauQYPUC7+hyuYO9eovy7j2qARuCLZM7dq8cl9xz/rgvV8cBW0/Ukt2eNF lRF0i/6wLUnlf3KchOnFt1M2M8fGShRV/MVOCpCLvqP+Cw7Z9h/LXMIIxcWqi4sottyfO0lq2oao wcGqglDntvGJOXzAgTyLFNCmErZyoTRMR5v0ECC3waSQtBHmmnyFhBUEBBZc9NqL6w6aUjJAxgyg HuZ+9c0TtP/XRxyiaNUAxFBEvnEfwdxXaUKbowjuJEzF2HYtEQTJvVqUyJl3ei1+Lp7ZD9dkDt64 URuN9m0YDsinAxzPpexMyG0R0NH8PCrc7NHqVZQY+N6aQ/Pt9O6p8cuT0GpoYj5yXEtg0X+tTx/x gyV6ZP+dQ/VCsHzAWYX33HvxVBhIDdrOhFf7wHe6ymRNCj2dWVODfZGe94PV1o6/0k79moKWKIt/ DI8OjQEegRuOs39oyASuqVlbsKB68G8Vl1nPVdJgtv5c1iDcpyez+ywkkKX+U9RkDvKPGF8qCWTz +qP5RiwQLK6DkCxp0v5Ini+OMFX0dP4l0pcRPoTCR2nFOdsDBN8mf/GXYLOfhn7e3Y36/FkT2MyW 8FF6ScBQKbk6k6yoTdZoURy2OrTOWAHguw8iFES8EfBWKGwbZuBDGfsl7dEsmaIYdFKqZi4NjuPz gUSIeG/t+bPozAhMzq6vP0wu44oBQ3i6zrwKqtNJ/NKFBfHJ8zdlpK37OdgoiSbOnUnFRzzBuflN 7QJWSyj5FY8Iv9WA+ZC+cnQeB6vNLw8xQ5k3mx9aKAcWL51wyGQHBm3n+y8PTrDMR4TsiVVOrtdv ih4AN/2ywpk0NCpiJ1W6kz+QcK8lOviV5ZWJ3QhMceWTiwa9mImXSoBo6wVNCnYJd4rPPABKHze9 oHMPtzzQ9G4A/EO+T/Vi6qPUynaG1W+Vgxxg0KStrqlaX8g9Ae18AnkAEMCiVzSphJTxVZQuxQjh oLcoHYc4KtItDSRFoQ0ija8Auz7dJI+GrN0up8VVYxMIa8y2vY86a1U0OHQdn8F0y1S/mU/gMzx+ JiZ638b7ngHiI2khJBc9FZnV6e1mqz3N+r9mFsVIaPBoPLzvNeMhL84QWEyNgSxhUAjGz4Fx5vdT jcfpC99Nd13+HPq3nk1WE1RtncsB9Hx2LHX2NMCm3uje2O3yQ4ZQ9yHG/6CP5dXMjllC2RnNvfmO ss9qGnA+CTqLAz/Sqv3mQQF+SqLXc2S/YsMJrK0Yvgv5GNcQzXfxsk2pWNynn4bZ+w7hM8Ea8rmH Nec4xswiiLP/1ydVErRM1UhdogH+B2+mw0NGM2WrOaQ85at1iIeUlM6aEXEZ9BjIQs4BNWsgbCR+ 1zNtOb3kyLFTuR0xz3eO/gSFfWrne1EW9pDAYQrrwjNxEbG0VkHpFD/Hl6CuUgxejBpS/fsTRNWP t5a6/sPmgsfIQYho1acmzK3eLwRn/MslA0zauIKiSXU4vTBO5uZxY8tikWceV/6K7EEQ7rtxxnsx gfUkRgzJYNiIpFsA+yBf1KYh0i95/vD9WgxUqJjdkiTnvssoeE96YeOi5C7+akIEC2wXBZy0NxO1 he501cC9PSPHJCReMfl+1xy9aFQ85INsvncY4D3AcglxIVyiOdNQInrTPWK4b7xfoE6wvwwQNVbn oVjV3A7D9tJs0edOFEVNpFu91kDUhSdmpybJTD9eVkoR7bi4lwTmB7N340BS7qbHFZEVeaj2yGdE coIwKysVuuPC5GjifL7LRubwEZmBnNRTYzyPHm+cUs2nzj0CkWJcJAWlQ6gstQthpH25xOB+dzkl KsBUdWnbm7+ntcE8XdBCLF9sDm8bqkCaueDMqrTXoMxDX/J1qhwjOnzQdBdEOio0WIzZXoH9FC5A yTmDcHQlm9s+s5XunvsL4VxFaLyeLiIzWXj1pZQ4ICn4WqWFxOA3ZyPWLkErXlafut/QhISOeiN1 b3OGiI1qL3G7vzz1Nz1q+yuymXYxbFYtMSDMJFKAj6nsYkmQLf6pk9VS3zvLaO2hEB+I1yL+eGXV ulRtLCuYSkF4C3X03+4mZxQP4xi0gxC+lLRjHRZkCwCbr+qPmaR89QDB9OMhOIkGwLvY75Kp4rcH wczcymC/9lt/+c5kj+/ewXHMb6FhKwgSBX4FWSpHt7tewWld9pj7wOTtOrHVyCR4tpOmyIIFehDz iF2EikHorR3Gfoc0LbllHc+FJcASvT7PcS8a+VbC4ll449L0otpiIQlYCghJ5Y4QVCckzi88PqkU SJ/EcWoYZhe65rqjckGr5+dMecTXD5hi/1uDOIzQX6DgdQ9fYwlJmB9tsUepPfRTdE2dgp/ZhgVB Ov8WHGK5TfYVqnNSf/hREGphlL0tbaP5mp3g13LCYUud3ys0jKcp2GjtSrMKJtUcPnRbbhmZglBn la0Wpbf6FivC8dGrCorEm6bBFBcbK+ysh9poT9yHIO/uQfS3QiTLCgTJf+wqJ9/C/uxnrzy1LvPr qv7B4misYP7CVi86UMNbLIczAkWeswxhsA9TRBqQE9P1hSrdxVEKLv/ePFByCUru1hNufJfR+nUA 2LvrBkljYVM4u7w+hL8qLYUXC1SwT8pW88RYw6t/rtGSqfQOeh6VCTaWdpSPcE0kkOyFxcMbEPxl zMJ9Uj3fV5sJUjfUcUfCG9ROwVpec++Jw3U45rOfiye+dSyP8medREbENnqRSwbxweaX5mGZCuOm zLCuUyVmbm5mDFNqG7DUkYaWm9aWwOjWaJAXhZUVb69is+e8G+Hibx8ds0JMCzKOeK7iuDmU0AaR dKfZN+VSoEbkKxDx33fZ9fzGifZ7jw+GtrXWSLbJAZMKNVEYfQOWtJul5mQdiDRtJS9dvQkqlZVw NwclYgJUu3ug5BYmv4+5ERhTReEODP7YQx/qW+3/aggOr+0Z/U7IKNTpU/YZqCVHar9w2ewPM6oe EFYEmrM3beXkezxkj+3Z+e4oFtcRshIPN+5Sqv/OUhPM7o9uhq13pVD1D4pCisuhEDasa8/vguf4 E+lZyTo0uQhE/Mtze0pNaVIkStSiO8MGDwVoxni1VnoL2FrXptSbYR2Cy65xzKE4NlBc/8mcCblR dPkJ1A+ZGzu5Va7hUdb36+QZeO56P2xseeZxe2YC+QEGFpnOlKvrbQDP7KDbrDs/2alqnLSeGOzk dz0PS04opjCWnrLe/0WMd5+7MWbEJLjGktd7HVaPoEDERGtJGZNjDeLyY21xe4Xv/9uqIwRHurs8 VUeISbM2EHHV/QO84Aytv7abSDnXrba2s/d7hDgCUTRrDBnrQ0tLd1ylJD3iAVv8gGpEmp6l2vNZ yYbAj4IzO3zQva1RLZGpD5bZVB3GWX8Ky7NXXzfMCrNZTdBYaEuEA6wDByvhlZnhF3PjLugJ+g2h BKy5nzqkXj2NVqgeMuQp6LH72rxIdG056eNmzMAcRrwURyWyTfr0dCNO2cvptSwPCnWapy52Pzjm pF1XHVJPwNDWQ9zItYlpFFGkYUT4kElc4DpbIBUebfid3KDFIdtaADbEgjzhLXKWQ2NUiXmRdEPw 3Cjs8Xo45M5AeWQZTGgTHrhVEMKTD4QXUcFDO2Who+qUD464TpcJ08GdMiu0oAFrbcLhmH3SqyWV rugpkAKe9qOBjI9P6+Re5B9pd02jQKl4nIivuq7t+moQwnjajVsCj8NA77d6JXsMudw6cSIgDreX nVRTeuxkmMuSGAFr7nX0xlpsGXQ3OF9yPOnj9l5HRAVaCClWWpTVd3lcEAaVdxsU3VUe3J2AvsfM yeiYzL+6iD54YSfCRiJ0zCh6lKTQEVFkjIOoB8Ljjz2gTjYgx6cvemIbZxVSV0EA2ZqFKM2ilpUx cE4MHRxRmd1A29Ft6ZsnuDrODRy02ojItwPuKNsqwbx/kG2MFszZyBhe0uJE/FagK1mT+mt8FBaD 28KAFtf4S8WkwhN6bC42OkUmVX9b66+QT4cRZZR4CN484W5o62ggXasTC7jGUkZWqpnYmUVYn3Il lpaX6rhcdD6wa6dtEbcdzDY5qVuJ8HGgIJYTwwaq9qvZwue0CUXgRBbvmOluofRWO9Mk8WOnjvZC eh+bwoZXVNEOpW6sQLrjq+r400t3moqjRT+3Pt/Uw9bVdsfIaKUcwrXvi1cpZfn0BU/E0zq8ELs1 Juk1AcQYwQy3ualizHUFuCN8BC36izjHZnsfG9IPdvTPIvziJyz0pB+6ty4wOeA63t2Zu+YsbKDT 8XgBFF2V4Hs3UC+l3OR0bPNgs1xcF5nVdR17vr7wrEqtWwMh83LlXMeLmYANumnbkUAJs0SbEAzg UFcRzNAwfB0PNdyMADirTMTg8wxXkHPhnjRhPu+8uB9Odmhyxb8SHxCRpYyxBJj8NNzx4MMXkUSM co3GUFkY6y18rKQX0r2dRfNM0C03zyP2k1Zsji97PTCQa9SwyibNiMnM7iZwM09PBr5skgT2UeIJ a7cDWBuyXYM82x+H4njQzwsdKDcPzjN1M4QyNwkIWiGe56p3U8wXmT6jeZ9SQ7+L+oy+/6uo/EEd XG52PD2VxEYIVtyjCR4D9vvZuyMF9J+43w5MqTnhN37Zd1qTFmYVniNNGBsXJtyzdz1UFIZHLVku 8xnYrkdXvBswVtfhVoLQ6I1NyPC9fSNqUnncOICMwSgiC8EZDHhDElswcM1ypdla2f+v42rJvpkU 6OFpzza/GD2xo75Xca2+6OoI1K4Z9HL4tv9djx2aaIha0KsjRBLXYBr3M22GBg78HdnE771tl7Gy D1BWAKmvKazCLa8EtZ51OeZCBvMMMZGZEpY2I+/nafk76KJp7FWjSyqSxZeQdlJQZvBnQsGh6S2F hU8SgI5OCRuVeAsiOvP8aLiE/Q8pOv0a79zJ3+xdoyMq5cN52DNq6MKlZeOx42N096hHyctBMZ8F sSkUd/lAD8C5ciu4k4RznCA4k271alQcD45kUE75YBmwzZQ+oCcCS3VXBw9LS1PTa40CcOY1qmlq AVT+5b4X+Krg1FPY9Echkq4X5AM7RnFYmlKR+E07LLhOMKWr8/GsOBpl+gmSiwWhKRaiCmgz8rkk Labxk9mg/Co3opa9yvEsuxT5uxi/27BZbGPqXhW0Ep1/15X/t5KU3C2V3aAvpQyEAvdxHMtGKneJ h/NESgEQBHkb6EUjuseXM1oi7YqcDZAKFPpg66Sy5Mb+Zt66RfjI2+EA2d0Swr5YPl7rnl8qKePB kHT1XDmjR9unQdI1GYx9hgAdl/5VS5lxKYyyjKIdK4qgweH3rQnIQdw5PUhvSUqoazqUuy+GyHyt +o4d1bts33x4c9Tz430QCqFMtv+bg1v3mUQvmXS87LGUvmtZp1IMPm3Bo+7qlwGLIh9y+LXgSLMz kB7Y+dhZBC4vmY9hK4q1h5ncPOWtXGSPQMzWXtwHYB9UPxxAPAY5ra7qyUZHdbSMBFHir6YMNmJ8 C8S6YNJN3zRm5bbQXwvI/sKXWxNaW1OPxSo46hoxov1k8tIv+PjAYDkVvscKSwXQ/X28df2ISeZd bOqzOF6vFPlrZxFqoh9Yvzs2l7OY5ZkG3ecdnMzXebM/BdVfGenCGRPLEfw3vDqVt2NWytK3z6Ud SuHWsVkFf8vRMRskteAZUDAkamBwuRuLEhRou5aYAEVKCzntURWH6fZTmVa03XVvpR5IF9yV0ryO 22OlhmonJdlx9FmVef/HIonodmkzD6vD9MK77NaoL3mpuWDpd8/6kPkRY7q+kZTXpGW9wxPUW5vJ 16Hq1fpRTQIywtIm1e9+zE9IdN0i149opL9ioEGg8rHvzL18wUId5deZhj+NYu0iGmBAtr9a+G+n 3wKRxcGEWg280CY= `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd
32
49938
--Generic Help --C_CDC_TYPE : Defines the type of CDC needed -- 0 means pulse synchronizer. Used to transfer one clock pulse -- from prmry domain to scndry domain. -- 1 means level synchronizer. Used to transfer level signal. -- 2 means level synchronizer with ack. Used to transfer level -- signal. Input signal should change only when prmry_ack is detected -- --C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal -- Set to 0 when incoming signal is purely floped signal. -- --C_RESET_STATE : Generally sync flops need not have resets. However, in some cases -- it might be needed. -- 0 means reset not needed for sync flops -- 1 means reset needed for sync flops. i -- In this case prmry_resetn should be in prmry clock, -- while scndry_reset should be in scndry clock. -- --C_SINGLE_BIT : CDC should normally be done for single bit signals only. -- However, based on design buses can also be CDC'ed. -- 0 means it is a bus. In this case input be connected to prmry_vect_in. -- Output is on scndry_vect_out. -- 1 means it is a single bit. In this case input be connected to prmry_in. -- Output is on scndry_out. -- --C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1 -- --C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6. -- Value of 0, 1 is allowed only for level CDC. -- Min value for Pulse CDC is 2 -- --Whenever this file is used following XDC constraint has to be added -- set_false_path -to [get_pins -hier *cdc_to*/D] --IO Ports -- -- prmry_aclk : clock of originating domain (source domain) -- prmry_resetn : sync reset of originating clock domain (source domain) -- prmry_in : input signal bit. This should be a pure flop output without -- any combi logic. This is source. -- prmry_vect_in : bus signal. From Source domain. -- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain. -- Used only when C_CDC_TYPE = 2 -- scndry_aclk : destination clock. -- scndry_resetn : sync reset of destination domain -- scndry_out : sync'ed output in destination domain. Single bit. -- scndry_vect_out : sync'ed output in destination domain. bus. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.FDR; entity cdc_sync is generic ( C_CDC_TYPE : integer range 0 to 2 := 1 ; -- 0 is pulse synch -- 1 is level synch -- 2 is ack based level sync C_RESET_STATE : integer range 0 to 1 := 0 ; -- 0 is reset not needed -- 1 is reset needed C_SINGLE_BIT : integer range 0 to 1 := 1 ; -- 0 is bus input -- 1 is single bit input C_FLOP_INPUT : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer range 0 to 64 := 32 ; C_MTBF_STAGES : integer range 0 to 6 := 2 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- prmry_in : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_ack : out std_logic ; -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Primary to Secondary Clock Crossing -- scndry_out : out std_logic ; -- -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end cdc_sync; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of cdc_sync is attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; --attribute DONT_TOUCH : STRING; --attribute KEEP : STRING; --attribute DONT_TOUCH of implementation : architecture is "yes"; signal prmry_resetn1 : std_logic := '0'; signal scndry_resetn1 : std_logic := '0'; signal prmry_reset2 : std_logic := '0'; signal scndry_reset2 : std_logic := '0'; --attribute KEEP of prmry_resetn1 : signal is "true"; --attribute KEEP of scndry_resetn1 : signal is "true"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin HAS_RESET : if C_RESET_STATE = 1 generate begin prmry_resetn1 <= prmry_resetn; scndry_resetn1 <= scndry_resetn; end generate HAS_RESET; HAS_NO_RESET : if C_RESET_STATE = 0 generate begin prmry_resetn1 <= '1'; scndry_resetn1 <= '1'; end generate HAS_NO_RESET; prmry_reset2 <= not prmry_resetn1; scndry_reset2 <= not scndry_resetn1; -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of s_out_d1_cdc_to : signal is "true"; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_d5 : std_logic := '0'; signal s_out_d6 : std_logic := '0'; signal s_out_d7 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; signal srst_d1 : std_logic := '0'; signal srst_d2 : std_logic := '0'; signal srst_d3 : std_logic := '0'; signal srst_d4 : std_logic := '0'; signal srst_d5 : std_logic := '0'; signal srst_d6 : std_logic := '0'; signal srst_d7 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF REG_P_IN2_cdc_to : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d2 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d3 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d4 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d5 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d6 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d7 : label IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_vect_out <= (others => '0'); prmry_ack <= '0'; prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; --------------------------------------REG_P_IN : process(prmry_aclk) -------------------------------------- begin -------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then -------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then -------------------------------------- p_in_d1_cdc_from <= '0'; -------------------------------------- else -------------------------------------- p_in_d1_cdc_from <= prmry_in_xored; -------------------------------------- end if; -------------------------------------- end if; -------------------------------------- end process REG_P_IN; REG_P_IN_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_in_d1_cdc_from, C => prmry_aclk, D => prmry_in_xored, R => prmry_reset2 ); REG_P_IN2_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_out_d1_cdc_to, C => scndry_aclk, D => p_in_d1_cdc_from, R => scndry_reset2 ); ------------------------------------ P_IN_CROSS2SCNDRY : process(scndry_aclk) ------------------------------------ begin ------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then ------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------------ s_out_d2 <= '0'; ------------------------------------ s_out_d3 <= '0'; ------------------------------------ s_out_d4 <= '0'; ------------------------------------ s_out_d5 <= '0'; ------------------------------------ s_out_d6 <= '0'; ------------------------------------ s_out_d7 <= '0'; ------------------------------------ scndry_out <= '0'; ------------------------------------ else ------------------------------------ s_out_d2 <= s_out_d1_cdc_to; ------------------------------------ s_out_d3 <= s_out_d2; ------------------------------------ s_out_d4 <= s_out_d3; ------------------------------------ s_out_d5 <= s_out_d4; ------------------------------------ s_out_d6 <= s_out_d5; ------------------------------------ s_out_d7 <= s_out_d6; ------------------------------------ scndry_out <= s_out_re; ------------------------------------ end if; ------------------------------------ end if; ------------------------------------ end process P_IN_CROSS2SCNDRY; P_IN_CROSS2SCNDRY_s_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d2, C => scndry_aclk, D => s_out_d1_cdc_to, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d3, C => scndry_aclk, D => s_out_d2, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d4, C => scndry_aclk, D => s_out_d3, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d5, C => scndry_aclk, D => s_out_d4, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d6, C => scndry_aclk, D => s_out_d5, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d7 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d7, C => scndry_aclk, D => s_out_d6, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_scndry_out : component FDR generic map(INIT => '0' )port map ( Q => scndry_out, C => scndry_aclk, D => s_out_re, R => scndry_reset2 ); s_rst_d1 : component FDR generic map(INIT => '0' )port map ( Q => srst_d1, C => scndry_aclk, D => '1', R => scndry_reset2 ); s_rst_d2 : component FDR generic map(INIT => '0' )port map ( Q => srst_d2, C => scndry_aclk, D => srst_d1, R => scndry_reset2 ); s_rst_d3 : component FDR generic map(INIT => '0' )port map ( Q => srst_d3, C => scndry_aclk, D => srst_d2, R => scndry_reset2 ); s_rst_d4 : component FDR generic map(INIT => '0' )port map ( Q => srst_d4, C => scndry_aclk, D => srst_d3, R => scndry_reset2 ); s_rst_d5 : component FDR generic map(INIT => '0' )port map ( Q => srst_d5, C => scndry_aclk, D => srst_d4, R => scndry_reset2 ); s_rst_d6 : component FDR generic map(INIT => '0' )port map ( Q => srst_d6, C => scndry_aclk, D => srst_d5, R => scndry_reset2 ); s_rst_d7 : component FDR generic map(INIT => '0' )port map ( Q => srst_d7, C => scndry_aclk, D => srst_d6, R => scndry_reset2 ); MTBF_2 : if C_MTBF_STAGES = 2 generate begin s_out_re <= (s_out_d2 xor s_out_d3) and (srst_d3); end generate MTBF_2; MTBF_3 : if C_MTBF_STAGES = 3 generate begin s_out_re <= (s_out_d3 xor s_out_d4) and (srst_d4); end generate MTBF_3; MTBF_4 : if C_MTBF_STAGES = 4 generate begin s_out_re <= (s_out_d4 xor s_out_d5) and (srst_d5); end generate MTBF_4; MTBF_5 : if C_MTBF_STAGES = 5 generate begin s_out_re <= (s_out_d5 xor s_out_d6) and (srst_d6); end generate MTBF_5; MTBF_6 : if C_MTBF_STAGES = 6 generate begin s_out_re <= (s_out_d6 xor s_out_d7) and (srst_d7); end generate MTBF_6; -- Feed secondary pulse out end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate begin -- Primary to Secondary SINGLE_BIT : if C_SINGLE_BIT = 1 generate signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true"; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); prmry_ack <= '0'; INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin ---------------------------------- REG_PLEVEL_IN : process(prmry_aclk) ---------------------------------- begin ---------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then ---------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ---------------------------------- p_level_in_d1_cdc_from <= '0'; ---------------------------------- else ---------------------------------- p_level_in_d1_cdc_from <= prmry_in; ---------------------------------- end if; ---------------------------------- end if; ---------------------------------- end process REG_PLEVEL_IN; REG_PLEVEL_IN_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_level_in_d1_cdc_from, C => prmry_aclk, D => prmry_in, R => prmry_reset2 ); p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d1_cdc_to, C => scndry_aclk, D => p_level_in_int, R => scndry_reset2 ); ------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) ------------------------------ begin ------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then ------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------ s_level_out_d2 <= '0'; ------------------------------ s_level_out_d3 <= '0'; ------------------------------ s_level_out_d4 <= '0'; ------------------------------ s_level_out_d5 <= '0'; ------------------------------ s_level_out_d6 <= '0'; ------------------------------ else ------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to; ------------------------------ s_level_out_d3 <= s_level_out_d2; ------------------------------ s_level_out_d4 <= s_level_out_d3; ------------------------------ s_level_out_d5 <= s_level_out_d4; ------------------------------ s_level_out_d6 <= s_level_out_d5; ------------------------------ end if; ------------------------------ end if; ------------------------------ end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d2, C => scndry_aclk, D => s_level_out_d1_cdc_to, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d3, C => scndry_aclk, D => s_level_out_d2, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d4, C => scndry_aclk, D => s_level_out_d3, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d5, C => scndry_aclk, D => s_level_out_d4, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d6, C => scndry_aclk, D => s_level_out_d5, R => scndry_reset2 ); MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_out <= s_level_out_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_out <= s_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out <= s_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out <= s_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out <= s_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out <= s_level_out_d6; end generate MTBF_L6; end generate SINGLE_BIT; MULTI_BIT : if C_SINGLE_BIT = 0 generate signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0); signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); --attribute DONT_TOUCH of s_level_out_bus_d1_cdc_to : signal is "true"; signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_out <= '0'; prmry_ack <= '0'; INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate begin ----------------------------------- REG_PLEVEL_IN : process(prmry_aclk) ----------------------------------- begin ----------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then ----------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ----------------------------------- p_level_in_bus_d1_cdc_from <= (others => '0'); ----------------------------------- else ----------------------------------- p_level_in_bus_d1_cdc_from <= prmry_vect_in; ----------------------------------- end if; ----------------------------------- end if; ----------------------------------- end process REG_PLEVEL_IN; FOR_REG_PLEVEL_IN: for i in 0 to (C_VECTOR_WIDTH-1) generate begin REG_PLEVEL_IN_p_level_in_bus_d1_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_level_in_bus_d1_cdc_from (i), C => prmry_aclk, D => prmry_vect_in (i), R => prmry_reset2 ); end generate FOR_REG_PLEVEL_IN; p_level_in_bus_int <= p_level_in_bus_d1_cdc_from; end generate INPUT_FLOP_BUS; NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate begin p_level_in_bus_int <= prmry_vect_in; end generate NO_INPUT_FLOP_BUS; FOR_IN_cdc_to: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d1_cdc_to (i), C => scndry_aclk, D => p_level_in_bus_int (i), R => scndry_reset2 ); end generate FOR_IN_cdc_to; ----------------------------------------- CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) ----------------------------------------- begin ----------------------------------------- if(scndry_aclk'EVENT and scndry_aclk ='1')then ----------------------------------------- if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ----------------------------------------- s_level_out_bus_d2 <= (others => '0'); ----------------------------------------- s_level_out_bus_d3 <= (others => '0'); ----------------------------------------- s_level_out_bus_d4 <= (others => '0'); ----------------------------------------- s_level_out_bus_d5 <= (others => '0'); ----------------------------------------- s_level_out_bus_d6 <= (others => '0'); ----------------------------------------- else ----------------------------------------- s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to; ----------------------------------------- s_level_out_bus_d3 <= s_level_out_bus_d2; ----------------------------------------- s_level_out_bus_d4 <= s_level_out_bus_d3; ----------------------------------------- s_level_out_bus_d5 <= s_level_out_bus_d4; ----------------------------------------- s_level_out_bus_d6 <= s_level_out_bus_d5; ----------------------------------------- end if; ----------------------------------------- end if; ----------------------------------------- end process CROSS_PLEVEL_IN2SCNDRY; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d2 (i), C => scndry_aclk, D => s_level_out_bus_d1_cdc_to (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d3 (i), C => scndry_aclk, D => s_level_out_bus_d2 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d4 (i), C => scndry_aclk, D => s_level_out_bus_d3 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d5 (i), C => scndry_aclk, D => s_level_out_bus_d4 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d6 (i), C => scndry_aclk, D => s_level_out_bus_d5 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_vect_out <= s_level_out_bus_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_vect_out <= s_level_out_bus_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_vect_out <= s_level_out_bus_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_vect_out <= s_level_out_bus_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_vect_out <= s_level_out_bus_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_vect_out <= s_level_out_bus_d6; end generate MTBF_L6; end generate MULTI_BIT; end generate GENERATE_LEVEL_P_S_CDC; GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true"; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of p_level_out_d1_cdc_to : signal is "true"; signal p_level_out_d2 : std_logic := '0'; signal p_level_out_d3 : std_logic := '0'; signal p_level_out_d4 : std_logic := '0'; signal p_level_out_d5 : std_logic := '0'; signal p_level_out_d6 : std_logic := '0'; signal p_level_out_d7 : std_logic := '0'; signal scndry_out_int : std_logic := '0'; signal prmry_pulse_ack : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : label IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin ------------------------------------------ REG_PLEVEL_IN : process(prmry_aclk) ------------------------------------------ begin ------------------------------------------ if(prmry_aclk'EVENT and prmry_aclk ='1')then ------------------------------------------ if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------------------ p_level_in_d1_cdc_from <= '0'; ------------------------------------------ else ------------------------------------------ p_level_in_d1_cdc_from <= prmry_in; ------------------------------------------ end if; ------------------------------------------ end if; ------------------------------------------ end process REG_PLEVEL_IN; REG_PLEVEL_IN_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_level_in_d1_cdc_from, C => prmry_aclk, D => prmry_in, R => prmry_reset2 ); p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d1_cdc_to, C => scndry_aclk, D => p_level_in_int, R => scndry_reset2 ); ------------------------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) ------------------------------------------------ begin ------------------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then ------------------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------------------------ s_level_out_d2 <= '0'; ------------------------------------------------ s_level_out_d3 <= '0'; ------------------------------------------------ s_level_out_d4 <= '0'; ------------------------------------------------ s_level_out_d5 <= '0'; ------------------------------------------------ s_level_out_d6 <= '0'; ------------------------------------------------ else ------------------------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to; ------------------------------------------------ s_level_out_d3 <= s_level_out_d2; ------------------------------------------------ s_level_out_d4 <= s_level_out_d3; ------------------------------------------------ s_level_out_d5 <= s_level_out_d4; ------------------------------------------------ s_level_out_d6 <= s_level_out_d5; ------------------------------------------------ end if; ------------------------------------------------ end if; ------------------------------------------------ end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d2, C => scndry_aclk, D => s_level_out_d1_cdc_to, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d3, C => scndry_aclk, D => s_level_out_d2, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d4, C => scndry_aclk, D => s_level_out_d3, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d5, C => scndry_aclk, D => s_level_out_d4, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d6, C => scndry_aclk, D => s_level_out_d5, R => scndry_reset2 ); --------------------------------------------------- CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk) --------------------------------------------------- begin --------------------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then --------------------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then --------------------------------------------------- p_level_out_d1_cdc_to <= '0'; --------------------------------------------------- p_level_out_d2 <= '0'; --------------------------------------------------- p_level_out_d3 <= '0'; --------------------------------------------------- p_level_out_d4 <= '0'; --------------------------------------------------- p_level_out_d5 <= '0'; --------------------------------------------------- p_level_out_d6 <= '0'; --------------------------------------------------- p_level_out_d7 <= '0'; --------------------------------------------------- prmry_ack <= '0'; --------------------------------------------------- else --------------------------------------------------- p_level_out_d1_cdc_to <= scndry_out_int; --------------------------------------------------- p_level_out_d2 <= p_level_out_d1_cdc_to; --------------------------------------------------- p_level_out_d3 <= p_level_out_d2; --------------------------------------------------- p_level_out_d4 <= p_level_out_d3; --------------------------------------------------- p_level_out_d5 <= p_level_out_d4; --------------------------------------------------- p_level_out_d6 <= p_level_out_d5; --------------------------------------------------- p_level_out_d7 <= p_level_out_d6; --------------------------------------------------- prmry_ack <= prmry_pulse_ack; --------------------------------------------------- end if; --------------------------------------------------- end if; --------------------------------------------------- end process CROSS_PLEVEL_SCNDRY2PRMRY; CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d1_cdc_to, C => prmry_aclk, D => scndry_out_int, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d2, C => prmry_aclk, D => p_level_out_d1_cdc_to, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d3, C => prmry_aclk, D => p_level_out_d2, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d4, C => prmry_aclk, D => p_level_out_d3, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d5, C => prmry_aclk, D => p_level_out_d4, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d6, C => prmry_aclk, D => p_level_out_d5, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d7, C => prmry_aclk, D => p_level_out_d6, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_prmry_ack : component FDR generic map(INIT => '0' )port map ( Q => prmry_ack, C => prmry_aclk, D => prmry_pulse_ack, R => prmry_reset2 ); MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate begin scndry_out_int <= s_level_out_d2; --prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2; prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out_int <= s_level_out_d3; --prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3; prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out_int <= s_level_out_d4; --prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4; prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out_int <= s_level_out_d5; --prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5; prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out_int <= s_level_out_d6; --prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6; prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6; end generate MTBF_L6; scndry_out <= scndry_out_int; end generate GENERATE_LEVEL_ACK_P_S_CDC; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_2/hdl/ip/feedforward_ap_dmul_4_max_dsp_64.vhd
2
12777
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY feedforward_ap_dmul_4_max_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END feedforward_ap_dmul_4_max_dsp_64; ARCHITECTURE feedforward_ap_dmul_4_max_dsp_64_arch OF feedforward_ap_dmul_4_max_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF feedforward_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF feedforward_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF feedforward_ap_dmul_4_max_dsp_64_arch : ARCHITECTURE IS "feedforward_ap_dmul_4_max_dsp_64,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF feedforward_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "feedforward_ap_dmul_4_max_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=4,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 4, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END feedforward_ap_dmul_4_max_dsp_64_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_gpio_v2_0/hdl/src/vhdl/gpio_core.vhd
8
35419
------------------------------------------------------------------------------- -- gpio_core - entity/architecture pair ------------------------------------------------------------------------------- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: gpio_core.vhd -- Version: v1.01a -- Description: General Purpose I/O for AXI Interface -- ------------------------------------------------------------------------------- -- Structure: -- axi_gpio.vhd -- -- axi_lite_ipif.vhd -- -- interrupt_control.vhd -- -- gpio_core.vhd -- ------------------------------------------------------------------------------- -- -- Author: KSB -- History: -- ~~~~~~~~~~~~~~ -- KSB 09/15/09 -- ^^^^^^^^^^^^^^ -- ~~~~~~~~~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- -- Definition of Generics : -- ------------------------------------------------------------------------------- -- C_DW -- Data width of PLB BUS. -- C_AW -- Address width of PLB BUS. -- C_GPIO_WIDTH -- GPIO Data Bus width. -- C_GPIO2_WIDTH -- GPIO2 Data Bus width. -- C_INTERRUPT_PRESENT -- GPIO Interrupt. -- C_DOUT_DEFAULT -- GPIO_DATA Register reset value. -- C_TRI_DEFAULT -- GPIO_TRI Register reset value. -- C_IS_DUAL -- Dual Channel GPIO. -- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value. -- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value. -- C_FAMILY -- XILINX FPGA family ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Ports -- ------------------------------------------------------------------------------- -- Clk -- Input clock -- Rst -- Reset -- ABus_Reg -- Bus to IP address -- BE_Reg -- Bus to IP byte enables -- DBus_Reg -- Bus to IP data bus -- RNW_Reg -- Bus to IP read write control -- GPIO_DBus -- IP to Bus data bus -- GPIO_xferAck -- GPIO transfer acknowledge -- GPIO_intr -- GPIO channel 1 interrupt to IPIC -- GPIO2_intr -- GPIO channel 2 interrupt to IPIC -- GPIO_Select -- GPIO select -- -- GPIO_IO_I -- Channel 1 General purpose I/O in port -- GPIO_IO_O -- Channel 1 General purpose I/O out port -- GPIO_IO_T -- Channel 1 General purpose I/O TRI-STATE control port -- GPIO2_IO_I -- Channel 2 General purpose I/O in port -- GPIO2_IO_O -- Channel 2 General purpose I/O out port -- GPIO2_IO_T -- Channel 2 General purpose I/O TRI-STATE control port ------------------------------------------------------------------------------- entity GPIO_Core is generic ( C_DW : integer := 32; C_AW : integer := 32; C_GPIO_WIDTH : integer := 32; C_GPIO2_WIDTH : integer := 32; C_MAX_GPIO_WIDTH : integer := 32; C_INTERRUPT_PRESENT : integer := 0; C_DOUT_DEFAULT : std_logic_vector (0 to 31) := X"0000_0000"; C_TRI_DEFAULT : std_logic_vector (0 to 31) := X"FFFF_FFFF"; C_IS_DUAL : integer := 0; C_DOUT_DEFAULT_2 : std_logic_vector (0 to 31) := X"0000_0000"; C_TRI_DEFAULT_2 : std_logic_vector (0 to 31) := X"FFFF_FFFF"; C_FAMILY : string := "virtex7" ); port ( Clk : in std_logic; Rst : in std_logic; ABus_Reg : in std_logic_vector(0 to C_AW-1); BE_Reg : in std_logic_vector(0 to C_DW/8-1); DBus_Reg : in std_logic_vector(0 to C_MAX_GPIO_WIDTH-1); RNW_Reg : in std_logic; GPIO_DBus : out std_logic_vector(0 to C_DW-1); GPIO_xferAck : out std_logic; GPIO_intr : out std_logic; GPIO2_intr : out std_logic; GPIO_Select : in std_logic; GPIO_IO_I : in std_logic_vector(0 to C_GPIO_WIDTH-1); GPIO_IO_O : out std_logic_vector(0 to C_GPIO_WIDTH-1); GPIO_IO_T : out std_logic_vector(0 to C_GPIO_WIDTH-1); GPIO2_IO_I : in std_logic_vector(0 to C_GPIO2_WIDTH-1); GPIO2_IO_O : out std_logic_vector(0 to C_GPIO2_WIDTH-1); GPIO2_IO_T : out std_logic_vector(0 to C_GPIO2_WIDTH-1) ); end entity GPIO_Core; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of GPIO_Core is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes"; ---------------------------------------------------------------------- -- Function for Reduction OR ---------------------------------------------------------------------- function or_reduce(l : std_logic_vector) return std_logic is variable v : std_logic := '0'; begin for i in l'range loop v := v or l(i); end loop; return v; end; --------------------------------------------------------------------- -- End of Function ------------------------------------------------------------------- signal gpio_Data_Select : std_logic_vector(0 to C_IS_DUAL); signal gpio_OE_Select : std_logic_vector(0 to C_IS_DUAL); signal Read_Reg_Rst : STD_LOGIC; signal Read_Reg_In : std_logic_vector(0 to C_GPIO_WIDTH-1); signal Read_Reg_CE : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_Data_Out : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_DOUT_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1); signal gpio_Data_In : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_in_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_in_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_io_i_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_io_i_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_OE : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_TRI_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1); signal GPIO_DBus_i : std_logic_vector(0 to C_DW-1); signal gpio_data_in_xor : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_data_in_xor_reg : std_logic_vector(0 to C_GPIO_WIDTH-1); signal or_ints : std_logic_vector(0 to 0); signal or_ints2 : std_logic_vector(0 to 0); signal iGPIO_xferAck : STD_LOGIC; signal gpio_xferAck_Reg : STD_LOGIC; signal dout_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1); signal tri_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1); signal reset_zeros : std_logic_vector(0 to C_GPIO_WIDTH-1); signal dout2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal tri2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal reset2_zeros : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio_reg_en : std_logic; begin -- architecture IMP reset_zeros <= (others => '0'); reset2_zeros <= (others => '0'); TIE_DEFAULTS_GENERATE : if C_DW >= C_GPIO_WIDTH generate SELECT_BITS_GENERATE : for i in 0 to C_GPIO_WIDTH-1 generate dout_default_i(i) <= C_DOUT_DEFAULT(i-C_GPIO_WIDTH+C_DW); tri_default_i(i) <= C_TRI_DEFAULT(i-C_GPIO_WIDTH+C_DW); end generate SELECT_BITS_GENERATE; end generate TIE_DEFAULTS_GENERATE; TIE_DEFAULTS_2_GENERATE : if C_DW >= C_GPIO2_WIDTH generate SELECT_BITS_2_GENERATE : for i in 0 to C_GPIO2_WIDTH-1 generate dout2_default_i(i) <= C_DOUT_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW); tri2_default_i(i) <= C_TRI_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW); end generate SELECT_BITS_2_GENERATE; end generate TIE_DEFAULTS_2_GENERATE; Read_Reg_Rst <= iGPIO_xferAck or gpio_xferAck_Reg or (not GPIO_Select) or (GPIO_Select and not RNW_Reg); gpio_reg_en <= GPIO_Select when (ABus_Reg(0) = '0') else '0'; ----------------------------------------------------------------------------- -- XFER_ACK_PROCESS ----------------------------------------------------------------------------- -- Generation of Transfer Ack signal for one clock pulse ----------------------------------------------------------------------------- XFER_ACK_PROCESS : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then iGPIO_xferAck <= '0'; else iGPIO_xferAck <= GPIO_Select and not gpio_xferAck_Reg; if iGPIO_xferAck = '1' then iGPIO_xferAck <= '0'; end if; end if; end if; end process XFER_ACK_PROCESS; ----------------------------------------------------------------------------- -- DELAYED_XFER_ACK_PROCESS ----------------------------------------------------------------------------- -- Single Reg stage to make Transfer Ack period one clock pulse wide ----------------------------------------------------------------------------- DELAYED_XFER_ACK_PROCESS : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then gpio_xferAck_Reg <= '0'; else gpio_xferAck_Reg <= iGPIO_xferAck; end if; end if; end process DELAYED_XFER_ACK_PROCESS; GPIO_xferAck <= iGPIO_xferAck; ----------------------------------------------------------------------------- -- Drive GPIO interrupts to '0' when interrupt not present ----------------------------------------------------------------------------- DONT_GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate gpio_intr <= '0'; gpio2_intr <= '0'; end generate DONT_GEN_INTERRUPT; ---------------------------------------------------------------------------- -- When only one channel is used, the additional logic for the second -- channel ports is not present ----------------------------------------------------------------------------- Not_Dual : if (C_IS_DUAL = 0) generate GPIO2_IO_O <= C_DOUT_DEFAULT(0 to C_GPIO2_WIDTH-1); GPIO2_IO_T <= C_TRI_DEFAULT_2(0 to C_GPIO2_WIDTH-1); READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate ---------------------------------------------------------------------------- -- XFER_ACK_PROCESS ---------------------------------------------------------------------------- -- Generation of Transfer Ack signal for one clock pulse ---------------------------------------------------------------------------- GPIO_DBUS_I_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Read_Reg_Rst = '1' then GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0'; else GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i); end if; end if; end process; end generate READ_REG_GEN; TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0'); end generate TIE_DBUS_GENERATE; ----------------------------------------------------------------------------- -- GPIO_DBUS_PROCESS ----------------------------------------------------------------------------- -- This process generates the GPIO DATA BUS from the GPIO_DBUS_I based on -- the channel select signals ----------------------------------------------------------------------------- GPIO_DBus <= GPIO_DBus_i; ----------------------------------------------------------------------------- -- REG_SELECT_PROCESS ----------------------------------------------------------------------------- -- GPIO REGISTER selection decoder for single channel configuration ----------------------------------------------------------------------------- --REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is begin gpio_Data_Select(0) <= '0'; gpio_OE_Select(0) <= '0'; --if GPIO_Select = '1' then if gpio_reg_en = '1' then if (ABus_Reg(5) = '0') then case ABus_Reg(6) is -- bit A29 when '0' => gpio_Data_Select(0) <= '1'; when '1' => gpio_OE_Select(0) <= '1'; -- coverage off when others => null; -- coverage on end case; end if; end if; end process REG_SELECT_PROCESS; INPUT_DOUBLE_REGS3 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_GPIO_WIDTH, C_MTBF_STAGES => 4 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => '0', prmry_vect_in => GPIO_IO_I, scndry_aclk => Clk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => gpio_io_i_d2 ); --------------------------------------------------------------------------- -- GPIO_INDATA_BIRDIR_PROCESS --------------------------------------------------------------------------- -- Reading of channel 1 data from Bidirectional GPIO port -- to GPIO_DATA REGISTER --------------------------------------------------------------------------- GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then -- gpio_io_i_d1 <= GPIO_IO_I; -- gpio_io_i_d2 <= gpio_io_i_d1; gpio_Data_In <= gpio_io_i_d2; end if; end process GPIO_INDATA_BIRDIR_PROCESS; --------------------------------------------------------------------------- -- READ_MUX_PROCESS --------------------------------------------------------------------------- -- Selects GPIO_TRI control or GPIO_DATA Register to be read --------------------------------------------------------------------------- READ_MUX_PROCESS : process (gpio_Data_In, gpio_Data_Select, gpio_OE, gpio_OE_Select) is begin Read_Reg_In <= (others => '0'); if gpio_Data_Select(0) = '1' then Read_Reg_In <= gpio_Data_In; elsif gpio_OE_Select(0) = '1' then Read_Reg_In <= gpio_OE; end if; end process READ_MUX_PROCESS; --------------------------------------------------------------------------- -- GPIO_OUTDATA_PROCESS --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_DATA REGISTER --------------------------------------------------------------------------- GPIO_OUTDATA_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_Data_Out <= dout_default_i; elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_Data_Out(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO_OUTDATA_PROCESS; --------------------------------------------------------------------------- -- GPIO_OE_PROCESS --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_TRI Control REGISTER --------------------------------------------------------------------------- GPIO_OE_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_OE <= tri_default_i; elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_OE(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO_OE_PROCESS; GPIO_IO_O <= gpio_Data_Out; GPIO_IO_T <= gpio_OE; ---------------------------------------------------------------------------- -- INTERRUPT IS PRESENT ---------------------------------------------------------------------------- -- When the C_INTERRUPT_PRESENT=1, the interrupt is driven based on whether -- there is a change in the data coming in at the GPIO_IO_I port or GPIO_In -- port ---------------------------------------------------------------------------- GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 1) generate gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2; ------------------------------------------------------------------------- -- An interrupt conditon exists if there is a change on any bit. ------------------------------------------------------------------------- or_ints(0) <= or_reduce(gpio_data_in_xor_reg); ------------------------------------------------------------------------- -- Registering Interrupt condition ------------------------------------------------------------------------- REGISTER_XOR_INTR : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then gpio_data_in_xor_reg <= reset_zeros; GPIO_intr <= '0'; else gpio_data_in_xor_reg <= gpio_data_in_xor; GPIO_intr <= or_ints(0); end if; end if; end process REGISTER_XOR_INTR; gpio2_intr <= '0'; -- Channel 2 interrupt is driven low end generate GEN_INTERRUPT; end generate Not_Dual; ---)(------------------------------------------------------------------------ -- When both the channels are used, the additional logic for the second -- channel ports ----------------------------------------------------------------------------- Dual : if (C_IS_DUAL = 1) generate signal gpio2_Data_In : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_in_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_in_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_io_i_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_io_i_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_data_in_xor : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_data_in_xor_reg : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_Data_Out : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_DOUT_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1); signal gpio2_OE : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_TRI_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1); signal Read_Reg2_In : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal Read_Reg2_CE : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal GPIO2_DBus_i : std_logic_vector(0 to C_DW-1); begin READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate begin -------------------------------------------------------------------------- -- GPIO_DBUS_I_PROCESS -------------------------------------------------------------------------- -- This process generates the GPIO CHANNEL1 DATA BUS -------------------------------------------------------------------------- GPIO_DBUS_I_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Read_Reg_Rst = '1' then GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0'; else GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i); end if; end if; end process; end generate READ_REG_GEN; TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0'); end generate TIE_DBUS_GENERATE; READ_REG2_GEN : for i in 0 to C_GPIO2_WIDTH-1 generate -------------------------------------------------------------------------- -- GPIO2_DBUS_I_PROCESS -------------------------------------------------------------------------- -- This process generates the GPIO CHANNEL2 DATA BUS -------------------------------------------------------------------------- GPIO2_DBUS_I_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Read_Reg_Rst = '1' then GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= '0'; else GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= Read_Reg2_In(i); end if; end if; end process; end generate READ_REG2_GEN; TIE_DBUS2_GENERATE : if C_DW > C_GPIO2_WIDTH generate GPIO2_DBus_i(0 to C_DW-C_GPIO2_WIDTH-1) <= (others => '0'); end generate TIE_DBUS2_GENERATE; --------------------------------------------------------------------------- -- GPIO_DBUS_PROCESS --------------------------------------------------------------------------- -- This process generates the GPIO DATA BUS from the GPIO_DBUS_I and -- GPIO2_DBUS_I based on which channel is selected --------------------------------------------------------------------------- GPIO_DBus <= GPIO_DBus_i when (((gpio_Data_Select(0) = '1') or (gpio_OE_Select(0) = '1')) and (RNW_Reg = '1')) else GPIO2_DBus_i; ----------------------------------------------------------------------------- -- DUAL_REG_SELECT_PROCESS ----------------------------------------------------------------------------- -- GPIO REGISTER selection decoder for Dual channel configuration ----------------------------------------------------------------------------- --DUAL_REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is DUAL_REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is variable ABus_reg_select : std_logic_vector(0 to 1); begin ABus_reg_select := ABus_Reg(5 to 6); gpio_Data_Select <= (others => '0'); gpio_OE_Select <= (others => '0'); --if GPIO_Select = '1' then if gpio_reg_en = '1' then -- case ABus_Reg(28 to 29) is -- bit A28,A29 for dual case ABus_reg_select is -- bit A28,A29 for dual when "00" => gpio_Data_Select(0) <= '1'; when "01" => gpio_OE_Select(0) <= '1'; when "10" => gpio_Data_Select(1) <= '1'; when "11" => gpio_OE_Select(1) <= '1'; -- coverage off when others => null; -- coverage on end case; end if; end process DUAL_REG_SELECT_PROCESS; --------------------------------------------------------------------------- -- GPIO_INDATA_BIRDIR_PROCESS --------------------------------------------------------------------------- -- Reading of channel 1 data from Bidirectional GPIO port -- to GPIO_DATA REGISTER --------------------------------------------------------------------------- INPUT_DOUBLE_REGS4 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_GPIO_WIDTH, C_MTBF_STAGES => 4 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => '0', prmry_vect_in => GPIO_IO_I, scndry_aclk => Clk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => gpio_io_i_d2 ); GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then -- gpio_io_i_d1 <= GPIO_IO_I; -- gpio_io_i_d2 <= gpio_io_i_d1; gpio_Data_In <= gpio_io_i_d2; end if; end process GPIO_INDATA_BIRDIR_PROCESS; INPUT_DOUBLE_REGS5 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_GPIO2_WIDTH, C_MTBF_STAGES => 4 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => '0', prmry_vect_in => GPIO2_IO_I, scndry_aclk => Clk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => gpio2_io_i_d2 ); --------------------------------------------------------------------------- -- GPIO2_INDATA_BIRDIR_PROCESS --------------------------------------------------------------------------- -- Reading of channel 2 data from Bidirectional GPIO2 port -- to GPIO2_DATA REGISTER --------------------------------------------------------------------------- GPIO2_INDATA_BIRDIR_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then -- gpio2_io_i_d1 <= GPIO2_IO_I; -- gpio2_io_i_d2 <= gpio2_io_i_d1; gpio2_Data_In <= gpio2_io_i_d2; end if; end process GPIO2_INDATA_BIRDIR_PROCESS; --------------------------------------------------------------------------- -- READ_MUX_PROCESS_0_0 --------------------------------------------------------------------------- -- Selects among Channel 1 GPIO_DATA ,GPIO_TRI and Channel 2 GPIO2_DATA -- GPIO2_TRI REGISTERS for reading --------------------------------------------------------------------------- READ_MUX_PROCESS_0_0 : process (gpio2_Data_In, gpio2_OE, gpio_Data_In, gpio_Data_Select, gpio_OE, gpio_OE_Select) is begin Read_Reg_In <= (others => '0'); Read_Reg2_In <= (others => '0'); if gpio_Data_Select(0) = '1' then Read_Reg_In <= gpio_Data_In; elsif gpio_OE_Select(0) = '1' then Read_Reg_In <= gpio_OE; elsif gpio_Data_Select(1) = '1' then Read_Reg2_In <= gpio2_Data_In; elsif gpio_OE_Select(1) = '1' then Read_Reg2_In <= gpio2_OE; end if; end process READ_MUX_PROCESS_0_0; --------------------------------------------------------------------------- -- GPIO_OUTDATA_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_DATA REGISTER --------------------------------------------------------------------------- GPIO_OUTDATA_PROCESS_0_0 : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_Data_Out <= dout_default_i; elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_Data_Out(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO_OUTDATA_PROCESS_0_0; --------------------------------------------------------------------------- -- GPIO_OE_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_TRI Control REGISTER --------------------------------------------------------------------------- GPIO_OE_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_OE <= tri_default_i; elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_OE(i) <= DBus_Reg(i); -- end if; end loop; end if; end if; end process GPIO_OE_PROCESS; --------------------------------------------------------------------------- -- GPIO2_OUTDATA_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 2 GPIO2_DATA REGISTER --------------------------------------------------------------------------- GPIO2_OUTDATA_PROCESS_0_0 : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio2_Data_Out <= dout2_default_i; elsif gpio_Data_Select(1) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO2_WIDTH-1 loop gpio2_Data_Out(i) <= DBus_Reg(i); -- end if; end loop; end if; end if; end process GPIO2_OUTDATA_PROCESS_0_0; --------------------------------------------------------------------------- -- GPIO2_OE_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 2 GPIO2_TRI Control REGISTER --------------------------------------------------------------------------- GPIO2_OE_PROCESS_0_0 : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio2_OE <= tri2_default_i; elsif gpio_OE_Select(1) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO2_WIDTH-1 loop gpio2_OE(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO2_OE_PROCESS_0_0; GPIO_IO_O <= gpio_Data_Out; GPIO_IO_T <= gpio_OE; GPIO2_IO_O <= gpio2_Data_Out; GPIO2_IO_T <= gpio2_OE; --------------------------------------------------------------------------- -- INTERRUPT IS PRESENT --------------------------------------------------------------------------- gen_interrupt_dual : if (C_INTERRUPT_PRESENT = 1) generate gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2; gpio2_data_in_xor <= gpio2_Data_In xor gpio2_io_i_d2; ------------------------------------------------------------------------- -- An interrupt conditon exists if there is a change any bit. ------------------------------------------------------------------------- or_ints(0) <= or_reduce(gpio_data_in_xor_reg); or_ints2(0) <= or_reduce(gpio2_data_in_xor_reg); ------------------------------------------------------------------------- -- Registering Interrupt condition ------------------------------------------------------------------------- REGISTER_XORs_INTRs : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then gpio_data_in_xor_reg <= reset_zeros; gpio2_data_in_xor_reg <= reset2_zeros; GPIO_intr <= '0'; GPIO2_intr <= '0'; else gpio_data_in_xor_reg <= gpio_data_in_xor; gpio2_data_in_xor_reg <= gpio2_data_in_xor; GPIO_intr <= or_ints(0); GPIO2_intr <= or_ints2(0); end if; end if; end process REGISTER_XORs_INTRs; end generate gen_interrupt_dual; end generate Dual; end architecture IMP;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SW_standalone/ipshared/xilinx.com/axi_gpio_v2_0/hdl/src/vhdl/gpio_core.vhd
8
35419
------------------------------------------------------------------------------- -- gpio_core - entity/architecture pair ------------------------------------------------------------------------------- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: gpio_core.vhd -- Version: v1.01a -- Description: General Purpose I/O for AXI Interface -- ------------------------------------------------------------------------------- -- Structure: -- axi_gpio.vhd -- -- axi_lite_ipif.vhd -- -- interrupt_control.vhd -- -- gpio_core.vhd -- ------------------------------------------------------------------------------- -- -- Author: KSB -- History: -- ~~~~~~~~~~~~~~ -- KSB 09/15/09 -- ^^^^^^^^^^^^^^ -- ~~~~~~~~~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- -- Definition of Generics : -- ------------------------------------------------------------------------------- -- C_DW -- Data width of PLB BUS. -- C_AW -- Address width of PLB BUS. -- C_GPIO_WIDTH -- GPIO Data Bus width. -- C_GPIO2_WIDTH -- GPIO2 Data Bus width. -- C_INTERRUPT_PRESENT -- GPIO Interrupt. -- C_DOUT_DEFAULT -- GPIO_DATA Register reset value. -- C_TRI_DEFAULT -- GPIO_TRI Register reset value. -- C_IS_DUAL -- Dual Channel GPIO. -- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value. -- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value. -- C_FAMILY -- XILINX FPGA family ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Ports -- ------------------------------------------------------------------------------- -- Clk -- Input clock -- Rst -- Reset -- ABus_Reg -- Bus to IP address -- BE_Reg -- Bus to IP byte enables -- DBus_Reg -- Bus to IP data bus -- RNW_Reg -- Bus to IP read write control -- GPIO_DBus -- IP to Bus data bus -- GPIO_xferAck -- GPIO transfer acknowledge -- GPIO_intr -- GPIO channel 1 interrupt to IPIC -- GPIO2_intr -- GPIO channel 2 interrupt to IPIC -- GPIO_Select -- GPIO select -- -- GPIO_IO_I -- Channel 1 General purpose I/O in port -- GPIO_IO_O -- Channel 1 General purpose I/O out port -- GPIO_IO_T -- Channel 1 General purpose I/O TRI-STATE control port -- GPIO2_IO_I -- Channel 2 General purpose I/O in port -- GPIO2_IO_O -- Channel 2 General purpose I/O out port -- GPIO2_IO_T -- Channel 2 General purpose I/O TRI-STATE control port ------------------------------------------------------------------------------- entity GPIO_Core is generic ( C_DW : integer := 32; C_AW : integer := 32; C_GPIO_WIDTH : integer := 32; C_GPIO2_WIDTH : integer := 32; C_MAX_GPIO_WIDTH : integer := 32; C_INTERRUPT_PRESENT : integer := 0; C_DOUT_DEFAULT : std_logic_vector (0 to 31) := X"0000_0000"; C_TRI_DEFAULT : std_logic_vector (0 to 31) := X"FFFF_FFFF"; C_IS_DUAL : integer := 0; C_DOUT_DEFAULT_2 : std_logic_vector (0 to 31) := X"0000_0000"; C_TRI_DEFAULT_2 : std_logic_vector (0 to 31) := X"FFFF_FFFF"; C_FAMILY : string := "virtex7" ); port ( Clk : in std_logic; Rst : in std_logic; ABus_Reg : in std_logic_vector(0 to C_AW-1); BE_Reg : in std_logic_vector(0 to C_DW/8-1); DBus_Reg : in std_logic_vector(0 to C_MAX_GPIO_WIDTH-1); RNW_Reg : in std_logic; GPIO_DBus : out std_logic_vector(0 to C_DW-1); GPIO_xferAck : out std_logic; GPIO_intr : out std_logic; GPIO2_intr : out std_logic; GPIO_Select : in std_logic; GPIO_IO_I : in std_logic_vector(0 to C_GPIO_WIDTH-1); GPIO_IO_O : out std_logic_vector(0 to C_GPIO_WIDTH-1); GPIO_IO_T : out std_logic_vector(0 to C_GPIO_WIDTH-1); GPIO2_IO_I : in std_logic_vector(0 to C_GPIO2_WIDTH-1); GPIO2_IO_O : out std_logic_vector(0 to C_GPIO2_WIDTH-1); GPIO2_IO_T : out std_logic_vector(0 to C_GPIO2_WIDTH-1) ); end entity GPIO_Core; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of GPIO_Core is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes"; ---------------------------------------------------------------------- -- Function for Reduction OR ---------------------------------------------------------------------- function or_reduce(l : std_logic_vector) return std_logic is variable v : std_logic := '0'; begin for i in l'range loop v := v or l(i); end loop; return v; end; --------------------------------------------------------------------- -- End of Function ------------------------------------------------------------------- signal gpio_Data_Select : std_logic_vector(0 to C_IS_DUAL); signal gpio_OE_Select : std_logic_vector(0 to C_IS_DUAL); signal Read_Reg_Rst : STD_LOGIC; signal Read_Reg_In : std_logic_vector(0 to C_GPIO_WIDTH-1); signal Read_Reg_CE : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_Data_Out : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_DOUT_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1); signal gpio_Data_In : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_in_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_in_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_io_i_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_io_i_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_OE : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_TRI_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1); signal GPIO_DBus_i : std_logic_vector(0 to C_DW-1); signal gpio_data_in_xor : std_logic_vector(0 to C_GPIO_WIDTH-1); signal gpio_data_in_xor_reg : std_logic_vector(0 to C_GPIO_WIDTH-1); signal or_ints : std_logic_vector(0 to 0); signal or_ints2 : std_logic_vector(0 to 0); signal iGPIO_xferAck : STD_LOGIC; signal gpio_xferAck_Reg : STD_LOGIC; signal dout_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1); signal tri_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1); signal reset_zeros : std_logic_vector(0 to C_GPIO_WIDTH-1); signal dout2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal tri2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal reset2_zeros : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio_reg_en : std_logic; begin -- architecture IMP reset_zeros <= (others => '0'); reset2_zeros <= (others => '0'); TIE_DEFAULTS_GENERATE : if C_DW >= C_GPIO_WIDTH generate SELECT_BITS_GENERATE : for i in 0 to C_GPIO_WIDTH-1 generate dout_default_i(i) <= C_DOUT_DEFAULT(i-C_GPIO_WIDTH+C_DW); tri_default_i(i) <= C_TRI_DEFAULT(i-C_GPIO_WIDTH+C_DW); end generate SELECT_BITS_GENERATE; end generate TIE_DEFAULTS_GENERATE; TIE_DEFAULTS_2_GENERATE : if C_DW >= C_GPIO2_WIDTH generate SELECT_BITS_2_GENERATE : for i in 0 to C_GPIO2_WIDTH-1 generate dout2_default_i(i) <= C_DOUT_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW); tri2_default_i(i) <= C_TRI_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW); end generate SELECT_BITS_2_GENERATE; end generate TIE_DEFAULTS_2_GENERATE; Read_Reg_Rst <= iGPIO_xferAck or gpio_xferAck_Reg or (not GPIO_Select) or (GPIO_Select and not RNW_Reg); gpio_reg_en <= GPIO_Select when (ABus_Reg(0) = '0') else '0'; ----------------------------------------------------------------------------- -- XFER_ACK_PROCESS ----------------------------------------------------------------------------- -- Generation of Transfer Ack signal for one clock pulse ----------------------------------------------------------------------------- XFER_ACK_PROCESS : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then iGPIO_xferAck <= '0'; else iGPIO_xferAck <= GPIO_Select and not gpio_xferAck_Reg; if iGPIO_xferAck = '1' then iGPIO_xferAck <= '0'; end if; end if; end if; end process XFER_ACK_PROCESS; ----------------------------------------------------------------------------- -- DELAYED_XFER_ACK_PROCESS ----------------------------------------------------------------------------- -- Single Reg stage to make Transfer Ack period one clock pulse wide ----------------------------------------------------------------------------- DELAYED_XFER_ACK_PROCESS : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then gpio_xferAck_Reg <= '0'; else gpio_xferAck_Reg <= iGPIO_xferAck; end if; end if; end process DELAYED_XFER_ACK_PROCESS; GPIO_xferAck <= iGPIO_xferAck; ----------------------------------------------------------------------------- -- Drive GPIO interrupts to '0' when interrupt not present ----------------------------------------------------------------------------- DONT_GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate gpio_intr <= '0'; gpio2_intr <= '0'; end generate DONT_GEN_INTERRUPT; ---------------------------------------------------------------------------- -- When only one channel is used, the additional logic for the second -- channel ports is not present ----------------------------------------------------------------------------- Not_Dual : if (C_IS_DUAL = 0) generate GPIO2_IO_O <= C_DOUT_DEFAULT(0 to C_GPIO2_WIDTH-1); GPIO2_IO_T <= C_TRI_DEFAULT_2(0 to C_GPIO2_WIDTH-1); READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate ---------------------------------------------------------------------------- -- XFER_ACK_PROCESS ---------------------------------------------------------------------------- -- Generation of Transfer Ack signal for one clock pulse ---------------------------------------------------------------------------- GPIO_DBUS_I_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Read_Reg_Rst = '1' then GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0'; else GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i); end if; end if; end process; end generate READ_REG_GEN; TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0'); end generate TIE_DBUS_GENERATE; ----------------------------------------------------------------------------- -- GPIO_DBUS_PROCESS ----------------------------------------------------------------------------- -- This process generates the GPIO DATA BUS from the GPIO_DBUS_I based on -- the channel select signals ----------------------------------------------------------------------------- GPIO_DBus <= GPIO_DBus_i; ----------------------------------------------------------------------------- -- REG_SELECT_PROCESS ----------------------------------------------------------------------------- -- GPIO REGISTER selection decoder for single channel configuration ----------------------------------------------------------------------------- --REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is begin gpio_Data_Select(0) <= '0'; gpio_OE_Select(0) <= '0'; --if GPIO_Select = '1' then if gpio_reg_en = '1' then if (ABus_Reg(5) = '0') then case ABus_Reg(6) is -- bit A29 when '0' => gpio_Data_Select(0) <= '1'; when '1' => gpio_OE_Select(0) <= '1'; -- coverage off when others => null; -- coverage on end case; end if; end if; end process REG_SELECT_PROCESS; INPUT_DOUBLE_REGS3 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_GPIO_WIDTH, C_MTBF_STAGES => 4 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => '0', prmry_vect_in => GPIO_IO_I, scndry_aclk => Clk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => gpio_io_i_d2 ); --------------------------------------------------------------------------- -- GPIO_INDATA_BIRDIR_PROCESS --------------------------------------------------------------------------- -- Reading of channel 1 data from Bidirectional GPIO port -- to GPIO_DATA REGISTER --------------------------------------------------------------------------- GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then -- gpio_io_i_d1 <= GPIO_IO_I; -- gpio_io_i_d2 <= gpio_io_i_d1; gpio_Data_In <= gpio_io_i_d2; end if; end process GPIO_INDATA_BIRDIR_PROCESS; --------------------------------------------------------------------------- -- READ_MUX_PROCESS --------------------------------------------------------------------------- -- Selects GPIO_TRI control or GPIO_DATA Register to be read --------------------------------------------------------------------------- READ_MUX_PROCESS : process (gpio_Data_In, gpio_Data_Select, gpio_OE, gpio_OE_Select) is begin Read_Reg_In <= (others => '0'); if gpio_Data_Select(0) = '1' then Read_Reg_In <= gpio_Data_In; elsif gpio_OE_Select(0) = '1' then Read_Reg_In <= gpio_OE; end if; end process READ_MUX_PROCESS; --------------------------------------------------------------------------- -- GPIO_OUTDATA_PROCESS --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_DATA REGISTER --------------------------------------------------------------------------- GPIO_OUTDATA_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_Data_Out <= dout_default_i; elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_Data_Out(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO_OUTDATA_PROCESS; --------------------------------------------------------------------------- -- GPIO_OE_PROCESS --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_TRI Control REGISTER --------------------------------------------------------------------------- GPIO_OE_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_OE <= tri_default_i; elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_OE(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO_OE_PROCESS; GPIO_IO_O <= gpio_Data_Out; GPIO_IO_T <= gpio_OE; ---------------------------------------------------------------------------- -- INTERRUPT IS PRESENT ---------------------------------------------------------------------------- -- When the C_INTERRUPT_PRESENT=1, the interrupt is driven based on whether -- there is a change in the data coming in at the GPIO_IO_I port or GPIO_In -- port ---------------------------------------------------------------------------- GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 1) generate gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2; ------------------------------------------------------------------------- -- An interrupt conditon exists if there is a change on any bit. ------------------------------------------------------------------------- or_ints(0) <= or_reduce(gpio_data_in_xor_reg); ------------------------------------------------------------------------- -- Registering Interrupt condition ------------------------------------------------------------------------- REGISTER_XOR_INTR : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then gpio_data_in_xor_reg <= reset_zeros; GPIO_intr <= '0'; else gpio_data_in_xor_reg <= gpio_data_in_xor; GPIO_intr <= or_ints(0); end if; end if; end process REGISTER_XOR_INTR; gpio2_intr <= '0'; -- Channel 2 interrupt is driven low end generate GEN_INTERRUPT; end generate Not_Dual; ---)(------------------------------------------------------------------------ -- When both the channels are used, the additional logic for the second -- channel ports ----------------------------------------------------------------------------- Dual : if (C_IS_DUAL = 1) generate signal gpio2_Data_In : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_in_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_in_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_io_i_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_io_i_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_data_in_xor : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_data_in_xor_reg : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal gpio2_Data_Out : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_DOUT_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1); signal gpio2_OE : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_TRI_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1); signal Read_Reg2_In : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal Read_Reg2_CE : std_logic_vector(0 to C_GPIO2_WIDTH-1); signal GPIO2_DBus_i : std_logic_vector(0 to C_DW-1); begin READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate begin -------------------------------------------------------------------------- -- GPIO_DBUS_I_PROCESS -------------------------------------------------------------------------- -- This process generates the GPIO CHANNEL1 DATA BUS -------------------------------------------------------------------------- GPIO_DBUS_I_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Read_Reg_Rst = '1' then GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0'; else GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i); end if; end if; end process; end generate READ_REG_GEN; TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0'); end generate TIE_DBUS_GENERATE; READ_REG2_GEN : for i in 0 to C_GPIO2_WIDTH-1 generate -------------------------------------------------------------------------- -- GPIO2_DBUS_I_PROCESS -------------------------------------------------------------------------- -- This process generates the GPIO CHANNEL2 DATA BUS -------------------------------------------------------------------------- GPIO2_DBUS_I_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Read_Reg_Rst = '1' then GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= '0'; else GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= Read_Reg2_In(i); end if; end if; end process; end generate READ_REG2_GEN; TIE_DBUS2_GENERATE : if C_DW > C_GPIO2_WIDTH generate GPIO2_DBus_i(0 to C_DW-C_GPIO2_WIDTH-1) <= (others => '0'); end generate TIE_DBUS2_GENERATE; --------------------------------------------------------------------------- -- GPIO_DBUS_PROCESS --------------------------------------------------------------------------- -- This process generates the GPIO DATA BUS from the GPIO_DBUS_I and -- GPIO2_DBUS_I based on which channel is selected --------------------------------------------------------------------------- GPIO_DBus <= GPIO_DBus_i when (((gpio_Data_Select(0) = '1') or (gpio_OE_Select(0) = '1')) and (RNW_Reg = '1')) else GPIO2_DBus_i; ----------------------------------------------------------------------------- -- DUAL_REG_SELECT_PROCESS ----------------------------------------------------------------------------- -- GPIO REGISTER selection decoder for Dual channel configuration ----------------------------------------------------------------------------- --DUAL_REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is DUAL_REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is variable ABus_reg_select : std_logic_vector(0 to 1); begin ABus_reg_select := ABus_Reg(5 to 6); gpio_Data_Select <= (others => '0'); gpio_OE_Select <= (others => '0'); --if GPIO_Select = '1' then if gpio_reg_en = '1' then -- case ABus_Reg(28 to 29) is -- bit A28,A29 for dual case ABus_reg_select is -- bit A28,A29 for dual when "00" => gpio_Data_Select(0) <= '1'; when "01" => gpio_OE_Select(0) <= '1'; when "10" => gpio_Data_Select(1) <= '1'; when "11" => gpio_OE_Select(1) <= '1'; -- coverage off when others => null; -- coverage on end case; end if; end process DUAL_REG_SELECT_PROCESS; --------------------------------------------------------------------------- -- GPIO_INDATA_BIRDIR_PROCESS --------------------------------------------------------------------------- -- Reading of channel 1 data from Bidirectional GPIO port -- to GPIO_DATA REGISTER --------------------------------------------------------------------------- INPUT_DOUBLE_REGS4 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_GPIO_WIDTH, C_MTBF_STAGES => 4 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => '0', prmry_vect_in => GPIO_IO_I, scndry_aclk => Clk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => gpio_io_i_d2 ); GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then -- gpio_io_i_d1 <= GPIO_IO_I; -- gpio_io_i_d2 <= gpio_io_i_d1; gpio_Data_In <= gpio_io_i_d2; end if; end process GPIO_INDATA_BIRDIR_PROCESS; INPUT_DOUBLE_REGS5 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_GPIO2_WIDTH, C_MTBF_STAGES => 4 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => '0', prmry_vect_in => GPIO2_IO_I, scndry_aclk => Clk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => gpio2_io_i_d2 ); --------------------------------------------------------------------------- -- GPIO2_INDATA_BIRDIR_PROCESS --------------------------------------------------------------------------- -- Reading of channel 2 data from Bidirectional GPIO2 port -- to GPIO2_DATA REGISTER --------------------------------------------------------------------------- GPIO2_INDATA_BIRDIR_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then -- gpio2_io_i_d1 <= GPIO2_IO_I; -- gpio2_io_i_d2 <= gpio2_io_i_d1; gpio2_Data_In <= gpio2_io_i_d2; end if; end process GPIO2_INDATA_BIRDIR_PROCESS; --------------------------------------------------------------------------- -- READ_MUX_PROCESS_0_0 --------------------------------------------------------------------------- -- Selects among Channel 1 GPIO_DATA ,GPIO_TRI and Channel 2 GPIO2_DATA -- GPIO2_TRI REGISTERS for reading --------------------------------------------------------------------------- READ_MUX_PROCESS_0_0 : process (gpio2_Data_In, gpio2_OE, gpio_Data_In, gpio_Data_Select, gpio_OE, gpio_OE_Select) is begin Read_Reg_In <= (others => '0'); Read_Reg2_In <= (others => '0'); if gpio_Data_Select(0) = '1' then Read_Reg_In <= gpio_Data_In; elsif gpio_OE_Select(0) = '1' then Read_Reg_In <= gpio_OE; elsif gpio_Data_Select(1) = '1' then Read_Reg2_In <= gpio2_Data_In; elsif gpio_OE_Select(1) = '1' then Read_Reg2_In <= gpio2_OE; end if; end process READ_MUX_PROCESS_0_0; --------------------------------------------------------------------------- -- GPIO_OUTDATA_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_DATA REGISTER --------------------------------------------------------------------------- GPIO_OUTDATA_PROCESS_0_0 : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_Data_Out <= dout_default_i; elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_Data_Out(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO_OUTDATA_PROCESS_0_0; --------------------------------------------------------------------------- -- GPIO_OE_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 1 GPIO_TRI Control REGISTER --------------------------------------------------------------------------- GPIO_OE_PROCESS : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio_OE <= tri_default_i; elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO_WIDTH-1 loop gpio_OE(i) <= DBus_Reg(i); -- end if; end loop; end if; end if; end process GPIO_OE_PROCESS; --------------------------------------------------------------------------- -- GPIO2_OUTDATA_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 2 GPIO2_DATA REGISTER --------------------------------------------------------------------------- GPIO2_OUTDATA_PROCESS_0_0 : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio2_Data_Out <= dout2_default_i; elsif gpio_Data_Select(1) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO2_WIDTH-1 loop gpio2_Data_Out(i) <= DBus_Reg(i); -- end if; end loop; end if; end if; end process GPIO2_OUTDATA_PROCESS_0_0; --------------------------------------------------------------------------- -- GPIO2_OE_PROCESS_0_0 --------------------------------------------------------------------------- -- Writing to Channel 2 GPIO2_TRI Control REGISTER --------------------------------------------------------------------------- GPIO2_OE_PROCESS_0_0 : process(Clk) is begin if Clk = '1' and Clk'EVENT then if (Rst = '1') then gpio2_OE <= tri2_default_i; elsif gpio_OE_Select(1) = '1' and RNW_Reg = '0' then for i in 0 to C_GPIO2_WIDTH-1 loop gpio2_OE(i) <= DBus_Reg(i); end loop; end if; end if; end process GPIO2_OE_PROCESS_0_0; GPIO_IO_O <= gpio_Data_Out; GPIO_IO_T <= gpio_OE; GPIO2_IO_O <= gpio2_Data_Out; GPIO2_IO_T <= gpio2_OE; --------------------------------------------------------------------------- -- INTERRUPT IS PRESENT --------------------------------------------------------------------------- gen_interrupt_dual : if (C_INTERRUPT_PRESENT = 1) generate gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2; gpio2_data_in_xor <= gpio2_Data_In xor gpio2_io_i_d2; ------------------------------------------------------------------------- -- An interrupt conditon exists if there is a change any bit. ------------------------------------------------------------------------- or_ints(0) <= or_reduce(gpio_data_in_xor_reg); or_ints2(0) <= or_reduce(gpio2_data_in_xor_reg); ------------------------------------------------------------------------- -- Registering Interrupt condition ------------------------------------------------------------------------- REGISTER_XORs_INTRs : process (Clk) is begin if (Clk'EVENT and Clk = '1') then if (Rst = '1') then gpio_data_in_xor_reg <= reset_zeros; gpio2_data_in_xor_reg <= reset2_zeros; GPIO_intr <= '0'; GPIO2_intr <= '0'; else gpio_data_in_xor_reg <= gpio_data_in_xor; gpio2_data_in_xor_reg <= gpio2_data_in_xor; GPIO_intr <= or_ints(0); GPIO2_intr <= or_ints2(0); end if; end if; end process REGISTER_XORs_INTRs; end generate gen_interrupt_dual; end generate Dual; end architecture IMP;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fmul_2_max_dsp_32/xbip_pipe_v3_0_1/hdl/xbip_pipe_v3_0_vh_rfs.vhd
24
24644
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block leHdrW5B1Ue8ne1t6lrNasa+bmf70P2jS0LwM3ICYgVyA4XnjXAE3KRyD/8gkAUf9C+hYFXAAz1O 1FG6BAuoEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cusaPzk2vFOiZzK0ZhgWIEFifKmSOaQjUGDHZKCdYJFmdxLkotBPPjrVlqCOdv+nrAS98mWiWmMR /fTmuvB+FOzZni8rq+gdHLhYlyMRiO03BYjDCfBD/zLdQOQ1NXEyofWc7mAnwJPIm5EhSowItTxy TQHaRJ21xp30JAinv8c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block E6sLWvMufeIr/esO7MSSsfA/y4zYWP4M+i+2Kb7PjwpG78xkTchFcLuySnIvgoXNIX2IiPs4b7b0 6k7DXdJF+IvsJo80vdVtvxwqR0IHmn4j2FMQymQdlJn0ZtgS6ZxlKJeiv0CJuWZt7INuGXr5PRpU KxIh1TXSKTGc98poTAnOPHc0Cmzw4mK+O2NxRH9j3MZpwh6G5Xm+34NV93bq6nD+A0GyLzHIBESy ++M5o5FSqgByOVRWTO4Su1otrfluotPuPO2TEjRd6FMIpUdR2ds5qii3JD4xOqSkA8egCIuy4NLR B+Z2QdbY6DjTyMh7izZ/CqvryZp/qzsHq7yztA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CcCup7echTUWPmKCBn1gOyC1Cvqq4talnn4WK+t/foEcp3FaVfc2fhltpaZ6YHVIghZk7n/TSiwL fPkWQUZQILJC0h0PaKdV9nZxAPSGoBifP0aeHQScywlmdjk/42WmPrDzs3TxEROSq5bxiNVtMSf7 zaL0QqT2uiy96OGZQH0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M66qLl3QvTbyd7OZiLpiVNeM4XJubS1mfHkOvXfw1l7fIpYLHHkEKviYqsprqC4juUfqbM4jGOzs Wa/ntbD9A7gQTxiux5YljYgGyLOT/9s/aTdgKJoDOsqqUyUxTQ7SY+5XXQWupeCMuNptCUFl1pbL eo8+6sdU2QlvHcKKxXnUej1F69sbqTfZYSXOCR3gJF4tJrsJszLIH8LO4HAbS24TJwNC+WZfrV5i e0ymUF+FCnLVE7tiAh6mk7X3nIHhYF/Mj0cIuq0wRyjOfp61Nnd9xOUnELPjNvM0Ovw47MabhMPo upGT17SKfeuLyEBSi0IRB05ViJlrIjcvA5J1+w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ss/YXNSnBDeKAHkqMWMBl0au7TJkur4GPPPBQgp4DOGHgNqm7epu6Veaj+9izoe1kUIoHW/cI0fo rldl2CaEVtrnvyBOZHq5E/B/y+VfRkFLkqobLN6CVdCYSTI2zsf0YU2F+faYHzYI+wjtI1ItfssZ aGiDdKo3Tu+ThXC7F/f8rStV5zGMiM5YgiAwKA7HSRhOQkKKXCvYYb0GyY/DyYIWi5UYyPfsTclh 2cL1VJimb7mNhI0zC//b2WxC9bo7/dDpJPAwbL/kb3fE0gQ12PtNg1+FfOpkmoDiEA6WgRzMr/8O pEUMiMNYVA/eYnW82bTzp7XYvL3lxpVY/C2f5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16112) `protect data_block HfF0P1NdmPyToATiwr9GlYxB3e5XIiJjttbHOV+UehPVUbvERc8F3zMTsyoF/zi8WPVi/mN+NUvr de9nKcczhay4keJwBY+PfHN+PJgVH9UGNPucE+gTRd1N7j3ha4yAVUBegAHCMwu2Mp6yX/QJco4V qAQ3T2Yuamrbh/HR4JJ+i8QsrDkqrs1zAsaNcuZMnMEVHqePxn2Rps9cXmElwMubQT4Alwpi8WSV Cxiu4Wps7SLdNHcsjhA8WPPMDPnjZJtHAL7zayoLBCLSqaDwPOuxljg/Ob0EgjSz8sSqM0Oi1yy8 zDuPQac0JNiD8VZtWXgWodPqWQ2PJrmwD0dfSdhpOmrv0SB8g3/YnTl5ZqaP7MKolq2k1k1dp12M Fb/stJi0CQ7Xcjs39DKCjz1GqOu0FPkIYopVsrd5uMMMgVt2hc9yyLSExEsCk6piaJQInZkHo101 PzyqCJTtaYWauczLGKybDumSHrwxAVmQaatPhYLUaJ21sk8Jy4OxTFYNEf9Fw0cp5Ug7KHErJpxW bxIJuroWLm7H+RJdf7h8vagHueGvH4iMJ7fcZOBtcRPi69cEOHgRWdt/kcKU9OKPIvsCCBgie2kk /h35SoXXQKQwwLtgRlqJMvFDBjcwoCbGy1IbDjqNfacCOeyyLvSbgcQMOIS0CbthFaEKo8z/xgYq HwGTGSXZJf+OGw1cGhx6GecVM5SwLkydJnYlIFqYLn7oc0qniMv7BY/oVJPthdWtpqj4f3OK3PuF EsLoDx0F9DgpAG6EJhgLvj4hskzZ8l0C1MHlNXiBtPK0nuKYgGygo2kxMidtQ5aMe6Or9im8EdTE 2byO8hXmOXZuPBuyxh0FMLexaHp9x7/C0bk5QEXUfPRbL7tFIAQs8a4tookDA/oC7jQP8L/istEq Xk6ubtProq/GW36NF884aVVMmJce5ZhefAoq8IS/fjCQg2cI6RcceQHviccMCqVfcsZPKkGLIxio qFro2ncPiR37UnXabN1xuOzNgBB9XITwZCiIurEw9r75WHrgmwj5RoteIAuk8fZaz2u2d/+OGC9l Dm2UVPWNd+Tx1a6JrdYQMYiBqhyDdSyAAPOeIsG2lD8/Zr1hxzYBxNYE3hISdjBGrO59V2jNmLww 0uSr7YaXTxyvk96RXSlVLrNwXiHWnyE39bbgjgdKGM1hmy43ta3CkSPeHDiK9FY1y+a74Wy3OCvO FeNhhp8nwTq7gqdx+0BId7GDK7jzx8g4wq1deVJqkZmahNQX/QgvtXUHSMYB8EXWT+7d9nYytC7o lerCagdsM9x98elSJA+mNrxlvQ+uHvqJsIphY0dNWYKJjGscJRf2klACRziGwydghjIV/P7bBYV+ Y8nqBBIKtSDjeeJDoRzn07trDGlJHJVA5WX7Xz2cdJUhFjE0UjP+U9ZHv5lTMEotWbDg/VT8WSJ/ z78l/YGT3VLOvizGlvAeeXnHq1/HOlf3VNYaSldIRBG4n6Lec3/8z6JuU2BSH9y7duQIwXR/iogB 5B+6xvhiPB+COIgYneYu7WoVBUYeaexv9E3KfN2U/oyYKdLp9geZmApZLVQdgOCjdUhAewdKWTX7 XgWdDWhSTtPEi4MOgoEHQZoCfzsAG1JsFK1PLFSIeFKOgePDeOVyh0K0Dk+HqaqQ7Eiz4ps35LhE OSoHNCKwVS27xgJYp+cH2hqzlc+ThW96M99gljW6R9xCXGDXymN5dYMJo5h+5E2VRk/6YTDSyfo8 Q+p1+Ml0FFEY27owAVOliVi63HMSuBSA6XSEYVfxu7Z7NERphsSk1iNDeAcvO7QAxfw5rkma8dN6 Ju1XMPzULSxpbBlLV0ggw451uV4v0IEkwWaxhQfNtfmVnZR/9BmgTgAJhqxdv4qRC7ixcaaLYnSd GhG03Ozo0FMwdwF9wmX58kIrxNwnun0NsS57Ky9Vm7VZF3d7W8vaw0My8RvO91rU2VTS0jL/g4b2 hIk3X+ymV3Twd6nYEVipA/I4W+JshBAiyYeSrmU4u8MCAQHWGEYzLYOXR60YUYifgQIatBARPBXr BZ3c8IgikrDjbVKTpUX8XhZlgIg3IM8viVE89v94VcpQDtZAFBkuYr/NPN+cRcjvWDpdldGMr/1F qb/FqwMWqs2JQWsl+pvecOu/WhKyy3nz5riewZiXBtqbalZ4b9l/Cyvp01oBmhJH0X0rljzZYlo4 OId9FDGcUKT3TRtDQtINZHcZp0r6GdjZ6xdwMHaNEY9D4ESi85gvB1/RqUrzmgMABTxWQ9PN5/+W 7McO4duv6scUGnypS3uQNfu7CzVGmtsjkDq3ZJJLGDOjA5gL6zXYPfzawRJG3b37dmwbYiwsPDAA ufnOUuKw6uTIqY/ZKvG6zrUrrbVj0pmuL3444JWBWjT6dDwODk73Z4jVt53QFgPska2L1tbRbimR vF0g4Y124WgHkQn86JyK9XPOW2uQd6IQfeN5Ryi8W3DRzUmG4pofTrpQ+KE+COTMXqWwUXLHLcZW kh1jV6lvDJkLRhF6aT2IUiMFm75P4Yc/eu50uXHtqnHYF9bI5uP3aV8bGp+7pQnnedY7xmVFMxeM PV3hBT8oDMfIPBPbhRI0yCvofWVeLPFQMVDy/Dyn9g1KPjKb0Pjs4oHELcCXFJgl7Mz2PUvs3uwL f/mzKrxMWu3lBDv1mpqUie2gv9DW+8NQtAfRJXfwzXLlBYllLGThkXs55frVOUQodc6MoOmlWIri UU9i5Syi5WlYTazZR8xky6YksDCcD8opjcR8AEDN3i4y2IJn16CVFERmAKtvSqDM9Q/Mq2oRkSo4 junVxVXCH53Jl8NmWr34P1Q3CnzQsASjeNctczwJ/l5sj/ZK3pEygUMKxXzPbdCeXY+z9/dgZJrv X8bIZBdDL77G3x2NplADUR0T7vvxrPyrP7dJy8z1dd8VhbNlEm8vqSGDTnltSPFA2iOefU+Kvz0n t7R7Yj9C+4N7teyRiyTdvxq4/0IVTziLpoPGmCuseF6g9NbDd67CsVsZ8WlfmHKwrFMLZOt8I/IK x46B6HHJH2ZsZ37m7pK07eNptjcMNaatxghL1NUdo50sOH3mfCL1mgkKCMY3qvhmfSytEhtcumoP DVkoV4k3F83laP95+yGDPS1sJmU9f9jWTWbD+cLP7IiNbacpU6OzBV08CoDYiuDi84Ko5kK1lsKp kOMa6uWAMOshL5iMGurQ+kUo2NyEN2fILry8SA34RXo057g3V0/nh9tjGOqR5IH/B/AdFqbQQS/9 9pHZCDRMXtw9dbnSNw8U+GZ+dCPGMfX6bqC0fmZi0RbWcwdJItQaJHjAapoPN2cHdvFhn14FxDu/ Z+im58LUDwD6T20Sk5BnSyfUsLVJ2y/Eb4OnyxDtxO66s1JBTlG4zaQj33+wmtsUPLLuQP2d4qFt 86PY6lh0TDxytQWdgKgV7n39afZnCBtL2yefScePoXgPMX56RNSzqvGyV+Vb3htGTi39P49oRzsm jfxfF+1cx4WmZvIhYE/a98Bd6Uc2S2unYDO+sUq9ZH4tqEvtm7QxkrR9wHfA9/R5Pmi4KT7T5kHT YHqLbTblPDVb9kii9Oc0qIU3pLPsiGns7MkJos0WztP7BrU3zq7vF3QhM42yyXJkBN2p/Y+wDZZr j9h4d1BgwtaZ1UZ3Hi8Sbn57z5Egso7jTt46TGJus/AqHeiYmb+M40XKgLNFP04HwE1qun7xPF5I iA6hC7S/rCvSJ+nBBXh70/WodnaIvKZw+DV9RHcos32tAQYU6HEoXXMNpIGA3gby864rVrceMSI1 dGxyVWxFBtlvad3MX00eIZKP1w+YZXf0mS2qKuyHqKRbn9uCZu8JoxNKUFLOi28i53CzsAPCJN2N JN4Kc1O7E3hh2mR4MLgUbnSdNUKu2th2aikwWFiIyRtP896kzxneff+Ox5bPQtCp9Vb5ZgXAzeqJ QK5/YiE/f4ON0A0gMzAh6mxqne3wCcuhRT+t2apxxo8ERKoT/djgsszKazPwENfGennjMCzZH3xh wAYv2IFu/u8pc/L0WvPlWJx+qeuxhy+DHvpqa4pdwnwco2xLiTZgTFOgZf4YJnP5AItZ4/AgvI86 1FySZsiW65iL0gPKbaFBOxuxQ18UXKJRDasYPaesOQbpN5PgD5rjXXU9JnoaGTONS2W/k0PzVjpQ LAyeSk7uqx02tZA9QQDZXlyqz5QrpWfgw0M28St1CL4T1GeAUN7GPaqtWzbJx7LJe68aCjQ0BZ4q ZznrfETD6CW5o9UL7nGfebCysk7iuzcoEAhU2g0NhADDLajy1YdaYDda7NjyrrXOEEXmlIqTTEyJ LS0bLtuHMOMuimy1lJwAmaAigExVKSnSOOxqtvT9Zr1RZx8UyJEYVUo8QQiheko5WU2aU8i2FNmZ Jm8PfShUg32SbrJJpMePDkeUbgrtBor732a1ZU1RUuIrboTT2dcP6UFhTInE10vYD2s7xNkFmS92 X2cpH8rs48a53EJve/5CR1ZNvktseq3Of0M3WU0Ia7V1Y4BdBKnoMq3dm88ZvUyrSEnqz9xeZxjx WkQOcorKH+RuvU60preIYwfJ4fpHNsQeFQ211TQVSIuPoBPzdTY5mWwYsNSqOUpWafFFcMYXLwuY SitBkmhmjo+PlzWjvvm24E7qcOOkN+FFnZpQNakqXH1q+l8DU1NuAu4ZXfb9DnRwRfoSBdgffg36 oJBRghSm/EsjvhMDavj91szQYpdFBiGQvjGW+k+Rb4K1z8s6RB9BKqLlFznGuzl+UxbJhGC4+fHk TkN6YDRw3PauudpoVDvfW8m5N0Hcof6FoR4+RhabMiTKJiSUQ/0U9qRhOYweIiI6vWkRituHOXPt wSqtzNXTYLSvLTRwG8XGVpfCs9AiFDlanuIK0ek1wpubyDUMOjLz1aW3ZBRVWufNbtNzl/+n6siR jDkCY1ZSlwBBrSZedityEAm2golJtLAT00fK8blf5wI2xtrV0N2TleAcWFIV/cjlYDTk+BeTDSdf PxmymnEg5ZI0FGIplvUR1Jutsy3J3MIfgSeSalEdAIFUTxc+NQ7zYejqZ+vuGMFNVcrfoDcDFVV0 xd0q5sELpDzNiD8PcDlhGtmMt8vpqjSS9b2t3RVn3eXiu8EgEXVuYsxY4MrmtrC4Tr9R5J0jAbwC sSn0E/4/KXAlMw41uy3uygknQ5Z0o8mh54rcXOJEkD+fbMUXbfhv172v4BS+BINmUSAghnqwXjfw DOyhSWqH2AzpQkPsXdsVspVS93sHx0g1nQPYhsjYKyi3uFLaKWFkK73dqEXESzu4xm+AA9MmjRcL 7tn8SSSL9uKJzRRmzCTZMRHOWefU1np3VZXqAABn6JI5/8UFoj4WktZH0z9kP92xvgOaLAYohl9d jL+iDgq7n/u1fJ3uiP4u3MYonw1mVtk2JI/LUoETM3YOPBuB0VYoHo+OYJHiHaGq49KKUJ7grCAx neKQAb1vFRPQEDXr0/AcGs+PGwGB3qw6wCfESjd16rO0PXUp1kgZXKkRrz/ULpK/eGH8K/t1Lal9 6GES0JDHQCS+CLCDUJOYdguHkNOxHwdxWny6yILcGZNftJnJPqyaw2fWIPGhRRyB0EV06axpeeP7 nvyadiw8N4nBD31fXMaWmR4xuv4l2nUwXtoEcxhmRTGtIj2wU8anaNMiVX5UY8eluNjOVwGZ6dex sHakwu8loEKeAEV7EJ+pcSrUKbEA1deU0wmeKOLwbXekChScszRWVMxt0rPHD09TMQ3eCfJeug4e Iff0/QPolMwGe37JdYlVFk4aZJbC/VF8ayqDT+rlzM6QDkhH5gBrPyTMPpY+Y0ayFhx98j2AHSme tdGQd7vDfCdFI42mbx53Rs1vvkBz/KyUsviyasJg91q4CfngabytSpsMm3f6C2zULTLkf4BxsB2j cleLqpucIKNxmwURkeV9CCs0D/6Tg7QEF/GJ6UEHEjCHOvhSZEgxRUs0tHrWTp7YRyZYvLTo4Bd3 Zmo41G2WGaRecpPFe+gMjTX99APUd9Eos+lnPqa6EfslQIlGrHJ2CjJyuUwXx3NoIN+XRn5m2Lg8 HOwA2LMo2H+0TAFO0XKVEvJkBD1bKt9+NfNu1ipRGfbzjt7UTIzI+SOcu6b+tH2Owd0LvlH1FwG4 vpp+QlQNqBf0ohR2AeRXCc1p+y3hu5QKW5ggFCF7i+YcX1vf/n1Wa22GUDH1NlwA3I2kvU+AS+jV 4o4N5EL9W/XRgHou4Uar8sWvtIYwKCuiI5k48aV04xO/c+UPajTvaAHsfB/fKBtL2dQPNWi3QV22 MkBpt4RLvSRrU+NgU9gsblUKQjL15S2ZjgGN8kb9n33QWA1jCIy01TimrYNn5BtvlyEEZT/W0KSC R/bSgjQ7cVkwXO7qt61Nj51hDnWWu/oyPd9nHRbB6i/GcuZtSG6+olLSTvfjaqnCPrJcVTgOAr3w imCbT9PhnnG3hAU7BskDmtrXvPOqnETrzESxtQg+iyZ88ttL+SUT6o/twAni6jFeKWD4LWSAqgVc O3MFetWgPEk1R2gTIzUbO0X7L96eSF/Ze02TJZVnBciXMDsHWGLaULx172lt2yanWi1DzmpwU4vC AOKLILGu01kt7gWr0FM8WzkWIUlRA+t169pLV52Lj5XzLOAThFd47eK1PbcPb6Hl0xLEVgsXXegf TddtH9gkroZhKJtc4rNZWlAyOkSlaWD05BckPfp7QsGOqW1vfau6dnzSLgQ7DQplc71y40ObbR36 xivQ/FSpv4v5L91be05TxSU2uqKwK69R/8B9iYbAvinkQM1B5Ev4cYWEXmEioZDS2u+9WW5AKP0E SwPfR8p0UQpgZMWaW3ViQD7DzUUnZdaaVmKjGx1iyncX9GDmKrJ/xcLc31qWTD7lilesFQXKNIXQ DSqegWq1B+9azG/FzBAz6dGOH9b02saicoFUZ6zx4FGZSafPGTVyIDrxVDfIGWYsilrn38yjaC80 W+d7brTRpTkAjQKhyf2pD/7gIkbSx41EQ84J+AnrDid0y6Qzizmo3mTNTI95ta1CpfYlveif0Zf4 R705epJE8DMBR4xVZgrexIAaqAzNndj4gZIRJhB5GwwFEGrOpVdDMxfrXkHi2J2ii6hYuSGqcJJn Z25P09jlkI+v6+wN+9XGrLNKdviplYQ5HF8XyOiA22u7O5+nKMf0fztXkISf/0Br8Fg0eP34w5gq Thvf0E6VM2KF31QdvocTl/l4yTDzdG7BzQud86Ka+FTWYoSaIo31RzBlVpBfOIjG5gqKJYwIvocU 13oM80Ux20vuiqMf3tCG/8P+HC1ZoO+mEbCFxq7/G4OL2pvnONWzt8ntlvn1cneyDQROib3MmxZA FTdd9vb5v0DWbAFXgvFvjBFDPGM8yQmfFiOZrm4vI1dtylBrZlBmeyT5u4ySxlHu5bUTGISrX8R1 pkIRIwEcTcjnvYJJ2MmvehS0FdAHm6plwAX+ljY8QUWExhXNqQuyT2eFov8Z74dF/lioI5BuhDE+ YxoY4KRYkWrpY/b2dQs94wT0ersRFzCQzAyn9oYCV5eXMdj8I+Mg7I1iNe7u2sajRo4RkhXzy0FT mZ8S2BpknIA+6/WVMzlTyOhDWU43XwFyjAPM+rbmzAlWNe0Ho7xBW4UWbbaA2uNwNDQktvAXjo6J g1ELSYazv/dMODURwDoJ5D52ukJ8Prx10FmOLTBWgHrMB1oRofeIflIDvIlFKhzeAJUgxsNiAp4m hUAO1sbaULyEBJUlthBnVDLuE/Fwh/gANEr0NILxvGGYPbuUKaFzl6WHJeW7QbOtcIIdIB+HaFSU eyqb7vrGRBlE8vOOZm0sa3Pee77x2YRZAh4ZhpXWn3e7sCCU3rnjx5KWEX0uWGEOqlcHtWBZEgc8 m+Oamtntq6npRHVVyrEn6LrzJDrPPD5lnGRaewmNne4pazMvl7STNOHC8lmETzXtkcpdsJrRYf7M nHjXvVrP5W7/scAiyKLDaUlNNKiAF3Nxs/wWz0bCmWgOYaDK/9qK/yUAYSNxZSpveoWxHstRIgUl 9QxoRKNPmGdNgfwfhAWQlUBpDyvKKRSzIwM0p1+LHHMokaYxMLEe+RID9ySZA9Pb/GYlR/fa5HB8 HGF3qY2T6naGWPrLSW1XtGpckigq5Uhz9HtoIC5IMJh8VWRrncqQarlzzd+Na2cwWHtrL7zTb/SY hjTRqO8qCqsjsBfEfQoiyaqtLoVVDbd58ffN5n4Yl6PJdylClR+xntl/5HCLBg5pMcfCbtSdLqeK DmZHGQxjDfpvy+WPtAOxFvPFbIw+1EuWHB0M+uJiZf0m24pR7Eu4dtfcAWBrLa4dOilIwbRdG1x9 KSAhuAePgjyDAH+O5zzzv9OiG4MXiW/geYIdQX92k9vm635KUQEPG5kBP+5lgQ5RC2DuUOT/6HgH 2oChkeeMLq+UNGOTb9Kjx+J0MwELa+DGAi9fZXrYn3EPwH7/ChBMWXvNBy1rsFA7MzgQf0PsQGzT HZaT7WR7V7Yjw2cfmz5qyjahC00T2IMXTBBsySueRzEGNnAKEI/T394cnKdUCyoqP78n4GDd+iTS 5OYlbHVtVbgP4Ph32zjrmIWrKsDn6AHEmUZOy9XR+2wT32C266oluRpvBRfBcpSyd2LDHbb1TiAS L3r3xEEKi9b8AYJ5ItXjZaZAUw6HyBw4s99gmxFXYcTJdTtheCMbpG1QWTpuYHyRa/BHk7oxrl1M 3chfvrnAkssn6LrLohZ8aPrSAVhoA8rrSBuxFBRWG9syTgJYdkgJ0sywLszuqXbrUTyY0AEfncPK hLg8+UiGbbsjuPqe51M4TwjguJ0al0qBvl0ndHTvMtwhqZlrAMUcJHNsf4yrZg1CnZojvZlNkChL DRwJvMmjcffIWOBu8h82VOguIBf8IcAzICpTtwFiBoZKawc0gq5Nfd12qaLbtatbTEKgou94e8cD 5wVBjOlZNpo+bqeNTlPWiR/00l9gqcAtIsObb7WGILtWuvL1JUc58Uon8Tor0xjpmgk/rjEE3s8z CXAHNUEcokA8A7qSrfLWvedch2H7FIpPifjSy4QCJIJ2T1lakkW4nJR4y1CslIow40h7RDAROzBy 4I/clTp2C03mCnvmB5iLa6eA5quUVlJohzWibocz/w/KsD7+BPzp1cfXLKugWThVgC40V/613A3y VPvSbym+S2iGYlw9PccCR3by0sHqVXUPXalW6x40YpK5spqLeIdoUfKRPQ7vkexaaqnEbMt1su8z BEDVvzXKTRbH2FBTGMlqSRhuJhbu+slCJS/32h98aABokMTzWTVWl8nIs3FgEYTfzuSkm23rBDtp FqGqt7WMhqxK+6z6K9Aja1XH7phzI8B5ABhG3/xqzO92Sk3a9N5/d54TC0FVtuBg44aScTTJv2Jn Ccu0hPT9f7qCA+rXcvFEkwNJk4/uMm2crPQh0qAlnbMAAlYVVLapw8OsddH12v4hMd7/+RiIulyT ayI4NCIIcB9vsVyVMJaJc9Qu81zGu+g+u+0iRzEzqJuTz1iaDPaz2S3oABd//tab3wEux0tsxaYM NeNE7Ln4xPvHTjA2P0xzdClr88AgDpWCKdoupL5yGbgysV+HUOyhh85gKtSx2NLrZ/YPFf2aami4 b3ZYTUi+/UONbDr6BG33ua4OSpsST0ExguL/3F99jNe59GJ5n5/NnZKLh9LXVO7ZMOc2pqN2ElF9 xa5HLsizgQiTN7XkCDMlvVt+1O4IR3dtok+9dRDOa8kcqkrG3/elN+CJqAeYFkmQfFKGJxN1q4h5 J1GPnUvjhHUBbY8kVs+TsqkuVIX3LsAqOLfg6STq0LqOhzuw7E84ps+kI8I0j0WotzqYimiir5t2 cJL6QsIy7IFJKJ0SQrJRnQ+bpdpdsYzsKFqwd27W9yVwxRQ1SLnje8D+bM2g2o81HstIViYT7VsA yobcg5CL+hUAQ0dVrSHXhF0tTqf0KmO3BXBXmN2od8ytfeNwO/9UZ83xEqhfsMvWya9A8padtMl/ Lk3K6KxcU5Iut4OnIR5EVwvtJ6OVhkmwnK8W8FoVruVozTBSYSwq4fzoEXoimZG1YaQwzmhSEcub 93EY2+826RZKCl9VmY0OSfyhh8akV80HMUIEdB03rJrDOT+Q2pj8wjuDPOiIEM+i5GtOIXml6Uqt QsybqBe0jyR7fkeho3A7KMorNQ8Cf/HKb7sDexhPtR1eFEt599GnFam4v5BwnitEIcvXoJHUc+++ HzhQIhu1GMJ9ct3PXFIIZ8sS6W/T33e56ksI06rKh9Yoh8ZQkb05D74shaejiNnqToK8AS/BprmU kIZBzeCZdtvh1qDC3nZlgtQZQnupIhXLjs8Cq1hJiFDJqMZByoXbJsF9osD+DmCKQDy2E6CKx1e7 N+EOsflC9NzGs9hDOUwbhXZbsslIOVJqpWO9fINv0N9gcc6fTAEGspfN6ZqKzwFx81odIGKyd78Q 3Ixf6cxdJKo5byvxEylTzGJ8i2v+8vowHBypM33uuIEIUUhGSO3Gs9eapHPrOO3GT9Vcm90ODJUf 53qGQa4KygNPjcMkv7v7QVgBFGg37MZjOowmj5CSV+a1t8JyHmgsG8Oprt5F+M3tO9nQbcV7/fIT GGVaFhPS5/zsVeT9W3RmHDiDC9b5MTP+JxODt2N+iOZ0hHsyfYbFmOJzwW7k2eQPtGscPN0pkKx7 tuvQ6AxIM7Uj29TSWxU0HNlPB/zuIDtWUSH6E9sK3YQwl02NDXedUSZWGAeUcxh2aSbwnAdSJgbC /C0d2ID+1Ou1Am01ULnPRB4H/YOLK3olzpyv2wuB5NOo767e9GabnOGCJaquY4MPGi0qMK3zazLv w0FpxP15UOzpVB9dMhjEvy9LiHDxb5js/FprvU2Uy/XBtRfZpV7/hWiGmm4WRbx61U4aXRTB/NyI R4IH8YJH8acq8+XkPravxUDCXDSetGP/RkUlPVn4pW16unR0jpsbMO4Ot14wR6htH2/qKueg2Q9i LAivHeLPTDl3CS/XrVK138gILLJ40o1ScWrtNuu5c57HteFZSU+PKUgpZlSb9KbOaJVfFmDQTDqN NVQ6yBhmjEQm18G6MHkn4Gsx9tSua8H/hrd8E/lZSi1qXgt62I+pNII6ywAR5k61FuRKhbmBxOak 20ytrPBH8iOPqEE7kVR7fkP6Vz+HiqbHK9taf95TqCY6ihAjjgKB6HUqWkiGZeu/a4S22aRmp99m MmxEQL7LS/wtSu6d6kIXdDrV4FZl7xHYsMQDrSA99SzybuRVLMFUOD+kxaCGJZ48d7f0xXX56qOr iKEZlTbPjwfy/gYubhhUoxJS//jA6l4T5SLBkPQlMMcMK3c/zCHfep7HodtMmgAPaHvpLSAu7mg5 1vcHSe5ICys+wQi3CBii/UAl5hKS95kh+MW1QSGlIt2D6XLomEswNnzbEwolPLTRKCWHBrRa7INV WFu1tVl2yQjVaEZIobC3DaxCeX6b3ELUhHITc2aDNOSgpoQ35iNBCxtDzT3b7d2UaMDMtTq23VW/ jY9dCfNnTghX5pDxYaU8sfBAcpjf2R9XJtt8rbVwfP+KfbXZ+kkAseKvJxp1phMih47+oN18xRNs 2Y0GQ4gPg79OC0XisE/dOSpqN0mrjRx9fuTxaw1zIjZdBNzLRnE56x8qU/iGKDf81e/2SDVpYL0y v4a3/vVRqh2Zn4A7bnuKkv3kxlMT35drdw1iLqwStmawK9u9yQbS3wNfv1eRpCbEzfkASfYvRX9T TIE2WVQV1Tf3ryPEzj3DCEF6LpAzFslGXYZeVR4k9g7jc4Igf8F6A2ik4E+sJYRyNfGhDkGRjAXH GD2XldOE/IYBp/l0ev5tDKwAHLIF3NmIiArpYc7yY/MaSa03h554EBFGN8wbKZ8xBVHbjVx+n6iN Baq65hd5gYLrB8DeRXz4TbzYyWRzBM2y+sYkIA9gi36QTcu3tp1iq5MjIrPkMhWwyNnIWS4B9UHM h0Kv2v3430oc2gf/wetFWOKsPIyU5YbW1Q8yzKomi3lL/P76oMTnz0jfi0UUcZVuw6lQJoEHkNYx KHRwBqg2Bv8i4V28AY4/2fH+b6DLsnvm/55Ff9MEthZyEvi3aEEPXdLNTQJ+AlaT0lSME/FrFOUM r3Rvj7b1MpptFbkPw44FI/iS5XYpUA/SUQWtmkwHoRA+DzXrggbnYab4jwkNVfhabV2sG9D57gUA +oKoayY2RNt1sEpWBfYRbrsnWh471rvVGYJembFKL35i/0orF35Jn2fSHsVHQu4aF5VqLt4VuEWe F3j84wrofxdxRpeHa7dB6S8wJjEp/yc6TY7tiKW5Xw2WVOu2dvtCIZIrhD2Gs7TCz19VCfCtuaOP 7pd50SU1SlxoF0kJF13ZktaV00qHXghVpbl8jTiTf4Px0I94+H7bJyjV8ZMmEDzFwfY299jw0RMP ac0EOPmC6/TXfloiPBfVmdJtKJ4yYEcCj9aIe2QJxeH/En2WKOIJzZnjHZBKvTtGf46LuRESllTv IUzr1Viv931fgfd5hbQyQ3ClY2LuHxZPKe2qw5pnTDKk+75O8PuPpJvdtifrVzypsfwBHXyZqLnI EYLILNiOnFKf79U44AsC0exzJaLAty1Hal7mhakpHq1iM0HDlZfxwaVAuNWpi6+FrXAVH6PXuQQM OL6fUmxPqbjFN+f+myMxq9uRhXbpwAZlxkOkDqnvmSuS6zwcKyjCRJrwTomSw2cI4/T13NiaBF12 /0wy3BU3KDoOhKOVc3aMXn2L1tGiLpwEHTd6nVrRBRKzqkBT2m2n5njsZidD4opuXDr4qVRPFxBA TOd9MCO++9FtvDMQE4HrskDlvz+HWm7Vu6sm/qb0FloEtJoz4iZaBPjdB3XOxVVOC1988m97pXEI LGFL2d93pHZM3dpiHGfr6CjcJa3lk+N+MjH9AKjdWiazge2lrjXtVlnZDVWj8/99sQYPxwKjX7AZ g4SFUlYgB/hQ9xWG4LXZ4ojJ8HFls1hHjYZ96TCiwVx7u/XOWyIpSpXed03SemnPGZt1Bu10tCiI 3Nu7NdGw+wRMokypbcOcV32ANxJ4O7Fq/wKuTS0p+yxtSyiBWd8y9tENG6ML5Sa7XT9lfSyts/UC sXpVuMFdlYZ5ZVoEo3aQGl/WAR1OVr5op3k7x8fMQg2QziLRQkVT4sxIiVDvD6zbfM4wQ8SHOrrw zDWRsf/ceNdr0idVRA3tGwxDZ8lbkIM1AXJKlIqWu8VhEZfgwGIjrLsPcxEzwni/h6JM+n5dDve+ 8qqXHYFTC8j0J3btJW3vtiqK3q+Q9Tk3aBHl+sKPF5CYhN+zl1Ui1h8MaeG+bKYyGr/MlLBBfsk3 YoI+3ZmjGyNJmd49yd9c/y6G8cDWnhT/PzNkkD5FTopgiip3p0TQe0LHGuvD8UbeVu1l4NXiRh5z KgrN2V6DYAqc1X/p2z1BkmDKhkn2ylyT/nzh4cvVXf+LpSWzDgwgW4jh9HrkdpPkaojei9FekWrD xpcdbDN6n5iO4fgCes54fsWXw8fCwUv03XVeVtiYrVEQzLxdhYCCJPRq7EEvv1yoUN3WDYseI053 Z714YHLgXbCGIeh5wgzvVzxmMkY1JZxx4FkBrfY38g8Y6SXMdD1eDyfdFntQVkErwFnJiNctXmcD 5lZeAs3XWIZeVyzmHggEVPzuvK3iVUbCH40Q+qZwicU8xmhYxtkLcoDuYhBtv2iRIzsjP0AfV8Eo WkxnyXI75Uh2nhEiuI6CcJV/2vKQR+ZA+qbfPwEyV7tCSKetUwDNXngQ9hIAkerGiS9MP68j2wmS 4MM7lv0XdJ7iX27Zgq+PNGowC2Di3WOwsYvPFVtNMnR5bHgzOSEa58ajHIOFda+1MM2nIVafFVzB srLor4dWQD7g7jBkoXloVFBMNQOgGkVc3RPwEYN8F3+BkRRoo9ZJ1j3IBbvSZWaKLntaMO+JZ+DI 8zVU8PzqatiGn+K0E6EnhIPRAyVTy34VbdekMpWsl4ByeT7lhc1mynqpoNP/GdkBK3zmO/ksmZsP kZ23LEBDyHloK2ylOJKLV+TXGm+2QiFbpUx+7l/T285T6yxJwzimPYHoq4gEHMAJiHz2p5UJ7OoS 46hgT/q7aFl1tnQkhLxwVVDh/V9e5+pYi1V7gk8EXGBsGNJlpUeRPcPhZL5UKrdNHtiJmPcZtsrq 1ECPG1N8bUJ0/zzL+3LJ0zvMuuTG4glmqPS/rnYcuFEQliFOmhvS36tAWFX+DAOFEVJpzeHG7vW5 orkUqdJBShFpsZrfrEC+vlp7ChbP/O8VQ8UdzNSmnBFrfbyTUKoZJUGDVw6rJaPcpxfR7U7QJRTM MroXdR2Porc7OugO2sWhDhgsw+H+wwCo1j+YilcLEaClhNiGese0Any5vvwv10HMikR/woRZHqfZ o+OyTH4ARMLTAE3plTEjqQfXh+wcUAGNOTGSVnKTKZ9y4eGE7L9tn7+d89MSx1AbeXRKkUdpGo/e rji4oSx30Zpews8mk3uSrZX6HhmOaN9But5eWpPwKh+L70abv7IAv83AT5hayRhNJsyM3ZqFF0XW Z5wWDswd+SjKyL/QOehNKyu1NkivmNIo1knPaexi7SIHhPkcsv7lRK/U4+3O+KjkTwfox38YGcGz 7u8mcHAiNnxAGTGPg4vQjGtL+NXWuVqxbrm+1bvBV4GTjVm2tjchlNDZCo27axt3RydK3ePKSA1w jVYZp+dvUIc33GoiFsbIT7h1z3ujCLWE4+23wr43EG17jUyAMFCTTskWEiSMSQS7a9RMFrsP6J9+ LYRmw+bl1hYSozfb/n/S9FXFxDqQho20ppkYApFCYRmkeghLSFX/zjDrJ2+Oo0Js0Er489MUZ5PN yCD5tGjUehQr2P6B1neXpUFqfBJDEM7l72nmRYhOC5AgLZs+mu5Ja+1TGec4Mzl9NOJvGekhfouY LdksEKUrrwjWyS90WaECuRZdXpPk6yFCRH8YhB8uvLD8oZxF3oKIaKQyXR4m3YvoHQHK+55TzLz/ tHb5W+ohMkloW56VWBLXgIszbnfAosfRBV69OllJpq6g5YSC+8Ul1ebngS308Of3fxfMcdo5jn5c 6Vtz20EOnj0UQD7POqXadH0/54Z8yK1pOP51SAslI6+P1kLL7d0BdGpaPryKaJ2o5139oTH4D5U1 3xKS+cHde2/iAFAdAkRf3KqCYUIin3c9/Rq/eAFwetAj+Kp+eQU52XHOQyXqDw28aUyuIMb6hSdH BlQZmN+nwI3jf9Hz3Rfj+1iV5tlhVUgjTvzO4uj4SuzlO2B4oJv6FZnzFSsW88p7DxCZ2ymOjAtz HdnIc7CwUlL3Be+iHw+vbd/dKxj4jMHAFvmNCvY1Cos6ETMSK2KibBccaMJBrKg3Qgj2fA+CEn8O g+txfwNGiHsbOZ0Qd+n1uEvtuiou10aWxY7DclO3c41lJ0TgCOVuhrkxdh1p3L2gFaUwrd1MV/0A T0V8pyxDK1VgIAMDTdo+YcNkfosU/sWzMONiEl9tLwmA+C7EEVZ+HMBWUAjhl8RNH54JBfSfQzm5 cJC4383OoBV2OE/knxeZbuXW3YJa3A/tYedps3OZDdglW623JqWcyaiVhFAWKPkrk/joSptTcGMz eLdcDX9ddabCYdqFJMn1L83+lThem/1JDE1N5et8/7wbJjD3F8jBmorMJ6qG3xbt1u+EwavCwyKL i1AMDSuYfwWOGIdVkdst8NEKyReIkvHzcLqU3VrAw9yVsrOiPSmCPSd2iWBBi+6e5xUtKVPI/4LY 1Fy5fN+rpQNZvRul9cRBnss1pvfCmUhMlDFb1krxjl3av2ztncLuRBbUKvfnHyLYlPp3D26E5+9R fim6oARYxQjqFQZsUs4O19mYwZDtlcTnIQ2lETtq+hlMF/tkoq8ypH5gKxphp5NWxrFDwocEf+Ib PTVItHuc98knQmxZD2wf+05NvylqDdQ7PIkmU/zlaPnP2CIkHxIjAcWC8fbTXV5UnECwcK8lRmc4 SxTvnIwSdPsoJdWFKCkwUePVWFFIFtGCykjP4+qIQHBF5VcUvsRfSARefqt6M1XRrnDOAN/Iv4Ov vY9eCUoYl/IYm5Lbg3wxli6W+JZjWSj3FM+lDADw2D3H2gLokj5g+K2UOh3INjqQU7ilMQ+1/QFX vEA/v6R2q4RN/JA/JrzdiZP2vluJ7/+mNjsGYBxdKbVJed2wfso8kTCPyi6FDL49pINlBk5LdhH5 PCdbHIDJRuvMaTfyqvgv3tKfz0Qe1EM2T2YvppZk1KyHQlRFC9/MH5OS9ySWncfFv5M1Rvm1IT9S 2LGJHY1i+DxBQV88csV4VAiVo450SUcXaZxVTZ5N/l3XnJqlFaBGtE/w9GW1Q6glmAbEeU5h/Ipr 5zSfPzCSn5LGB3MPDHNgRwR1SCcnDF40afTvO74/IvrsLU6Ipm6rFKns/g/Ujcz2B0UFI9asgISp +8HEaQlt79gvXGcuJecq9So3AGbYIqDSr9PLnOUGngNg33WPUVfJXPRlrAQIb2DmpE1niFdddZ7d J/rY+ZlNJTZMqY78vza+x1hKEbJD67KJhcUprpPRG+KKs3blHUdZcIhCMXjyK9Px3xSvdEl/0B7d Y71+16i7sgJiVfQ3hJDPFpWW9nQIYOFYQmS3uVkYQN/Fu9J9QFiyaDEFWZIcfBcqX6wqYQz4iYgp i2od/WdVc0PMuZk2w0TTOfLKTekt635acX84lWNvlOpl6bUSOoVowpURr7SPx17nHxKT+A84ugIs c8Bo7y1EXTqTxSi/TeFd5xVzKiTHf/2dzg+23zPRdd88ZqQkW+JrjaHc/sfSP0ZhZnNnjk+Uso6L yTY4X7kGDlNwnjyybxuZOprMLrBtWhXt10byEZzPPbpSI4wWy1yRDO5DU7FFxEQyaiSRzGh1sZxZ Acden05+GPIcxS4ljL5RYkHtG8J+194sNa/6B+S5Pkc3Wd4bMV+WlgvaKm96/qZinENLFMYkWfLh uRjzYchRRvrtS0YuMdnSxbHhq9svocsZE2uRxtZ/AjRdUqbcC+OrnqfB9llN+VTG6LQKDR399rBT RsY+og+S5k6gAGiJK+x58pFjdD5jg9/36O8GoJlT83BNi6grVhCVaKip4IMlfk5/Vt4TWCbExFSh WXF2jJgizY2puktTHoc+F09N5B82iAB5+aldDn5N2rNBlVl+rQ5igNzkE+qJ0BySEt7hTiQcnzch D9MSb251dneC6n9jXWPRMrU1FN4t3SkU8Jghq4K6IvLmBk7+3bLJLQO73dtn2aofF6O4yzqz1yzr VOMZDXBax/8WFUJUiZxnT658c1or9R0MyXPEsElir/HVOhc0ay//5CWLGPI3bZ+IzI908nDqajx0 jGnXGCmP73vfbi6EZrdf99e56n/RaIBK1KNc+yKQeWByQGtmRNZS7aH7wp5pNFnJUftelua2r9AX GWmNKx7/wa1L9f3877TQvaExtjfBiYW7czB2WBV4K/IkSUO/TfTq76gfXNNHhiG47hhSOWLNmb2C xv9ciMP7CkEkKpz1fmxAaYXkYwLz7XnoXqRAub9nL2buOMJ01hjeZtvR7bVFAL4NtZIizJYXYqRC gWV3SKuUKwpjrFRjiBl9QDBGQtwU9HnCzouzlWmZkqVnN8vBwMxqw+JK47wqRV5UlB55NMyhlWQ6 2hpeJIvgL9AOQ9BErd9JnhoPkmAbyBs8hwSRGI2EJVxiN8o/BJebeQAnRTYHmmwWOBOeE4oxAqgV ikHl2Po0PKAppuFBHpVUFn35Z7EML247jWdc0FZrPmKaEau+jE7v3cXM6EuPuAe3bH6QGFl+VjRb ft/VMJvtwBVXzwHV/ezOvL9HhqFs+H2tF1bLgidZKisawoF25zrfQE43V4T5akQBzki84Tm1NHvz JY/yekyUuG+Qe3RLty9hisnPPghf/hWcFJ5bD3GVAvakqwUpRYTUn/tvPWaIlMYjZp4YgQaPrhxB LfTwW57EPKNoRzbeBHNiWcK6dYaw4l3Mk7vuVEmb3/+d3knOMqis469WTw1Kvpwe89+pVtmKZ5M9 fkl3lfIF6+rbOgDWM+yDMqF8c5N7GWXArBQQ8G8HOQJdZN9JAf+r1KJCSrp08ppWaEbFxLIOY3fJ YDScShZ1yeeVPpW/NDt/kGiifQZCRnZ1mUsXqW0vT87HZZqFUAWGrzb3cVmN6C6xvm99KieVbnfl 0uNwG11ZbwFr5d/c9EsxjUbdI24XZnj22UYGyEKBvXDA/m1bxxEnT7qPbWcIWAhVA+fuqJQtuJBy JbcQeO4KKJ38qbodg4xXOwtMh6sllhUrWmoOMtA+/GHD8gpVTA85cMJsxTWWCyp+GPSFb8dsOpI6 ZOw519ake/vclatNB5lJ2Ew7f0zsovrLRHFos0AAXArC1WF1iMGdTlTNUiBRdHujoc1f/hcJEv52 J8VmqkrAB1g+uJvASjnVwQua9ckxjJnuxB1GHsoGlBjCkW95TpA6Wup0QKlc3eRE9hNXt8dAzmKl fgKroS++xZ/Dy7QkImzAu16Vg2jtM/FIakpSbB/eg9Xv2xfTLEU0Nd41lw1xWPT0C4YupPCSpoK6 5prvq0DBVi/GDeAsGWw3xzI3w86aVPrfrftPLsPaCGGUlwNAFHypfeMsaaMP5AnRGDsKuDaMR8wO Fmh4Ccw3dNjfz7Va3/V76jw88xjHWC1IF27NcRf5yqe13t+XU7HocA/WanRaaotEtTRqZZizVXn7 xSl3mJBlIHF8lece7KgZt5A3OxFtla6wANiO403ENjGStjKD7p29VwuzMEKxUt1vDTQLB0bYVCnc 7fGCGR8K4vRcxzp3kcqB3YV5gzFKmWfY6Yk87NB6VRiOKkKeXcDRV6ObvlTT30GeCevPBccKo3gw +WjEphtIU8degZMgUNAJh/0WBc3ZvG/yZzaJLzn6yuniyZWyHTNIlfo7ZmXC0DYkgZ+18OzuuNO1 cPN8qc4PKbKaDGfw8h6/IvXlJLhzwyKQhA8qKeGlsXzy6srWKcvop25DtOUWlAiP9P4DW84xArp/ EfCL3mnRCOaAgfsWgul+3LZhx7UXX71UcgaKBrDFhCMhIRgK/+bEdYr/+mFN45eSb1q/6tFaA6jW pdt7kIUXuedJKS9xR9tVFo52/bhDuoP+HqAIRFwbZ552TIP67mpOTn9VNuD9zGiHGtlAPiqRyq2b iVALfEWO/EjRBf1/7lYlKeGSirgh0WzgGvXjXIhSg9E/MoNeSyLYDrJvYFnQrst1du1EsOGJMoM2 BaeFv0aAk91Z+O6DqXMXoJaOpTMVd2dja1zl7Jy5YIJPw5ePQx5XaRjM8UfsN8ykSmxrsa5J6Xeg 1ae8jEbzm38X7Azlx/c2Irf2UvZDq47oL/E3enhu61ssLHslKQ5a+xiWY79LYbJnynDBT7VCafJp TVNT9mVJxDq0kCJGMm6xFBuzYhqLbEl+So4oy7chSwJnoS2yS7NCXyH0FK31n4D2WpPU6NeNiyBf V0iw5yrjW25JAP2OuNF5cHnZKusUnUDNQ/WqQgx6RTYlfdVLAEKSXRvnvJErPAJYZ2PoUcE/hlSw oGdsvpgrYMonxi1Bdfw0nQzXJHAFx3FdRQctmibOH8ChC8adssCgRlazAnWkE6VDmziUiITkm8Yn Uf+iap3EwnZdemHfKOmBp75WNhGJqOObhLsZvVx1t7h2TzMX1DnXivW9hD8aH/ubOFN3O0Fn3clc hXlIZh2+1Bd0W3bO1zc+3QunVIryQiS6cWhPlkjrkbZr040WhU23cmSVc4MAILUfUqOzXaJi+uKH qqrQBqUX1RZFShPlX9fTyGS1/xW+UzTkGlNLvs/RTRGX9RRV6+QI7q5LrnkYits33+6I3oyLqzrq H2bLFQ0tYLeQaOhHrABuajlIR8ofCaJURRVRsbUHxsD9uTKzb84zT8TD8BgnxdEzful7ETyJyONQ 4Fj1FUowm6yfjuN0T1CKbQaZpXv9mV9bOwanHQViUTjhNQVN+s4eCVrLEAcggQQhuSZsQvklhNZv dShFFW8bzp+ktqCISGL/ZC2cqmiZQZxaemXSpSgI9cTTEAL/PmndxBN4PP8TK5+hFpv1msrHGab9 sYQZRF0FA9iN2rGuLC1FA/LGLucE5iubWa4F17pvgQtzvTtJFhZOey84t0vUipZyTxqxSNtRXqNT Lks0mVmG3xtfdegdwKf3HkGxaBUAYxRtSxI4iDWN/KDFdXNbCm9UZmHgqiVNxsZa+WcvKWvWdeAu 6nEZZ4aFwgagfLKjNTHDgX6T5Pl1S80SbsaTtK7VQesmfyogWpW3BFDkiBbEY27Y+VDunbBA4U81 WVqBVSH1YX9CnviX8HTSdl8qLpZhUENn9ZT5CmqSZBiS+zzCJ9fEA8lyF9GdsUJXxmb424wYWoeI /OUmN9HdTTcZ2FCY2qaOw17iuyDT6aEQPyqFMu63CXU1uoFJOTgyVD7xiTThEzAYK5JIFYh3QAKM NvXyy2q7LlGtkPZ0kHCZdnXLGU6hP1fPbHr3ztAaU51C1UgRzlYkjwtlhq5RbVJtge32NnIJlKC/ tREpgIAOw+hIxhD1LSOiziUAMJpv/1vY/pxe8S4rqQ1gMgKRpCHCkQJRKtD0yY4Fd5SJewodX2Lm qCTj7HlIiivAzoUpISNYm5oVi+P9b4KjpQwwFPujEp3dEUn050gtedlWC8hO1O6/uldQT+56NGjc JxJop9nJ0Wg6Ni8ZzdAbrkPy3b0EvbiUPM7vOhmFTl4BQEXKwGhggL9PEvneVAdTye4KDTgpDSWA IDzYP2tSUCYLWloIBScwlmGrDaCRWz7+rfo69neFm3iX8HxgPb9JRz6BPhUW3qvLyR4FFH6z693d 0BAbVykdwMkh5Nyoio/TobZD3tLjQdnlhOrNHo8ipHBi6bW0nk4BYtMVIiHkc4SJnUYjPato+7VR ODLHZn9IB3usYxdX90fbg38WD4G0WYyqhjD/VEk2puUxq1Is8fi+CHumaxs0O7blp2P6j9DyFOTx Qqb2WKo0/YKumk+nYXVIgl/Hau9e6FgcADd1PUBY/hAsE9L5zZ8BAqOMPipXa8EOV/OpYPC+hPdh x2grB245mvTjR5gWQsnB+/pYNVrfLnew+gVDsgWIxuSdAMUozq0TTn5FMsIC1q/ikDJekcn7LGp2 K35IHS3mtwvzRHBiO+sdrnKa+42zaM/6N4JXjcWUVSFy74R6X9gwRZd9kryRSsHZ/j2I7oM5VVSP 8c8mEO0cQToOVEo0aQkVOxEudBuolBbKZVwwTZquwIaTWDLfztw1A2Eft2a4WtT0XGw5DqEj1UMB PIUgTark5yhx+C9NKvFUswFpvPnH7sDsypTJA2sebJ2KtRKGoUfvlUyvzhqUmzKvaGVjtSgEWxl/ P8jbZ86agWsbbPkhA/rqkJh1zQ1BpW7BcC/z/zYWMg9TmkDKTEY= `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_fptrunc_0_no_dsp_64/xbip_pipe_v3_0_1/hdl/xbip_pipe_v3_0_vh_rfs.vhd
24
24644
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block leHdrW5B1Ue8ne1t6lrNasa+bmf70P2jS0LwM3ICYgVyA4XnjXAE3KRyD/8gkAUf9C+hYFXAAz1O 1FG6BAuoEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cusaPzk2vFOiZzK0ZhgWIEFifKmSOaQjUGDHZKCdYJFmdxLkotBPPjrVlqCOdv+nrAS98mWiWmMR /fTmuvB+FOzZni8rq+gdHLhYlyMRiO03BYjDCfBD/zLdQOQ1NXEyofWc7mAnwJPIm5EhSowItTxy TQHaRJ21xp30JAinv8c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block E6sLWvMufeIr/esO7MSSsfA/y4zYWP4M+i+2Kb7PjwpG78xkTchFcLuySnIvgoXNIX2IiPs4b7b0 6k7DXdJF+IvsJo80vdVtvxwqR0IHmn4j2FMQymQdlJn0ZtgS6ZxlKJeiv0CJuWZt7INuGXr5PRpU KxIh1TXSKTGc98poTAnOPHc0Cmzw4mK+O2NxRH9j3MZpwh6G5Xm+34NV93bq6nD+A0GyLzHIBESy ++M5o5FSqgByOVRWTO4Su1otrfluotPuPO2TEjRd6FMIpUdR2ds5qii3JD4xOqSkA8egCIuy4NLR B+Z2QdbY6DjTyMh7izZ/CqvryZp/qzsHq7yztA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CcCup7echTUWPmKCBn1gOyC1Cvqq4talnn4WK+t/foEcp3FaVfc2fhltpaZ6YHVIghZk7n/TSiwL fPkWQUZQILJC0h0PaKdV9nZxAPSGoBifP0aeHQScywlmdjk/42WmPrDzs3TxEROSq5bxiNVtMSf7 zaL0QqT2uiy96OGZQH0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M66qLl3QvTbyd7OZiLpiVNeM4XJubS1mfHkOvXfw1l7fIpYLHHkEKviYqsprqC4juUfqbM4jGOzs Wa/ntbD9A7gQTxiux5YljYgGyLOT/9s/aTdgKJoDOsqqUyUxTQ7SY+5XXQWupeCMuNptCUFl1pbL eo8+6sdU2QlvHcKKxXnUej1F69sbqTfZYSXOCR3gJF4tJrsJszLIH8LO4HAbS24TJwNC+WZfrV5i e0ymUF+FCnLVE7tiAh6mk7X3nIHhYF/Mj0cIuq0wRyjOfp61Nnd9xOUnELPjNvM0Ovw47MabhMPo upGT17SKfeuLyEBSi0IRB05ViJlrIjcvA5J1+w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ss/YXNSnBDeKAHkqMWMBl0au7TJkur4GPPPBQgp4DOGHgNqm7epu6Veaj+9izoe1kUIoHW/cI0fo rldl2CaEVtrnvyBOZHq5E/B/y+VfRkFLkqobLN6CVdCYSTI2zsf0YU2F+faYHzYI+wjtI1ItfssZ aGiDdKo3Tu+ThXC7F/f8rStV5zGMiM5YgiAwKA7HSRhOQkKKXCvYYb0GyY/DyYIWi5UYyPfsTclh 2cL1VJimb7mNhI0zC//b2WxC9bo7/dDpJPAwbL/kb3fE0gQ12PtNg1+FfOpkmoDiEA6WgRzMr/8O pEUMiMNYVA/eYnW82bTzp7XYvL3lxpVY/C2f5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16112) `protect data_block HfF0P1NdmPyToATiwr9GlYxB3e5XIiJjttbHOV+UehPVUbvERc8F3zMTsyoF/zi8WPVi/mN+NUvr de9nKcczhay4keJwBY+PfHN+PJgVH9UGNPucE+gTRd1N7j3ha4yAVUBegAHCMwu2Mp6yX/QJco4V qAQ3T2Yuamrbh/HR4JJ+i8QsrDkqrs1zAsaNcuZMnMEVHqePxn2Rps9cXmElwMubQT4Alwpi8WSV Cxiu4Wps7SLdNHcsjhA8WPPMDPnjZJtHAL7zayoLBCLSqaDwPOuxljg/Ob0EgjSz8sSqM0Oi1yy8 zDuPQac0JNiD8VZtWXgWodPqWQ2PJrmwD0dfSdhpOmrv0SB8g3/YnTl5ZqaP7MKolq2k1k1dp12M Fb/stJi0CQ7Xcjs39DKCjz1GqOu0FPkIYopVsrd5uMMMgVt2hc9yyLSExEsCk6piaJQInZkHo101 PzyqCJTtaYWauczLGKybDumSHrwxAVmQaatPhYLUaJ21sk8Jy4OxTFYNEf9Fw0cp5Ug7KHErJpxW bxIJuroWLm7H+RJdf7h8vagHueGvH4iMJ7fcZOBtcRPi69cEOHgRWdt/kcKU9OKPIvsCCBgie2kk /h35SoXXQKQwwLtgRlqJMvFDBjcwoCbGy1IbDjqNfacCOeyyLvSbgcQMOIS0CbthFaEKo8z/xgYq HwGTGSXZJf+OGw1cGhx6GecVM5SwLkydJnYlIFqYLn7oc0qniMv7BY/oVJPthdWtpqj4f3OK3PuF EsLoDx0F9DgpAG6EJhgLvj4hskzZ8l0C1MHlNXiBtPK0nuKYgGygo2kxMidtQ5aMe6Or9im8EdTE 2byO8hXmOXZuPBuyxh0FMLexaHp9x7/C0bk5QEXUfPRbL7tFIAQs8a4tookDA/oC7jQP8L/istEq Xk6ubtProq/GW36NF884aVVMmJce5ZhefAoq8IS/fjCQg2cI6RcceQHviccMCqVfcsZPKkGLIxio qFro2ncPiR37UnXabN1xuOzNgBB9XITwZCiIurEw9r75WHrgmwj5RoteIAuk8fZaz2u2d/+OGC9l Dm2UVPWNd+Tx1a6JrdYQMYiBqhyDdSyAAPOeIsG2lD8/Zr1hxzYBxNYE3hISdjBGrO59V2jNmLww 0uSr7YaXTxyvk96RXSlVLrNwXiHWnyE39bbgjgdKGM1hmy43ta3CkSPeHDiK9FY1y+a74Wy3OCvO FeNhhp8nwTq7gqdx+0BId7GDK7jzx8g4wq1deVJqkZmahNQX/QgvtXUHSMYB8EXWT+7d9nYytC7o lerCagdsM9x98elSJA+mNrxlvQ+uHvqJsIphY0dNWYKJjGscJRf2klACRziGwydghjIV/P7bBYV+ Y8nqBBIKtSDjeeJDoRzn07trDGlJHJVA5WX7Xz2cdJUhFjE0UjP+U9ZHv5lTMEotWbDg/VT8WSJ/ z78l/YGT3VLOvizGlvAeeXnHq1/HOlf3VNYaSldIRBG4n6Lec3/8z6JuU2BSH9y7duQIwXR/iogB 5B+6xvhiPB+COIgYneYu7WoVBUYeaexv9E3KfN2U/oyYKdLp9geZmApZLVQdgOCjdUhAewdKWTX7 XgWdDWhSTtPEi4MOgoEHQZoCfzsAG1JsFK1PLFSIeFKOgePDeOVyh0K0Dk+HqaqQ7Eiz4ps35LhE OSoHNCKwVS27xgJYp+cH2hqzlc+ThW96M99gljW6R9xCXGDXymN5dYMJo5h+5E2VRk/6YTDSyfo8 Q+p1+Ml0FFEY27owAVOliVi63HMSuBSA6XSEYVfxu7Z7NERphsSk1iNDeAcvO7QAxfw5rkma8dN6 Ju1XMPzULSxpbBlLV0ggw451uV4v0IEkwWaxhQfNtfmVnZR/9BmgTgAJhqxdv4qRC7ixcaaLYnSd GhG03Ozo0FMwdwF9wmX58kIrxNwnun0NsS57Ky9Vm7VZF3d7W8vaw0My8RvO91rU2VTS0jL/g4b2 hIk3X+ymV3Twd6nYEVipA/I4W+JshBAiyYeSrmU4u8MCAQHWGEYzLYOXR60YUYifgQIatBARPBXr BZ3c8IgikrDjbVKTpUX8XhZlgIg3IM8viVE89v94VcpQDtZAFBkuYr/NPN+cRcjvWDpdldGMr/1F qb/FqwMWqs2JQWsl+pvecOu/WhKyy3nz5riewZiXBtqbalZ4b9l/Cyvp01oBmhJH0X0rljzZYlo4 OId9FDGcUKT3TRtDQtINZHcZp0r6GdjZ6xdwMHaNEY9D4ESi85gvB1/RqUrzmgMABTxWQ9PN5/+W 7McO4duv6scUGnypS3uQNfu7CzVGmtsjkDq3ZJJLGDOjA5gL6zXYPfzawRJG3b37dmwbYiwsPDAA ufnOUuKw6uTIqY/ZKvG6zrUrrbVj0pmuL3444JWBWjT6dDwODk73Z4jVt53QFgPska2L1tbRbimR vF0g4Y124WgHkQn86JyK9XPOW2uQd6IQfeN5Ryi8W3DRzUmG4pofTrpQ+KE+COTMXqWwUXLHLcZW kh1jV6lvDJkLRhF6aT2IUiMFm75P4Yc/eu50uXHtqnHYF9bI5uP3aV8bGp+7pQnnedY7xmVFMxeM PV3hBT8oDMfIPBPbhRI0yCvofWVeLPFQMVDy/Dyn9g1KPjKb0Pjs4oHELcCXFJgl7Mz2PUvs3uwL f/mzKrxMWu3lBDv1mpqUie2gv9DW+8NQtAfRJXfwzXLlBYllLGThkXs55frVOUQodc6MoOmlWIri UU9i5Syi5WlYTazZR8xky6YksDCcD8opjcR8AEDN3i4y2IJn16CVFERmAKtvSqDM9Q/Mq2oRkSo4 junVxVXCH53Jl8NmWr34P1Q3CnzQsASjeNctczwJ/l5sj/ZK3pEygUMKxXzPbdCeXY+z9/dgZJrv X8bIZBdDL77G3x2NplADUR0T7vvxrPyrP7dJy8z1dd8VhbNlEm8vqSGDTnltSPFA2iOefU+Kvz0n t7R7Yj9C+4N7teyRiyTdvxq4/0IVTziLpoPGmCuseF6g9NbDd67CsVsZ8WlfmHKwrFMLZOt8I/IK x46B6HHJH2ZsZ37m7pK07eNptjcMNaatxghL1NUdo50sOH3mfCL1mgkKCMY3qvhmfSytEhtcumoP DVkoV4k3F83laP95+yGDPS1sJmU9f9jWTWbD+cLP7IiNbacpU6OzBV08CoDYiuDi84Ko5kK1lsKp kOMa6uWAMOshL5iMGurQ+kUo2NyEN2fILry8SA34RXo057g3V0/nh9tjGOqR5IH/B/AdFqbQQS/9 9pHZCDRMXtw9dbnSNw8U+GZ+dCPGMfX6bqC0fmZi0RbWcwdJItQaJHjAapoPN2cHdvFhn14FxDu/ Z+im58LUDwD6T20Sk5BnSyfUsLVJ2y/Eb4OnyxDtxO66s1JBTlG4zaQj33+wmtsUPLLuQP2d4qFt 86PY6lh0TDxytQWdgKgV7n39afZnCBtL2yefScePoXgPMX56RNSzqvGyV+Vb3htGTi39P49oRzsm jfxfF+1cx4WmZvIhYE/a98Bd6Uc2S2unYDO+sUq9ZH4tqEvtm7QxkrR9wHfA9/R5Pmi4KT7T5kHT YHqLbTblPDVb9kii9Oc0qIU3pLPsiGns7MkJos0WztP7BrU3zq7vF3QhM42yyXJkBN2p/Y+wDZZr j9h4d1BgwtaZ1UZ3Hi8Sbn57z5Egso7jTt46TGJus/AqHeiYmb+M40XKgLNFP04HwE1qun7xPF5I iA6hC7S/rCvSJ+nBBXh70/WodnaIvKZw+DV9RHcos32tAQYU6HEoXXMNpIGA3gby864rVrceMSI1 dGxyVWxFBtlvad3MX00eIZKP1w+YZXf0mS2qKuyHqKRbn9uCZu8JoxNKUFLOi28i53CzsAPCJN2N JN4Kc1O7E3hh2mR4MLgUbnSdNUKu2th2aikwWFiIyRtP896kzxneff+Ox5bPQtCp9Vb5ZgXAzeqJ QK5/YiE/f4ON0A0gMzAh6mxqne3wCcuhRT+t2apxxo8ERKoT/djgsszKazPwENfGennjMCzZH3xh wAYv2IFu/u8pc/L0WvPlWJx+qeuxhy+DHvpqa4pdwnwco2xLiTZgTFOgZf4YJnP5AItZ4/AgvI86 1FySZsiW65iL0gPKbaFBOxuxQ18UXKJRDasYPaesOQbpN5PgD5rjXXU9JnoaGTONS2W/k0PzVjpQ LAyeSk7uqx02tZA9QQDZXlyqz5QrpWfgw0M28St1CL4T1GeAUN7GPaqtWzbJx7LJe68aCjQ0BZ4q ZznrfETD6CW5o9UL7nGfebCysk7iuzcoEAhU2g0NhADDLajy1YdaYDda7NjyrrXOEEXmlIqTTEyJ LS0bLtuHMOMuimy1lJwAmaAigExVKSnSOOxqtvT9Zr1RZx8UyJEYVUo8QQiheko5WU2aU8i2FNmZ Jm8PfShUg32SbrJJpMePDkeUbgrtBor732a1ZU1RUuIrboTT2dcP6UFhTInE10vYD2s7xNkFmS92 X2cpH8rs48a53EJve/5CR1ZNvktseq3Of0M3WU0Ia7V1Y4BdBKnoMq3dm88ZvUyrSEnqz9xeZxjx WkQOcorKH+RuvU60preIYwfJ4fpHNsQeFQ211TQVSIuPoBPzdTY5mWwYsNSqOUpWafFFcMYXLwuY SitBkmhmjo+PlzWjvvm24E7qcOOkN+FFnZpQNakqXH1q+l8DU1NuAu4ZXfb9DnRwRfoSBdgffg36 oJBRghSm/EsjvhMDavj91szQYpdFBiGQvjGW+k+Rb4K1z8s6RB9BKqLlFznGuzl+UxbJhGC4+fHk TkN6YDRw3PauudpoVDvfW8m5N0Hcof6FoR4+RhabMiTKJiSUQ/0U9qRhOYweIiI6vWkRituHOXPt wSqtzNXTYLSvLTRwG8XGVpfCs9AiFDlanuIK0ek1wpubyDUMOjLz1aW3ZBRVWufNbtNzl/+n6siR jDkCY1ZSlwBBrSZedityEAm2golJtLAT00fK8blf5wI2xtrV0N2TleAcWFIV/cjlYDTk+BeTDSdf PxmymnEg5ZI0FGIplvUR1Jutsy3J3MIfgSeSalEdAIFUTxc+NQ7zYejqZ+vuGMFNVcrfoDcDFVV0 xd0q5sELpDzNiD8PcDlhGtmMt8vpqjSS9b2t3RVn3eXiu8EgEXVuYsxY4MrmtrC4Tr9R5J0jAbwC sSn0E/4/KXAlMw41uy3uygknQ5Z0o8mh54rcXOJEkD+fbMUXbfhv172v4BS+BINmUSAghnqwXjfw DOyhSWqH2AzpQkPsXdsVspVS93sHx0g1nQPYhsjYKyi3uFLaKWFkK73dqEXESzu4xm+AA9MmjRcL 7tn8SSSL9uKJzRRmzCTZMRHOWefU1np3VZXqAABn6JI5/8UFoj4WktZH0z9kP92xvgOaLAYohl9d jL+iDgq7n/u1fJ3uiP4u3MYonw1mVtk2JI/LUoETM3YOPBuB0VYoHo+OYJHiHaGq49KKUJ7grCAx neKQAb1vFRPQEDXr0/AcGs+PGwGB3qw6wCfESjd16rO0PXUp1kgZXKkRrz/ULpK/eGH8K/t1Lal9 6GES0JDHQCS+CLCDUJOYdguHkNOxHwdxWny6yILcGZNftJnJPqyaw2fWIPGhRRyB0EV06axpeeP7 nvyadiw8N4nBD31fXMaWmR4xuv4l2nUwXtoEcxhmRTGtIj2wU8anaNMiVX5UY8eluNjOVwGZ6dex sHakwu8loEKeAEV7EJ+pcSrUKbEA1deU0wmeKOLwbXekChScszRWVMxt0rPHD09TMQ3eCfJeug4e Iff0/QPolMwGe37JdYlVFk4aZJbC/VF8ayqDT+rlzM6QDkhH5gBrPyTMPpY+Y0ayFhx98j2AHSme tdGQd7vDfCdFI42mbx53Rs1vvkBz/KyUsviyasJg91q4CfngabytSpsMm3f6C2zULTLkf4BxsB2j cleLqpucIKNxmwURkeV9CCs0D/6Tg7QEF/GJ6UEHEjCHOvhSZEgxRUs0tHrWTp7YRyZYvLTo4Bd3 Zmo41G2WGaRecpPFe+gMjTX99APUd9Eos+lnPqa6EfslQIlGrHJ2CjJyuUwXx3NoIN+XRn5m2Lg8 HOwA2LMo2H+0TAFO0XKVEvJkBD1bKt9+NfNu1ipRGfbzjt7UTIzI+SOcu6b+tH2Owd0LvlH1FwG4 vpp+QlQNqBf0ohR2AeRXCc1p+y3hu5QKW5ggFCF7i+YcX1vf/n1Wa22GUDH1NlwA3I2kvU+AS+jV 4o4N5EL9W/XRgHou4Uar8sWvtIYwKCuiI5k48aV04xO/c+UPajTvaAHsfB/fKBtL2dQPNWi3QV22 MkBpt4RLvSRrU+NgU9gsblUKQjL15S2ZjgGN8kb9n33QWA1jCIy01TimrYNn5BtvlyEEZT/W0KSC R/bSgjQ7cVkwXO7qt61Nj51hDnWWu/oyPd9nHRbB6i/GcuZtSG6+olLSTvfjaqnCPrJcVTgOAr3w imCbT9PhnnG3hAU7BskDmtrXvPOqnETrzESxtQg+iyZ88ttL+SUT6o/twAni6jFeKWD4LWSAqgVc O3MFetWgPEk1R2gTIzUbO0X7L96eSF/Ze02TJZVnBciXMDsHWGLaULx172lt2yanWi1DzmpwU4vC AOKLILGu01kt7gWr0FM8WzkWIUlRA+t169pLV52Lj5XzLOAThFd47eK1PbcPb6Hl0xLEVgsXXegf TddtH9gkroZhKJtc4rNZWlAyOkSlaWD05BckPfp7QsGOqW1vfau6dnzSLgQ7DQplc71y40ObbR36 xivQ/FSpv4v5L91be05TxSU2uqKwK69R/8B9iYbAvinkQM1B5Ev4cYWEXmEioZDS2u+9WW5AKP0E SwPfR8p0UQpgZMWaW3ViQD7DzUUnZdaaVmKjGx1iyncX9GDmKrJ/xcLc31qWTD7lilesFQXKNIXQ DSqegWq1B+9azG/FzBAz6dGOH9b02saicoFUZ6zx4FGZSafPGTVyIDrxVDfIGWYsilrn38yjaC80 W+d7brTRpTkAjQKhyf2pD/7gIkbSx41EQ84J+AnrDid0y6Qzizmo3mTNTI95ta1CpfYlveif0Zf4 R705epJE8DMBR4xVZgrexIAaqAzNndj4gZIRJhB5GwwFEGrOpVdDMxfrXkHi2J2ii6hYuSGqcJJn Z25P09jlkI+v6+wN+9XGrLNKdviplYQ5HF8XyOiA22u7O5+nKMf0fztXkISf/0Br8Fg0eP34w5gq Thvf0E6VM2KF31QdvocTl/l4yTDzdG7BzQud86Ka+FTWYoSaIo31RzBlVpBfOIjG5gqKJYwIvocU 13oM80Ux20vuiqMf3tCG/8P+HC1ZoO+mEbCFxq7/G4OL2pvnONWzt8ntlvn1cneyDQROib3MmxZA FTdd9vb5v0DWbAFXgvFvjBFDPGM8yQmfFiOZrm4vI1dtylBrZlBmeyT5u4ySxlHu5bUTGISrX8R1 pkIRIwEcTcjnvYJJ2MmvehS0FdAHm6plwAX+ljY8QUWExhXNqQuyT2eFov8Z74dF/lioI5BuhDE+ YxoY4KRYkWrpY/b2dQs94wT0ersRFzCQzAyn9oYCV5eXMdj8I+Mg7I1iNe7u2sajRo4RkhXzy0FT mZ8S2BpknIA+6/WVMzlTyOhDWU43XwFyjAPM+rbmzAlWNe0Ho7xBW4UWbbaA2uNwNDQktvAXjo6J g1ELSYazv/dMODURwDoJ5D52ukJ8Prx10FmOLTBWgHrMB1oRofeIflIDvIlFKhzeAJUgxsNiAp4m hUAO1sbaULyEBJUlthBnVDLuE/Fwh/gANEr0NILxvGGYPbuUKaFzl6WHJeW7QbOtcIIdIB+HaFSU eyqb7vrGRBlE8vOOZm0sa3Pee77x2YRZAh4ZhpXWn3e7sCCU3rnjx5KWEX0uWGEOqlcHtWBZEgc8 m+Oamtntq6npRHVVyrEn6LrzJDrPPD5lnGRaewmNne4pazMvl7STNOHC8lmETzXtkcpdsJrRYf7M nHjXvVrP5W7/scAiyKLDaUlNNKiAF3Nxs/wWz0bCmWgOYaDK/9qK/yUAYSNxZSpveoWxHstRIgUl 9QxoRKNPmGdNgfwfhAWQlUBpDyvKKRSzIwM0p1+LHHMokaYxMLEe+RID9ySZA9Pb/GYlR/fa5HB8 HGF3qY2T6naGWPrLSW1XtGpckigq5Uhz9HtoIC5IMJh8VWRrncqQarlzzd+Na2cwWHtrL7zTb/SY hjTRqO8qCqsjsBfEfQoiyaqtLoVVDbd58ffN5n4Yl6PJdylClR+xntl/5HCLBg5pMcfCbtSdLqeK DmZHGQxjDfpvy+WPtAOxFvPFbIw+1EuWHB0M+uJiZf0m24pR7Eu4dtfcAWBrLa4dOilIwbRdG1x9 KSAhuAePgjyDAH+O5zzzv9OiG4MXiW/geYIdQX92k9vm635KUQEPG5kBP+5lgQ5RC2DuUOT/6HgH 2oChkeeMLq+UNGOTb9Kjx+J0MwELa+DGAi9fZXrYn3EPwH7/ChBMWXvNBy1rsFA7MzgQf0PsQGzT HZaT7WR7V7Yjw2cfmz5qyjahC00T2IMXTBBsySueRzEGNnAKEI/T394cnKdUCyoqP78n4GDd+iTS 5OYlbHVtVbgP4Ph32zjrmIWrKsDn6AHEmUZOy9XR+2wT32C266oluRpvBRfBcpSyd2LDHbb1TiAS L3r3xEEKi9b8AYJ5ItXjZaZAUw6HyBw4s99gmxFXYcTJdTtheCMbpG1QWTpuYHyRa/BHk7oxrl1M 3chfvrnAkssn6LrLohZ8aPrSAVhoA8rrSBuxFBRWG9syTgJYdkgJ0sywLszuqXbrUTyY0AEfncPK hLg8+UiGbbsjuPqe51M4TwjguJ0al0qBvl0ndHTvMtwhqZlrAMUcJHNsf4yrZg1CnZojvZlNkChL DRwJvMmjcffIWOBu8h82VOguIBf8IcAzICpTtwFiBoZKawc0gq5Nfd12qaLbtatbTEKgou94e8cD 5wVBjOlZNpo+bqeNTlPWiR/00l9gqcAtIsObb7WGILtWuvL1JUc58Uon8Tor0xjpmgk/rjEE3s8z CXAHNUEcokA8A7qSrfLWvedch2H7FIpPifjSy4QCJIJ2T1lakkW4nJR4y1CslIow40h7RDAROzBy 4I/clTp2C03mCnvmB5iLa6eA5quUVlJohzWibocz/w/KsD7+BPzp1cfXLKugWThVgC40V/613A3y VPvSbym+S2iGYlw9PccCR3by0sHqVXUPXalW6x40YpK5spqLeIdoUfKRPQ7vkexaaqnEbMt1su8z BEDVvzXKTRbH2FBTGMlqSRhuJhbu+slCJS/32h98aABokMTzWTVWl8nIs3FgEYTfzuSkm23rBDtp FqGqt7WMhqxK+6z6K9Aja1XH7phzI8B5ABhG3/xqzO92Sk3a9N5/d54TC0FVtuBg44aScTTJv2Jn Ccu0hPT9f7qCA+rXcvFEkwNJk4/uMm2crPQh0qAlnbMAAlYVVLapw8OsddH12v4hMd7/+RiIulyT ayI4NCIIcB9vsVyVMJaJc9Qu81zGu+g+u+0iRzEzqJuTz1iaDPaz2S3oABd//tab3wEux0tsxaYM NeNE7Ln4xPvHTjA2P0xzdClr88AgDpWCKdoupL5yGbgysV+HUOyhh85gKtSx2NLrZ/YPFf2aami4 b3ZYTUi+/UONbDr6BG33ua4OSpsST0ExguL/3F99jNe59GJ5n5/NnZKLh9LXVO7ZMOc2pqN2ElF9 xa5HLsizgQiTN7XkCDMlvVt+1O4IR3dtok+9dRDOa8kcqkrG3/elN+CJqAeYFkmQfFKGJxN1q4h5 J1GPnUvjhHUBbY8kVs+TsqkuVIX3LsAqOLfg6STq0LqOhzuw7E84ps+kI8I0j0WotzqYimiir5t2 cJL6QsIy7IFJKJ0SQrJRnQ+bpdpdsYzsKFqwd27W9yVwxRQ1SLnje8D+bM2g2o81HstIViYT7VsA yobcg5CL+hUAQ0dVrSHXhF0tTqf0KmO3BXBXmN2od8ytfeNwO/9UZ83xEqhfsMvWya9A8padtMl/ Lk3K6KxcU5Iut4OnIR5EVwvtJ6OVhkmwnK8W8FoVruVozTBSYSwq4fzoEXoimZG1YaQwzmhSEcub 93EY2+826RZKCl9VmY0OSfyhh8akV80HMUIEdB03rJrDOT+Q2pj8wjuDPOiIEM+i5GtOIXml6Uqt QsybqBe0jyR7fkeho3A7KMorNQ8Cf/HKb7sDexhPtR1eFEt599GnFam4v5BwnitEIcvXoJHUc+++ HzhQIhu1GMJ9ct3PXFIIZ8sS6W/T33e56ksI06rKh9Yoh8ZQkb05D74shaejiNnqToK8AS/BprmU kIZBzeCZdtvh1qDC3nZlgtQZQnupIhXLjs8Cq1hJiFDJqMZByoXbJsF9osD+DmCKQDy2E6CKx1e7 N+EOsflC9NzGs9hDOUwbhXZbsslIOVJqpWO9fINv0N9gcc6fTAEGspfN6ZqKzwFx81odIGKyd78Q 3Ixf6cxdJKo5byvxEylTzGJ8i2v+8vowHBypM33uuIEIUUhGSO3Gs9eapHPrOO3GT9Vcm90ODJUf 53qGQa4KygNPjcMkv7v7QVgBFGg37MZjOowmj5CSV+a1t8JyHmgsG8Oprt5F+M3tO9nQbcV7/fIT GGVaFhPS5/zsVeT9W3RmHDiDC9b5MTP+JxODt2N+iOZ0hHsyfYbFmOJzwW7k2eQPtGscPN0pkKx7 tuvQ6AxIM7Uj29TSWxU0HNlPB/zuIDtWUSH6E9sK3YQwl02NDXedUSZWGAeUcxh2aSbwnAdSJgbC /C0d2ID+1Ou1Am01ULnPRB4H/YOLK3olzpyv2wuB5NOo767e9GabnOGCJaquY4MPGi0qMK3zazLv w0FpxP15UOzpVB9dMhjEvy9LiHDxb5js/FprvU2Uy/XBtRfZpV7/hWiGmm4WRbx61U4aXRTB/NyI R4IH8YJH8acq8+XkPravxUDCXDSetGP/RkUlPVn4pW16unR0jpsbMO4Ot14wR6htH2/qKueg2Q9i LAivHeLPTDl3CS/XrVK138gILLJ40o1ScWrtNuu5c57HteFZSU+PKUgpZlSb9KbOaJVfFmDQTDqN NVQ6yBhmjEQm18G6MHkn4Gsx9tSua8H/hrd8E/lZSi1qXgt62I+pNII6ywAR5k61FuRKhbmBxOak 20ytrPBH8iOPqEE7kVR7fkP6Vz+HiqbHK9taf95TqCY6ihAjjgKB6HUqWkiGZeu/a4S22aRmp99m MmxEQL7LS/wtSu6d6kIXdDrV4FZl7xHYsMQDrSA99SzybuRVLMFUOD+kxaCGJZ48d7f0xXX56qOr iKEZlTbPjwfy/gYubhhUoxJS//jA6l4T5SLBkPQlMMcMK3c/zCHfep7HodtMmgAPaHvpLSAu7mg5 1vcHSe5ICys+wQi3CBii/UAl5hKS95kh+MW1QSGlIt2D6XLomEswNnzbEwolPLTRKCWHBrRa7INV WFu1tVl2yQjVaEZIobC3DaxCeX6b3ELUhHITc2aDNOSgpoQ35iNBCxtDzT3b7d2UaMDMtTq23VW/ jY9dCfNnTghX5pDxYaU8sfBAcpjf2R9XJtt8rbVwfP+KfbXZ+kkAseKvJxp1phMih47+oN18xRNs 2Y0GQ4gPg79OC0XisE/dOSpqN0mrjRx9fuTxaw1zIjZdBNzLRnE56x8qU/iGKDf81e/2SDVpYL0y v4a3/vVRqh2Zn4A7bnuKkv3kxlMT35drdw1iLqwStmawK9u9yQbS3wNfv1eRpCbEzfkASfYvRX9T TIE2WVQV1Tf3ryPEzj3DCEF6LpAzFslGXYZeVR4k9g7jc4Igf8F6A2ik4E+sJYRyNfGhDkGRjAXH GD2XldOE/IYBp/l0ev5tDKwAHLIF3NmIiArpYc7yY/MaSa03h554EBFGN8wbKZ8xBVHbjVx+n6iN Baq65hd5gYLrB8DeRXz4TbzYyWRzBM2y+sYkIA9gi36QTcu3tp1iq5MjIrPkMhWwyNnIWS4B9UHM h0Kv2v3430oc2gf/wetFWOKsPIyU5YbW1Q8yzKomi3lL/P76oMTnz0jfi0UUcZVuw6lQJoEHkNYx KHRwBqg2Bv8i4V28AY4/2fH+b6DLsnvm/55Ff9MEthZyEvi3aEEPXdLNTQJ+AlaT0lSME/FrFOUM r3Rvj7b1MpptFbkPw44FI/iS5XYpUA/SUQWtmkwHoRA+DzXrggbnYab4jwkNVfhabV2sG9D57gUA +oKoayY2RNt1sEpWBfYRbrsnWh471rvVGYJembFKL35i/0orF35Jn2fSHsVHQu4aF5VqLt4VuEWe F3j84wrofxdxRpeHa7dB6S8wJjEp/yc6TY7tiKW5Xw2WVOu2dvtCIZIrhD2Gs7TCz19VCfCtuaOP 7pd50SU1SlxoF0kJF13ZktaV00qHXghVpbl8jTiTf4Px0I94+H7bJyjV8ZMmEDzFwfY299jw0RMP ac0EOPmC6/TXfloiPBfVmdJtKJ4yYEcCj9aIe2QJxeH/En2WKOIJzZnjHZBKvTtGf46LuRESllTv IUzr1Viv931fgfd5hbQyQ3ClY2LuHxZPKe2qw5pnTDKk+75O8PuPpJvdtifrVzypsfwBHXyZqLnI EYLILNiOnFKf79U44AsC0exzJaLAty1Hal7mhakpHq1iM0HDlZfxwaVAuNWpi6+FrXAVH6PXuQQM OL6fUmxPqbjFN+f+myMxq9uRhXbpwAZlxkOkDqnvmSuS6zwcKyjCRJrwTomSw2cI4/T13NiaBF12 /0wy3BU3KDoOhKOVc3aMXn2L1tGiLpwEHTd6nVrRBRKzqkBT2m2n5njsZidD4opuXDr4qVRPFxBA TOd9MCO++9FtvDMQE4HrskDlvz+HWm7Vu6sm/qb0FloEtJoz4iZaBPjdB3XOxVVOC1988m97pXEI LGFL2d93pHZM3dpiHGfr6CjcJa3lk+N+MjH9AKjdWiazge2lrjXtVlnZDVWj8/99sQYPxwKjX7AZ g4SFUlYgB/hQ9xWG4LXZ4ojJ8HFls1hHjYZ96TCiwVx7u/XOWyIpSpXed03SemnPGZt1Bu10tCiI 3Nu7NdGw+wRMokypbcOcV32ANxJ4O7Fq/wKuTS0p+yxtSyiBWd8y9tENG6ML5Sa7XT9lfSyts/UC sXpVuMFdlYZ5ZVoEo3aQGl/WAR1OVr5op3k7x8fMQg2QziLRQkVT4sxIiVDvD6zbfM4wQ8SHOrrw zDWRsf/ceNdr0idVRA3tGwxDZ8lbkIM1AXJKlIqWu8VhEZfgwGIjrLsPcxEzwni/h6JM+n5dDve+ 8qqXHYFTC8j0J3btJW3vtiqK3q+Q9Tk3aBHl+sKPF5CYhN+zl1Ui1h8MaeG+bKYyGr/MlLBBfsk3 YoI+3ZmjGyNJmd49yd9c/y6G8cDWnhT/PzNkkD5FTopgiip3p0TQe0LHGuvD8UbeVu1l4NXiRh5z KgrN2V6DYAqc1X/p2z1BkmDKhkn2ylyT/nzh4cvVXf+LpSWzDgwgW4jh9HrkdpPkaojei9FekWrD xpcdbDN6n5iO4fgCes54fsWXw8fCwUv03XVeVtiYrVEQzLxdhYCCJPRq7EEvv1yoUN3WDYseI053 Z714YHLgXbCGIeh5wgzvVzxmMkY1JZxx4FkBrfY38g8Y6SXMdD1eDyfdFntQVkErwFnJiNctXmcD 5lZeAs3XWIZeVyzmHggEVPzuvK3iVUbCH40Q+qZwicU8xmhYxtkLcoDuYhBtv2iRIzsjP0AfV8Eo WkxnyXI75Uh2nhEiuI6CcJV/2vKQR+ZA+qbfPwEyV7tCSKetUwDNXngQ9hIAkerGiS9MP68j2wmS 4MM7lv0XdJ7iX27Zgq+PNGowC2Di3WOwsYvPFVtNMnR5bHgzOSEa58ajHIOFda+1MM2nIVafFVzB srLor4dWQD7g7jBkoXloVFBMNQOgGkVc3RPwEYN8F3+BkRRoo9ZJ1j3IBbvSZWaKLntaMO+JZ+DI 8zVU8PzqatiGn+K0E6EnhIPRAyVTy34VbdekMpWsl4ByeT7lhc1mynqpoNP/GdkBK3zmO/ksmZsP kZ23LEBDyHloK2ylOJKLV+TXGm+2QiFbpUx+7l/T285T6yxJwzimPYHoq4gEHMAJiHz2p5UJ7OoS 46hgT/q7aFl1tnQkhLxwVVDh/V9e5+pYi1V7gk8EXGBsGNJlpUeRPcPhZL5UKrdNHtiJmPcZtsrq 1ECPG1N8bUJ0/zzL+3LJ0zvMuuTG4glmqPS/rnYcuFEQliFOmhvS36tAWFX+DAOFEVJpzeHG7vW5 orkUqdJBShFpsZrfrEC+vlp7ChbP/O8VQ8UdzNSmnBFrfbyTUKoZJUGDVw6rJaPcpxfR7U7QJRTM MroXdR2Porc7OugO2sWhDhgsw+H+wwCo1j+YilcLEaClhNiGese0Any5vvwv10HMikR/woRZHqfZ o+OyTH4ARMLTAE3plTEjqQfXh+wcUAGNOTGSVnKTKZ9y4eGE7L9tn7+d89MSx1AbeXRKkUdpGo/e rji4oSx30Zpews8mk3uSrZX6HhmOaN9But5eWpPwKh+L70abv7IAv83AT5hayRhNJsyM3ZqFF0XW Z5wWDswd+SjKyL/QOehNKyu1NkivmNIo1knPaexi7SIHhPkcsv7lRK/U4+3O+KjkTwfox38YGcGz 7u8mcHAiNnxAGTGPg4vQjGtL+NXWuVqxbrm+1bvBV4GTjVm2tjchlNDZCo27axt3RydK3ePKSA1w jVYZp+dvUIc33GoiFsbIT7h1z3ujCLWE4+23wr43EG17jUyAMFCTTskWEiSMSQS7a9RMFrsP6J9+ LYRmw+bl1hYSozfb/n/S9FXFxDqQho20ppkYApFCYRmkeghLSFX/zjDrJ2+Oo0Js0Er489MUZ5PN yCD5tGjUehQr2P6B1neXpUFqfBJDEM7l72nmRYhOC5AgLZs+mu5Ja+1TGec4Mzl9NOJvGekhfouY LdksEKUrrwjWyS90WaECuRZdXpPk6yFCRH8YhB8uvLD8oZxF3oKIaKQyXR4m3YvoHQHK+55TzLz/ tHb5W+ohMkloW56VWBLXgIszbnfAosfRBV69OllJpq6g5YSC+8Ul1ebngS308Of3fxfMcdo5jn5c 6Vtz20EOnj0UQD7POqXadH0/54Z8yK1pOP51SAslI6+P1kLL7d0BdGpaPryKaJ2o5139oTH4D5U1 3xKS+cHde2/iAFAdAkRf3KqCYUIin3c9/Rq/eAFwetAj+Kp+eQU52XHOQyXqDw28aUyuIMb6hSdH BlQZmN+nwI3jf9Hz3Rfj+1iV5tlhVUgjTvzO4uj4SuzlO2B4oJv6FZnzFSsW88p7DxCZ2ymOjAtz HdnIc7CwUlL3Be+iHw+vbd/dKxj4jMHAFvmNCvY1Cos6ETMSK2KibBccaMJBrKg3Qgj2fA+CEn8O g+txfwNGiHsbOZ0Qd+n1uEvtuiou10aWxY7DclO3c41lJ0TgCOVuhrkxdh1p3L2gFaUwrd1MV/0A T0V8pyxDK1VgIAMDTdo+YcNkfosU/sWzMONiEl9tLwmA+C7EEVZ+HMBWUAjhl8RNH54JBfSfQzm5 cJC4383OoBV2OE/knxeZbuXW3YJa3A/tYedps3OZDdglW623JqWcyaiVhFAWKPkrk/joSptTcGMz eLdcDX9ddabCYdqFJMn1L83+lThem/1JDE1N5et8/7wbJjD3F8jBmorMJ6qG3xbt1u+EwavCwyKL i1AMDSuYfwWOGIdVkdst8NEKyReIkvHzcLqU3VrAw9yVsrOiPSmCPSd2iWBBi+6e5xUtKVPI/4LY 1Fy5fN+rpQNZvRul9cRBnss1pvfCmUhMlDFb1krxjl3av2ztncLuRBbUKvfnHyLYlPp3D26E5+9R fim6oARYxQjqFQZsUs4O19mYwZDtlcTnIQ2lETtq+hlMF/tkoq8ypH5gKxphp5NWxrFDwocEf+Ib PTVItHuc98knQmxZD2wf+05NvylqDdQ7PIkmU/zlaPnP2CIkHxIjAcWC8fbTXV5UnECwcK8lRmc4 SxTvnIwSdPsoJdWFKCkwUePVWFFIFtGCykjP4+qIQHBF5VcUvsRfSARefqt6M1XRrnDOAN/Iv4Ov vY9eCUoYl/IYm5Lbg3wxli6W+JZjWSj3FM+lDADw2D3H2gLokj5g+K2UOh3INjqQU7ilMQ+1/QFX vEA/v6R2q4RN/JA/JrzdiZP2vluJ7/+mNjsGYBxdKbVJed2wfso8kTCPyi6FDL49pINlBk5LdhH5 PCdbHIDJRuvMaTfyqvgv3tKfz0Qe1EM2T2YvppZk1KyHQlRFC9/MH5OS9ySWncfFv5M1Rvm1IT9S 2LGJHY1i+DxBQV88csV4VAiVo450SUcXaZxVTZ5N/l3XnJqlFaBGtE/w9GW1Q6glmAbEeU5h/Ipr 5zSfPzCSn5LGB3MPDHNgRwR1SCcnDF40afTvO74/IvrsLU6Ipm6rFKns/g/Ujcz2B0UFI9asgISp +8HEaQlt79gvXGcuJecq9So3AGbYIqDSr9PLnOUGngNg33WPUVfJXPRlrAQIb2DmpE1niFdddZ7d J/rY+ZlNJTZMqY78vza+x1hKEbJD67KJhcUprpPRG+KKs3blHUdZcIhCMXjyK9Px3xSvdEl/0B7d Y71+16i7sgJiVfQ3hJDPFpWW9nQIYOFYQmS3uVkYQN/Fu9J9QFiyaDEFWZIcfBcqX6wqYQz4iYgp i2od/WdVc0PMuZk2w0TTOfLKTekt635acX84lWNvlOpl6bUSOoVowpURr7SPx17nHxKT+A84ugIs c8Bo7y1EXTqTxSi/TeFd5xVzKiTHf/2dzg+23zPRdd88ZqQkW+JrjaHc/sfSP0ZhZnNnjk+Uso6L yTY4X7kGDlNwnjyybxuZOprMLrBtWhXt10byEZzPPbpSI4wWy1yRDO5DU7FFxEQyaiSRzGh1sZxZ Acden05+GPIcxS4ljL5RYkHtG8J+194sNa/6B+S5Pkc3Wd4bMV+WlgvaKm96/qZinENLFMYkWfLh uRjzYchRRvrtS0YuMdnSxbHhq9svocsZE2uRxtZ/AjRdUqbcC+OrnqfB9llN+VTG6LQKDR399rBT RsY+og+S5k6gAGiJK+x58pFjdD5jg9/36O8GoJlT83BNi6grVhCVaKip4IMlfk5/Vt4TWCbExFSh WXF2jJgizY2puktTHoc+F09N5B82iAB5+aldDn5N2rNBlVl+rQ5igNzkE+qJ0BySEt7hTiQcnzch D9MSb251dneC6n9jXWPRMrU1FN4t3SkU8Jghq4K6IvLmBk7+3bLJLQO73dtn2aofF6O4yzqz1yzr VOMZDXBax/8WFUJUiZxnT658c1or9R0MyXPEsElir/HVOhc0ay//5CWLGPI3bZ+IzI908nDqajx0 jGnXGCmP73vfbi6EZrdf99e56n/RaIBK1KNc+yKQeWByQGtmRNZS7aH7wp5pNFnJUftelua2r9AX GWmNKx7/wa1L9f3877TQvaExtjfBiYW7czB2WBV4K/IkSUO/TfTq76gfXNNHhiG47hhSOWLNmb2C xv9ciMP7CkEkKpz1fmxAaYXkYwLz7XnoXqRAub9nL2buOMJ01hjeZtvR7bVFAL4NtZIizJYXYqRC gWV3SKuUKwpjrFRjiBl9QDBGQtwU9HnCzouzlWmZkqVnN8vBwMxqw+JK47wqRV5UlB55NMyhlWQ6 2hpeJIvgL9AOQ9BErd9JnhoPkmAbyBs8hwSRGI2EJVxiN8o/BJebeQAnRTYHmmwWOBOeE4oxAqgV ikHl2Po0PKAppuFBHpVUFn35Z7EML247jWdc0FZrPmKaEau+jE7v3cXM6EuPuAe3bH6QGFl+VjRb ft/VMJvtwBVXzwHV/ezOvL9HhqFs+H2tF1bLgidZKisawoF25zrfQE43V4T5akQBzki84Tm1NHvz JY/yekyUuG+Qe3RLty9hisnPPghf/hWcFJ5bD3GVAvakqwUpRYTUn/tvPWaIlMYjZp4YgQaPrhxB LfTwW57EPKNoRzbeBHNiWcK6dYaw4l3Mk7vuVEmb3/+d3knOMqis469WTw1Kvpwe89+pVtmKZ5M9 fkl3lfIF6+rbOgDWM+yDMqF8c5N7GWXArBQQ8G8HOQJdZN9JAf+r1KJCSrp08ppWaEbFxLIOY3fJ YDScShZ1yeeVPpW/NDt/kGiifQZCRnZ1mUsXqW0vT87HZZqFUAWGrzb3cVmN6C6xvm99KieVbnfl 0uNwG11ZbwFr5d/c9EsxjUbdI24XZnj22UYGyEKBvXDA/m1bxxEnT7qPbWcIWAhVA+fuqJQtuJBy JbcQeO4KKJ38qbodg4xXOwtMh6sllhUrWmoOMtA+/GHD8gpVTA85cMJsxTWWCyp+GPSFb8dsOpI6 ZOw519ake/vclatNB5lJ2Ew7f0zsovrLRHFos0AAXArC1WF1iMGdTlTNUiBRdHujoc1f/hcJEv52 J8VmqkrAB1g+uJvASjnVwQua9ckxjJnuxB1GHsoGlBjCkW95TpA6Wup0QKlc3eRE9hNXt8dAzmKl fgKroS++xZ/Dy7QkImzAu16Vg2jtM/FIakpSbB/eg9Xv2xfTLEU0Nd41lw1xWPT0C4YupPCSpoK6 5prvq0DBVi/GDeAsGWw3xzI3w86aVPrfrftPLsPaCGGUlwNAFHypfeMsaaMP5AnRGDsKuDaMR8wO Fmh4Ccw3dNjfz7Va3/V76jw88xjHWC1IF27NcRf5yqe13t+XU7HocA/WanRaaotEtTRqZZizVXn7 xSl3mJBlIHF8lece7KgZt5A3OxFtla6wANiO403ENjGStjKD7p29VwuzMEKxUt1vDTQLB0bYVCnc 7fGCGR8K4vRcxzp3kcqB3YV5gzFKmWfY6Yk87NB6VRiOKkKeXcDRV6ObvlTT30GeCevPBccKo3gw +WjEphtIU8degZMgUNAJh/0WBc3ZvG/yZzaJLzn6yuniyZWyHTNIlfo7ZmXC0DYkgZ+18OzuuNO1 cPN8qc4PKbKaDGfw8h6/IvXlJLhzwyKQhA8qKeGlsXzy6srWKcvop25DtOUWlAiP9P4DW84xArp/ EfCL3mnRCOaAgfsWgul+3LZhx7UXX71UcgaKBrDFhCMhIRgK/+bEdYr/+mFN45eSb1q/6tFaA6jW pdt7kIUXuedJKS9xR9tVFo52/bhDuoP+HqAIRFwbZ552TIP67mpOTn9VNuD9zGiHGtlAPiqRyq2b iVALfEWO/EjRBf1/7lYlKeGSirgh0WzgGvXjXIhSg9E/MoNeSyLYDrJvYFnQrst1du1EsOGJMoM2 BaeFv0aAk91Z+O6DqXMXoJaOpTMVd2dja1zl7Jy5YIJPw5ePQx5XaRjM8UfsN8ykSmxrsa5J6Xeg 1ae8jEbzm38X7Azlx/c2Irf2UvZDq47oL/E3enhu61ssLHslKQ5a+xiWY79LYbJnynDBT7VCafJp TVNT9mVJxDq0kCJGMm6xFBuzYhqLbEl+So4oy7chSwJnoS2yS7NCXyH0FK31n4D2WpPU6NeNiyBf V0iw5yrjW25JAP2OuNF5cHnZKusUnUDNQ/WqQgx6RTYlfdVLAEKSXRvnvJErPAJYZ2PoUcE/hlSw oGdsvpgrYMonxi1Bdfw0nQzXJHAFx3FdRQctmibOH8ChC8adssCgRlazAnWkE6VDmziUiITkm8Yn Uf+iap3EwnZdemHfKOmBp75WNhGJqOObhLsZvVx1t7h2TzMX1DnXivW9hD8aH/ubOFN3O0Fn3clc hXlIZh2+1Bd0W3bO1zc+3QunVIryQiS6cWhPlkjrkbZr040WhU23cmSVc4MAILUfUqOzXaJi+uKH qqrQBqUX1RZFShPlX9fTyGS1/xW+UzTkGlNLvs/RTRGX9RRV6+QI7q5LrnkYits33+6I3oyLqzrq H2bLFQ0tYLeQaOhHrABuajlIR8ofCaJURRVRsbUHxsD9uTKzb84zT8TD8BgnxdEzful7ETyJyONQ 4Fj1FUowm6yfjuN0T1CKbQaZpXv9mV9bOwanHQViUTjhNQVN+s4eCVrLEAcggQQhuSZsQvklhNZv dShFFW8bzp+ktqCISGL/ZC2cqmiZQZxaemXSpSgI9cTTEAL/PmndxBN4PP8TK5+hFpv1msrHGab9 sYQZRF0FA9iN2rGuLC1FA/LGLucE5iubWa4F17pvgQtzvTtJFhZOey84t0vUipZyTxqxSNtRXqNT Lks0mVmG3xtfdegdwKf3HkGxaBUAYxRtSxI4iDWN/KDFdXNbCm9UZmHgqiVNxsZa+WcvKWvWdeAu 6nEZZ4aFwgagfLKjNTHDgX6T5Pl1S80SbsaTtK7VQesmfyogWpW3BFDkiBbEY27Y+VDunbBA4U81 WVqBVSH1YX9CnviX8HTSdl8qLpZhUENn9ZT5CmqSZBiS+zzCJ9fEA8lyF9GdsUJXxmb424wYWoeI /OUmN9HdTTcZ2FCY2qaOw17iuyDT6aEQPyqFMu63CXU1uoFJOTgyVD7xiTThEzAYK5JIFYh3QAKM NvXyy2q7LlGtkPZ0kHCZdnXLGU6hP1fPbHr3ztAaU51C1UgRzlYkjwtlhq5RbVJtge32NnIJlKC/ tREpgIAOw+hIxhD1LSOiziUAMJpv/1vY/pxe8S4rqQ1gMgKRpCHCkQJRKtD0yY4Fd5SJewodX2Lm qCTj7HlIiivAzoUpISNYm5oVi+P9b4KjpQwwFPujEp3dEUn050gtedlWC8hO1O6/uldQT+56NGjc JxJop9nJ0Wg6Ni8ZzdAbrkPy3b0EvbiUPM7vOhmFTl4BQEXKwGhggL9PEvneVAdTye4KDTgpDSWA IDzYP2tSUCYLWloIBScwlmGrDaCRWz7+rfo69neFm3iX8HxgPb9JRz6BPhUW3qvLyR4FFH6z693d 0BAbVykdwMkh5Nyoio/TobZD3tLjQdnlhOrNHo8ipHBi6bW0nk4BYtMVIiHkc4SJnUYjPato+7VR ODLHZn9IB3usYxdX90fbg38WD4G0WYyqhjD/VEk2puUxq1Is8fi+CHumaxs0O7blp2P6j9DyFOTx Qqb2WKo0/YKumk+nYXVIgl/Hau9e6FgcADd1PUBY/hAsE9L5zZ8BAqOMPipXa8EOV/OpYPC+hPdh x2grB245mvTjR5gWQsnB+/pYNVrfLnew+gVDsgWIxuSdAMUozq0TTn5FMsIC1q/ikDJekcn7LGp2 K35IHS3mtwvzRHBiO+sdrnKa+42zaM/6N4JXjcWUVSFy74R6X9gwRZd9kryRSsHZ/j2I7oM5VVSP 8c8mEO0cQToOVEo0aQkVOxEudBuolBbKZVwwTZquwIaTWDLfztw1A2Eft2a4WtT0XGw5DqEj1UMB PIUgTark5yhx+C9NKvFUswFpvPnH7sDsypTJA2sebJ2KtRKGoUfvlUyvzhqUmzKvaGVjtSgEWxl/ P8jbZ86agWsbbPkhA/rqkJh1zQ1BpW7BcC/z/zYWMg9TmkDKTEY= `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fptrunc_0_no_dsp_64/xbip_pipe_v3_0_1/hdl/xbip_pipe_v3_0_vh_rfs.vhd
24
24644
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block leHdrW5B1Ue8ne1t6lrNasa+bmf70P2jS0LwM3ICYgVyA4XnjXAE3KRyD/8gkAUf9C+hYFXAAz1O 1FG6BAuoEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cusaPzk2vFOiZzK0ZhgWIEFifKmSOaQjUGDHZKCdYJFmdxLkotBPPjrVlqCOdv+nrAS98mWiWmMR /fTmuvB+FOzZni8rq+gdHLhYlyMRiO03BYjDCfBD/zLdQOQ1NXEyofWc7mAnwJPIm5EhSowItTxy TQHaRJ21xp30JAinv8c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block E6sLWvMufeIr/esO7MSSsfA/y4zYWP4M+i+2Kb7PjwpG78xkTchFcLuySnIvgoXNIX2IiPs4b7b0 6k7DXdJF+IvsJo80vdVtvxwqR0IHmn4j2FMQymQdlJn0ZtgS6ZxlKJeiv0CJuWZt7INuGXr5PRpU KxIh1TXSKTGc98poTAnOPHc0Cmzw4mK+O2NxRH9j3MZpwh6G5Xm+34NV93bq6nD+A0GyLzHIBESy ++M5o5FSqgByOVRWTO4Su1otrfluotPuPO2TEjRd6FMIpUdR2ds5qii3JD4xOqSkA8egCIuy4NLR B+Z2QdbY6DjTyMh7izZ/CqvryZp/qzsHq7yztA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CcCup7echTUWPmKCBn1gOyC1Cvqq4talnn4WK+t/foEcp3FaVfc2fhltpaZ6YHVIghZk7n/TSiwL fPkWQUZQILJC0h0PaKdV9nZxAPSGoBifP0aeHQScywlmdjk/42WmPrDzs3TxEROSq5bxiNVtMSf7 zaL0QqT2uiy96OGZQH0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M66qLl3QvTbyd7OZiLpiVNeM4XJubS1mfHkOvXfw1l7fIpYLHHkEKviYqsprqC4juUfqbM4jGOzs Wa/ntbD9A7gQTxiux5YljYgGyLOT/9s/aTdgKJoDOsqqUyUxTQ7SY+5XXQWupeCMuNptCUFl1pbL eo8+6sdU2QlvHcKKxXnUej1F69sbqTfZYSXOCR3gJF4tJrsJszLIH8LO4HAbS24TJwNC+WZfrV5i e0ymUF+FCnLVE7tiAh6mk7X3nIHhYF/Mj0cIuq0wRyjOfp61Nnd9xOUnELPjNvM0Ovw47MabhMPo upGT17SKfeuLyEBSi0IRB05ViJlrIjcvA5J1+w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ss/YXNSnBDeKAHkqMWMBl0au7TJkur4GPPPBQgp4DOGHgNqm7epu6Veaj+9izoe1kUIoHW/cI0fo rldl2CaEVtrnvyBOZHq5E/B/y+VfRkFLkqobLN6CVdCYSTI2zsf0YU2F+faYHzYI+wjtI1ItfssZ aGiDdKo3Tu+ThXC7F/f8rStV5zGMiM5YgiAwKA7HSRhOQkKKXCvYYb0GyY/DyYIWi5UYyPfsTclh 2cL1VJimb7mNhI0zC//b2WxC9bo7/dDpJPAwbL/kb3fE0gQ12PtNg1+FfOpkmoDiEA6WgRzMr/8O pEUMiMNYVA/eYnW82bTzp7XYvL3lxpVY/C2f5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16112) `protect data_block HfF0P1NdmPyToATiwr9GlYxB3e5XIiJjttbHOV+UehPVUbvERc8F3zMTsyoF/zi8WPVi/mN+NUvr de9nKcczhay4keJwBY+PfHN+PJgVH9UGNPucE+gTRd1N7j3ha4yAVUBegAHCMwu2Mp6yX/QJco4V qAQ3T2Yuamrbh/HR4JJ+i8QsrDkqrs1zAsaNcuZMnMEVHqePxn2Rps9cXmElwMubQT4Alwpi8WSV Cxiu4Wps7SLdNHcsjhA8WPPMDPnjZJtHAL7zayoLBCLSqaDwPOuxljg/Ob0EgjSz8sSqM0Oi1yy8 zDuPQac0JNiD8VZtWXgWodPqWQ2PJrmwD0dfSdhpOmrv0SB8g3/YnTl5ZqaP7MKolq2k1k1dp12M Fb/stJi0CQ7Xcjs39DKCjz1GqOu0FPkIYopVsrd5uMMMgVt2hc9yyLSExEsCk6piaJQInZkHo101 PzyqCJTtaYWauczLGKybDumSHrwxAVmQaatPhYLUaJ21sk8Jy4OxTFYNEf9Fw0cp5Ug7KHErJpxW bxIJuroWLm7H+RJdf7h8vagHueGvH4iMJ7fcZOBtcRPi69cEOHgRWdt/kcKU9OKPIvsCCBgie2kk /h35SoXXQKQwwLtgRlqJMvFDBjcwoCbGy1IbDjqNfacCOeyyLvSbgcQMOIS0CbthFaEKo8z/xgYq HwGTGSXZJf+OGw1cGhx6GecVM5SwLkydJnYlIFqYLn7oc0qniMv7BY/oVJPthdWtpqj4f3OK3PuF EsLoDx0F9DgpAG6EJhgLvj4hskzZ8l0C1MHlNXiBtPK0nuKYgGygo2kxMidtQ5aMe6Or9im8EdTE 2byO8hXmOXZuPBuyxh0FMLexaHp9x7/C0bk5QEXUfPRbL7tFIAQs8a4tookDA/oC7jQP8L/istEq Xk6ubtProq/GW36NF884aVVMmJce5ZhefAoq8IS/fjCQg2cI6RcceQHviccMCqVfcsZPKkGLIxio qFro2ncPiR37UnXabN1xuOzNgBB9XITwZCiIurEw9r75WHrgmwj5RoteIAuk8fZaz2u2d/+OGC9l Dm2UVPWNd+Tx1a6JrdYQMYiBqhyDdSyAAPOeIsG2lD8/Zr1hxzYBxNYE3hISdjBGrO59V2jNmLww 0uSr7YaXTxyvk96RXSlVLrNwXiHWnyE39bbgjgdKGM1hmy43ta3CkSPeHDiK9FY1y+a74Wy3OCvO FeNhhp8nwTq7gqdx+0BId7GDK7jzx8g4wq1deVJqkZmahNQX/QgvtXUHSMYB8EXWT+7d9nYytC7o lerCagdsM9x98elSJA+mNrxlvQ+uHvqJsIphY0dNWYKJjGscJRf2klACRziGwydghjIV/P7bBYV+ Y8nqBBIKtSDjeeJDoRzn07trDGlJHJVA5WX7Xz2cdJUhFjE0UjP+U9ZHv5lTMEotWbDg/VT8WSJ/ z78l/YGT3VLOvizGlvAeeXnHq1/HOlf3VNYaSldIRBG4n6Lec3/8z6JuU2BSH9y7duQIwXR/iogB 5B+6xvhiPB+COIgYneYu7WoVBUYeaexv9E3KfN2U/oyYKdLp9geZmApZLVQdgOCjdUhAewdKWTX7 XgWdDWhSTtPEi4MOgoEHQZoCfzsAG1JsFK1PLFSIeFKOgePDeOVyh0K0Dk+HqaqQ7Eiz4ps35LhE OSoHNCKwVS27xgJYp+cH2hqzlc+ThW96M99gljW6R9xCXGDXymN5dYMJo5h+5E2VRk/6YTDSyfo8 Q+p1+Ml0FFEY27owAVOliVi63HMSuBSA6XSEYVfxu7Z7NERphsSk1iNDeAcvO7QAxfw5rkma8dN6 Ju1XMPzULSxpbBlLV0ggw451uV4v0IEkwWaxhQfNtfmVnZR/9BmgTgAJhqxdv4qRC7ixcaaLYnSd GhG03Ozo0FMwdwF9wmX58kIrxNwnun0NsS57Ky9Vm7VZF3d7W8vaw0My8RvO91rU2VTS0jL/g4b2 hIk3X+ymV3Twd6nYEVipA/I4W+JshBAiyYeSrmU4u8MCAQHWGEYzLYOXR60YUYifgQIatBARPBXr BZ3c8IgikrDjbVKTpUX8XhZlgIg3IM8viVE89v94VcpQDtZAFBkuYr/NPN+cRcjvWDpdldGMr/1F qb/FqwMWqs2JQWsl+pvecOu/WhKyy3nz5riewZiXBtqbalZ4b9l/Cyvp01oBmhJH0X0rljzZYlo4 OId9FDGcUKT3TRtDQtINZHcZp0r6GdjZ6xdwMHaNEY9D4ESi85gvB1/RqUrzmgMABTxWQ9PN5/+W 7McO4duv6scUGnypS3uQNfu7CzVGmtsjkDq3ZJJLGDOjA5gL6zXYPfzawRJG3b37dmwbYiwsPDAA ufnOUuKw6uTIqY/ZKvG6zrUrrbVj0pmuL3444JWBWjT6dDwODk73Z4jVt53QFgPska2L1tbRbimR vF0g4Y124WgHkQn86JyK9XPOW2uQd6IQfeN5Ryi8W3DRzUmG4pofTrpQ+KE+COTMXqWwUXLHLcZW kh1jV6lvDJkLRhF6aT2IUiMFm75P4Yc/eu50uXHtqnHYF9bI5uP3aV8bGp+7pQnnedY7xmVFMxeM PV3hBT8oDMfIPBPbhRI0yCvofWVeLPFQMVDy/Dyn9g1KPjKb0Pjs4oHELcCXFJgl7Mz2PUvs3uwL f/mzKrxMWu3lBDv1mpqUie2gv9DW+8NQtAfRJXfwzXLlBYllLGThkXs55frVOUQodc6MoOmlWIri UU9i5Syi5WlYTazZR8xky6YksDCcD8opjcR8AEDN3i4y2IJn16CVFERmAKtvSqDM9Q/Mq2oRkSo4 junVxVXCH53Jl8NmWr34P1Q3CnzQsASjeNctczwJ/l5sj/ZK3pEygUMKxXzPbdCeXY+z9/dgZJrv X8bIZBdDL77G3x2NplADUR0T7vvxrPyrP7dJy8z1dd8VhbNlEm8vqSGDTnltSPFA2iOefU+Kvz0n t7R7Yj9C+4N7teyRiyTdvxq4/0IVTziLpoPGmCuseF6g9NbDd67CsVsZ8WlfmHKwrFMLZOt8I/IK x46B6HHJH2ZsZ37m7pK07eNptjcMNaatxghL1NUdo50sOH3mfCL1mgkKCMY3qvhmfSytEhtcumoP DVkoV4k3F83laP95+yGDPS1sJmU9f9jWTWbD+cLP7IiNbacpU6OzBV08CoDYiuDi84Ko5kK1lsKp kOMa6uWAMOshL5iMGurQ+kUo2NyEN2fILry8SA34RXo057g3V0/nh9tjGOqR5IH/B/AdFqbQQS/9 9pHZCDRMXtw9dbnSNw8U+GZ+dCPGMfX6bqC0fmZi0RbWcwdJItQaJHjAapoPN2cHdvFhn14FxDu/ Z+im58LUDwD6T20Sk5BnSyfUsLVJ2y/Eb4OnyxDtxO66s1JBTlG4zaQj33+wmtsUPLLuQP2d4qFt 86PY6lh0TDxytQWdgKgV7n39afZnCBtL2yefScePoXgPMX56RNSzqvGyV+Vb3htGTi39P49oRzsm jfxfF+1cx4WmZvIhYE/a98Bd6Uc2S2unYDO+sUq9ZH4tqEvtm7QxkrR9wHfA9/R5Pmi4KT7T5kHT YHqLbTblPDVb9kii9Oc0qIU3pLPsiGns7MkJos0WztP7BrU3zq7vF3QhM42yyXJkBN2p/Y+wDZZr j9h4d1BgwtaZ1UZ3Hi8Sbn57z5Egso7jTt46TGJus/AqHeiYmb+M40XKgLNFP04HwE1qun7xPF5I iA6hC7S/rCvSJ+nBBXh70/WodnaIvKZw+DV9RHcos32tAQYU6HEoXXMNpIGA3gby864rVrceMSI1 dGxyVWxFBtlvad3MX00eIZKP1w+YZXf0mS2qKuyHqKRbn9uCZu8JoxNKUFLOi28i53CzsAPCJN2N JN4Kc1O7E3hh2mR4MLgUbnSdNUKu2th2aikwWFiIyRtP896kzxneff+Ox5bPQtCp9Vb5ZgXAzeqJ QK5/YiE/f4ON0A0gMzAh6mxqne3wCcuhRT+t2apxxo8ERKoT/djgsszKazPwENfGennjMCzZH3xh wAYv2IFu/u8pc/L0WvPlWJx+qeuxhy+DHvpqa4pdwnwco2xLiTZgTFOgZf4YJnP5AItZ4/AgvI86 1FySZsiW65iL0gPKbaFBOxuxQ18UXKJRDasYPaesOQbpN5PgD5rjXXU9JnoaGTONS2W/k0PzVjpQ LAyeSk7uqx02tZA9QQDZXlyqz5QrpWfgw0M28St1CL4T1GeAUN7GPaqtWzbJx7LJe68aCjQ0BZ4q ZznrfETD6CW5o9UL7nGfebCysk7iuzcoEAhU2g0NhADDLajy1YdaYDda7NjyrrXOEEXmlIqTTEyJ LS0bLtuHMOMuimy1lJwAmaAigExVKSnSOOxqtvT9Zr1RZx8UyJEYVUo8QQiheko5WU2aU8i2FNmZ Jm8PfShUg32SbrJJpMePDkeUbgrtBor732a1ZU1RUuIrboTT2dcP6UFhTInE10vYD2s7xNkFmS92 X2cpH8rs48a53EJve/5CR1ZNvktseq3Of0M3WU0Ia7V1Y4BdBKnoMq3dm88ZvUyrSEnqz9xeZxjx WkQOcorKH+RuvU60preIYwfJ4fpHNsQeFQ211TQVSIuPoBPzdTY5mWwYsNSqOUpWafFFcMYXLwuY SitBkmhmjo+PlzWjvvm24E7qcOOkN+FFnZpQNakqXH1q+l8DU1NuAu4ZXfb9DnRwRfoSBdgffg36 oJBRghSm/EsjvhMDavj91szQYpdFBiGQvjGW+k+Rb4K1z8s6RB9BKqLlFznGuzl+UxbJhGC4+fHk TkN6YDRw3PauudpoVDvfW8m5N0Hcof6FoR4+RhabMiTKJiSUQ/0U9qRhOYweIiI6vWkRituHOXPt wSqtzNXTYLSvLTRwG8XGVpfCs9AiFDlanuIK0ek1wpubyDUMOjLz1aW3ZBRVWufNbtNzl/+n6siR jDkCY1ZSlwBBrSZedityEAm2golJtLAT00fK8blf5wI2xtrV0N2TleAcWFIV/cjlYDTk+BeTDSdf PxmymnEg5ZI0FGIplvUR1Jutsy3J3MIfgSeSalEdAIFUTxc+NQ7zYejqZ+vuGMFNVcrfoDcDFVV0 xd0q5sELpDzNiD8PcDlhGtmMt8vpqjSS9b2t3RVn3eXiu8EgEXVuYsxY4MrmtrC4Tr9R5J0jAbwC sSn0E/4/KXAlMw41uy3uygknQ5Z0o8mh54rcXOJEkD+fbMUXbfhv172v4BS+BINmUSAghnqwXjfw DOyhSWqH2AzpQkPsXdsVspVS93sHx0g1nQPYhsjYKyi3uFLaKWFkK73dqEXESzu4xm+AA9MmjRcL 7tn8SSSL9uKJzRRmzCTZMRHOWefU1np3VZXqAABn6JI5/8UFoj4WktZH0z9kP92xvgOaLAYohl9d jL+iDgq7n/u1fJ3uiP4u3MYonw1mVtk2JI/LUoETM3YOPBuB0VYoHo+OYJHiHaGq49KKUJ7grCAx neKQAb1vFRPQEDXr0/AcGs+PGwGB3qw6wCfESjd16rO0PXUp1kgZXKkRrz/ULpK/eGH8K/t1Lal9 6GES0JDHQCS+CLCDUJOYdguHkNOxHwdxWny6yILcGZNftJnJPqyaw2fWIPGhRRyB0EV06axpeeP7 nvyadiw8N4nBD31fXMaWmR4xuv4l2nUwXtoEcxhmRTGtIj2wU8anaNMiVX5UY8eluNjOVwGZ6dex sHakwu8loEKeAEV7EJ+pcSrUKbEA1deU0wmeKOLwbXekChScszRWVMxt0rPHD09TMQ3eCfJeug4e Iff0/QPolMwGe37JdYlVFk4aZJbC/VF8ayqDT+rlzM6QDkhH5gBrPyTMPpY+Y0ayFhx98j2AHSme tdGQd7vDfCdFI42mbx53Rs1vvkBz/KyUsviyasJg91q4CfngabytSpsMm3f6C2zULTLkf4BxsB2j cleLqpucIKNxmwURkeV9CCs0D/6Tg7QEF/GJ6UEHEjCHOvhSZEgxRUs0tHrWTp7YRyZYvLTo4Bd3 Zmo41G2WGaRecpPFe+gMjTX99APUd9Eos+lnPqa6EfslQIlGrHJ2CjJyuUwXx3NoIN+XRn5m2Lg8 HOwA2LMo2H+0TAFO0XKVEvJkBD1bKt9+NfNu1ipRGfbzjt7UTIzI+SOcu6b+tH2Owd0LvlH1FwG4 vpp+QlQNqBf0ohR2AeRXCc1p+y3hu5QKW5ggFCF7i+YcX1vf/n1Wa22GUDH1NlwA3I2kvU+AS+jV 4o4N5EL9W/XRgHou4Uar8sWvtIYwKCuiI5k48aV04xO/c+UPajTvaAHsfB/fKBtL2dQPNWi3QV22 MkBpt4RLvSRrU+NgU9gsblUKQjL15S2ZjgGN8kb9n33QWA1jCIy01TimrYNn5BtvlyEEZT/W0KSC R/bSgjQ7cVkwXO7qt61Nj51hDnWWu/oyPd9nHRbB6i/GcuZtSG6+olLSTvfjaqnCPrJcVTgOAr3w imCbT9PhnnG3hAU7BskDmtrXvPOqnETrzESxtQg+iyZ88ttL+SUT6o/twAni6jFeKWD4LWSAqgVc O3MFetWgPEk1R2gTIzUbO0X7L96eSF/Ze02TJZVnBciXMDsHWGLaULx172lt2yanWi1DzmpwU4vC AOKLILGu01kt7gWr0FM8WzkWIUlRA+t169pLV52Lj5XzLOAThFd47eK1PbcPb6Hl0xLEVgsXXegf TddtH9gkroZhKJtc4rNZWlAyOkSlaWD05BckPfp7QsGOqW1vfau6dnzSLgQ7DQplc71y40ObbR36 xivQ/FSpv4v5L91be05TxSU2uqKwK69R/8B9iYbAvinkQM1B5Ev4cYWEXmEioZDS2u+9WW5AKP0E SwPfR8p0UQpgZMWaW3ViQD7DzUUnZdaaVmKjGx1iyncX9GDmKrJ/xcLc31qWTD7lilesFQXKNIXQ DSqegWq1B+9azG/FzBAz6dGOH9b02saicoFUZ6zx4FGZSafPGTVyIDrxVDfIGWYsilrn38yjaC80 W+d7brTRpTkAjQKhyf2pD/7gIkbSx41EQ84J+AnrDid0y6Qzizmo3mTNTI95ta1CpfYlveif0Zf4 R705epJE8DMBR4xVZgrexIAaqAzNndj4gZIRJhB5GwwFEGrOpVdDMxfrXkHi2J2ii6hYuSGqcJJn Z25P09jlkI+v6+wN+9XGrLNKdviplYQ5HF8XyOiA22u7O5+nKMf0fztXkISf/0Br8Fg0eP34w5gq Thvf0E6VM2KF31QdvocTl/l4yTDzdG7BzQud86Ka+FTWYoSaIo31RzBlVpBfOIjG5gqKJYwIvocU 13oM80Ux20vuiqMf3tCG/8P+HC1ZoO+mEbCFxq7/G4OL2pvnONWzt8ntlvn1cneyDQROib3MmxZA FTdd9vb5v0DWbAFXgvFvjBFDPGM8yQmfFiOZrm4vI1dtylBrZlBmeyT5u4ySxlHu5bUTGISrX8R1 pkIRIwEcTcjnvYJJ2MmvehS0FdAHm6plwAX+ljY8QUWExhXNqQuyT2eFov8Z74dF/lioI5BuhDE+ YxoY4KRYkWrpY/b2dQs94wT0ersRFzCQzAyn9oYCV5eXMdj8I+Mg7I1iNe7u2sajRo4RkhXzy0FT mZ8S2BpknIA+6/WVMzlTyOhDWU43XwFyjAPM+rbmzAlWNe0Ho7xBW4UWbbaA2uNwNDQktvAXjo6J g1ELSYazv/dMODURwDoJ5D52ukJ8Prx10FmOLTBWgHrMB1oRofeIflIDvIlFKhzeAJUgxsNiAp4m hUAO1sbaULyEBJUlthBnVDLuE/Fwh/gANEr0NILxvGGYPbuUKaFzl6WHJeW7QbOtcIIdIB+HaFSU eyqb7vrGRBlE8vOOZm0sa3Pee77x2YRZAh4ZhpXWn3e7sCCU3rnjx5KWEX0uWGEOqlcHtWBZEgc8 m+Oamtntq6npRHVVyrEn6LrzJDrPPD5lnGRaewmNne4pazMvl7STNOHC8lmETzXtkcpdsJrRYf7M nHjXvVrP5W7/scAiyKLDaUlNNKiAF3Nxs/wWz0bCmWgOYaDK/9qK/yUAYSNxZSpveoWxHstRIgUl 9QxoRKNPmGdNgfwfhAWQlUBpDyvKKRSzIwM0p1+LHHMokaYxMLEe+RID9ySZA9Pb/GYlR/fa5HB8 HGF3qY2T6naGWPrLSW1XtGpckigq5Uhz9HtoIC5IMJh8VWRrncqQarlzzd+Na2cwWHtrL7zTb/SY hjTRqO8qCqsjsBfEfQoiyaqtLoVVDbd58ffN5n4Yl6PJdylClR+xntl/5HCLBg5pMcfCbtSdLqeK DmZHGQxjDfpvy+WPtAOxFvPFbIw+1EuWHB0M+uJiZf0m24pR7Eu4dtfcAWBrLa4dOilIwbRdG1x9 KSAhuAePgjyDAH+O5zzzv9OiG4MXiW/geYIdQX92k9vm635KUQEPG5kBP+5lgQ5RC2DuUOT/6HgH 2oChkeeMLq+UNGOTb9Kjx+J0MwELa+DGAi9fZXrYn3EPwH7/ChBMWXvNBy1rsFA7MzgQf0PsQGzT HZaT7WR7V7Yjw2cfmz5qyjahC00T2IMXTBBsySueRzEGNnAKEI/T394cnKdUCyoqP78n4GDd+iTS 5OYlbHVtVbgP4Ph32zjrmIWrKsDn6AHEmUZOy9XR+2wT32C266oluRpvBRfBcpSyd2LDHbb1TiAS L3r3xEEKi9b8AYJ5ItXjZaZAUw6HyBw4s99gmxFXYcTJdTtheCMbpG1QWTpuYHyRa/BHk7oxrl1M 3chfvrnAkssn6LrLohZ8aPrSAVhoA8rrSBuxFBRWG9syTgJYdkgJ0sywLszuqXbrUTyY0AEfncPK hLg8+UiGbbsjuPqe51M4TwjguJ0al0qBvl0ndHTvMtwhqZlrAMUcJHNsf4yrZg1CnZojvZlNkChL DRwJvMmjcffIWOBu8h82VOguIBf8IcAzICpTtwFiBoZKawc0gq5Nfd12qaLbtatbTEKgou94e8cD 5wVBjOlZNpo+bqeNTlPWiR/00l9gqcAtIsObb7WGILtWuvL1JUc58Uon8Tor0xjpmgk/rjEE3s8z CXAHNUEcokA8A7qSrfLWvedch2H7FIpPifjSy4QCJIJ2T1lakkW4nJR4y1CslIow40h7RDAROzBy 4I/clTp2C03mCnvmB5iLa6eA5quUVlJohzWibocz/w/KsD7+BPzp1cfXLKugWThVgC40V/613A3y VPvSbym+S2iGYlw9PccCR3by0sHqVXUPXalW6x40YpK5spqLeIdoUfKRPQ7vkexaaqnEbMt1su8z BEDVvzXKTRbH2FBTGMlqSRhuJhbu+slCJS/32h98aABokMTzWTVWl8nIs3FgEYTfzuSkm23rBDtp FqGqt7WMhqxK+6z6K9Aja1XH7phzI8B5ABhG3/xqzO92Sk3a9N5/d54TC0FVtuBg44aScTTJv2Jn Ccu0hPT9f7qCA+rXcvFEkwNJk4/uMm2crPQh0qAlnbMAAlYVVLapw8OsddH12v4hMd7/+RiIulyT ayI4NCIIcB9vsVyVMJaJc9Qu81zGu+g+u+0iRzEzqJuTz1iaDPaz2S3oABd//tab3wEux0tsxaYM NeNE7Ln4xPvHTjA2P0xzdClr88AgDpWCKdoupL5yGbgysV+HUOyhh85gKtSx2NLrZ/YPFf2aami4 b3ZYTUi+/UONbDr6BG33ua4OSpsST0ExguL/3F99jNe59GJ5n5/NnZKLh9LXVO7ZMOc2pqN2ElF9 xa5HLsizgQiTN7XkCDMlvVt+1O4IR3dtok+9dRDOa8kcqkrG3/elN+CJqAeYFkmQfFKGJxN1q4h5 J1GPnUvjhHUBbY8kVs+TsqkuVIX3LsAqOLfg6STq0LqOhzuw7E84ps+kI8I0j0WotzqYimiir5t2 cJL6QsIy7IFJKJ0SQrJRnQ+bpdpdsYzsKFqwd27W9yVwxRQ1SLnje8D+bM2g2o81HstIViYT7VsA yobcg5CL+hUAQ0dVrSHXhF0tTqf0KmO3BXBXmN2od8ytfeNwO/9UZ83xEqhfsMvWya9A8padtMl/ Lk3K6KxcU5Iut4OnIR5EVwvtJ6OVhkmwnK8W8FoVruVozTBSYSwq4fzoEXoimZG1YaQwzmhSEcub 93EY2+826RZKCl9VmY0OSfyhh8akV80HMUIEdB03rJrDOT+Q2pj8wjuDPOiIEM+i5GtOIXml6Uqt QsybqBe0jyR7fkeho3A7KMorNQ8Cf/HKb7sDexhPtR1eFEt599GnFam4v5BwnitEIcvXoJHUc+++ HzhQIhu1GMJ9ct3PXFIIZ8sS6W/T33e56ksI06rKh9Yoh8ZQkb05D74shaejiNnqToK8AS/BprmU kIZBzeCZdtvh1qDC3nZlgtQZQnupIhXLjs8Cq1hJiFDJqMZByoXbJsF9osD+DmCKQDy2E6CKx1e7 N+EOsflC9NzGs9hDOUwbhXZbsslIOVJqpWO9fINv0N9gcc6fTAEGspfN6ZqKzwFx81odIGKyd78Q 3Ixf6cxdJKo5byvxEylTzGJ8i2v+8vowHBypM33uuIEIUUhGSO3Gs9eapHPrOO3GT9Vcm90ODJUf 53qGQa4KygNPjcMkv7v7QVgBFGg37MZjOowmj5CSV+a1t8JyHmgsG8Oprt5F+M3tO9nQbcV7/fIT GGVaFhPS5/zsVeT9W3RmHDiDC9b5MTP+JxODt2N+iOZ0hHsyfYbFmOJzwW7k2eQPtGscPN0pkKx7 tuvQ6AxIM7Uj29TSWxU0HNlPB/zuIDtWUSH6E9sK3YQwl02NDXedUSZWGAeUcxh2aSbwnAdSJgbC /C0d2ID+1Ou1Am01ULnPRB4H/YOLK3olzpyv2wuB5NOo767e9GabnOGCJaquY4MPGi0qMK3zazLv w0FpxP15UOzpVB9dMhjEvy9LiHDxb5js/FprvU2Uy/XBtRfZpV7/hWiGmm4WRbx61U4aXRTB/NyI R4IH8YJH8acq8+XkPravxUDCXDSetGP/RkUlPVn4pW16unR0jpsbMO4Ot14wR6htH2/qKueg2Q9i LAivHeLPTDl3CS/XrVK138gILLJ40o1ScWrtNuu5c57HteFZSU+PKUgpZlSb9KbOaJVfFmDQTDqN NVQ6yBhmjEQm18G6MHkn4Gsx9tSua8H/hrd8E/lZSi1qXgt62I+pNII6ywAR5k61FuRKhbmBxOak 20ytrPBH8iOPqEE7kVR7fkP6Vz+HiqbHK9taf95TqCY6ihAjjgKB6HUqWkiGZeu/a4S22aRmp99m MmxEQL7LS/wtSu6d6kIXdDrV4FZl7xHYsMQDrSA99SzybuRVLMFUOD+kxaCGJZ48d7f0xXX56qOr iKEZlTbPjwfy/gYubhhUoxJS//jA6l4T5SLBkPQlMMcMK3c/zCHfep7HodtMmgAPaHvpLSAu7mg5 1vcHSe5ICys+wQi3CBii/UAl5hKS95kh+MW1QSGlIt2D6XLomEswNnzbEwolPLTRKCWHBrRa7INV WFu1tVl2yQjVaEZIobC3DaxCeX6b3ELUhHITc2aDNOSgpoQ35iNBCxtDzT3b7d2UaMDMtTq23VW/ jY9dCfNnTghX5pDxYaU8sfBAcpjf2R9XJtt8rbVwfP+KfbXZ+kkAseKvJxp1phMih47+oN18xRNs 2Y0GQ4gPg79OC0XisE/dOSpqN0mrjRx9fuTxaw1zIjZdBNzLRnE56x8qU/iGKDf81e/2SDVpYL0y v4a3/vVRqh2Zn4A7bnuKkv3kxlMT35drdw1iLqwStmawK9u9yQbS3wNfv1eRpCbEzfkASfYvRX9T TIE2WVQV1Tf3ryPEzj3DCEF6LpAzFslGXYZeVR4k9g7jc4Igf8F6A2ik4E+sJYRyNfGhDkGRjAXH GD2XldOE/IYBp/l0ev5tDKwAHLIF3NmIiArpYc7yY/MaSa03h554EBFGN8wbKZ8xBVHbjVx+n6iN Baq65hd5gYLrB8DeRXz4TbzYyWRzBM2y+sYkIA9gi36QTcu3tp1iq5MjIrPkMhWwyNnIWS4B9UHM h0Kv2v3430oc2gf/wetFWOKsPIyU5YbW1Q8yzKomi3lL/P76oMTnz0jfi0UUcZVuw6lQJoEHkNYx KHRwBqg2Bv8i4V28AY4/2fH+b6DLsnvm/55Ff9MEthZyEvi3aEEPXdLNTQJ+AlaT0lSME/FrFOUM r3Rvj7b1MpptFbkPw44FI/iS5XYpUA/SUQWtmkwHoRA+DzXrggbnYab4jwkNVfhabV2sG9D57gUA +oKoayY2RNt1sEpWBfYRbrsnWh471rvVGYJembFKL35i/0orF35Jn2fSHsVHQu4aF5VqLt4VuEWe F3j84wrofxdxRpeHa7dB6S8wJjEp/yc6TY7tiKW5Xw2WVOu2dvtCIZIrhD2Gs7TCz19VCfCtuaOP 7pd50SU1SlxoF0kJF13ZktaV00qHXghVpbl8jTiTf4Px0I94+H7bJyjV8ZMmEDzFwfY299jw0RMP ac0EOPmC6/TXfloiPBfVmdJtKJ4yYEcCj9aIe2QJxeH/En2WKOIJzZnjHZBKvTtGf46LuRESllTv IUzr1Viv931fgfd5hbQyQ3ClY2LuHxZPKe2qw5pnTDKk+75O8PuPpJvdtifrVzypsfwBHXyZqLnI EYLILNiOnFKf79U44AsC0exzJaLAty1Hal7mhakpHq1iM0HDlZfxwaVAuNWpi6+FrXAVH6PXuQQM OL6fUmxPqbjFN+f+myMxq9uRhXbpwAZlxkOkDqnvmSuS6zwcKyjCRJrwTomSw2cI4/T13NiaBF12 /0wy3BU3KDoOhKOVc3aMXn2L1tGiLpwEHTd6nVrRBRKzqkBT2m2n5njsZidD4opuXDr4qVRPFxBA TOd9MCO++9FtvDMQE4HrskDlvz+HWm7Vu6sm/qb0FloEtJoz4iZaBPjdB3XOxVVOC1988m97pXEI LGFL2d93pHZM3dpiHGfr6CjcJa3lk+N+MjH9AKjdWiazge2lrjXtVlnZDVWj8/99sQYPxwKjX7AZ g4SFUlYgB/hQ9xWG4LXZ4ojJ8HFls1hHjYZ96TCiwVx7u/XOWyIpSpXed03SemnPGZt1Bu10tCiI 3Nu7NdGw+wRMokypbcOcV32ANxJ4O7Fq/wKuTS0p+yxtSyiBWd8y9tENG6ML5Sa7XT9lfSyts/UC sXpVuMFdlYZ5ZVoEo3aQGl/WAR1OVr5op3k7x8fMQg2QziLRQkVT4sxIiVDvD6zbfM4wQ8SHOrrw zDWRsf/ceNdr0idVRA3tGwxDZ8lbkIM1AXJKlIqWu8VhEZfgwGIjrLsPcxEzwni/h6JM+n5dDve+ 8qqXHYFTC8j0J3btJW3vtiqK3q+Q9Tk3aBHl+sKPF5CYhN+zl1Ui1h8MaeG+bKYyGr/MlLBBfsk3 YoI+3ZmjGyNJmd49yd9c/y6G8cDWnhT/PzNkkD5FTopgiip3p0TQe0LHGuvD8UbeVu1l4NXiRh5z KgrN2V6DYAqc1X/p2z1BkmDKhkn2ylyT/nzh4cvVXf+LpSWzDgwgW4jh9HrkdpPkaojei9FekWrD xpcdbDN6n5iO4fgCes54fsWXw8fCwUv03XVeVtiYrVEQzLxdhYCCJPRq7EEvv1yoUN3WDYseI053 Z714YHLgXbCGIeh5wgzvVzxmMkY1JZxx4FkBrfY38g8Y6SXMdD1eDyfdFntQVkErwFnJiNctXmcD 5lZeAs3XWIZeVyzmHggEVPzuvK3iVUbCH40Q+qZwicU8xmhYxtkLcoDuYhBtv2iRIzsjP0AfV8Eo WkxnyXI75Uh2nhEiuI6CcJV/2vKQR+ZA+qbfPwEyV7tCSKetUwDNXngQ9hIAkerGiS9MP68j2wmS 4MM7lv0XdJ7iX27Zgq+PNGowC2Di3WOwsYvPFVtNMnR5bHgzOSEa58ajHIOFda+1MM2nIVafFVzB srLor4dWQD7g7jBkoXloVFBMNQOgGkVc3RPwEYN8F3+BkRRoo9ZJ1j3IBbvSZWaKLntaMO+JZ+DI 8zVU8PzqatiGn+K0E6EnhIPRAyVTy34VbdekMpWsl4ByeT7lhc1mynqpoNP/GdkBK3zmO/ksmZsP kZ23LEBDyHloK2ylOJKLV+TXGm+2QiFbpUx+7l/T285T6yxJwzimPYHoq4gEHMAJiHz2p5UJ7OoS 46hgT/q7aFl1tnQkhLxwVVDh/V9e5+pYi1V7gk8EXGBsGNJlpUeRPcPhZL5UKrdNHtiJmPcZtsrq 1ECPG1N8bUJ0/zzL+3LJ0zvMuuTG4glmqPS/rnYcuFEQliFOmhvS36tAWFX+DAOFEVJpzeHG7vW5 orkUqdJBShFpsZrfrEC+vlp7ChbP/O8VQ8UdzNSmnBFrfbyTUKoZJUGDVw6rJaPcpxfR7U7QJRTM MroXdR2Porc7OugO2sWhDhgsw+H+wwCo1j+YilcLEaClhNiGese0Any5vvwv10HMikR/woRZHqfZ o+OyTH4ARMLTAE3plTEjqQfXh+wcUAGNOTGSVnKTKZ9y4eGE7L9tn7+d89MSx1AbeXRKkUdpGo/e rji4oSx30Zpews8mk3uSrZX6HhmOaN9But5eWpPwKh+L70abv7IAv83AT5hayRhNJsyM3ZqFF0XW Z5wWDswd+SjKyL/QOehNKyu1NkivmNIo1knPaexi7SIHhPkcsv7lRK/U4+3O+KjkTwfox38YGcGz 7u8mcHAiNnxAGTGPg4vQjGtL+NXWuVqxbrm+1bvBV4GTjVm2tjchlNDZCo27axt3RydK3ePKSA1w jVYZp+dvUIc33GoiFsbIT7h1z3ujCLWE4+23wr43EG17jUyAMFCTTskWEiSMSQS7a9RMFrsP6J9+ LYRmw+bl1hYSozfb/n/S9FXFxDqQho20ppkYApFCYRmkeghLSFX/zjDrJ2+Oo0Js0Er489MUZ5PN yCD5tGjUehQr2P6B1neXpUFqfBJDEM7l72nmRYhOC5AgLZs+mu5Ja+1TGec4Mzl9NOJvGekhfouY LdksEKUrrwjWyS90WaECuRZdXpPk6yFCRH8YhB8uvLD8oZxF3oKIaKQyXR4m3YvoHQHK+55TzLz/ tHb5W+ohMkloW56VWBLXgIszbnfAosfRBV69OllJpq6g5YSC+8Ul1ebngS308Of3fxfMcdo5jn5c 6Vtz20EOnj0UQD7POqXadH0/54Z8yK1pOP51SAslI6+P1kLL7d0BdGpaPryKaJ2o5139oTH4D5U1 3xKS+cHde2/iAFAdAkRf3KqCYUIin3c9/Rq/eAFwetAj+Kp+eQU52XHOQyXqDw28aUyuIMb6hSdH BlQZmN+nwI3jf9Hz3Rfj+1iV5tlhVUgjTvzO4uj4SuzlO2B4oJv6FZnzFSsW88p7DxCZ2ymOjAtz HdnIc7CwUlL3Be+iHw+vbd/dKxj4jMHAFvmNCvY1Cos6ETMSK2KibBccaMJBrKg3Qgj2fA+CEn8O g+txfwNGiHsbOZ0Qd+n1uEvtuiou10aWxY7DclO3c41lJ0TgCOVuhrkxdh1p3L2gFaUwrd1MV/0A T0V8pyxDK1VgIAMDTdo+YcNkfosU/sWzMONiEl9tLwmA+C7EEVZ+HMBWUAjhl8RNH54JBfSfQzm5 cJC4383OoBV2OE/knxeZbuXW3YJa3A/tYedps3OZDdglW623JqWcyaiVhFAWKPkrk/joSptTcGMz eLdcDX9ddabCYdqFJMn1L83+lThem/1JDE1N5et8/7wbJjD3F8jBmorMJ6qG3xbt1u+EwavCwyKL i1AMDSuYfwWOGIdVkdst8NEKyReIkvHzcLqU3VrAw9yVsrOiPSmCPSd2iWBBi+6e5xUtKVPI/4LY 1Fy5fN+rpQNZvRul9cRBnss1pvfCmUhMlDFb1krxjl3av2ztncLuRBbUKvfnHyLYlPp3D26E5+9R fim6oARYxQjqFQZsUs4O19mYwZDtlcTnIQ2lETtq+hlMF/tkoq8ypH5gKxphp5NWxrFDwocEf+Ib PTVItHuc98knQmxZD2wf+05NvylqDdQ7PIkmU/zlaPnP2CIkHxIjAcWC8fbTXV5UnECwcK8lRmc4 SxTvnIwSdPsoJdWFKCkwUePVWFFIFtGCykjP4+qIQHBF5VcUvsRfSARefqt6M1XRrnDOAN/Iv4Ov vY9eCUoYl/IYm5Lbg3wxli6W+JZjWSj3FM+lDADw2D3H2gLokj5g+K2UOh3INjqQU7ilMQ+1/QFX vEA/v6R2q4RN/JA/JrzdiZP2vluJ7/+mNjsGYBxdKbVJed2wfso8kTCPyi6FDL49pINlBk5LdhH5 PCdbHIDJRuvMaTfyqvgv3tKfz0Qe1EM2T2YvppZk1KyHQlRFC9/MH5OS9ySWncfFv5M1Rvm1IT9S 2LGJHY1i+DxBQV88csV4VAiVo450SUcXaZxVTZ5N/l3XnJqlFaBGtE/w9GW1Q6glmAbEeU5h/Ipr 5zSfPzCSn5LGB3MPDHNgRwR1SCcnDF40afTvO74/IvrsLU6Ipm6rFKns/g/Ujcz2B0UFI9asgISp +8HEaQlt79gvXGcuJecq9So3AGbYIqDSr9PLnOUGngNg33WPUVfJXPRlrAQIb2DmpE1niFdddZ7d J/rY+ZlNJTZMqY78vza+x1hKEbJD67KJhcUprpPRG+KKs3blHUdZcIhCMXjyK9Px3xSvdEl/0B7d Y71+16i7sgJiVfQ3hJDPFpWW9nQIYOFYQmS3uVkYQN/Fu9J9QFiyaDEFWZIcfBcqX6wqYQz4iYgp i2od/WdVc0PMuZk2w0TTOfLKTekt635acX84lWNvlOpl6bUSOoVowpURr7SPx17nHxKT+A84ugIs c8Bo7y1EXTqTxSi/TeFd5xVzKiTHf/2dzg+23zPRdd88ZqQkW+JrjaHc/sfSP0ZhZnNnjk+Uso6L yTY4X7kGDlNwnjyybxuZOprMLrBtWhXt10byEZzPPbpSI4wWy1yRDO5DU7FFxEQyaiSRzGh1sZxZ Acden05+GPIcxS4ljL5RYkHtG8J+194sNa/6B+S5Pkc3Wd4bMV+WlgvaKm96/qZinENLFMYkWfLh uRjzYchRRvrtS0YuMdnSxbHhq9svocsZE2uRxtZ/AjRdUqbcC+OrnqfB9llN+VTG6LQKDR399rBT RsY+og+S5k6gAGiJK+x58pFjdD5jg9/36O8GoJlT83BNi6grVhCVaKip4IMlfk5/Vt4TWCbExFSh WXF2jJgizY2puktTHoc+F09N5B82iAB5+aldDn5N2rNBlVl+rQ5igNzkE+qJ0BySEt7hTiQcnzch D9MSb251dneC6n9jXWPRMrU1FN4t3SkU8Jghq4K6IvLmBk7+3bLJLQO73dtn2aofF6O4yzqz1yzr VOMZDXBax/8WFUJUiZxnT658c1or9R0MyXPEsElir/HVOhc0ay//5CWLGPI3bZ+IzI908nDqajx0 jGnXGCmP73vfbi6EZrdf99e56n/RaIBK1KNc+yKQeWByQGtmRNZS7aH7wp5pNFnJUftelua2r9AX GWmNKx7/wa1L9f3877TQvaExtjfBiYW7czB2WBV4K/IkSUO/TfTq76gfXNNHhiG47hhSOWLNmb2C xv9ciMP7CkEkKpz1fmxAaYXkYwLz7XnoXqRAub9nL2buOMJ01hjeZtvR7bVFAL4NtZIizJYXYqRC gWV3SKuUKwpjrFRjiBl9QDBGQtwU9HnCzouzlWmZkqVnN8vBwMxqw+JK47wqRV5UlB55NMyhlWQ6 2hpeJIvgL9AOQ9BErd9JnhoPkmAbyBs8hwSRGI2EJVxiN8o/BJebeQAnRTYHmmwWOBOeE4oxAqgV ikHl2Po0PKAppuFBHpVUFn35Z7EML247jWdc0FZrPmKaEau+jE7v3cXM6EuPuAe3bH6QGFl+VjRb ft/VMJvtwBVXzwHV/ezOvL9HhqFs+H2tF1bLgidZKisawoF25zrfQE43V4T5akQBzki84Tm1NHvz JY/yekyUuG+Qe3RLty9hisnPPghf/hWcFJ5bD3GVAvakqwUpRYTUn/tvPWaIlMYjZp4YgQaPrhxB LfTwW57EPKNoRzbeBHNiWcK6dYaw4l3Mk7vuVEmb3/+d3knOMqis469WTw1Kvpwe89+pVtmKZ5M9 fkl3lfIF6+rbOgDWM+yDMqF8c5N7GWXArBQQ8G8HOQJdZN9JAf+r1KJCSrp08ppWaEbFxLIOY3fJ YDScShZ1yeeVPpW/NDt/kGiifQZCRnZ1mUsXqW0vT87HZZqFUAWGrzb3cVmN6C6xvm99KieVbnfl 0uNwG11ZbwFr5d/c9EsxjUbdI24XZnj22UYGyEKBvXDA/m1bxxEnT7qPbWcIWAhVA+fuqJQtuJBy JbcQeO4KKJ38qbodg4xXOwtMh6sllhUrWmoOMtA+/GHD8gpVTA85cMJsxTWWCyp+GPSFb8dsOpI6 ZOw519ake/vclatNB5lJ2Ew7f0zsovrLRHFos0AAXArC1WF1iMGdTlTNUiBRdHujoc1f/hcJEv52 J8VmqkrAB1g+uJvASjnVwQua9ckxjJnuxB1GHsoGlBjCkW95TpA6Wup0QKlc3eRE9hNXt8dAzmKl fgKroS++xZ/Dy7QkImzAu16Vg2jtM/FIakpSbB/eg9Xv2xfTLEU0Nd41lw1xWPT0C4YupPCSpoK6 5prvq0DBVi/GDeAsGWw3xzI3w86aVPrfrftPLsPaCGGUlwNAFHypfeMsaaMP5AnRGDsKuDaMR8wO Fmh4Ccw3dNjfz7Va3/V76jw88xjHWC1IF27NcRf5yqe13t+XU7HocA/WanRaaotEtTRqZZizVXn7 xSl3mJBlIHF8lece7KgZt5A3OxFtla6wANiO403ENjGStjKD7p29VwuzMEKxUt1vDTQLB0bYVCnc 7fGCGR8K4vRcxzp3kcqB3YV5gzFKmWfY6Yk87NB6VRiOKkKeXcDRV6ObvlTT30GeCevPBccKo3gw +WjEphtIU8degZMgUNAJh/0WBc3ZvG/yZzaJLzn6yuniyZWyHTNIlfo7ZmXC0DYkgZ+18OzuuNO1 cPN8qc4PKbKaDGfw8h6/IvXlJLhzwyKQhA8qKeGlsXzy6srWKcvop25DtOUWlAiP9P4DW84xArp/ EfCL3mnRCOaAgfsWgul+3LZhx7UXX71UcgaKBrDFhCMhIRgK/+bEdYr/+mFN45eSb1q/6tFaA6jW pdt7kIUXuedJKS9xR9tVFo52/bhDuoP+HqAIRFwbZ552TIP67mpOTn9VNuD9zGiHGtlAPiqRyq2b iVALfEWO/EjRBf1/7lYlKeGSirgh0WzgGvXjXIhSg9E/MoNeSyLYDrJvYFnQrst1du1EsOGJMoM2 BaeFv0aAk91Z+O6DqXMXoJaOpTMVd2dja1zl7Jy5YIJPw5ePQx5XaRjM8UfsN8ykSmxrsa5J6Xeg 1ae8jEbzm38X7Azlx/c2Irf2UvZDq47oL/E3enhu61ssLHslKQ5a+xiWY79LYbJnynDBT7VCafJp TVNT9mVJxDq0kCJGMm6xFBuzYhqLbEl+So4oy7chSwJnoS2yS7NCXyH0FK31n4D2WpPU6NeNiyBf V0iw5yrjW25JAP2OuNF5cHnZKusUnUDNQ/WqQgx6RTYlfdVLAEKSXRvnvJErPAJYZ2PoUcE/hlSw oGdsvpgrYMonxi1Bdfw0nQzXJHAFx3FdRQctmibOH8ChC8adssCgRlazAnWkE6VDmziUiITkm8Yn Uf+iap3EwnZdemHfKOmBp75WNhGJqOObhLsZvVx1t7h2TzMX1DnXivW9hD8aH/ubOFN3O0Fn3clc hXlIZh2+1Bd0W3bO1zc+3QunVIryQiS6cWhPlkjrkbZr040WhU23cmSVc4MAILUfUqOzXaJi+uKH qqrQBqUX1RZFShPlX9fTyGS1/xW+UzTkGlNLvs/RTRGX9RRV6+QI7q5LrnkYits33+6I3oyLqzrq H2bLFQ0tYLeQaOhHrABuajlIR8ofCaJURRVRsbUHxsD9uTKzb84zT8TD8BgnxdEzful7ETyJyONQ 4Fj1FUowm6yfjuN0T1CKbQaZpXv9mV9bOwanHQViUTjhNQVN+s4eCVrLEAcggQQhuSZsQvklhNZv dShFFW8bzp+ktqCISGL/ZC2cqmiZQZxaemXSpSgI9cTTEAL/PmndxBN4PP8TK5+hFpv1msrHGab9 sYQZRF0FA9iN2rGuLC1FA/LGLucE5iubWa4F17pvgQtzvTtJFhZOey84t0vUipZyTxqxSNtRXqNT Lks0mVmG3xtfdegdwKf3HkGxaBUAYxRtSxI4iDWN/KDFdXNbCm9UZmHgqiVNxsZa+WcvKWvWdeAu 6nEZZ4aFwgagfLKjNTHDgX6T5Pl1S80SbsaTtK7VQesmfyogWpW3BFDkiBbEY27Y+VDunbBA4U81 WVqBVSH1YX9CnviX8HTSdl8qLpZhUENn9ZT5CmqSZBiS+zzCJ9fEA8lyF9GdsUJXxmb424wYWoeI /OUmN9HdTTcZ2FCY2qaOw17iuyDT6aEQPyqFMu63CXU1uoFJOTgyVD7xiTThEzAYK5JIFYh3QAKM NvXyy2q7LlGtkPZ0kHCZdnXLGU6hP1fPbHr3ztAaU51C1UgRzlYkjwtlhq5RbVJtge32NnIJlKC/ tREpgIAOw+hIxhD1LSOiziUAMJpv/1vY/pxe8S4rqQ1gMgKRpCHCkQJRKtD0yY4Fd5SJewodX2Lm qCTj7HlIiivAzoUpISNYm5oVi+P9b4KjpQwwFPujEp3dEUn050gtedlWC8hO1O6/uldQT+56NGjc JxJop9nJ0Wg6Ni8ZzdAbrkPy3b0EvbiUPM7vOhmFTl4BQEXKwGhggL9PEvneVAdTye4KDTgpDSWA IDzYP2tSUCYLWloIBScwlmGrDaCRWz7+rfo69neFm3iX8HxgPb9JRz6BPhUW3qvLyR4FFH6z693d 0BAbVykdwMkh5Nyoio/TobZD3tLjQdnlhOrNHo8ipHBi6bW0nk4BYtMVIiHkc4SJnUYjPato+7VR ODLHZn9IB3usYxdX90fbg38WD4G0WYyqhjD/VEk2puUxq1Is8fi+CHumaxs0O7blp2P6j9DyFOTx Qqb2WKo0/YKumk+nYXVIgl/Hau9e6FgcADd1PUBY/hAsE9L5zZ8BAqOMPipXa8EOV/OpYPC+hPdh x2grB245mvTjR5gWQsnB+/pYNVrfLnew+gVDsgWIxuSdAMUozq0TTn5FMsIC1q/ikDJekcn7LGp2 K35IHS3mtwvzRHBiO+sdrnKa+42zaM/6N4JXjcWUVSFy74R6X9gwRZd9kryRSsHZ/j2I7oM5VVSP 8c8mEO0cQToOVEo0aQkVOxEudBuolBbKZVwwTZquwIaTWDLfztw1A2Eft2a4WtT0XGw5DqEj1UMB PIUgTark5yhx+C9NKvFUswFpvPnH7sDsypTJA2sebJ2KtRKGoUfvlUyvzhqUmzKvaGVjtSgEWxl/ P8jbZ86agWsbbPkhA/rqkJh1zQ1BpW7BcC/z/zYWMg9TmkDKTEY= `protect end_protected
gpl-3.0
makestuff/spi-talk
templates/fx2min/vhdl/top_level.vhdl
1
4552
-- -- Copyright (C) 2009-2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top_level is generic ( NUM_DEVS : integer := 2 ); port( -- FX2LP interface --------------------------------------------------------------------------- fx2Clk_in : in std_logic; -- 48MHz clock from FX2LP fx2FifoSel_out : out std_logic; -- select FIFO: "0" for EP2OUT, "1" for EP6IN fx2Data_io : inout std_logic_vector(7 downto 0); -- 8-bit data to/from FX2LP -- When EP2OUT selected: fx2Read_out : out std_logic; -- asserted (active-low) when reading from FX2LP fx2GotData_in : in std_logic; -- asserted (active-high) when FX2LP has data for us -- When EP6IN selected: fx2Write_out : out std_logic; -- asserted (active-low) when writing to FX2LP fx2GotRoom_in : in std_logic; -- asserted (active-high) when FX2LP has room for more data from us fx2PktEnd_out : out std_logic; -- asserted (active-low) when a host read needs to be committed early -- Peripheral interface ---------------------------------------------------------------------- spiClk_out : out std_logic; spiData_out : out std_logic; spiData_in : in std_logic; spiCS_out : out std_logic_vector(NUM_DEVS-1 downto 0) ); end entity; architecture structural of top_level is -- Channel read/write interface ----------------------------------------------------------------- signal chanAddr : std_logic_vector(6 downto 0); -- the selected channel (0-127) -- Host >> FPGA pipe: signal h2fData : std_logic_vector(7 downto 0); -- data lines used when the host writes to a channel signal h2fValid : std_logic; -- '1' means "on the next clock rising edge, please accept the data on h2fData" signal h2fReady : std_logic; -- channel logic can drive this low to say "I'm not ready for more data yet" -- Host << FPGA pipe: signal f2hData : std_logic_vector(7 downto 0); -- data lines used when the host reads from a channel signal f2hValid : std_logic; -- channel logic can drive this low to say "I don't have data ready for you" signal f2hReady : std_logic; -- '1' means "on the next clock rising edge, put your next byte of data on f2hData" -- ---------------------------------------------------------------------------------------------- begin -- CommFPGA module comm_fpga_fx2 : entity work.comm_fpga_fx2 port map( clk_in => fx2Clk_in, reset_in => '0', reset_out => open, -- FX2LP interface fx2FifoSel_out => fx2FifoSel_out, fx2Data_io => fx2Data_io, fx2Read_out => fx2Read_out, fx2GotData_in => fx2GotData_in, fx2Write_out => fx2Write_out, fx2GotRoom_in => fx2GotRoom_in, fx2PktEnd_out => fx2PktEnd_out, -- DVR interface -> Connects to application module chanAddr_out => chanAddr, h2fData_out => h2fData, h2fValid_out => h2fValid, h2fReady_in => h2fReady, f2hData_in => f2hData, f2hValid_in => f2hValid, f2hReady_out => f2hReady ); -- Switches & LEDs application spi_talk_app : entity work.spi_talk generic map ( NUM_DEVS => NUM_DEVS ) port map( clk_in => fx2Clk_in, -- DVR interface -> Connects to comm_fpga module chanAddr_in => chanAddr, h2fData_in => h2fData, h2fValid_in => h2fValid, h2fReady_out => h2fReady, f2hData_out => f2hData, f2hValid_out => f2hValid, f2hReady_in => f2hReady, -- Peripheral interface spiClk_out => spiClk_out, spiData_out => spiData_out, spiData_in => spiData_in, spiCS_out => spiCS_out ); end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_stbs_set.vhd
18
30582
------------------------------------------------------------------------------- -- axi_datamover_stbs_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_stbs_set.vhd -- -- Description: -- This file implements a module to count the number of strobe bits that -- are asserted active high on the input strobe bus. This module does not -- support sparse strobe assertions. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_stbs_set is generic ( C_STROBE_WIDTH : Integer range 1 to 128 := 8 -- Specifies the width (in bits) of the input strobe bus. ); port ( -- Input Strobe bus ---------------------------------------------------- -- tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- ------------------------------------------------------------------------ -- Asserted Strobes count output --------------------------------------- -- num_stbs_asserted : Out std_logic_vector(7 downto 0) -- -- Indicates the number of asserted tstrb_in bits -- ------------------------------------------------------------------------ ); end entity axi_datamover_stbs_set; architecture implementation of axi_datamover_stbs_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_stbs_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the number -- of asserted bits within an 8-bit strobe vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 8 := 0; begin case strb_8 is ------- 1 bit -------------------------- when "00000001" | "00000010" | "00000100" | "00001000" | "00010000" | "00100000" | "01000000" | "10000000" => lvar_num_set := 1; ------- 2 bit -------------------------- when "00000011" | "00000110" | "00001100" | "00011000" | "00110000" | "01100000" | "11000000" => lvar_num_set := 2; ------- 3 bit -------------------------- when "00000111" | "00001110" | "00011100" | "00111000" | "01110000" | "11100000" => lvar_num_set := 3; ------- 4 bit -------------------------- when "00001111" | "00011110" | "00111100" | "01111000" | "11110000" => lvar_num_set := 4; ------- 5 bit -------------------------- when "00011111" | "00111110" | "01111100" | "11111000" => lvar_num_set := 5; ------- 6 bit -------------------------- when "00111111" | "01111110" | "11111100" => lvar_num_set := 6; ------- 7 bit -------------------------- when "01111111" | "11111110" => lvar_num_set := 7; ------- 8 bit -------------------------- when "11111111" => lvar_num_set := 8; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_8bit_stbs_set; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0'); begin --(architecture implementation) num_stbs_asserted <= sig_stbs_asserted; sig_strb_input <= tstrb_in ; ------------------------------------------------------------------------- ---------------- Asserted TSTRB calculation logic --------------------- ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1_STRB -- -- If Generate Description: -- 1-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_1BIT_STRB -- -- Process Description: -- -- ------------------------------------------------------------- IMP_1BIT_STRB : process (sig_strb_input) begin -- Concatonate the strobe to the ls bit of -- the asserted value sig_stbs_asserted <= "0000000" & sig_strb_input(0); end process IMP_1BIT_STRB; end generate GEN_1_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2_STRB -- -- If Generate Description: -- 2-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate signal lsig_num_set : integer range 0 to 2 := 0; signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_2BIT_STRB -- -- Process Description: -- Calculates the number of strobes set fo the 2-bit -- strobe case -- ------------------------------------------------------------- IMP_2BIT_STRB : process (lsig_strb_vect) begin case lsig_strb_vect is when "01" | "10" => lsig_num_set <= 1; when "11" => lsig_num_set <= 2; when others => lsig_num_set <= 0; end case; end process IMP_2BIT_STRB; sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set, BITS_FOR_STBS_ASSERTED)); end generate GEN_2_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4_STRB -- -- If Generate Description: -- 4-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_4_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8_STRB -- -- If Generate Description: -- 8-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_8_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16_STRB -- -- If Generate Description: -- 16-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_16_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32_STRB -- -- If Generate Description: -- 32-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_32_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64_STRB -- -- If Generate Description: -- 64-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_64_STRB : if (C_STROBE_WIDTH = 64) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_64_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128_STRB -- -- If Generate Description: -- 128-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_128_STRB : if (C_STROBE_WIDTH = 128) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect9 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect10 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect11 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect12 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect13 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect14 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect15 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect16 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs9 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs10 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs11 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs12 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs13 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs14 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs15 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs16 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_strb_vect9 <= sig_strb_input(71 downto 64); -- make and 8-bit vector -- for the function call lsig_strb_vect10 <= sig_strb_input(79 downto 72); -- make and 8-bit vector -- for the function call lsig_strb_vect11 <= sig_strb_input(87 downto 80); -- make and 8-bit vector -- for the function call lsig_strb_vect12 <= sig_strb_input(95 downto 88); -- make and 8-bit vector -- for the function call lsig_strb_vect13 <= sig_strb_input(103 downto 96); -- make and 8-bit vector -- for the function call lsig_strb_vect14 <= sig_strb_input(111 downto 104); -- make and 8-bit vector -- for the function call lsig_strb_vect15 <= sig_strb_input(119 downto 112); -- make and 8-bit vector -- for the function call lsig_strb_vect16 <= sig_strb_input(127 downto 120); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_in_stbs9 <= funct_8bit_stbs_set(lsig_strb_vect9) ; lsig_num_in_stbs10 <= funct_8bit_stbs_set(lsig_strb_vect10) ; lsig_num_in_stbs11 <= funct_8bit_stbs_set(lsig_strb_vect11) ; lsig_num_in_stbs12 <= funct_8bit_stbs_set(lsig_strb_vect12) ; lsig_num_in_stbs13 <= funct_8bit_stbs_set(lsig_strb_vect13) ; lsig_num_in_stbs14 <= funct_8bit_stbs_set(lsig_strb_vect14) ; lsig_num_in_stbs15 <= funct_8bit_stbs_set(lsig_strb_vect15) ; lsig_num_in_stbs16 <= funct_8bit_stbs_set(lsig_strb_vect16) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs9 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs10 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs11 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs12 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs13 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs14 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs15 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs16 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_128_STRB; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_stbs_set.vhd
18
30582
------------------------------------------------------------------------------- -- axi_datamover_stbs_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_stbs_set.vhd -- -- Description: -- This file implements a module to count the number of strobe bits that -- are asserted active high on the input strobe bus. This module does not -- support sparse strobe assertions. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_stbs_set is generic ( C_STROBE_WIDTH : Integer range 1 to 128 := 8 -- Specifies the width (in bits) of the input strobe bus. ); port ( -- Input Strobe bus ---------------------------------------------------- -- tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- ------------------------------------------------------------------------ -- Asserted Strobes count output --------------------------------------- -- num_stbs_asserted : Out std_logic_vector(7 downto 0) -- -- Indicates the number of asserted tstrb_in bits -- ------------------------------------------------------------------------ ); end entity axi_datamover_stbs_set; architecture implementation of axi_datamover_stbs_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_stbs_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the number -- of asserted bits within an 8-bit strobe vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 8 := 0; begin case strb_8 is ------- 1 bit -------------------------- when "00000001" | "00000010" | "00000100" | "00001000" | "00010000" | "00100000" | "01000000" | "10000000" => lvar_num_set := 1; ------- 2 bit -------------------------- when "00000011" | "00000110" | "00001100" | "00011000" | "00110000" | "01100000" | "11000000" => lvar_num_set := 2; ------- 3 bit -------------------------- when "00000111" | "00001110" | "00011100" | "00111000" | "01110000" | "11100000" => lvar_num_set := 3; ------- 4 bit -------------------------- when "00001111" | "00011110" | "00111100" | "01111000" | "11110000" => lvar_num_set := 4; ------- 5 bit -------------------------- when "00011111" | "00111110" | "01111100" | "11111000" => lvar_num_set := 5; ------- 6 bit -------------------------- when "00111111" | "01111110" | "11111100" => lvar_num_set := 6; ------- 7 bit -------------------------- when "01111111" | "11111110" => lvar_num_set := 7; ------- 8 bit -------------------------- when "11111111" => lvar_num_set := 8; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_8bit_stbs_set; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0'); begin --(architecture implementation) num_stbs_asserted <= sig_stbs_asserted; sig_strb_input <= tstrb_in ; ------------------------------------------------------------------------- ---------------- Asserted TSTRB calculation logic --------------------- ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1_STRB -- -- If Generate Description: -- 1-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_1BIT_STRB -- -- Process Description: -- -- ------------------------------------------------------------- IMP_1BIT_STRB : process (sig_strb_input) begin -- Concatonate the strobe to the ls bit of -- the asserted value sig_stbs_asserted <= "0000000" & sig_strb_input(0); end process IMP_1BIT_STRB; end generate GEN_1_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2_STRB -- -- If Generate Description: -- 2-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate signal lsig_num_set : integer range 0 to 2 := 0; signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_2BIT_STRB -- -- Process Description: -- Calculates the number of strobes set fo the 2-bit -- strobe case -- ------------------------------------------------------------- IMP_2BIT_STRB : process (lsig_strb_vect) begin case lsig_strb_vect is when "01" | "10" => lsig_num_set <= 1; when "11" => lsig_num_set <= 2; when others => lsig_num_set <= 0; end case; end process IMP_2BIT_STRB; sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set, BITS_FOR_STBS_ASSERTED)); end generate GEN_2_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4_STRB -- -- If Generate Description: -- 4-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_4_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8_STRB -- -- If Generate Description: -- 8-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_8_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16_STRB -- -- If Generate Description: -- 16-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_16_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32_STRB -- -- If Generate Description: -- 32-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_32_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64_STRB -- -- If Generate Description: -- 64-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_64_STRB : if (C_STROBE_WIDTH = 64) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_64_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128_STRB -- -- If Generate Description: -- 128-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_128_STRB : if (C_STROBE_WIDTH = 128) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect9 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect10 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect11 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect12 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect13 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect14 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect15 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect16 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs9 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs10 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs11 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs12 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs13 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs14 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs15 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs16 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_strb_vect9 <= sig_strb_input(71 downto 64); -- make and 8-bit vector -- for the function call lsig_strb_vect10 <= sig_strb_input(79 downto 72); -- make and 8-bit vector -- for the function call lsig_strb_vect11 <= sig_strb_input(87 downto 80); -- make and 8-bit vector -- for the function call lsig_strb_vect12 <= sig_strb_input(95 downto 88); -- make and 8-bit vector -- for the function call lsig_strb_vect13 <= sig_strb_input(103 downto 96); -- make and 8-bit vector -- for the function call lsig_strb_vect14 <= sig_strb_input(111 downto 104); -- make and 8-bit vector -- for the function call lsig_strb_vect15 <= sig_strb_input(119 downto 112); -- make and 8-bit vector -- for the function call lsig_strb_vect16 <= sig_strb_input(127 downto 120); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_in_stbs9 <= funct_8bit_stbs_set(lsig_strb_vect9) ; lsig_num_in_stbs10 <= funct_8bit_stbs_set(lsig_strb_vect10) ; lsig_num_in_stbs11 <= funct_8bit_stbs_set(lsig_strb_vect11) ; lsig_num_in_stbs12 <= funct_8bit_stbs_set(lsig_strb_vect12) ; lsig_num_in_stbs13 <= funct_8bit_stbs_set(lsig_strb_vect13) ; lsig_num_in_stbs14 <= funct_8bit_stbs_set(lsig_strb_vect14) ; lsig_num_in_stbs15 <= funct_8bit_stbs_set(lsig_strb_vect15) ; lsig_num_in_stbs16 <= funct_8bit_stbs_set(lsig_strb_vect16) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs9 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs10 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs11 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs12 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs13 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs14 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs15 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs16 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_128_STRB; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_mngr.vhd
4
50277
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_mngr.vhd -- Description: This entity is the top level entity for the AXI DMA S2MM -- manager. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_s2mm_mngr is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_DM_STATUS_WIDTH : integer range 8 to 32 := 8; -- Width of DataMover status word -- 8 for Determinate BTT Mode -- 32 for Indterminate BTT Mode ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32; -- Slave AXI Status Stream Data Width ----------------------------------------------------------------------- -- Stream to Memory Map (S2MM) Parameters ----------------------------------------------------------------------- C_INCLUDE_S2MM : integer range 0 to 1 := 1; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for S2MM Write Port C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary Clock and Reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- soft_reset : in std_logic ; -- -- MM2S Control and Status -- s2mm_run_stop : in std_logic ; -- s2mm_keyhole : in std_logic ; s2mm_halted : in std_logic ; -- s2mm_ftch_idle : in std_logic ; -- s2mm_updt_idle : in std_logic ; -- s2mm_tailpntr_enble : in std_logic ; -- s2mm_ftch_err_early : in std_logic ; -- s2mm_ftch_stale_desc : in std_logic ; -- s2mm_halt : in std_logic ; -- s2mm_halt_cmplt : in std_logic ; -- s2mm_packet_eof_out : out std_logic ; s2mm_halted_clr : out std_logic ; -- s2mm_halted_set : out std_logic ; -- s2mm_idle_set : out std_logic ; -- s2mm_idle_clr : out std_logic ; -- s2mm_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_new_curdesc_wren : out std_logic ; -- s2mm_stop : out std_logic ; -- s2mm_desc_flush : out std_logic ; -- s2mm_all_idle : out std_logic ; -- s2mm_error : out std_logic ; -- mm2s_error : in std_logic ; -- s2mm_desc_info_in : in std_logic_vector (13 downto 0) ; -- Simple DMA Mode Signals s2mm_da : in std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_length : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_length_wren : in std_logic ; -- s2mm_smple_done : out std_logic ; -- s2mm_interr_set : out std_logic ; -- s2mm_slverr_set : out std_logic ; -- s2mm_decerr_set : out std_logic ; -- s2mm_bytes_rcvd : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_bytes_rcvd_wren : out std_logic ; -- -- -- SG S2MM Descriptor Fetch AXI Stream In -- m_axis_s2mm_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid : in std_logic ; -- m_axis_s2mm_ftch_tready : out std_logic ; -- m_axis_s2mm_ftch_tlast : in std_logic ; -- m_axis_s2mm_ftch_tdata_new : in std_logic_vector -- (96+31*0+(0+2)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_nxt : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid_new : in std_logic ; -- m_axis_ftch2_desc_available : in std_logic; -- -- -- SG S2MM Descriptor Update AXI Stream Out -- s_axis_s2mm_updtptr_tdata : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtptr_tvalid : out std_logic ; -- s_axis_s2mm_updtptr_tready : in std_logic ; -- s_axis_s2mm_updtptr_tlast : out std_logic ; -- -- s_axis_s2mm_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtsts_tvalid : out std_logic ; -- s_axis_s2mm_updtsts_tready : in std_logic ; -- s_axis_s2mm_updtsts_tlast : out std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_s2mm_cmd_tvalid : out std_logic ; -- s_axis_s2mm_cmd_tready : in std_logic ; -- s_axis_s2mm_cmd_tdata : out std_logic_vector -- ((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_s2mm_sts_tvalid : in std_logic ; -- m_axis_s2mm_sts_tready : out std_logic ; -- m_axis_s2mm_sts_tdata : in std_logic_vector -- (C_DM_STATUS_WIDTH - 1 downto 0) ; -- m_axis_s2mm_sts_tkeep : in std_logic_vector((C_DM_STATUS_WIDTH/8-1) downto 0); -- s2mm_err : in std_logic ; -- updt_error : in std_logic ; -- ftch_error : in std_logic ; -- -- -- Stream to Memory Map Status Stream Interface -- s_axis_s2mm_sts_tdata : in std_logic_vector -- (C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_sts_tkeep : in std_logic_vector -- ((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_sts_tvalid : in std_logic ; -- s_axis_s2mm_sts_tready : out std_logic ; -- s_axis_s2mm_sts_tlast : in std_logic -- ); end axi_dma_s2mm_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Primary DataMover Command signals signal s2mm_cmnd_wr : std_logic := '0'; signal s2mm_cmnd_data : std_logic_vector ((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0'); signal s2mm_cmnd_pending : std_logic := '0'; -- Primary DataMover Status signals signal s2mm_done : std_logic := '0'; signal s2mm_stop_i : std_logic := '0'; signal s2mm_interr : std_logic := '0'; signal s2mm_slverr : std_logic := '0'; signal s2mm_decerr : std_logic := '0'; signal s2mm_tag : std_logic_vector(3 downto 0) := (others => '0'); signal s2mm_brcvd : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal dma_s2mm_error : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_d2 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; signal s2mm_error_i : std_logic := '0'; signal sts_strm_stop : std_logic := '0'; signal s2mm_halted_set_i : std_logic := '0'; signal s2mm_sts_received_clr : std_logic := '0'; signal s2mm_sts_received : std_logic := '0'; signal s2mm_cmnd_idle : std_logic := '0'; signal s2mm_sts_idle : std_logic := '0'; signal s2mm_eof_set : std_logic := '0'; signal s2mm_packet_eof : std_logic := '0'; -- Scatter Gather Interface signals signal desc_fetch_req : std_logic := '0'; signal desc_fetch_done : std_logic := '0'; signal desc_update_req : std_logic := '0'; signal desc_update_done : std_logic := '0'; signal desc_available : std_logic := '0'; signal s2mm_desc_baddress : std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_info : std_logic_vector(31 downto 0) := (others => '0'); signal s2mm_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_cmplt : std_logic := '0'; signal s2mm_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); -- S2MM Status Stream Signals signal s2mm_rxlength_valid : std_logic := '0'; signal s2mm_rxlength_clr : std_logic := '0'; signal s2mm_rxlength : std_logic_vector(C_SG_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal stsstrm_fifo_rden : std_logic := '0'; signal stsstrm_fifo_empty : std_logic := '0'; signal stsstrm_fifo_dout : std_logic_vector(C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0) := (others => '0'); signal s2mm_desc_flush_i : std_logic := '0'; signal updt_pending : std_logic := '0'; signal s2mm_cmnd_wr_1 : std_logic := '0'; signal s2mm_eof_micro, s2mm_sof_micro : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Include S2MM (Received) Channel ------------------------------------------------------------------------------- GEN_S2MM_DMA_CONTROL : if C_INCLUDE_S2MM = 1 generate begin -- pass out to register module s2mm_halted_set <= s2mm_halted_set_i; ------------------------------------------------------------------------------- -- Graceful shut down logic ------------------------------------------------------------------------------- -- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error -- or SG Fetch error, or Stale Descriptor Error s2mm_error_i <= dma_s2mm_error -- Primary data mover reports error or updt_error -- SG Update engine reports error or ftch_error -- SG Fetch engine reports error or s2mm_ftch_err_early -- SG Fetch engine reports early error on S2MM or s2mm_ftch_stale_desc; -- SG Fetch stale descriptor error -- pass out to shut down mm2s s2mm_error <= s2mm_error_i; -- Clear run/stop and stop state machines due to errors or soft reset -- Error based on datamover error report or sg update error or sg fetch error -- SG update error and fetch error included because need to shut down, no way -- to update descriptors on sg update error and on fetch error descriptor -- data is corrupt therefor do not want to issue the xfer command to primary datamover --CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore -- need to stop all processes regardless of the source of the error. -- s2mm_stop_i <= s2mm_error -- Error -- or soft_reset; -- Soft Reset issued s2mm_stop_i <= s2mm_error_i -- Error on s2mm or mm2s_error -- Error on mm2s or soft_reset; -- Soft Reset issued -- Register signals out REG_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_stop <= '0'; s2mm_desc_flush_i <= '0'; else s2mm_stop <= s2mm_stop_i; -- Flush any fetch descriptors if error or if run stop cleared s2mm_desc_flush_i <= s2mm_stop_i or not s2mm_run_stop; end if; end if; end process REG_OUT; -- Generate DMA Controller For Scatter Gather Mode GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate begin -- Not used in Scatter Gather mode s2mm_smple_done <= '0'; s2mm_interr_set <= '0'; s2mm_slverr_set <= '0'; s2mm_decerr_set <= '0'; s2mm_bytes_rcvd <= (others => '0'); s2mm_bytes_rcvd_wren <= '0'; -- Flush descriptors s2mm_desc_flush <= s2mm_desc_flush_i; OLD_CMD_WR : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate begin s2mm_cmnd_wr <= s2mm_cmnd_wr_1; end generate OLD_CMD_WR; NEW_CMD_WR : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate begin s2mm_cmnd_wr <= m_axis_s2mm_ftch_tvalid_new; end generate NEW_CMD_WR; --------------------------------------------------------------------------- -- S2MM Primary DMA Controller State Machine --------------------------------------------------------------------------- I_S2MM_SM : entity axi_dma_v7_1_8.axi_dma_s2mm_sm generic map( C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s2mm_stop => s2mm_stop_i , -- Channel 1 Control and Status s2mm_run_stop => s2mm_run_stop , s2mm_keyhole => s2mm_keyhole , s2mm_ftch_idle => s2mm_ftch_idle , s2mm_desc_flush => s2mm_desc_flush_i , s2mm_cmnd_idle => s2mm_cmnd_idle , s2mm_sts_idle => s2mm_sts_idle , s2mm_eof_set => s2mm_eof_set , s2mm_eof_micro => s2mm_eof_micro, s2mm_sof_micro => s2mm_sof_micro, -- S2MM Status Stream RX Length s2mm_rxlength_valid => s2mm_rxlength_valid , s2mm_rxlength_clr => s2mm_rxlength_clr , s2mm_rxlength => s2mm_rxlength , -- S2MM Descriptor Fetch Request (from s2mm_sm) desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , desc_update_done => desc_update_done , updt_pending => updt_pending , desc_available => desc_available , -- DataMover Command s2mm_cmnd_wr => s2mm_cmnd_wr_1 , s2mm_cmnd_data => s2mm_cmnd_data , s2mm_cmnd_pending => s2mm_cmnd_pending , -- Descriptor Fields s2mm_desc_baddress => s2mm_desc_baddress , s2mm_desc_info => s2mm_desc_info , s2mm_desc_blength => s2mm_desc_blength, s2mm_desc_blength_v => s2mm_desc_blength_v, s2mm_desc_blength_s => s2mm_desc_blength_s ); --------------------------------------------------------------------------- -- S2MM Scatter Gather State Machine --------------------------------------------------------------------------- I_S2MM_SG_IF : entity axi_dma_v7_1_8.axi_dma_s2mm_sg_if generic map( ------------------------------------------------------------------- -- Scatter Gather Parameters ------------------------------------------------------------------- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S_AXIS_S2MM_STS_TDATA_WIDTH=> C_S_AXIS_S2MM_STS_TDATA_WIDTH , C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s2mm_desc_info_in => s2mm_desc_info_in , -- SG S2MM Descriptor Fetch AXI Stream In m_axis_s2mm_ftch_tdata => m_axis_s2mm_ftch_tdata , m_axis_s2mm_ftch_tvalid => m_axis_s2mm_ftch_tvalid , m_axis_s2mm_ftch_tready => m_axis_s2mm_ftch_tready , m_axis_s2mm_ftch_tlast => m_axis_s2mm_ftch_tlast , m_axis_s2mm_ftch_tdata_new => m_axis_s2mm_ftch_tdata_new , m_axis_s2mm_ftch_tdata_mcdma_new => m_axis_s2mm_ftch_tdata_mcdma_new , m_axis_s2mm_ftch_tdata_mcdma_nxt => m_axis_s2mm_ftch_tdata_mcdma_nxt , m_axis_s2mm_ftch_tvalid_new => m_axis_s2mm_ftch_tvalid_new , m_axis_ftch2_desc_available => m_axis_ftch2_desc_available , -- SG S2MM Descriptor Update AXI Stream Out s_axis_s2mm_updtptr_tdata => s_axis_s2mm_updtptr_tdata , s_axis_s2mm_updtptr_tvalid => s_axis_s2mm_updtptr_tvalid , s_axis_s2mm_updtptr_tready => s_axis_s2mm_updtptr_tready , s_axis_s2mm_updtptr_tlast => s_axis_s2mm_updtptr_tlast , s_axis_s2mm_updtsts_tdata => s_axis_s2mm_updtsts_tdata , s_axis_s2mm_updtsts_tvalid => s_axis_s2mm_updtsts_tvalid , s_axis_s2mm_updtsts_tready => s_axis_s2mm_updtsts_tready , s_axis_s2mm_updtsts_tlast => s_axis_s2mm_updtsts_tlast , -- S2MM Descriptor Fetch Request (from s2mm_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , updt_pending => updt_pending , -- S2MM Status Stream Interface stsstrm_fifo_rden => stsstrm_fifo_rden , stsstrm_fifo_empty => stsstrm_fifo_empty , stsstrm_fifo_dout => stsstrm_fifo_dout , -- Update command write interface from s2mm sm s2mm_cmnd_wr => s2mm_cmnd_wr , s2mm_cmnd_data => s2mm_cmnd_data ( ((1+C_ENABLE_MULTI_CHANNEL)* C_M_AXI_S2MM_ADDR_WIDTH+ CMD_BASE_WIDTH)-1 downto 0) , -- S2MM Descriptor Update Request (from s2mm_sm) desc_update_done => desc_update_done , s2mm_sts_received_clr => s2mm_sts_received_clr , s2mm_sts_received => s2mm_sts_received , s2mm_desc_cmplt => s2mm_desc_cmplt , s2mm_done => s2mm_done , s2mm_interr => s2mm_interr , s2mm_slverr => s2mm_slverr , s2mm_decerr => s2mm_decerr , s2mm_tag => s2mm_tag , s2mm_brcvd => s2mm_brcvd , s2mm_eof_set => s2mm_eof_set , s2mm_packet_eof => s2mm_packet_eof , s2mm_halt => s2mm_halt , s2mm_eof_micro => s2mm_eof_micro, s2mm_sof_micro => s2mm_sof_micro, -- S2MM Descriptor Field Output s2mm_new_curdesc => s2mm_new_curdesc , s2mm_new_curdesc_wren => s2mm_new_curdesc_wren , s2mm_desc_baddress => s2mm_desc_baddress , s2mm_desc_blength => s2mm_desc_blength , s2mm_desc_blength_v => s2mm_desc_blength_v , s2mm_desc_blength_s => s2mm_desc_blength_s , s2mm_desc_info => s2mm_desc_info , s2mm_desc_app0 => s2mm_desc_app0 , s2mm_desc_app1 => s2mm_desc_app1 , s2mm_desc_app2 => s2mm_desc_app2 , s2mm_desc_app3 => s2mm_desc_app3 , s2mm_desc_app4 => s2mm_desc_app4 ); end generate GEN_SCATTER_GATHER_MODE; s2mm_packet_eof_out <= s2mm_packet_eof; -- Generate DMA Controller for Simple DMA Mode GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate begin -- Scatter Gather signals not used in Simple DMA Mode s2mm_desc_flush <= '0'; m_axis_s2mm_ftch_tready <= '0'; s_axis_s2mm_updtptr_tdata <= (others => '0'); s_axis_s2mm_updtptr_tvalid <= '0'; s_axis_s2mm_updtptr_tlast <= '0'; s_axis_s2mm_updtsts_tdata <= (others => '0'); s_axis_s2mm_updtsts_tvalid <= '0'; s_axis_s2mm_updtsts_tlast <= '0'; desc_fetch_req <= '0'; desc_available <= '0'; desc_fetch_done <= '0'; desc_update_done <= '0'; s2mm_rxlength_clr <= '0'; stsstrm_fifo_rden <= '0'; s2mm_new_curdesc <= (others => '0'); s2mm_new_curdesc_wren <= '0'; s2mm_desc_baddress <= (others => '0'); s2mm_desc_info <= (others => '0'); s2mm_desc_blength <= (others => '0'); s2mm_desc_blength_v <= (others => '0'); s2mm_desc_blength_s <= (others => '0'); s2mm_desc_cmplt <= '0'; s2mm_desc_app0 <= (others => '0'); s2mm_desc_app1 <= (others => '0'); s2mm_desc_app2 <= (others => '0'); s2mm_desc_app3 <= (others => '0'); s2mm_desc_app4 <= (others => '0'); -- Simple DMA State Machine I_S2MM_SMPL_SM : entity axi_dma_v7_1_8.axi_dma_smple_sm generic map( C_M_AXI_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status run_stop => s2mm_run_stop , keyhole => s2mm_keyhole , stop => s2mm_stop_i , cmnd_idle => s2mm_cmnd_idle , sts_idle => s2mm_sts_idle , -- DataMover Status sts_received => s2mm_sts_received , sts_received_clr => s2mm_sts_received_clr , -- DataMover Command cmnd_wr => s2mm_cmnd_wr , cmnd_data => s2mm_cmnd_data , cmnd_pending => s2mm_cmnd_pending , -- Trasnfer Qualifiers xfer_length_wren => s2mm_length_wren , xfer_address => s2mm_da , xfer_length => s2mm_length ); -- Pass Done/Error Status out to DMASR s2mm_interr_set <= s2mm_interr; s2mm_slverr_set <= s2mm_slverr; s2mm_decerr_set <= s2mm_decerr; s2mm_bytes_rcvd <= s2mm_brcvd; s2mm_bytes_rcvd_wren <= s2mm_done; -- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR. -- Receive clear when not shutting down s2mm_smple_done <= s2mm_sts_received_clr when s2mm_stop_i = '0' -- Else halt set prior to halted being set else s2mm_halted_set_i when s2mm_halted = '0' else '0'; end generate GEN_SIMPLE_DMA_MODE; ------------------------------------------------------------------------------- -- S2MM DataMover Command / Status Interface ------------------------------------------------------------------------------- I_S2MM_CMDSTS : entity axi_dma_v7_1_8.axi_dma_s2mm_cmdsts_if generic map( C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_DM_STATUS_WIDTH => C_DM_STATUS_WIDTH , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_INCLUDE_SG => C_INCLUDE_SG , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Update command write interface from s2mm sm s2mm_cmnd_wr => s2mm_cmnd_wr , s2mm_cmnd_data => s2mm_cmnd_data , s2mm_cmnd_pending => s2mm_cmnd_pending , s2mm_packet_eof => s2mm_packet_eof , -- EOF Detected s2mm_sts_received_clr => s2mm_sts_received_clr , s2mm_sts_received => s2mm_sts_received , s2mm_tailpntr_enble => s2mm_tailpntr_enble , s2mm_desc_cmplt => s2mm_desc_cmplt , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_s2mm_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_s2mm_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_s2mm_sts_tready , m_axis_s2mm_sts_tdata => m_axis_s2mm_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_s2mm_sts_tkeep , -- S2MM Primary DataMover Status s2mm_brcvd => s2mm_brcvd , s2mm_err => s2mm_err , s2mm_done => s2mm_done , s2mm_error => dma_s2mm_error , s2mm_interr => s2mm_interr , s2mm_slverr => s2mm_slverr , s2mm_decerr => s2mm_decerr , s2mm_tag => s2mm_tag ); --------------------------------------------------------------------------- -- Halt / Idle Status Manager --------------------------------------------------------------------------- I_S2MM_STS_MNGR : entity axi_dma_v7_1_8.axi_dma_s2mm_sts_mngr generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- dma control and sg engine status signals s2mm_run_stop => s2mm_run_stop , s2mm_ftch_idle => s2mm_ftch_idle , s2mm_updt_idle => s2mm_updt_idle , s2mm_cmnd_idle => s2mm_cmnd_idle , s2mm_sts_idle => s2mm_sts_idle , -- stop and halt control/status s2mm_stop => s2mm_stop_i , s2mm_halt_cmplt => s2mm_halt_cmplt , -- system state and control s2mm_all_idle => s2mm_all_idle , s2mm_halted_clr => s2mm_halted_clr , s2mm_halted_set => s2mm_halted_set_i , s2mm_idle_set => s2mm_idle_set , s2mm_idle_clr => s2mm_idle_clr ); -- S2MM Status Stream Included GEN_STS_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate begin -- Register soft reset to create rising edge pulse to use for shut down. -- soft_reset from DMACR does not clear until after all reset processes -- are done. This causes stop to assert too long causing issue with -- status stream skid buffer. REG_SFT_RST : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; else soft_reset_d1 <= soft_reset; soft_reset_d2 <= soft_reset_d1; end if; end if; end process REG_SFT_RST; -- Rising edge soft reset pulse soft_reset_re <= soft_reset_d1 and not soft_reset_d2; -- Status Stream module stop requires rising edge of soft reset to -- shut down due to DMACR.SoftReset does not deassert on internal hard reset -- It clears after therefore do not want to issue another stop to sts strm -- skid buffer. sts_strm_stop <= s2mm_error_i -- Error or soft_reset_re; -- Soft Reset issued I_S2MM_STS_STREAM : entity axi_dma_v7_1_8.axi_dma_s2mm_sts_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_S_AXIS_S2MM_STS_TDATA_WIDTH=> C_S_AXIS_S2MM_STS_TDATA_WIDTH , C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_FAMILY => C_FAMILY ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , axi_prmry_aclk => axi_prmry_aclk , p_reset_n => p_reset_n , s2mm_stop => sts_strm_stop , s2mm_rxlength_valid => s2mm_rxlength_valid , s2mm_rxlength_clr => s2mm_rxlength_clr , s2mm_rxlength => s2mm_rxlength , stsstrm_fifo_rden => stsstrm_fifo_rden , stsstrm_fifo_empty => stsstrm_fifo_empty , stsstrm_fifo_dout => stsstrm_fifo_dout , -- Stream to Memory Map Status Stream Interface , s_axis_s2mm_sts_tdata => s_axis_s2mm_sts_tdata , s_axis_s2mm_sts_tkeep => s_axis_s2mm_sts_tkeep , s_axis_s2mm_sts_tvalid => s_axis_s2mm_sts_tvalid , s_axis_s2mm_sts_tready => s_axis_s2mm_sts_tready , s_axis_s2mm_sts_tlast => s_axis_s2mm_sts_tlast ); end generate GEN_STS_STREAM; -- S2MM Status Stream Not Included GEN_NO_STS_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate begin s2mm_rxlength_valid <= '0'; s2mm_rxlength <= (others => '0'); stsstrm_fifo_empty <= '1'; stsstrm_fifo_dout <= (others => '0'); s_axis_s2mm_sts_tready <= '0'; end generate GEN_NO_STS_STREAM; end generate GEN_S2MM_DMA_CONTROL; ------------------------------------------------------------------------------- -- Do Not Include S2MM Channel ------------------------------------------------------------------------------- GEN_NO_S2MM_DMA_CONTROL : if C_INCLUDE_S2MM = 0 generate begin m_axis_s2mm_ftch_tready <= '0'; s_axis_s2mm_updtptr_tdata <= (others =>'0'); s_axis_s2mm_updtptr_tvalid <= '0'; s_axis_s2mm_updtptr_tlast <= '0'; s_axis_s2mm_updtsts_tdata <= (others =>'0'); s_axis_s2mm_updtsts_tvalid <= '0'; s_axis_s2mm_updtsts_tlast <= '0'; s2mm_new_curdesc <= (others =>'0'); s2mm_new_curdesc_wren <= '0'; s_axis_s2mm_cmd_tvalid <= '0'; s_axis_s2mm_cmd_tdata <= (others =>'0'); m_axis_s2mm_sts_tready <= '0'; s2mm_halted_clr <= '0'; s2mm_halted_set <= '0'; s2mm_idle_set <= '0'; s2mm_idle_clr <= '0'; s_axis_s2mm_sts_tready <= '0'; s2mm_stop <= '0'; s2mm_desc_flush <= '0'; s2mm_all_idle <= '1'; s2mm_error <= '0'; -- CR#570587 s2mm_packet_eof_out <= '0'; s2mm_smple_done <= '0'; s2mm_interr_set <= '0'; s2mm_slverr_set <= '0'; s2mm_decerr_set <= '0'; s2mm_bytes_rcvd <= (others => '0'); s2mm_bytes_rcvd_wren <= '0'; end generate GEN_NO_S2MM_DMA_CONTROL; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_full_wrap.vhd
4
70851
------------------------------------------------------------------------------- -- axi_datamover_mm2s_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_full_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Full Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1_9; use axi_datamover_v5_1_9.axi_datamover_reset; use axi_datamover_v5_1_9.axi_datamover_cmd_status; use axi_datamover_v5_1_9.axi_datamover_pcc; use axi_datamover_v5_1_9.axi_datamover_addr_cntl; use axi_datamover_v5_1_9.axi_datamover_rddata_cntl; use axi_datamover_v5_1_9.axi_datamover_rd_status_cntl; use axi_datamover_v5_1_9.axi_datamover_mm2s_dre; Use axi_datamover_v5_1_9.axi_datamover_rd_sf; use axi_datamover_v5_1_9.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_full_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 1; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the incllusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit Store and Forward -- 1 = Include Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input --------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- MM2S Halt request input control -------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Error discrete output ------------------------------------ mm2s_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset --------- -- Used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- --------------------------------------------------------------- -- Address Posting contols ------------------------------------ mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- --------------------------------------------------------------- -- MM2S AXI Address Channel I/O --------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------------ -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------ -- MM2S AXI MMap Read Data Channel I/O ----------------------------------------- mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- --------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- ---------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------ ); end entity axi_datamover_mm2s_full_wrap; architecture implementation of axi_datamover_mm2s_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else -- no DRE align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => -- 128 ratio fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for MM2S -- modules upstream from the downsizing Store and Forward. If -- Store and Forward is present, then the effective native width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Native Data width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled = 1) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; -- Constant Declarations ---------------------------------------- Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH, C_MM2S_SDATA_WIDTH, C_INCLUDE_MM2S_GP_SF); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S; Constant IS_MM2S : integer range 0 to 1 := 1; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH; Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE; Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED; Constant NO_INDET_BTT : integer range 0 to 1 := 0; Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE, C_MM2S_SDATA_WIDTH); Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE, C_MM2S_SDATA_WIDTH); -- Calculates the minimum needed depth of the Store and Forward FIFO -- based on the MM2S pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE; -- Assigns the depth of the optional Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH, MM2S_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2rdc_wready : std_logic := '0'; signal sig_rdc2sf_wvalid : std_logic := '0'; signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_rdc2sf_wlast : std_logic := '0'; signal sig_skid2dre_wready : std_logic := '0'; signal sig_dre2skid_wvalid : std_logic := '0'; signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2skid_wlast : std_logic := '0'; signal sig_dre2sf_wready : std_logic := '0'; signal sig_sf2dre_wvalid : std_logic := '0'; signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2dre_wlast : std_logic := '0'; signal sig_rdc2dre_new_align : std_logic := '0'; signal sig_rdc2dre_use_autodest : std_logic := '0'; signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_flush : std_logic := '0'; signal sig_sf2dre_new_align : std_logic := '0'; signal sig_sf2dre_use_autodest : std_logic := '0'; signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_flush : std_logic := '0'; signal sig_dre_new_align : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_sf_allow_addr_req : std_logic := '0'; signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_addr_req_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_sf2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2sf_cmd_valid : std_logic := '0'; signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2sf_drr : std_logic := '0'; signal sig_mstr2sf_eof : std_logic := '0'; signal sig_mstr2sf_calc_error : std_logic := '0'; signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_data2sf_cmd_cmplt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); signal mm2s_aruser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug vector output mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc -- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values sig_cache_data <= mm2s_cmd_wdata(79+(C_MM2S_ADDR_WIDTH-32) downto 72+(C_MM2S_ADDR_WIDTH-32)); -- This is the xUser and xCache values end generate GEN_CACHE2; -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1_9.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1_9.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1_9.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1_9.axi_datamover_pcc generic map ( C_IS_MM2S => IS_MM2S , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_BTT_USED => MM2S_BTT_USED , C_SUPPORT_INDET_BTT => NO_INDET_BTT , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_btt => sig_mstr2sf_btt , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_cmd_cmplt => open , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1_9.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => mm2s_arcache_int , addr2axi_auser => mm2s_aruser_int , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_mm2s_allow_addr_req , addr_req_posted => sig_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1_9.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => sig_rdc2dre_new_align , mm2s_dre_use_autodest => sig_rdc2dre_use_autodest , mm2s_dre_src_align => sig_rdc2dre_src_align , mm2s_dre_dest_align => sig_rdc2dre_dest_align , mm2s_dre_flush => sig_rdc2dre_flush , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_rdc2sf_wvalid , mm2s_strm_wready => sig_sf2rdc_wready , mm2s_strm_wdata => sig_rdc2sf_wdata , mm2s_strm_wstrb => sig_rdc2sf_wstrb , mm2s_strm_wlast => sig_rdc2sf_wlast , -- MM2S Store and Forward Supplimental Control ---------- mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted , -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_SF -- -- If Generate Description: -- Include the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate begin -- Merge external address posting control with the -- Store and Forward address posting control sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and mm2s_allow_addr_req; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; sig_dre_new_align <= sig_sf2dre_new_align ; sig_dre_use_autodest <= sig_sf2dre_use_autodest ; sig_dre_src_align <= sig_sf2dre_src_align ; sig_dre_dest_align <= sig_sf2dre_dest_align ; sig_dre_flush <= sig_sf2dre_flush ; ------------------------------------------------------------ -- Instance: I_RD_SF -- -- Description: -- Instance for the MM2S Store and Forward module with -- downsizer support. -- ------------------------------------------------------------ I_RD_SF : entity axi_datamover_v5_1_9.axi_datamover_rd_sf generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_DRE_IS_USED => INCLUDE_DRE , C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ------------------------------- aclk => mm2s_aclk , reset => sig_mmap_rst , -- DataMover Read Side Address Pipelining Control Interface ok_to_post_rd_addr => sig_sf_allow_addr_req , rd_addr_posted => sig_addr_req_posted , rd_xfer_cmplt => sig_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S Read Data Controller ----- sf2sin_tready => sig_sf2rdc_wready , sin2sf_tvalid => sig_rdc2sf_wvalid , sin2sf_tdata => sig_rdc2sf_wdata , sin2sf_tkeep => sig_rdc2sf_wstrb , sin2sf_tlast => sig_rdc2sf_wlast , -- RDC Store and Forward Supplimental Controls ---------- data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , data2sf_dre_flush => sig_rdc2dre_flush , -- DRE Control Interface from the Command Calculator ----------------------------- dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset , -- MM2S DRE Control ------------------------------------------------------------- sf2dre_new_align => sig_sf2dre_new_align , sf2dre_use_autodest => sig_sf2dre_use_autodest , sf2dre_src_align => sig_sf2dre_src_align , sf2dre_dest_align => sig_sf2dre_dest_align , sf2dre_flush => sig_sf2dre_flush , -- Stream Out ---------------------------------- sout2sf_tready => sig_dre2sf_wready , sf2sout_tvalid => sig_sf2dre_wvalid , sf2sout_tdata => sig_sf2dre_wdata , sf2sout_tkeep => sig_sf2dre_wstrb , sf2sout_tlast => sig_sf2dre_wlast ); -- ------------------------------------------------------------ -- -- Instance: I_RD_SF -- -- -- -- Description: -- -- Instance for the MM2S Store and Forward module. -- -- -- ------------------------------------------------------------ -- I_RD_SF : entity axi_datamover_v5_1_9.axi_datamover_rd_sf -- generic map ( -- -- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , -- C_MAX_BURST_LEN => MM2S_BURST_SIZE , -- C_DRE_IS_USED => INCLUDE_DRE , -- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- -- -- Clock and Reset inputs ------------------------------- -- aclk => mm2s_aclk , -- reset => sig_mmap_rst , -- -- -- -- DataMover Read Side Address Pipelining Control Interface -- ok_to_post_rd_addr => sig_sf_allow_addr_req , -- rd_addr_posted => sig_addr_req_posted , -- rd_xfer_cmplt => sig_rd_xfer_cmplt , -- -- -- -- -- Read Side Stream In from DataMover MM2S ----- -- sf2sin_tready => sig_sf2dre_wready , -- sin2sf_tvalid => sig_dre2sf_wvalid , -- sin2sf_tdata => sig_dre2sf_wdata , -- sin2sf_tkeep => sig_dre2sf_wstrb , -- sin2sf_tlast => sig_dre2sf_wlast , -- -- -- -- -- Stream Out ---------------------------------- -- sout2sf_tready => sig_skid2sf_wready , -- sf2sout_tvalid => sig_sf2skid_wvalid , -- sf2sout_tdata => sig_sf2skid_wdata , -- sf2sout_tkeep => sig_sf2skid_wstrb , -- sf2sout_tlast => sig_sf2skid_wlast -- -- ); end generate GEN_INCLUDE_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_SF -- -- If Generate Description: -- Omit the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate begin -- Allow external address posting control -- Ignore Store and Forward Control sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ; sig_sf_allow_addr_req <= '0' ; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; -- DRE Control Bus (Connect to the Read data Controller) sig_dre_new_align <= sig_rdc2dre_new_align ; sig_dre_use_autodest <= sig_rdc2dre_use_autodest ; sig_dre_src_align <= sig_rdc2dre_src_align ; sig_dre_dest_align <= sig_rdc2dre_dest_align ; sig_dre_flush <= sig_rdc2dre_flush ; -- Just pass stream signals through sig_sf2rdc_wready <= sig_dre2sf_wready ; sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ; sig_sf2dre_wdata <= sig_rdc2sf_wdata ; sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ; sig_sf2dre_wlast <= sig_rdc2sf_wlast ; -- Always enable the DRE Cmd bus for loading to keep from -- stalling the PCC module sig_sf2mstr_cmd_ready <= LOGIC_HIGH; end generate GEN_NO_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_DRE -- -- If Generate Description: -- Include the MM2S DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DRE64 -- -- Description: -- Instance for the MM2S DRE whach can support widths of -- 16 bits to 64 bits. -- ------------------------------------------------------------ I_DRE_16_to_64 : entity axi_datamover_v5_1_9.axi_datamover_mm2s_dre generic map ( C_DWIDTH => MM2S_SDATA_WIDTH , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ) port map ( -- Control inputs dre_clk => mm2s_aclk , dre_rst => sig_stream_rst , dre_new_align => sig_dre_new_align , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_dre_src_align , dre_dest_align => sig_dre_dest_align , dre_flush => sig_dre_flush , -- Stream Inputs dre_in_tstrb => sig_sf2dre_wstrb , dre_in_tdata => sig_sf2dre_wdata , dre_in_tlast => sig_sf2dre_wlast , dre_in_tvalid => sig_sf2dre_wvalid , dre_in_tready => sig_dre2sf_wready , -- Stream Outputs dre_out_tstrb => sig_dre2skid_wstrb , dre_out_tdata => sig_dre2skid_wdata , dre_out_tlast => sig_dre2skid_wlast , dre_out_tvalid => sig_dre2skid_wvalid , dre_out_tready => sig_skid2dre_wready ); end generate GEN_INCLUDE_MM2S_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_DRE -- -- If Generate Description: -- Omit the MM2S DRE and housekeep the signals that it -- needs to output. -- ------------------------------------------------------------ GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate begin -- Just pass stream signals through from the Store -- and Forward module sig_dre2sf_wready <= sig_skid2dre_wready ; sig_dre2skid_wvalid <= sig_sf2dre_wvalid ; sig_dre2skid_wdata <= sig_sf2dre_wdata ; sig_dre2skid_wstrb <= sig_sf2dre_wstrb ; sig_dre2skid_wlast <= sig_sf2dre_wlast ; end generate GEN_NO_MM2S_DRE; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1_9.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_dre2skid_wvalid , s_ready => sig_skid2dre_wready , s_data => sig_dre2skid_wdata , s_strb => sig_dre2skid_wstrb , s_last => sig_dre2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_dre2skid_wvalid; sig_skid2dre_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_dre2skid_wdata; mm2s_strm_wstrb <= sig_dre2skid_wstrb; mm2s_strm_wlast <= sig_dre2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
gpl-3.0
hoglet67/AtomVGAWing
src/AtomVGAWing.vhd
1
15110
library IEEE; use IEEE.std_logic_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.std_logic_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity AtomVGAWing is Port ( clock32 : in std_logic; rst : in std_logic; red : out std_logic_vector (2 downto 0); green : out std_logic_vector (2 downto 0); blue : out std_logic_vector (1 downto 0); hsync : out std_logic; vsync : out std_logic; clamp : out std_logic; led : out std_logic_vector (4 downto 1); test : out std_logic_vector (6 downto 1); switch : in std_logic_vector (8 downto 1); unused : in std_logic; AL_P : in std_logic; AL_N : in std_logic; AH_P : in std_logic; AH_N : in std_logic; BL_P : in std_logic; BL_N : in std_logic; BH_P : in std_logic; BH_N : in std_logic; LUM_P : in std_logic; LUM_N : in std_logic; HS_N : in std_logic; FS_N : in std_logic ); end; architecture Behavioral of AtomVGAWing is constant atomClampStart : unsigned(10 downto 0) := to_unsigned(2048 - 59 * 4 - 110, 11); constant atomClampEnd : unsigned(10 downto 0) := to_unsigned(2048 - 59 * 4 - 10, 11); constant atomhInit : unsigned(10 downto 0) := to_unsigned(2048 - 370, 11); constant atomvInit : unsigned(8 downto 0) := to_unsigned(512 - 39, 9); constant atomhBorder : unsigned(10 downto 0) := to_unsigned(2048 - 16 + 3, 11); constant atomvBorder : unsigned(8 downto 0) := to_unsigned(512 - 25, 11); signal atomhCounter : unsigned(10 downto 0) := (others => '0'); signal atomvCounter : unsigned(8 downto 0) := (others => '0'); signal AL0: std_logic; signal AL1: std_logic; signal AL2: std_logic; signal AL3: std_logic; signal AL4: std_logic; signal AL5: std_logic; signal AH0: std_logic; signal AH1: std_logic; signal AH2: std_logic; signal AH3: std_logic; signal AH4: std_logic; signal AH5: std_logic; signal BL0: std_logic; signal BL1: std_logic; signal BL2: std_logic; signal BL3: std_logic; signal BL4: std_logic; signal BL5: std_logic; signal BH0: std_logic; signal BH1: std_logic; signal BH2: std_logic; signal BH3: std_logic; signal BH4: std_logic; signal BH5: std_logic; signal L0: std_logic; signal L1: std_logic; signal L2: std_logic; signal L3: std_logic; signal L4: std_logic; signal L5: std_logic; signal AL: std_logic; signal AH: std_logic; signal BL: std_logic; signal BH: std_logic; signal L: std_logic; signal R: std_logic; signal G1: std_logic; signal G2: std_logic; signal B: std_logic; signal atomhSync0: std_logic := '0'; signal atomhSync1: std_logic := '0'; signal atomhSync2: std_logic := '0'; signal atomhSync3: std_logic := '0'; signal atomhSync4: std_logic := '0'; signal atomhSync5: std_logic := '0'; signal atomvSync0: std_logic := '0'; signal atomvSync1: std_logic := '0'; signal atomvSync2: std_logic := '0'; signal atomvSync3: std_logic := '0'; signal atomvSync4: std_logic := '0'; signal atomvSync5: std_logic := '0'; signal atomhSync: std_logic := '0'; signal atomvSync: std_logic := '0'; signal atomvSyncToggle: std_logic := '0'; signal atomhSyncToggle: std_logic := '0'; signal clock32out : std_logic; signal pixelClock : std_logic; signal atomClock : std_logic; signal tmpClock : std_logic; signal tmpVgaClock : std_logic; signal lockeda1 : std_logic; signal lockeda2 : std_logic; signal lockedb1 : std_logic; signal lockedb2 : std_logic; signal ramWE : std_logic := '0'; signal ramAddrA : std_logic_vector (15 downto 0) := (others => '0'); signal ramAddrB : std_logic_vector (15 downto 0) := (others => '0'); signal ramDataIn : std_logic_vector (3 downto 0) := (others => '0'); signal ramDataOut : std_logic_vector (3 downto 0) := (others => '0'); signal border : std_logic_vector (3 downto 0) := (others => '0'); signal hCounter : unsigned(10 downto 0):= (others => '0'); signal vCounter : unsigned(9 downto 0) := (others => '0'); signal hCounter1 : unsigned(10 downto 0):= (others => '0'); signal vCounter1 : unsigned(9 downto 0) := (others => '0'); -- VGA Timing constants constant hMaxCount : natural := 800; constant hStartData : natural := 0; constant hEndData : natural := 512; constant hStartBlank : natural := 576; constant hStartSync : natural := 592; constant hEndSync : natural := 688; constant hEndBlank : natural := 736; constant vMaxCount : natural := 524; constant vStartData : natural := 0; constant vEndData : natural := 384; constant vStartBlank : natural := 432; constant vStartSync : natural := 444; constant vEndSync : natural := 446; constant vEndBlank : natural := 476; begin led(1) <= NOT lockeda1; led(2) <= NOT lockeda2; led(3) <= NOT lockedb1; led(4) <= NOT lockedb2; test(1) <= atomClock; test(2) <= atomhSync; test(3) <= unused; test(4) <= rst; test(5) <= atomhSyncToggle; test(6) <= atomvSyncToggle; BUFG_1 : BUFG port map ( O => clock32out, I => clock32 ); Inst_DCM_A: entity work.DCM_A port map ( CLKIN_IN => clock32out, CLKFX_OUT => tmpVgaClock, LOCKED_OUT => lockeda1 ); Inst_DCM_A2: entity work.DCM_A2 port map ( CLKIN_IN => tmpVgaClock, RST_IN => NOT lockeda1, CLKFX_OUT => pixelClock, LOCKED_OUT => lockeda2 ); Inst_DCM_B: entity work.DCM_B port map ( CLKIN_IN => clock32out, CLKFX_OUT => tmpClock, LOCKED_OUT => lockedb1 ); Inst_DCM_C: entity work.DCM_C port map ( CLKIN_IN => tmpClock, RST_IN => NOT lockedb1, CLKFX_OUT => atomClock, LOCKED_OUT => lockedb2 ); Inst_VideoRam: entity work.VideoRam port map ( clka => atomClock, wea => ramWE, addra => ramAddrA, dina => ramDataIn, clkb => pixelClock, addrb => ramAddrB, doutb => ramDataOut ); IBUFDS_1 : IBUFDS port map ( O => AL0, -- Buffer output I => AL_P, -- Diff_p buffer input (connect directly to top-level port) IB => AL_N -- Diff_n buffer input (connect directly to top-level port) ); IBUFDS_2 : IBUFDS port map ( O => AH0, -- Buffer output I => AH_P, -- Diff_p buffer input (connect directly to top-level port) IB => AH_N -- Diff_n buffer input (connect directly to top-level port) ); IBUFDS_3 : IBUFDS port map ( O => BL0, -- Buffer output I => BL_P, -- Diff_p buffer input (connect directly to top-level port) IB => BL_N -- Diff_n buffer input (connect directly to top-level port) ); IBUFDS_4 : IBUFDS port map ( O => BH0, -- Buffer output I => BH_P, -- Diff_p buffer input (connect directly to top-level port) IB => BH_N -- Diff_n buffer input (connect directly to top-level port) ); IBUFDS_5 : IBUFDS port map ( O => L0, -- Buffer output I => LUM_P, -- Diff_p buffer input (connect directly to top-level port) IB => LUM_N -- Diff_n buffer input (connect directly to top-level port) ); process(atomClock) begin if rising_edge(atomClock) then AL1 <= AL0; AH1 <= AH0; BL1 <= BL0; BH1 <= BH0; AL2 <= AL1; AH2 <= AH1; BL2 <= BL1; BH2 <= BH1; AL3 <= AL2; AH3 <= AH2; BL3 <= BL2; BH3 <= BH2; AL4 <= (AL1 AND AL2) OR (AL1 AND AL3) OR (AL2 AND AL3); AH4 <= (AH1 AND AH2) OR (AH1 AND AH3) OR (AH2 AND AH3); BL4 <= (BL1 AND BL2) OR (BL1 AND BL3) OR (BL2 AND BL3); BH4 <= (BH1 AND BH2) OR (BH1 AND BH3) OR (BH2 AND BH3); if (atomhcounter(2 downto 0) = unsigned(switch(7 downto 5))) then AL5 <= AL4; AH5 <= AH4; BL5 <= BL4; BH5 <= BH4; end if; L1 <= L0; L2 <= L1; L3 <= L2; L4 <= (L1 AND L2) OR (L1 AND L3) OR (L2 AND L3); if (atomhcounter(1 downto 0) = unsigned(switch(4 downto 3))) then L5 <= L4; end if; AL <= AL5; AH <= AH5; BL <= BL5; BH <= BH5; L <= L5; -- AL AH BL BH L R G1 G2 B --YELLOW 1.5 1.0 0 0 1 0 X 1 1 1 0 --RED 2.0 1.5 0 1 0 0 X 1 0 1 0 --MAGENTA 2.0 2.0 0 1 0 1 X 1 0 1 1 --BUFF 1.5 1.5 0 0 0 0 1 1 1 1 1 --ORANGE 2.0 1.0 0 1 1 0 1 1 1 0 0 R <= (NOT AL AND NOT AH AND BL AND NOT BH) OR (NOT AL AND AH AND NOT BL AND NOT BH) OR (NOT AL AND AH AND NOT BL AND BH) OR (NOT AL AND NOT AH AND NOT BL AND NOT BH AND L) OR (NOT AL AND AH AND BL AND NOT BH AND L); -- AL AH BL BH L R G1 G2 B --YELLOW 1.5 1.0 0 0 1 0 X 1 1 1 0 --CYAN 1.0 1.5 1 0 0 0 X 0 1 1 1 --GREEN 1.0 1.0 1 0 1 0 1 0 1 1 0 --BUFF 1.5 1.5 0 0 0 0 1 1 1 1 1 --ORANGE 2.0 1.0 0 1 1 0 1 1 1 0 0 G1 <= (NOT AL AND NOT AH AND BL AND NOT BH) OR (AL AND NOT AH AND NOT BL AND NOT BH) OR (AL AND NOT AH AND BL AND NOT BH AND L) OR (NOT AL AND NOT AH AND NOT BL AND NOT BH AND L) OR (NOT AL AND AH AND BL AND NOT BH AND L); -- AL AH BL BH L R G1 G2 B --ORANGE 2.0 1.0 0 1 1 0 1 1 1 0 0 G2 <= NOT (NOT AL AND AH AND BL AND NOT BH AND L); -- AL AH BL BH L R G1 G2 B --BLUE 1.5 2.0 0 0 0 1 X 0 0 1 1 --CYAN 1.0 1.5 1 0 0 0 X 0 1 1 1 --MAGENTA 2.0 2.0 0 1 0 1 X 1 0 1 1 --BUFF 1.5 1.5 0 0 0 0 1 1 1 1 1 B <= (NOT AL AND NOT AH AND NOT BL AND BH) OR (AL AND NOT AH AND NOT BL AND NOT BH) OR (NOT AL AND AH AND NOT BL AND BH) OR (NOT AL AND NOT AH AND NOT BL AND NOT BH AND L); ramDataIn <= R & G1 & G2 & B; -- generate a 1 clock hSync signal from the falling edge of sync atomhSync0 <= HS_N; atomhSync1 <= NOT atomhSync0; atomhSync2 <= atomhSync1; atomhSync3 <= atomhSync2; atomhSync4 <= atomhSync3; atomhSync5 <= atomhSync4; atomvSync0 <= FS_N; atomvSync1 <= NOT atomvSync0; atomvSync2 <= atomvSync1; atomvSync3 <= atomvSync2; atomvSync4 <= atomvSync3; atomvSync5 <= atomvSync4; if atomhSync5 = '1' AND atomhSync4 = '1' AND atomhSync3 = '0' AND atomhSync2 = '0' then atomhSync <= '1'; else atomhSync <= '0'; end if; if atomvSync5 = '1' AND atomvSync4 = '1' AND atomvSync3 = '0' AND atomvSync2 = '0' then atomvSync <= '1'; else atomvSync <= '0'; end if; -- generate if (atomvSync = '1') then atomvCounter <= atomvInit; atomvSyncToggle <= NOT atomvSyncToggle; elsif (atomhSync = '1') then atomvCounter <= atomvCounter+1; end if; if (atomhSync = '1') then atomhCounter <= atomhInit; atomhSyncToggle <= NOT atomhSyncToggle; else atomhCounter <= atomhCounter+1; end if; ramAddrA <= std_logic_vector(atomvCounter(7 downto 0)) & std_logic_vector(atomhcounter(9 downto 2)); if (atomhcounter(1 downto 0) = unsigned(switch(2 downto 1)) AND atomhCounter < 1024 AND atomvCounter < 192) then ramWE <= '1'; else ramWE <= '0'; end if; if (atomhcounter >= atomClampStart AND atomhCounter < atomClampEnd) then clamp <= '1'; else clamp <= '0'; end if; if (atomhCounter = atomhBorder AND (switch(8) = '1' OR atomvCounter = atomvBorder)) then border <= ramDataIn; end if; end if; end process; ramAddrB <= std_logic_vector(vCounter(8 downto 1)) & std_logic_vector(hcounter(8 downto 1)); process(pixelClock) begin if rising_edge(pixelClock) then hsync <= '0'; vsync <= '0'; hCounter1 <= hCounter; vCounter1 <= vCounter; if (hCounter1 >= hStartData AND hCounter1 < hEndData AND vCounter1 >= vStartData AND vCounter1 < vEndData) then red <= ramDataOut(3) & ramDataOut(3) & ramDataOut(3); green <= ramDataOut(2) & (ramDataOut(2) AND ramDataOut(1)) & (ramDataOut(2) AND ramDataOut(1)); blue <= ramDataOut(0) & ramDataOut(0); elsif (hCounter1 >= hStartBlank AND hCounter1 < hEndBlank) OR (vCounter1 >= vStartBlank AND vCounter1 < vEndBlank) then red <= "000"; green <= "000"; blue <= "00"; else red <= border(3) & border(3) & border(3); green <= border(2) & (border(2) AND border(1)) & (border(2) AND border(1)); blue <= border(0) & border(0); end if; -- Count the lines and rows if hCounter = (hMaxCount - 1) then hCounter <= (others => '0'); if (vCounter = vMaxCount - 1) then vCounter <= (others => '0'); else vCounter <= vCounter+1; end if; else hCounter <= hCounter+1; end if; -- Are we in the hSync pulse? if hCounter >= hStartSync and hCounter < hEndSync then hSync <= '1'; -- Positive hSync pulse end if; -- Are we in the vSync pulse? if vCounter >= vStartSync and vCounter < vEndSync then vSync <= '1'; -- Positive vSync pulse end if; end if; end process; end Behavioral;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_datamover.vhd
7
51616
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap; use axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_sg_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0) ; -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_MM2S_ADDR_WIDTH+40)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+40)-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_sg_datamover; architecture implementation of axi_sg_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin -- coverage off if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; -- coverage on End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin -- coverage off If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; -- coverage on Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; -- coverage off if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; -- coverage on elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; -- coverage off elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; -- coverage on Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin -- coverage off if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; -- coverage on else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin -- coverage off If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; -- coverage on else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , sg_ctl => sg_ctl , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , sg_ctl => sg_ctl , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_sg_v4_1/hdl/src/vhdl/axi_sg_datamover.vhd
7
51616
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap; use axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_sg_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0) ; -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_MM2S_ADDR_WIDTH+40)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+40)-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_sg_datamover; architecture implementation of axi_sg_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin -- coverage off if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; -- coverage on End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin -- coverage off If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; -- coverage on Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; -- coverage off if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; -- coverage on elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; -- coverage off elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; -- coverage on Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin -- coverage off if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; -- coverage on else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin -- coverage off If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; -- coverage on else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , sg_ctl => sg_ctl , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , sg_ctl => sg_ctl , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_mngr.vhd
7
25964
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_mngr.vhd -- Description: This entity manages fetching of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1 -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_run_stop : in std_logic ; -- ch1_desc_flush : in std_logic ; -- ch1_updt_done : in std_logic ; -- ch1_ftch_idle : out std_logic ; -- ch1_ftch_active : out std_logic ; -- ch1_ftch_interr_set : out std_logic ; -- ch1_ftch_slverr_set : out std_logic ; -- ch1_ftch_decerr_set : out std_logic ; -- ch1_ftch_err_early : out std_logic ; -- ch1_ftch_stale_desc : out std_logic ; -- ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_nxtdesc_wren : in std_logic ; -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_ftch_queue_empty : in std_logic ; -- ch1_ftch_queue_full : in std_logic ; -- ch1_ftch_pause : in std_logic ; -- -- -- Channel 2 Control and Status -- ch2_run_stop : in std_logic ; -- ch2_updt_done : in std_logic ; -- ch2_desc_flush : in std_logic ; -- ch2_ftch_idle : out std_logic ; -- ch2_ftch_active : out std_logic ; -- ch2_ftch_interr_set : out std_logic ; -- ch2_ftch_slverr_set : out std_logic ; -- ch2_ftch_decerr_set : out std_logic ; -- ch2_ftch_err_early : out std_logic ; -- ch2_ftch_stale_desc : out std_logic ; -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_nxtdesc_wren : in std_logic ; -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_ftch_queue_empty : in std_logic ; -- ch2_ftch_queue_full : in std_logic ; -- ch2_ftch_pause : in std_logic ; -- ch2_eof_detected : in std_logic ; tail_updt : in std_logic ; tail_updt_latch : out std_logic ; ch2_sg_idle : out std_logic ; -- nxtdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- Read response for detecting slverr, decerr early -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_rvalid : in std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_ftch_cmd_tvalid : out std_logic ; -- s_axis_ftch_cmd_tready : in std_logic ; -- s_axis_ftch_cmd_tdata : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_ftch_sts_tvalid : in std_logic ; -- m_axis_ftch_sts_tready : out std_logic ; -- m_axis_ftch_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_ftch_sts_tkeep : in std_logic_vector(0 downto 0) ; -- mm2s_err : in std_logic ; -- -- -- ftch_cmnd_wr : out std_logic ; -- ftch_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- ftch_stale_desc : in std_logic ; -- updt_error : in std_logic ; -- ftch_error : out std_logic ; -- ftch_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- bd_eq : out std_logic ); end axi_sg_ftch_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_cmnd_wr_i : std_logic := '0'; signal ftch_cmnd_data_i : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); signal ch1_sg_idle : std_logic := '0'; signal ch1_fetch_address : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_sg_idle_int : std_logic := '0'; signal ch2_fetch_address : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ftch_done : std_logic := '0'; signal ftch_error_i : std_logic := '0'; signal ftch_interr : std_logic := '0'; signal ftch_slverr : std_logic := '0'; signal ftch_decerr : std_logic := '0'; signal ftch_error_early : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ftch_cmnd_wr <= ftch_cmnd_wr_i; ftch_cmnd_data <= ftch_cmnd_data_i; ftch_error <= ftch_error_i; ch2_sg_idle <= ch2_sg_idle_int; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- I_FTCH_SG : entity axi_sg_v4_1_2.axi_sg_ftch_sm generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , updt_error => updt_error , -- Channel 1 Control and Status ch1_run_stop => ch1_run_stop , ch1_updt_done => ch1_updt_done , ch1_desc_flush => ch1_desc_flush , ch1_sg_idle => ch1_sg_idle , ch1_tailpntr_enabled => ch1_tailpntr_enabled , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_fetch_address => ch1_fetch_address , ch1_ftch_active => ch1_ftch_active , ch1_ftch_idle => ch1_ftch_idle , ch1_ftch_interr_set => ch1_ftch_interr_set , ch1_ftch_slverr_set => ch1_ftch_slverr_set , ch1_ftch_decerr_set => ch1_ftch_decerr_set , ch1_ftch_err_early => ch1_ftch_err_early , ch1_ftch_stale_desc => ch1_ftch_stale_desc , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control and Status ch2_run_stop => ch2_run_stop , ch2_updt_done => ch2_updt_done , ch2_desc_flush => ch2_desc_flush , ch2_sg_idle => ch2_sg_idle_int , ch2_tailpntr_enabled => ch2_tailpntr_enabled , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_fetch_address => ch2_fetch_address , ch2_ftch_active => ch2_ftch_active , ch2_ftch_idle => ch2_ftch_idle , ch2_ftch_interr_set => ch2_ftch_interr_set , ch2_ftch_slverr_set => ch2_ftch_slverr_set , ch2_ftch_decerr_set => ch2_ftch_decerr_set , ch2_ftch_err_early => ch2_ftch_err_early , ch2_ftch_stale_desc => ch2_ftch_stale_desc , ch2_ftch_pause => ch2_ftch_pause , -- Transfer Request ftch_cmnd_wr => ftch_cmnd_wr_i , ftch_cmnd_data => ftch_cmnd_data_i , -- Transfer Status ftch_done => ftch_done , ftch_error => ftch_error_i , ftch_interr => ftch_interr , ftch_slverr => ftch_slverr , ftch_decerr => ftch_decerr , ftch_stale_desc => ftch_stale_desc , ftch_error_addr => ftch_error_addr , ftch_error_early => ftch_error_early ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Pointer Manager ------------------------------------------------------------------------------- I_FTCH_PNTR_MNGR : entity axi_sg_v4_1_2.axi_sg_ftch_pntr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , nxtdesc => nxtdesc , ------------------------------- -- CHANNEL 1 ------------------------------- ch1_run_stop => ch1_run_stop , ch1_desc_flush => ch1_desc_flush ,--CR568950 -- CURDESC update on run/stop assertion (from ftch_sm) ch1_curdesc => ch1_curdesc , -- TAILDESC update on CPU write (from axi_dma_reg_module) ch1_tailpntr_enabled => ch1_tailpntr_enabled , ch1_taildesc_wren => ch1_taildesc_wren , ch1_taildesc => ch1_taildesc , -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) ch1_nxtdesc_wren => ch1_nxtdesc_wren , -- Current address of descriptor to fetch ch1_fetch_address => ch1_fetch_address , ch1_sg_idle => ch1_sg_idle , ------------------------------- -- CHANNEL 2 ------------------------------- ch2_run_stop => ch2_run_stop , ch2_desc_flush => ch2_desc_flush ,--CR568950 ch2_eof_detected => ch2_eof_detected , -- CURDESC update on run/stop assertion (from ftch_sm) ch2_curdesc => ch2_curdesc , -- TAILDESC update on CPU write (from axi_dma_reg_module) ch2_tailpntr_enabled => ch2_tailpntr_enabled , ch2_taildesc_wren => ch2_taildesc_wren , ch2_taildesc => ch2_taildesc , tail_updt_latch => tail_updt_latch , tail_updt => tail_updt , ch2_updt_done => ch2_updt_done , -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) ch2_nxtdesc_wren => ch2_nxtdesc_wren , -- Current address of descriptor to fetch ch2_fetch_address => ch2_fetch_address , ch2_sg_idle => ch2_sg_idle_int , bd_eq => bd_eq ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Command / Status Interface ------------------------------------------------------------------------------- I_FTCH_CMDSTS_IF : entity axi_sg_v4_1_2.axi_sg_ftch_cmdsts_if generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Fetch command write interface from fetch sm ftch_cmnd_wr => ftch_cmnd_wr_i , ftch_cmnd_data => ftch_cmnd_data_i , -- Read response for detecting slverr, decerr early m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rvalid => m_axi_sg_rvalid , -- User Command Interface Ports (AXI Stream) s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready , s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_ftch_sts_tready => m_axis_ftch_sts_tready , m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata , m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep , -- Scatter Gather Fetch Status mm2s_err => mm2s_err , ftch_done => ftch_done , ftch_error => ftch_error_i , ftch_interr => ftch_interr , ftch_slverr => ftch_slverr , ftch_decerr => ftch_decerr , ftch_error_early => ftch_error_early ); end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_omit_wrap.vhd
18
16390
------------------------------------------------------------------------------- -- axi_datamover_mm2s_omit_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_omit_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Omit Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_omit_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 0; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 0; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_ENABLE_CACHE_USER : Integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input -------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ----------------------------------------------------------- -- MM2S Halt request input control------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------------- -- Error discrete output ---------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- ----------------------------------------------------------- -- Optional MM2S Command and Status clock and Reset ----------- -- Only used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- --------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) -------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ---------------------------------------------------------------- -- Address Posting contols ------------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ---------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ----------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ----------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O ----------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ---------------------------------------------------------------------- ); end entity axi_datamover_mm2s_omit_wrap; architecture implementation of axi_datamover_mm2s_omit_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; begin --(architecture implementation) mm2s_dbg_data <= X"BEEF0000" ; -- 32 bit Constant indicating OMIT type -- Just tie off output ports mm2s_halt_cmplt <= mm2s_halt ; mm2s_err <= '0' ; mm2s_cmd_wready <= '0' ; mm2s_sts_wvalid <= '0' ; mm2s_sts_wdata <= (others => '0'); mm2s_sts_wstrb <= (others => '0'); mm2s_sts_wlast <= '0' ; mm2s_arid <= (others => '0'); mm2s_araddr <= (others => '0'); mm2s_arlen <= (others => '0'); mm2s_arsize <= (others => '0'); mm2s_arburst <= (others => '0'); mm2s_arprot <= (others => '0'); mm2s_arcache <= (others => '0'); mm2s_aruser <= (others => '0'); mm2s_arvalid <= '0' ; mm2s_rready <= '0' ; mm2s_strm_wdata <= (others => '0'); mm2s_strm_wstrb <= (others => '0'); mm2s_strm_wlast <= '0' ; mm2s_strm_wvalid <= '0' ; mm2s_addr_req_posted <= '0' ; mm2s_rd_xfer_cmplt <= '0' ; -- Input ports are ignored end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_scc.vhd
18
47911
------------------------------------------------------------------------------- -- axi_datamover_scc.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_scc.vhd -- -- Description: -- This file implements the DataMover Lite Master Simple Command Calculator (SCC). -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_scc is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS address bus used for -- Muxing/Demuxing data to/from a wider AXI4 data bus C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the width of the AXi Address Channel C_STREAM_DWIDTH : Integer range 8 to 64 := 32; -- Sets the width of the Native Data width that -- is being supported by the PCC C_MAX_BURST_LEN : Integer range 2 to 64 := 16; -- Indicates the max allowed burst length to use for -- AXI4 transfer calculations C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command port C_MICRO_DMA : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 -- Sets the width of the Tag field in the input command ); port ( -- Clock and Reset inputs ------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------- -- Command Input Interface --------------------------------------------------------- -- cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cache2mstr_command : in std_logic_vector(7 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cmd2mstr_cmd_valid : in std_logic; -- -- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry -- -- mst2cmd_cmd_ready : out std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- ------------------------------------------------------------------------------------ -- Address Channel Controller Interface -------------------------------------------- -- mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : out std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- mstr2addr_size : out std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : out std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_user : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cmd_cmplt : out std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calcualtion error -- -- mstr2addr_cmd_valid : out std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : In std_logic; -- -- Indication from the Address Channel Controller that the -- -- command is being accepted -- ------------------------------------------------------------------------------------ -- Data Channel Controller Interface ---------------------------------------------- -- mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is 8 or 16 bits). -- -- mstr2data_len : out std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the data transfer -- -- mstr2data_last_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the data transfer -- -- mstr2data_sof : out std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : out std_logic; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2data_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : out std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : out std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : In std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- -- calc_error : Out std_logic -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------------------------ ); end entity axi_datamover_scc; architecture implementation of axi_datamover_scc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_slice_width -- -- Function Description: -- Calculates the bits to rip from the Command BTT field to calculate -- the LEN value output to the AXI Address Channel. -- ------------------------------------------------------------------- function funct_get_slice_width (max_burst_len : integer) return integer is Variable temp_slice_width : Integer := 0; begin case max_burst_len is when 64 => temp_slice_width := 7; when 32 => temp_slice_width := 6; when 16 => temp_slice_width := 5; when 8 => temp_slice_width := 4; when 4 => temp_slice_width := 3; when others => -- assume 16 dbeats is max LEN temp_slice_width := 2; end case; Return (temp_slice_width); end function funct_get_slice_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_btt_ls_unused (transfer_width : integer) return integer is Variable temp_btt_ls_unused : Integer := 0; -- 8-bit stream begin case transfer_width is when 64 => temp_btt_ls_unused := 3; when 32 => temp_btt_ls_unused := 2; when 16 => temp_btt_ls_unused := 1; when others => -- assume 8-bit transfers temp_btt_ls_unused := 0; end case; Return (temp_btt_ls_unused); end function funct_get_btt_ls_unused; -- Constant Declarations ---------------------------------------- Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address) Constant CMD_TYPE_INDEX : integer := 23; Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH; Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2; Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1; Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH; Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH; Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1; Constant AXI_BURST_FIXED : std_logic_vector(1 downto 0) := "00"; Constant AXI_BURST_INCR : std_logic_vector(1 downto 0) := "01"; Constant AXI_BURST_WRAP : std_logic_vector(1 downto 0) := "10"; Constant AXI_BURST_RESVD : std_logic_vector(1 downto 0) := "11"; Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000"; Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001"; Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010"; Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011"; Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100"; Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101"; Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110"; Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111"; Constant BTT_SLICE_SIZE : integer := funct_get_slice_width(C_MAX_BURST_LEN); Constant MAX_BURST_LEN_US : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(C_MAX_BURST_LEN-1, BTT_SLICE_SIZE); Constant BTT_LS_UNUSED_WIDTH : integer := funct_get_btt_ls_unused(C_STREAM_DWIDTH); Constant CMD_BTT_WIDTH : integer := BTT_SLICE_SIZE+BTT_LS_UNUSED_WIDTH; Constant CMD_BTT_LS_INDEX : integer := 0; Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); Constant BTT_RESIDUE_ZEROS : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); Constant BTT_SLICE_ONE : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(1, BTT_SLICE_SIZE); Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; -- Number of bytes in the Stream Constant LEN_WIDTH : integer := 8; -- Type Declarations -------------------------------------------- type SCC_SM_STATE_TYPE is ( INIT, POP_RECOVER, GET_NXT_CMD, CHK_AND_CALC, PUSH_TO_AXI, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- signal sm_scc_state : SCC_SM_STATE_TYPE := INIT; signal sm_scc_state_ns : SCC_SM_STATE_TYPE := INIT; signal sm_pop_input_cmd : std_logic := '0'; signal sm_pop_input_cmd_ns : std_logic := '0'; signal sm_set_push2axi : std_logic := '0'; signal sm_set_push2axi_ns : std_logic := '0'; signal sm_set_error : std_logic := '0'; signal sm_set_error_ns : std_logic := '0'; Signal sm_scc_sm_ready : std_logic := '0'; Signal sm_scc_sm_ready_ns : std_logic := '0'; signal sig_cmd2data_valid : std_logic := '0'; signal sig_clr_cmd2data_valid : std_logic := '0'; signal sig_cmd2addr_valid : std_logic := '0'; signal sig_clr_cmd2addr_valid : std_logic := '0'; signal sig_addr_data_rdy_pending : std_logic := '0'; signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_load_input_cmd : std_logic := '0'; signal sig_cmd_reg_empty : std_logic := '0'; signal sig_cmd_reg_full : std_logic := '0'; signal sig_cmd_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_type_reg : std_logic := '0'; signal sig_cmd_burst_reg : std_logic_vector (1 downto 0) := "00"; signal sig_cmd_tag_reg : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_data_rdy4cmd : std_logic := '0'; signal sig_btt_raw : std_logic := '0'; signal sig_btt_is_zero : std_logic := '0'; signal sig_btt_is_zero_reg : std_logic := '0'; signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_next_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_strt_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_next_end_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_input_eof_reg : std_logic; begin --(architecture implementation) -- Assign calculation error output calc_error <= sm_set_error; -- Assign the ready output to the Command FIFO mst2cmd_cmd_ready <= sig_cmd_reg_empty and sm_scc_sm_ready; -- Assign the Address Channel Controller Qualifiers mstr2addr_tag <= sig_next_tag ; mstr2addr_addr <= sig_next_addr ; mstr2addr_len <= sig_next_len ; mstr2addr_size <= sig_next_size ; mstr2addr_burst <= sig_cmd_burst_reg; mstr2addr_cache <= sig_next_cache; mstr2addr_user <= sig_next_user; mstr2addr_cmd_valid <= sig_cmd2addr_valid; mstr2addr_calc_error <= sm_set_error ; mstr2addr_cmd_cmplt <= '1' ; -- Lite mode is always 1 -- Assign the Data Channel Controller Qualifiers mstr2data_tag <= sig_next_tag ; mstr2data_saddr_lsb <= sig_cmd_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0); mstr2data_len <= sig_next_len ; mstr2data_strt_strb <= sig_next_strt_strb; mstr2data_last_strb <= sig_next_end_strb; mstr2data_sof <= '1'; -- Lite mode is always 1 cmd mstr2data_eof <= sig_input_eof_reg; -- Lite mode is always 1 cmd mstr2data_cmd_cmplt <= '1'; -- Lite mode is always 1 cmd mstr2data_cmd_valid <= sig_cmd2data_valid; mstr2data_calc_error <= sm_set_error; -- Internal logic ------------------------------ sig_addr_data_rdy_pending <= sig_cmd2addr_valid or sig_cmd2data_valid; sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready; sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready; sig_load_input_cmd <= cmd2mstr_cmd_valid and sig_cmd_reg_empty and sm_scc_sm_ready; sig_next_tag <= sig_cmd_tag_reg; sig_next_addr <= sig_cmd_addr_reg; sig_addr_data_rdy4cmd <= addr2mstr_cmd_ready and data2mstr_cmd_ready; sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX); sig_btt_is_zero <= '1' when (sig_cmd_btt_slice = BTT_ZEROS) Else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_RESIDUE_BITS -- -- If Generate Description: -- -- -- ------------------------------------------------------------ GEN_NO_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH = 0) generate -- signals signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); begin -- LEN Calculation logic ------------------------------------------ sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH)); sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto 0)); sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE when sig_btt_is_zero_reg = '0' else (others => '0'); -- clip at zero -- If most significant bit of BTT set then limit to -- Max Burst Len, else rip it from the BTT value, -- otheriwse subtract 1 from the BTT ripped value -- 1 from the BTT ripped value sig_len2use <= MAX_BURST_LEN_US When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1') Else sig_len_btt_slice_minus_1; end generate GEN_NO_RESIDUE_BITS; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_HAS_RESIDUE_BITS -- -- If Generate Description: -- -- -- ------------------------------------------------------------ GEN_HAS_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH > 0) generate -- signals signal sig_btt_len_residue : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); begin -- LEN Calculation logic ------------------------------------------ sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH)); sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto BTT_LS_UNUSED_WIDTH)); sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE when sig_btt_is_zero_reg = '0' else (others => '0'); -- clip at zero sig_btt_len_residue <= UNSIGNED(sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0)); -- If most significant bit of BTT set then limit to -- Max Burst Len, else rip it from the BTT value -- However if residue bits are zeroes then subtract -- 1 from the BTT ripped value sig_len2use <= MAX_BURST_LEN_US When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1') Else sig_len_btt_slice_minus_1 when (sig_btt_len_residue = BTT_RESIDUE_ZEROS) Else sig_len_btt_slice; end generate GEN_HAS_RESIDUE_BITS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_INPUT_CMD -- -- Process Description: -- Implements the input command holding registers -- ------------------------------------------------------------- REG_INPUT_CMD : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sm_pop_input_cmd = '1') then sig_cmd_btt_reg <= (others => '0'); sig_cmd_type_reg <= '0'; sig_cmd_addr_reg <= (others => '0'); sig_cmd_tag_reg <= (others => '0'); sig_btt_is_zero_reg <= '0'; sig_cmd_reg_empty <= '1'; sig_cmd_reg_full <= '0'; sig_input_eof_reg <= '0'; sig_cmd_burst_reg <= "00"; elsif (sig_load_input_cmd = '1') then sig_cmd_btt_reg <= sig_cmd_btt_slice; sig_cmd_type_reg <= cmd2mstr_command(CMD_TYPE_INDEX); sig_cmd_addr_reg <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX); sig_cmd_tag_reg <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX); sig_btt_is_zero_reg <= sig_btt_is_zero; sig_cmd_reg_empty <= '0'; sig_cmd_reg_full <= '1'; sig_cmd_burst_reg <= sig_next_burst; if (C_MICRO_DMA = 1) then sig_input_eof_reg <= cmd2mstr_command(CMD_EOF_INDEX); else sig_input_eof_reg <= '1'; end if; else null; -- Hold current State end if; end if; end process REG_INPUT_CMD; -- Only Incrementing Burst type supported (per Interface_X guidelines) sig_next_burst <= AXI_BURST_INCR when (cmd2mstr_command(CMD_TYPE_INDEX) = '1') else AXI_BURST_FIXED; sig_next_user <= cache2mstr_command (7 downto 4); sig_next_cache <= cache2mstr_command (3 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_64 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 64-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_64 : if (C_STREAM_DWIDTH = 64) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_8BYTE; Constant RESIDUE_BIT_WIDTH : integer := 3; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- note 1 extra bit implied begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_8bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 64 bits wide and 8 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_8bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "0001" => sig_last_strb <= "00000001"; when "0010" => sig_last_strb <= "00000011"; when "0011" => sig_last_strb <= "00000111"; when "0100" => sig_last_strb <= "00001111"; when "0101" => sig_last_strb <= "00011111"; when "0110" => sig_last_strb <= "00111111"; when "0111" => sig_last_strb <= "01111111"; when others => sig_last_strb <= "11111111"; end case; end process IMP_LAST_STRB_8bit; end generate GEN_LEN_SDWIDTH_64; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_32 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 32-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_32 : if (C_STREAM_DWIDTH = 32) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_4BYTE; Constant RESIDUE_BIT_WIDTH : integer := 2; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_4bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 32 bits wide and 4 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_4bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "001" => sig_last_strb <= "0001"; when "010" => sig_last_strb <= "0011"; when "011" => sig_last_strb <= "0111"; when others => sig_last_strb <= "1111"; end case; end process IMP_LAST_STRB_4bit; end generate GEN_LEN_SDWIDTH_32; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_16 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 16-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_16 : if (C_STREAM_DWIDTH = 16) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_2BYTE; Constant RESIDUE_BIT_WIDTH : integer := 1; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_2bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 16 bits wide and 2 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_2bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "01" => sig_last_strb <= "01"; when others => sig_last_strb <= "11"; end case; end process IMP_LAST_STRB_2bit; end generate GEN_LEN_SDWIDTH_16; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_8 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 8-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_8 : if (C_STREAM_DWIDTH = 8) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_1BYTE; begin -- Assign the Address Channel Controller Qualifiers sig_next_size <= AXI_SIZE2USE; -- Assign the Data Channel Controller Qualifiers sig_next_strt_strb <= (others => '1'); sig_next_end_strb <= (others => '1'); end generate GEN_LEN_SDWIDTH_8; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DATA_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Ready control -- to the Data Controller Module. -- ------------------------------------------------------------- CMD2DATA_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_clr_cmd2data_valid = '1') then sig_cmd2data_valid <= '0'; elsif (sm_set_push2axi_ns = '1') then sig_cmd2data_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DATA_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2ADDR_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Ready control -- to the Address Controller Module. -- ------------------------------------------------------------- CMD2ADDR_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_clr_cmd2addr_valid = '1') then sig_cmd2addr_valid <= '0'; elsif (sm_set_push2axi_ns = '1') then sig_cmd2addr_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2ADDR_VALID_FLOP; ------------------------------------------------------------- -- Combinational Process -- -- Label: SCC_SM_COMB -- -- Process Description: -- Implements combinational portion of state machine -- ------------------------------------------------------------- SCC_SM_COMB : process (sm_scc_state, cmd2mstr_cmd_valid, sig_addr_data_rdy_pending, sig_cmd_reg_full, sig_btt_is_zero_reg ) begin -- Set default State machine outputs sm_pop_input_cmd_ns <= '0'; sm_set_push2axi_ns <= '0'; sm_scc_state_ns <= sm_scc_state; sm_set_error_ns <= '0'; sm_scc_sm_ready_ns <= '1'; case sm_scc_state is ---------------------------------------------------- when INIT => -- if (sig_addr_data_rdy4cmd = '1') then if (cmd2mstr_cmd_valid = '1') then -- wait for first cmd valid after reset sm_scc_state_ns <= GET_NXT_CMD; -- jump to get command else sm_scc_sm_ready_ns <= '0'; sm_scc_state_ns <= INIT; -- Stay in Init End if; ---------------------------------------------------- when POP_RECOVER => sm_scc_state_ns <= GET_NXT_CMD; -- jump to next state ---------------------------------------------------- when GET_NXT_CMD => if (sig_cmd_reg_full = '1') then sm_scc_state_ns <= CHK_AND_CALC; -- jump to next state else sm_scc_state_ns <= GET_NXT_CMD; -- stay in this state end if; ---------------------------------------------------- when CHK_AND_CALC => sm_set_push2axi_ns <= '1'; -- Push the command to ADDR and DATA if (sig_btt_is_zero_reg = '1') then sm_scc_state_ns <= ERROR_TRAP; -- jump to error trap sm_set_error_ns <= '1'; -- Set internal error flag else sm_scc_state_ns <= PUSH_TO_AXI; end if; ---------------------------------------------------- when PUSH_TO_AXI => if (sig_addr_data_rdy_pending = '1') then sm_scc_state_ns <= PUSH_TO_AXI; -- stay in this state -- until both Addr and Data have taken commands else sm_pop_input_cmd_ns <= '1'; sm_scc_state_ns <= POP_RECOVER; -- jump back to fetch new cmd input end if; ---------------------------------------------------- when ERROR_TRAP => sm_scc_state_ns <= ERROR_TRAP; -- stay in this state sm_set_error_ns <= '1'; ---------------------------------------------------- when others => sm_scc_state_ns <= INIT; -- error so always jump to init state end case; end process SCC_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SCC_SM_REG -- -- Process Description: -- Implements registered portion of state machine -- ------------------------------------------------------------- SCC_SM_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sm_scc_state <= INIT; sm_pop_input_cmd <= '0' ; sm_set_push2axi <= '0' ; sm_set_error <= '0' ; sm_scc_sm_ready <= '0' ; else sm_scc_state <= sm_scc_state_ns ; sm_pop_input_cmd <= sm_pop_input_cmd_ns ; sm_set_push2axi <= sm_set_push2axi_ns ; sm_set_error <= sm_set_error_ns ; sm_scc_sm_ready <= sm_scc_sm_ready_ns ; end if; end if; end process SCC_SM_REG; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/ip/feedforward_ap_ddiv_29_no_dsp_64.vhd
6
12779
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY feedforward_ap_ddiv_29_no_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END feedforward_ap_ddiv_29_no_dsp_64; ARCHITECTURE feedforward_ap_ddiv_29_no_dsp_64_arch OF feedforward_ap_ddiv_29_no_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF feedforward_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF feedforward_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF feedforward_ap_ddiv_29_no_dsp_64_arch : ARCHITECTURE IS "feedforward_ap_ddiv_29_no_dsp_64,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF feedforward_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "feedforward_ap_ddiv_29_no_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=1,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=29,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 29, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END feedforward_ap_ddiv_29_no_dsp_64_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fptrunc_0_no_dsp_64/xbip_pipe_v3_0_1/hdl/xbip_pipe_v3_0.vhd
24
8323
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block leHdrW5B1Ue8ne1t6lrNasa+bmf70P2jS0LwM3ICYgVyA4XnjXAE3KRyD/8gkAUf9C+hYFXAAz1O 1FG6BAuoEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cusaPzk2vFOiZzK0ZhgWIEFifKmSOaQjUGDHZKCdYJFmdxLkotBPPjrVlqCOdv+nrAS98mWiWmMR /fTmuvB+FOzZni8rq+gdHLhYlyMRiO03BYjDCfBD/zLdQOQ1NXEyofWc7mAnwJPIm5EhSowItTxy TQHaRJ21xp30JAinv8c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block E6sLWvMufeIr/esO7MSSsfA/y4zYWP4M+i+2Kb7PjwpG78xkTchFcLuySnIvgoXNIX2IiPs4b7b0 6k7DXdJF+IvsJo80vdVtvxwqR0IHmn4j2FMQymQdlJn0ZtgS6ZxlKJeiv0CJuWZt7INuGXr5PRpU KxIh1TXSKTGc98poTAnOPHc0Cmzw4mK+O2NxRH9j3MZpwh6G5Xm+34NV93bq6nD+A0GyLzHIBESy ++M5o5FSqgByOVRWTO4Su1otrfluotPuPO2TEjRd6FMIpUdR2ds5qii3JD4xOqSkA8egCIuy4NLR B+Z2QdbY6DjTyMh7izZ/CqvryZp/qzsHq7yztA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CcCup7echTUWPmKCBn1gOyC1Cvqq4talnn4WK+t/foEcp3FaVfc2fhltpaZ6YHVIghZk7n/TSiwL fPkWQUZQILJC0h0PaKdV9nZxAPSGoBifP0aeHQScywlmdjk/42WmPrDzs3TxEROSq5bxiNVtMSf7 zaL0QqT2uiy96OGZQH0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M66qLl3QvTbyd7OZiLpiVNeM4XJubS1mfHkOvXfw1l7fIpYLHHkEKviYqsprqC4juUfqbM4jGOzs Wa/ntbD9A7gQTxiux5YljYgGyLOT/9s/aTdgKJoDOsqqUyUxTQ7SY+5XXQWupeCMuNptCUFl1pbL eo8+6sdU2QlvHcKKxXnUej1F69sbqTfZYSXOCR3gJF4tJrsJszLIH8LO4HAbS24TJwNC+WZfrV5i e0ymUF+FCnLVE7tiAh6mk7X3nIHhYF/Mj0cIuq0wRyjOfp61Nnd9xOUnELPjNvM0Ovw47MabhMPo upGT17SKfeuLyEBSi0IRB05ViJlrIjcvA5J1+w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ss/YXNSnBDeKAHkqMWMBl0au7TJkur4GPPPBQgp4DOGHgNqm7epu6Veaj+9izoe1kUIoHW/cI0fo rldl2CaEVtrnvyBOZHq5E/B/y+VfRkFLkqobLN6CVdCYSTI2zsf0YU2F+faYHzYI+wjtI1ItfssZ aGiDdKo3Tu+ThXC7F/f8rStV5zGMiM5YgiAwKA7HSRhOQkKKXCvYYb0GyY/DyYIWi5UYyPfsTclh 2cL1VJimb7mNhI0zC//b2WxC9bo7/dDpJPAwbL/kb3fE0gQ12PtNg1+FfOpkmoDiEA6WgRzMr/8O pEUMiMNYVA/eYnW82bTzp7XYvL3lxpVY/C2f5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4032) `protect data_block HfF0P1NdmPyToATiwr9GlQXlEuTl0731HxDRMl9UNvBfImykEW/n9a6KRO68F8GLrcimAqIWDGMq pp0Bul6xe6+kHCj5XCgqAj6kzB+Q/m/uxysXzD4QDsrfKG7p6s+U7RjsJmG6gkxBCaGBkt/izSHy cD8AD2EKaq4iEznEur1XVhCVCZEmtvCV0zLjeNFZzKHx2rXnKfdF0hDPemE4JcaI2YYyiWqnl4G3 xMUwnJEKEFmFA0UR5XDJ6gJFr1sauhaetPtJBcr7GpgkH3GHk+Y4iA1VGJZ47KMdfGpzdlaMVK5T 7LcLb0yWecwTXyw7gfAyBz608j5AwyXBxPm3F91RRKfnNCSnzifM5yx4s634TfydAphdpM4TvWNn t8eq3+C2lXhjKvEhrU+2LTuTSSnIYk1Bg5y0kLw47fXV93By3Wh9iHFEJ3bthuQN54NUbmGzHE9k cmBPRJ9+9t4I4heZD3KAUcDQlEGkPrpa8c9tJ66eITwcFv0Q61A30n/M5mvoeFn5y0KUtqXmruDG aIswrgcrw7mV+bPzhmCZfXxH9rN3gXmZdvAcCEFXytWUzqzCq71d0qIX+H7p5RQEU1NwtaFiM800 B8gY1AtJj7RgBGq0qpK36YgNdkd/vIaOoG7Pyx7Qz7vafVXuXWs38PezYAqvy7g6U/B1BDW7JQnN Shv4WF4ZxAkqjd65wMdS7xs7zkUvwdAtuM+ZFreVzzt9WGJOuPwHZ0yb/jUsDwKXUNZ+l4qvhMt6 HqYIsCA4MiX46kltZiweP5zTVz5/mtlnBNOH5Rvls4r5RQ+qgG1U31gB3Un7fbwSPru2SJdfF1t2 axfMrVbMTh2yxDu8pv9abJRBZgu2PXAG5Ed6h6/De7Q3gR4EFUKMkhgSFdPQWzdUTQt6zQPoiWPo Qi4SsPDfIDFpMpcWqEb15LV6d51Bq2NBWegfCntYWGhWhvIeyb/gZCCvkiZAnvSMc095Ai9bvMr3 a1JWQ3A3S1S8wDWGBxaXBUhPC/Ivme6ytsUXYMHiMHZ7IZOSPNO0m7meINDHlsJ4RebsLyVPn82t CTUJiEX3iZ00MKhNUQ1QaK8RDyvum+JE+sH+6cbtMG3OwP8dBLT4KhpFP2ba4jw6C161nLy2ebzv 2od/tcyfmer+KiNP12XNrwUFwieM6mON/y0imNeMlDpnTy9GyuVmhhqgwU8yluGtC7qeLUND1iwi GKk2NxGgkqvS2Lcb1l888wqG1pM3EP4EBXCcFSr2rpH5vLG04cghcZQ7g1WWhbV/GPNUUSsoj4w5 VwYYr8OGJdbnj+Y4f6JcQkeM+CNpS4t5f34p5KJMH3EBmNAabImfCUtsOZCeBgzUYnJ9NbTLdryV 9mlsNrXJCM8Ryhmt/OwqHa5V1t96iEgMBo6eFpH0emB95b1bVllcVmc88Y3a0qY8By9m4cYzpwUt TcvEtMrQqzK3VcySiGf28H92H6Sk0IVsipT+27/9EWwKKYParVNJq+8YeUMfnFNCeSYMR6c/pyZ4 Pfw3Qn7fEuHAgW6WHiYFFO3DphHrs30SLT3M+PXo9eGM0lEP/JQRdPL+j5kgA7PvBi8E3OKvrQ5X E8Iq3OtRGrAGGTpA5TmCI8v/mguzvJWRlYE7MFUwV+/HB69dm/dsWJiAkrn+nqxOTLTcPeaV28II zWAObR6gALTmoFsO0E80P4y3WLy/eC2R/kdqIclXJctQJtZ6eOjCR9ObeGhK+1bRvATq4xgBtX3U L5q4FoCuHmpLc2BJ7nOeo4T6q8f2sY/7L661cvBUIixa/qbACu8LL/FT/h2SLQa729GRaDX+g5Cd xXd2drba6Y+30VZmQaqTTeZKiEwpgE7p/5GbQ002yL0DsxnmZomkemMwar6w2H40w9hcE8zQnH4u n8FqQtlT83ZldpoCS0oqo0hvH8YNyGP5Qrf5NgGcRlNyb2mcd/qAchkwhGuQfsdYNBD6lVHIswfn dU90s9upNdhfQniiOBCs0qDZwiSU23GZMKfmgtAWL7pDRFp1l0rHEtus+xEF8f3zL5wKM20lhH4G AlIy8vV3/xiCyJSyrOAoXxutRnR2tVUgcmqKsdvcqm1OdnvkuSkqF+wQKrWGBR84ofRCubT2ZuYO VIpPjVlNzl98v1B+10tRA9oALRIvUhqHBQsT4tV2609SVugYjbsJyIC71jjoOP6DhrpP0CeRA4OG oH3rAZOLsOR4aEaEGrGoUM1pDqRikeXwjhzAGvaHI7M4EqycN0/qvRUu90A++4WAb7boAKL5lz3e LFdMgg9Zcf1WJAzp00ydP9W8wCdCWAv78r9ddkowv8a+roXU/q0LacgcuXoN7Pgde3Qe/Ola0qj0 TK18fGC6wHP0h+KWFGqqt6Y54Hd8XE0AUxrPuG3mpsfGKLDQIBLa3DBU8wOAByGRSGUWEsvmvsHO M2tyHpjSfcDB/4ZixqcfpMA7FV6jHi7X9a+AithcL4KWndZipM0TVwUO9oYIccqF12+/CZsy7DTS kvA1eOySWZv0rWdr4VMzq09+VYpW1kW+TTFsl4xMC2mAfR7/t6s9/h6MBfd+euFSoau+Uv73UvS5 8pd+LgUEqDVokVXLCNRmix1Cyda6Dmgw2O0DHctwhklPikjjHVx3iM6hu8qPU3ynxosYVPPYUNAb dIVYdvIyDjOOT1WkF12bbbF+q/TCch2lZpf4U6ApCKiNaTVV1cN+3P3QhldokmkplPW/VZPV+iLk Ob7gn7sBiHLUq4bQ8Vbk990GKmfbE8a1rOJ8yDLHrztTCKqUG542RcOtaVqHw/XF7q4MD19dLtDY LAQdXMiT4Hd3lE5LqYeYp/kfvvLC9XnnoIkXWY1SdURL0cLBp99MGeOptIbodTx1onuRMCf2NlhA gwaWOFO8SLMUCMdR6BRXJJHnbagjB9ywY/sQZf5mK5x1Xlyd3UMo0LifYleQPEHGEZ2jCC5q2VeF KJTtQ3Ph6D4e5lBRKsd3F4l5u+9WZZLQs/d/F1KLoBNGtcHsItgv3EAhiePESY+bf/xV+0R1c0eS 5nlAraVMrreGf+qnqAPpixxLXLuXB5I4b8gQdogCQ0lfiXs2FG9cgWTt8oQczW+Tl4/0Jb+AnsV0 d4nrcVWgqpfmUzjSqqYexq3jvGijSy4NEF5JD3s3QVySPkN0dTXOnnvtC1Di2wKSQVltRp0L/6Ht 1PwjJClWpVpFywFbkW7VyRTvpPK3PFVzq9CUvM+Laret6nRuG7221jRpdtcXJkrDH/Hv+qGPbcSA MG7OTF+4H6wLmvd/nIyPeG0ATkWvnDb8orfBA5NLkeKHhkPfT8ack97bCdWOpwcH4oQPiCIAuOy/ h7FKMuxr9i3BBoAUT1Ngpw6JE74MGA0ldUsG7QChCcPJNfrLv3Udm9AM8nV0EP8V3P6Ihk0d9Rpr 7kurwSYTFfauh2CoCSdjLjaxbXVeATyORdARNEdXmSuguKVfawpPbALC7iXNuLhdNoRzNJNLZNX1 jyRVRVbxga12oeZgLRcFhhutVmSZjKh1ltv3wN5onrm7+r4D6H8dEg/WSZHQ25RjEQkmqzcxtyyk sgxXfHUQjkti5oteydJFYTJRxNuK1yFZqISjWpwtRUf8Z+Xk/D++BBQorBS7N18fZGH37srom2Ft gUZ1YJjvb5bQxWzex+CbYVsCSvHvNB1jZG5ctdU3AmUCNnRU698bIPEyysbNhW1Gu1XgTWs0BFhd It7FjZC1cuPK3LeD4ub7og0c2gsBJA+F0kW/XEpEzgNv1RHi+h0HHt+AAgirMoBMW5XF2nNfBGWl JyfsjKExLX4rzliLB28esXPN0D1ivJTrR9fstNOnMiDMcw+wOhyWe0Eo82EFoGC0jiP1D7U0ekeI 3yrb5VoO6FZVj9I0igxU16G47gWYGk50kuTAaEbyIvr3Oe0bEDH6kwTr+tnkrHkBWtwA9gJdntjO D8FXlfrL1EXDBq6V943fEsHLqQfEU06sAOwzg9hzhW4yKlTiebu1oXEMLh4VhDvG9gcr0Wyvl16j Hbz/U5wGNSTIIer5oP3qgCyv2qUk7pJ18exdLXdojphYEOptFYQfjQGUVyM/ex8DCZ3YcEsyDMx1 kqYsxpv2qjnRFrScMIC65Sa6tu7NzeqYqrLfo/YnXO7QDHaXVhpcxbokQHaqpyuPGldbr7QrupAq jiJAB/CoXBYJXQxlSVTECthHPnJDpfftDPI/HXHUbKDSkGDN/fZHiasSkT4cPn+q9Hr8ZWm5pmFR OtUPXwCj8RSBo94JrQhpJgXEkmGpIpo1QMsPjmyFOyWcBco2olRYd2BThTsFFXlLjAeGNIoVGmLn OQuxmPKpTWMjjiSp93dk2XfK4q1WaVA8VajaOh7FIWU6znO2WPv83sZwVcbPueEw0uDfgZyp9rXS 87Ym1CeBm/EOZX+tl0RyUe3vd9Vf3Y8kZjD2qhS121rKBSjoEbAdK+EuAnEPCjFeWjh2qID+R+Aq nbhTqYYTsFxyCHjDymCvKLZqqX+8cw5gCEfIw127+KMBZCaMm0lE1o7f+jCdbFlCkDj3LUJX5ACF jgoR5x5bKRWbQK0lFBP0xLQF2hKHOsrMgwKT7TGHdPnAJiBCtLHdoo8m9x76YBGtoIuXQsMOpKd8 0C5cr8MgHT64Uu9naXok3yypCfgbz6iqqh3nyYusoMPqwkR+SyGeL7AU6RPFZe+zUnoQMmuwhWHL DFekgK5LJSxJPlpfglmlBEl05byMPkOovUUWeo7w384W4JVkzSn5Ch271cyGoW8J1CfAOZMKI8qu fWMhYzbvbLN5wT93pvj4eZZJ1tGEUsdC+1F1tbFGmJmEJn897tEangKffNchmO+jLW/boXLPtun1 ievO0uz+AjxBiAPhKoy+AkUwFZgEq6yNo09rpxYrzfRonQNuwZDaZHeUnbi+CAcYBH+Y9IH6yuDX Q0m4E6LbJ4GYt/ztIT3AIZcxtY/j0GpKP08hU9ZZIaR1j5sCSqCUmJDhS7EQMa60MOMPXRNR8e1T zwrFBgL5l+OieC2Rq7bwt2pqqQb+aYs44VTBFojMhCOlaKCToJvRbjm4xuqsgDspEOcN7wT9+AeC aXyoOC9Dcwq7hRUp/UXOklPzrt2Fom+M0G05/CoBM2J5Ay4fFjuwnGAsomdvk1MxPVyCfN4JN16A 40XtcqtrLC7Ix95uc9Y2xplmNZ65e+W3GT/A+N/YC0TC6EjF+ICUpdvG1LXOraE6bI6b5/oPNgKJ BYihlI71lIH/rZK6X/3CodLBO6lwC+f1WBYK7oDPw7ROl758cw7ZVg0MfMo4eR/krN935kce/ByJ 5alFoS3rmqW66llEhligc1FUephHbM6ZOEXLGzUMlhq9KmmqXoTzme98 `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_ddiv_29_no_dsp_64/xbip_pipe_v3_0_1/hdl/xbip_pipe_v3_0.vhd
24
8323
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block leHdrW5B1Ue8ne1t6lrNasa+bmf70P2jS0LwM3ICYgVyA4XnjXAE3KRyD/8gkAUf9C+hYFXAAz1O 1FG6BAuoEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cusaPzk2vFOiZzK0ZhgWIEFifKmSOaQjUGDHZKCdYJFmdxLkotBPPjrVlqCOdv+nrAS98mWiWmMR /fTmuvB+FOzZni8rq+gdHLhYlyMRiO03BYjDCfBD/zLdQOQ1NXEyofWc7mAnwJPIm5EhSowItTxy TQHaRJ21xp30JAinv8c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block E6sLWvMufeIr/esO7MSSsfA/y4zYWP4M+i+2Kb7PjwpG78xkTchFcLuySnIvgoXNIX2IiPs4b7b0 6k7DXdJF+IvsJo80vdVtvxwqR0IHmn4j2FMQymQdlJn0ZtgS6ZxlKJeiv0CJuWZt7INuGXr5PRpU KxIh1TXSKTGc98poTAnOPHc0Cmzw4mK+O2NxRH9j3MZpwh6G5Xm+34NV93bq6nD+A0GyLzHIBESy ++M5o5FSqgByOVRWTO4Su1otrfluotPuPO2TEjRd6FMIpUdR2ds5qii3JD4xOqSkA8egCIuy4NLR B+Z2QdbY6DjTyMh7izZ/CqvryZp/qzsHq7yztA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CcCup7echTUWPmKCBn1gOyC1Cvqq4talnn4WK+t/foEcp3FaVfc2fhltpaZ6YHVIghZk7n/TSiwL fPkWQUZQILJC0h0PaKdV9nZxAPSGoBifP0aeHQScywlmdjk/42WmPrDzs3TxEROSq5bxiNVtMSf7 zaL0QqT2uiy96OGZQH0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M66qLl3QvTbyd7OZiLpiVNeM4XJubS1mfHkOvXfw1l7fIpYLHHkEKviYqsprqC4juUfqbM4jGOzs Wa/ntbD9A7gQTxiux5YljYgGyLOT/9s/aTdgKJoDOsqqUyUxTQ7SY+5XXQWupeCMuNptCUFl1pbL eo8+6sdU2QlvHcKKxXnUej1F69sbqTfZYSXOCR3gJF4tJrsJszLIH8LO4HAbS24TJwNC+WZfrV5i e0ymUF+FCnLVE7tiAh6mk7X3nIHhYF/Mj0cIuq0wRyjOfp61Nnd9xOUnELPjNvM0Ovw47MabhMPo upGT17SKfeuLyEBSi0IRB05ViJlrIjcvA5J1+w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ss/YXNSnBDeKAHkqMWMBl0au7TJkur4GPPPBQgp4DOGHgNqm7epu6Veaj+9izoe1kUIoHW/cI0fo rldl2CaEVtrnvyBOZHq5E/B/y+VfRkFLkqobLN6CVdCYSTI2zsf0YU2F+faYHzYI+wjtI1ItfssZ aGiDdKo3Tu+ThXC7F/f8rStV5zGMiM5YgiAwKA7HSRhOQkKKXCvYYb0GyY/DyYIWi5UYyPfsTclh 2cL1VJimb7mNhI0zC//b2WxC9bo7/dDpJPAwbL/kb3fE0gQ12PtNg1+FfOpkmoDiEA6WgRzMr/8O pEUMiMNYVA/eYnW82bTzp7XYvL3lxpVY/C2f5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4032) `protect data_block HfF0P1NdmPyToATiwr9GlQXlEuTl0731HxDRMl9UNvBfImykEW/n9a6KRO68F8GLrcimAqIWDGMq pp0Bul6xe6+kHCj5XCgqAj6kzB+Q/m/uxysXzD4QDsrfKG7p6s+U7RjsJmG6gkxBCaGBkt/izSHy cD8AD2EKaq4iEznEur1XVhCVCZEmtvCV0zLjeNFZzKHx2rXnKfdF0hDPemE4JcaI2YYyiWqnl4G3 xMUwnJEKEFmFA0UR5XDJ6gJFr1sauhaetPtJBcr7GpgkH3GHk+Y4iA1VGJZ47KMdfGpzdlaMVK5T 7LcLb0yWecwTXyw7gfAyBz608j5AwyXBxPm3F91RRKfnNCSnzifM5yx4s634TfydAphdpM4TvWNn t8eq3+C2lXhjKvEhrU+2LTuTSSnIYk1Bg5y0kLw47fXV93By3Wh9iHFEJ3bthuQN54NUbmGzHE9k cmBPRJ9+9t4I4heZD3KAUcDQlEGkPrpa8c9tJ66eITwcFv0Q61A30n/M5mvoeFn5y0KUtqXmruDG aIswrgcrw7mV+bPzhmCZfXxH9rN3gXmZdvAcCEFXytWUzqzCq71d0qIX+H7p5RQEU1NwtaFiM800 B8gY1AtJj7RgBGq0qpK36YgNdkd/vIaOoG7Pyx7Qz7vafVXuXWs38PezYAqvy7g6U/B1BDW7JQnN Shv4WF4ZxAkqjd65wMdS7xs7zkUvwdAtuM+ZFreVzzt9WGJOuPwHZ0yb/jUsDwKXUNZ+l4qvhMt6 HqYIsCA4MiX46kltZiweP5zTVz5/mtlnBNOH5Rvls4r5RQ+qgG1U31gB3Un7fbwSPru2SJdfF1t2 axfMrVbMTh2yxDu8pv9abJRBZgu2PXAG5Ed6h6/De7Q3gR4EFUKMkhgSFdPQWzdUTQt6zQPoiWPo Qi4SsPDfIDFpMpcWqEb15LV6d51Bq2NBWegfCntYWGhWhvIeyb/gZCCvkiZAnvSMc095Ai9bvMr3 a1JWQ3A3S1S8wDWGBxaXBUhPC/Ivme6ytsUXYMHiMHZ7IZOSPNO0m7meINDHlsJ4RebsLyVPn82t CTUJiEX3iZ00MKhNUQ1QaK8RDyvum+JE+sH+6cbtMG3OwP8dBLT4KhpFP2ba4jw6C161nLy2ebzv 2od/tcyfmer+KiNP12XNrwUFwieM6mON/y0imNeMlDpnTy9GyuVmhhqgwU8yluGtC7qeLUND1iwi GKk2NxGgkqvS2Lcb1l888wqG1pM3EP4EBXCcFSr2rpH5vLG04cghcZQ7g1WWhbV/GPNUUSsoj4w5 VwYYr8OGJdbnj+Y4f6JcQkeM+CNpS4t5f34p5KJMH3EBmNAabImfCUtsOZCeBgzUYnJ9NbTLdryV 9mlsNrXJCM8Ryhmt/OwqHa5V1t96iEgMBo6eFpH0emB95b1bVllcVmc88Y3a0qY8By9m4cYzpwUt TcvEtMrQqzK3VcySiGf28H92H6Sk0IVsipT+27/9EWwKKYParVNJq+8YeUMfnFNCeSYMR6c/pyZ4 Pfw3Qn7fEuHAgW6WHiYFFO3DphHrs30SLT3M+PXo9eGM0lEP/JQRdPL+j5kgA7PvBi8E3OKvrQ5X E8Iq3OtRGrAGGTpA5TmCI8v/mguzvJWRlYE7MFUwV+/HB69dm/dsWJiAkrn+nqxOTLTcPeaV28II zWAObR6gALTmoFsO0E80P4y3WLy/eC2R/kdqIclXJctQJtZ6eOjCR9ObeGhK+1bRvATq4xgBtX3U L5q4FoCuHmpLc2BJ7nOeo4T6q8f2sY/7L661cvBUIixa/qbACu8LL/FT/h2SLQa729GRaDX+g5Cd xXd2drba6Y+30VZmQaqTTeZKiEwpgE7p/5GbQ002yL0DsxnmZomkemMwar6w2H40w9hcE8zQnH4u n8FqQtlT83ZldpoCS0oqo0hvH8YNyGP5Qrf5NgGcRlNyb2mcd/qAchkwhGuQfsdYNBD6lVHIswfn dU90s9upNdhfQniiOBCs0qDZwiSU23GZMKfmgtAWL7pDRFp1l0rHEtus+xEF8f3zL5wKM20lhH4G AlIy8vV3/xiCyJSyrOAoXxutRnR2tVUgcmqKsdvcqm1OdnvkuSkqF+wQKrWGBR84ofRCubT2ZuYO VIpPjVlNzl98v1B+10tRA9oALRIvUhqHBQsT4tV2609SVugYjbsJyIC71jjoOP6DhrpP0CeRA4OG oH3rAZOLsOR4aEaEGrGoUM1pDqRikeXwjhzAGvaHI7M4EqycN0/qvRUu90A++4WAb7boAKL5lz3e LFdMgg9Zcf1WJAzp00ydP9W8wCdCWAv78r9ddkowv8a+roXU/q0LacgcuXoN7Pgde3Qe/Ola0qj0 TK18fGC6wHP0h+KWFGqqt6Y54Hd8XE0AUxrPuG3mpsfGKLDQIBLa3DBU8wOAByGRSGUWEsvmvsHO M2tyHpjSfcDB/4ZixqcfpMA7FV6jHi7X9a+AithcL4KWndZipM0TVwUO9oYIccqF12+/CZsy7DTS kvA1eOySWZv0rWdr4VMzq09+VYpW1kW+TTFsl4xMC2mAfR7/t6s9/h6MBfd+euFSoau+Uv73UvS5 8pd+LgUEqDVokVXLCNRmix1Cyda6Dmgw2O0DHctwhklPikjjHVx3iM6hu8qPU3ynxosYVPPYUNAb dIVYdvIyDjOOT1WkF12bbbF+q/TCch2lZpf4U6ApCKiNaTVV1cN+3P3QhldokmkplPW/VZPV+iLk Ob7gn7sBiHLUq4bQ8Vbk990GKmfbE8a1rOJ8yDLHrztTCKqUG542RcOtaVqHw/XF7q4MD19dLtDY LAQdXMiT4Hd3lE5LqYeYp/kfvvLC9XnnoIkXWY1SdURL0cLBp99MGeOptIbodTx1onuRMCf2NlhA gwaWOFO8SLMUCMdR6BRXJJHnbagjB9ywY/sQZf5mK5x1Xlyd3UMo0LifYleQPEHGEZ2jCC5q2VeF KJTtQ3Ph6D4e5lBRKsd3F4l5u+9WZZLQs/d/F1KLoBNGtcHsItgv3EAhiePESY+bf/xV+0R1c0eS 5nlAraVMrreGf+qnqAPpixxLXLuXB5I4b8gQdogCQ0lfiXs2FG9cgWTt8oQczW+Tl4/0Jb+AnsV0 d4nrcVWgqpfmUzjSqqYexq3jvGijSy4NEF5JD3s3QVySPkN0dTXOnnvtC1Di2wKSQVltRp0L/6Ht 1PwjJClWpVpFywFbkW7VyRTvpPK3PFVzq9CUvM+Laret6nRuG7221jRpdtcXJkrDH/Hv+qGPbcSA MG7OTF+4H6wLmvd/nIyPeG0ATkWvnDb8orfBA5NLkeKHhkPfT8ack97bCdWOpwcH4oQPiCIAuOy/ h7FKMuxr9i3BBoAUT1Ngpw6JE74MGA0ldUsG7QChCcPJNfrLv3Udm9AM8nV0EP8V3P6Ihk0d9Rpr 7kurwSYTFfauh2CoCSdjLjaxbXVeATyORdARNEdXmSuguKVfawpPbALC7iXNuLhdNoRzNJNLZNX1 jyRVRVbxga12oeZgLRcFhhutVmSZjKh1ltv3wN5onrm7+r4D6H8dEg/WSZHQ25RjEQkmqzcxtyyk sgxXfHUQjkti5oteydJFYTJRxNuK1yFZqISjWpwtRUf8Z+Xk/D++BBQorBS7N18fZGH37srom2Ft gUZ1YJjvb5bQxWzex+CbYVsCSvHvNB1jZG5ctdU3AmUCNnRU698bIPEyysbNhW1Gu1XgTWs0BFhd It7FjZC1cuPK3LeD4ub7og0c2gsBJA+F0kW/XEpEzgNv1RHi+h0HHt+AAgirMoBMW5XF2nNfBGWl JyfsjKExLX4rzliLB28esXPN0D1ivJTrR9fstNOnMiDMcw+wOhyWe0Eo82EFoGC0jiP1D7U0ekeI 3yrb5VoO6FZVj9I0igxU16G47gWYGk50kuTAaEbyIvr3Oe0bEDH6kwTr+tnkrHkBWtwA9gJdntjO D8FXlfrL1EXDBq6V943fEsHLqQfEU06sAOwzg9hzhW4yKlTiebu1oXEMLh4VhDvG9gcr0Wyvl16j Hbz/U5wGNSTIIer5oP3qgCyv2qUk7pJ18exdLXdojphYEOptFYQfjQGUVyM/ex8DCZ3YcEsyDMx1 kqYsxpv2qjnRFrScMIC65Sa6tu7NzeqYqrLfo/YnXO7QDHaXVhpcxbokQHaqpyuPGldbr7QrupAq jiJAB/CoXBYJXQxlSVTECthHPnJDpfftDPI/HXHUbKDSkGDN/fZHiasSkT4cPn+q9Hr8ZWm5pmFR OtUPXwCj8RSBo94JrQhpJgXEkmGpIpo1QMsPjmyFOyWcBco2olRYd2BThTsFFXlLjAeGNIoVGmLn OQuxmPKpTWMjjiSp93dk2XfK4q1WaVA8VajaOh7FIWU6znO2WPv83sZwVcbPueEw0uDfgZyp9rXS 87Ym1CeBm/EOZX+tl0RyUe3vd9Vf3Y8kZjD2qhS121rKBSjoEbAdK+EuAnEPCjFeWjh2qID+R+Aq nbhTqYYTsFxyCHjDymCvKLZqqX+8cw5gCEfIw127+KMBZCaMm0lE1o7f+jCdbFlCkDj3LUJX5ACF jgoR5x5bKRWbQK0lFBP0xLQF2hKHOsrMgwKT7TGHdPnAJiBCtLHdoo8m9x76YBGtoIuXQsMOpKd8 0C5cr8MgHT64Uu9naXok3yypCfgbz6iqqh3nyYusoMPqwkR+SyGeL7AU6RPFZe+zUnoQMmuwhWHL DFekgK5LJSxJPlpfglmlBEl05byMPkOovUUWeo7w384W4JVkzSn5Ch271cyGoW8J1CfAOZMKI8qu fWMhYzbvbLN5wT93pvj4eZZJ1tGEUsdC+1F1tbFGmJmEJn897tEangKffNchmO+jLW/boXLPtun1 ievO0uz+AjxBiAPhKoy+AkUwFZgEq6yNo09rpxYrzfRonQNuwZDaZHeUnbi+CAcYBH+Y9IH6yuDX Q0m4E6LbJ4GYt/ztIT3AIZcxtY/j0GpKP08hU9ZZIaR1j5sCSqCUmJDhS7EQMa60MOMPXRNR8e1T zwrFBgL5l+OieC2Rq7bwt2pqqQb+aYs44VTBFojMhCOlaKCToJvRbjm4xuqsgDspEOcN7wT9+AeC aXyoOC9Dcwq7hRUp/UXOklPzrt2Fom+M0G05/CoBM2J5Ay4fFjuwnGAsomdvk1MxPVyCfN4JN16A 40XtcqtrLC7Ix95uc9Y2xplmNZ65e+W3GT/A+N/YC0TC6EjF+ICUpdvG1LXOraE6bI6b5/oPNgKJ BYihlI71lIH/rZK6X/3CodLBO6lwC+f1WBYK7oDPw7ROl758cw7ZVg0MfMo4eR/krN935kce/ByJ 5alFoS3rmqW66llEhligc1FUephHbM6ZOEXLGzUMlhq9KmmqXoTzme98 `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rd_sf.vhd
4
75596
------------------------------------------------------------------------------- -- axi_datamover_rd_sf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_rd_sf.vhd -- -- Description: -- This file implements the AXI DataMover Read (MM2S) Store and Forward module. -- The design utilizes the AXI DataMover's new address pipelining -- control function. The design is such that predictive address -- pipelining can be supported on the AXI Read Bus without over-commiting -- the internal Data FIFO and potentially throttling the Read Data Channel -- if the Data FIFO goes full. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; use lib_pkg_v1_0_2.lib_pkg.clog2; library axi_datamover_v5_1_9; use axi_datamover_v5_1_9.axi_datamover_sfifo_autord; use axi_datamover_v5_1_9.axi_datamover_fifo; ------------------------------------------------------------------------------- entity axi_datamover_rd_sf is generic ( C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512; -- Sets the desired depth of the internal Data FIFO. C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max burst length being used by the external -- AXI4 Master for each AXI4 transfer request. C_DRE_IS_USED : Integer range 0 to 1 := 0; -- Indicates if the external Master is utilizing a DRE on -- the stream input to this module. C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1; -- Specifies the depth of the internal dre control queue fifo C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE alignment control ports C_MMAP_DWIDTH : Integer range 32 to 1024 := 64; -- Sets the AXI4 Memory Mapped Bus Data Width C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the Stream Data Width for the Input and Output -- Data streams. C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 2; -- Sets the bit width of the starting address offset port -- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH) C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Indicates the width of the Tag field of the input DRE command C_FAMILY : String := "virtex7" -- Indicates the target FPGA Family. ); port ( -- Clock and Reset inputs -------------------------------------------- -- aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- reset : in std_logic; -- -- Reset used for the internal syncronization logic -- ---------------------------------------------------------------------- -- DataMover Read Side Address Pipelining Control Interface ---------- -- ok_to_post_rd_addr : Out Std_logic; -- -- Indicates that the transfer token pool has at least -- -- one token available to borrow -- -- rd_addr_posted : In std_logic; -- -- Indication that a read address has been posted to AXI4 -- -- rd_xfer_cmplt : In std_logic; -- -- Indicates that the Datamover has completed a Read Data -- -- transfer on the AXI4 -- ---------------------------------------------------------------------- -- Read Side Stream In from DataMover MM2S Read Data Controller ---------------------- -- sf2sin_tready : Out Std_logic; -- -- DRE Stream READY input -- -- sin2sf_tvalid : In std_logic; -- -- DRE Stream VALID Output -- -- sin2sf_tdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- DRE Stream DATA input -- -- sin2sf_tkeep : In std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); -- -- DRE Stream STRB input -- -- sin2sf_tlast : In std_logic; -- -- DRE Xfer LAST input -- -------------------------------------------------------------------------------------- -- RDC Store and Forward Supplimental Controls --------------------- -- These are time aligned and qualified with the RDC Stream Input -- -- data2sf_cmd_cmplt : In std_logic; -- data2sf_dre_flush : In std_logic; -- -------------------------------------------------------------------- -- DRE Control Interface from the Command Calculator ----------------------------- -- dre2mstr_cmd_ready : Out std_logic ; -- -- Indication from the DRE that the command is being -- -- accepted from the Command Calculator -- -- mstr2dre_cmd_valid : In std_logic; -- -- The next command valid indication to the DRE -- -- from the Command Calculator -- -- mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the DRE -- -- mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the DRE -- -- -- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); -- -- -- The bytes to transfer value for the input command -- -- mstr2dre_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- -- mstr2dre_cmd_cmplt : In std_logic; -- -- -- The last tranfer command of a sequence of transfers -- -- -- spawned from a single parent command -- -- mstr2dre_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- ----------------------------------------------------------------------------------- -- MM2S DRE Control ------------------------------------------------------------- -- sf2dre_new_align : Out std_logic; -- -- Active high signal indicating new DRE aligment required -- -- sf2dre_use_autodest : Out std_logic; -- -- Active high signal indicating to the DRE to use an auto- -- -- calculated desination alignment based on the last transfer -- -- sf2dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- Bit field indicating the byte lane of the first valid data byte -- -- being sent to the DRE -- -- sf2dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- Bit field indicating the desired byte lane of the first valid data byte -- -- to be output by the DRE -- -- sf2dre_flush : Out std_logic; -- -- Active high signal indicating to the DRE to flush the current -- -- contents to the output register in preparation of a new alignment -- -- that will be comming on the next transfer input -- --------------------------------------------------------------------------------- -- Stream Out ----------------------------------------------------------------------- -- sout2sf_tready : In std_logic; -- -- Write READY input from the Stream Master -- -- sf2sout_tvalid : Out std_logic; -- -- Write VALID output to the Stream Master -- -- sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to the Stream Master -- -- sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to the Stream Master -- -- sf2sout_tlast : Out std_logic -- -- Write LAST output to the Stream Master -- -------------------------------------------------------------------------------------- ); end entity axi_datamover_rd_sf; architecture implementation of axi_datamover_rd_sf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions --------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_fifo_cnt_width -- -- Function Description: -- simple function to set the width of the data fifo read -- and write count outputs. ------------------------------------------------------------------- function funct_get_fifo_cnt_width (fifo_depth : integer) return integer is Variable temp_width : integer := 8; begin if (fifo_depth = 1) then temp_width := 1; elsif (fifo_depth = 2) then temp_width := 2; elsif (fifo_depth <= 4) then temp_width := 3; elsif (fifo_depth <= 8) then temp_width := 4; elsif (fifo_depth <= 16) then temp_width := 5; elsif (fifo_depth <= 32) then temp_width := 6; elsif (fifo_depth <= 64) then temp_width := 7; elsif (fifo_depth <= 128) then temp_width := 8; elsif (fifo_depth <= 256) then temp_width := 9; elsif (fifo_depth <= 512) then temp_width := 10; elsif (fifo_depth <= 1024) then temp_width := 11; elsif (fifo_depth <= 2048) then temp_width := 12; elsif (fifo_depth <= 4096) then temp_width := 13; else -- assume 8192 depth temp_width := 14; end if; Return (temp_width); end function funct_get_fifo_cnt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_wrcnt_lsrip -- -- Function Description: -- Calculates the ls index of the upper slice of the data fifo -- write count needed to repesent one max burst worth of data -- present in the fifo. -- ------------------------------------------------------------------- function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is Variable temp_ls_index : Integer := 0; begin if (max_burst_dbeats <= 2) then temp_ls_index := 1; elsif (max_burst_dbeats <= 4) then temp_ls_index := 2; elsif (max_burst_dbeats <= 8) then temp_ls_index := 3; elsif (max_burst_dbeats <= 16) then temp_ls_index := 4; elsif (max_burst_dbeats <= 32) then temp_ls_index := 5; elsif (max_burst_dbeats <= 64) then temp_ls_index := 6; elsif (max_burst_dbeats <= 128) then temp_ls_index := 7; else temp_ls_index := 8; end if; Return (temp_ls_index); end function funct_get_wrcnt_lsrip; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stall_thresh -- -- Function Description: -- Calculates the Stall threshold for the input side of the Data -- FIFO. If DRE is being used by the DataMover, then the threshold -- must be reduced to account for the potential of an extra write -- databeat per request (DRE alignment dependent). -- ------------------------------------------------------------------- function funct_get_stall_thresh (dre_is_used : integer; max_xfer_length : integer; data_fifo_depth : integer; pipeline_delay_clks : integer; fifo_settling_clks : integer) return integer is Constant DRE_PIPE_DELAY : integer := 2; -- clks Variable var_num_max_xfers_allowed : Integer := 0; Variable var_dre_dbeat_overhead : Integer := 0; Variable var_delay_fudge_factor : Integer := 0; Variable var_thresh_headroom : Integer := 0; Variable var_stall_thresh : Integer := 0; begin var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length; var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used; var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) + pipeline_delay_clks + fifo_settling_clks; var_thresh_headroom := max_xfer_length + var_dre_dbeat_overhead + var_delay_fudge_factor; -- Scale the result to be in max transfer length increments var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length; Return (var_stall_thresh); end function funct_get_stall_thresh; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_size_drecntl_fifo -- -- Function Description: -- Assures that the DRE control fifo depth is at least 4 deep else it -- is equal to the number of max burst transfers that can fit in the -- Store and Forward Data FIFO. -- ------------------------------------------------------------------- function funct_size_drecntl_fifo (sf_fifo_depth : integer; max_burst_length : integer) return integer is Constant NEEDED_FIFO_DEPTH : integer := sf_fifo_depth/max_burst_length; Variable temp_fifo_depth : Integer := 4; begin If (NEEDED_FIFO_DEPTH < 4) Then temp_fifo_depth := 4; Else temp_fifo_depth := NEEDED_FIFO_DEPTH; End if; Return (temp_fifo_depth); end function funct_size_drecntl_fifo; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_cntr_width -- -- Function Description: -- Detirmine the width needed for the address offset counter used -- for the data fifo mux selects. -- ------------------------------------------------------------------- function funct_get_cntr_width (num_count_states : integer) return integer is Variable lvar_temp_width : Integer := 1; begin if (num_count_states <= 2) then lvar_temp_width := 1; elsif (num_count_states <= 4) then lvar_temp_width := 2; elsif (num_count_states <= 8) then lvar_temp_width := 3; elsif (num_count_states <= 16) then lvar_temp_width := 4; elsif (num_count_states <= 32) then lvar_temp_width := 5; elsif (num_count_states <= 64) then lvar_temp_width := 6; Else -- 128 cnt states lvar_temp_width := 7; end if; Return (lvar_temp_width); end function funct_get_cntr_width; -- Constants --------------------------------------------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BLK_MEM_FIFO : integer := 1; Constant SRL_FIFO : integer := 0; Constant NOT_NEEDED : integer := 0; Constant MMAP_TKEEP_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits Constant TLAST_WIDTH : integer := 1; -- bits Constant CMPLT_WIDTH : integer := 1; -- bits Constant DRE_FLUSH_WIDTH : integer := 1; -- bits Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH; Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH); Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN); Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH + MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP + TLAST_WIDTH + CMPLT_WIDTH + DRE_FLUSH_WIDTH; Constant DATA_OUT_LSB_INDEX : integer := 0; Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1; Constant TKEEP_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1; Constant TKEEP_OUT_MSB_INDEX : integer := (TKEEP_OUT_LSB_INDEX+MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP)-1*C_ENABLE_MM2S_TKEEP; Constant TLAST_OUT_INDEX : integer := TKEEP_OUT_MSB_INDEX+1*C_ENABLE_MM2S_TKEEP; Constant CMPLT_OUT_INDEX : integer := TLAST_OUT_INDEX+1; Constant DRE_FLUSH_OUT_INDEX : integer := CMPLT_OUT_INDEX+1; Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN; Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1; Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH); Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2; Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks Constant DRE_COMPENSATION : integer := 0; -- DRE does not contribute since it is on -- the output side of the Store and Forward Constant RD_ADDR_POST_STALL_THRESH : integer := funct_get_stall_thresh(DRE_COMPENSATION , C_MAX_BURST_LEN , C_SF_FIFO_DEPTH , RD_PATH_PIPE_DEPTH , WRCNT_SETTLING_TIME); Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH , THRESH_COMPARE_WIDTH); Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH); Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH); Constant USE_SYNC_FIFO : integer := 0; Constant SRL_FIFO_PRIM : integer := 2; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; -- Signals --------------------------------------------------------------------------- signal sig_good_sin_strm_dbeat : std_logic := '0'; signal sig_strm_sin_ready : std_logic := '0'; signal sig_good_sout_strm_dbeat : std_logic := '0'; signal sig_sout2sf_tready : std_logic := '0'; signal sig_sf2sout_tvalid : std_logic := '0'; signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tkeep : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2sout_tlast : std_logic := '0'; signal sig_sf2dre_flush : std_logic := '0'; signal sig_push_data_fifo : std_logic := '0'; signal sig_pop_data_fifo : std_logic := '0'; signal sig_data_fifo_full : std_logic := '0'; signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_dvalid : std_logic := '0'; signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX) := (others => '0'); signal sig_ok_to_post_rd_addr : std_logic := '0'; signal sig_rd_addr_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_taking_last_token : std_logic := '0'; signal sig_stall_rd_addr_posts : std_logic := '0'; signal sig_incr_token_cntr : std_logic := '0'; signal sig_decr_token_cntr : std_logic := '0'; signal sig_token_eq_max : std_logic := '0'; signal sig_token_eq_zero : std_logic := '0'; signal sig_token_eq_one : std_logic := '0'; signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0'); signal sig_cntl_fifo_has_data : std_logic := '0'; signal sig_get_cntl_fifo_data : std_logic := '0'; signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_drr_reg : std_logic := '0'; signal sig_curr_eof_reg : std_logic := '0'; signal sig_curr_calc_error_reg : std_logic := '0'; signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_ld_dre_cntl_reg : std_logic := '0'; signal sig_dfifo_data_out : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0'); signal sig_dfifo_tkeep_out : std_logic_vector(MMAP_TKEEP_WIDTH-1 downto 0) := (others => '0'); signal sig_dfifo_tlast_out : std_logic := '0'; signal sig_dfifo_cmd_cmplt_out : std_logic := '0'; signal sig_dfifo_dre_flush_out : std_logic := '0'; begin --(architecture implementation) -- Read Side (MM2S) Control Flags port connections ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ; sig_rd_addr_posted <= rd_addr_posted ; sig_rd_xfer_cmplt <= rd_xfer_cmplt ; -- Output Stream Port connections sig_sout2sf_tready <= sout2sf_tready ; sf2sout_tvalid <= sig_sf2sout_tvalid ; sf2sout_tdata <= sig_sf2sout_tdata ; --sf2sout_tkeep <= sig_sf2sout_tkeep ; sf2sout_tlast <= sig_sf2sout_tlast and sig_sf2sout_tvalid ; GEN_MM2S_TKEEP_ENABLE4 : if C_ENABLE_MM2S_TKEEP = 1 generate begin sf2sout_tkeep <= sig_sf2sout_tkeep ; end generate GEN_MM2S_TKEEP_ENABLE4; GEN_MM2S_TKEEP_DISABLE4 : if C_ENABLE_MM2S_TKEEP = 0 generate begin sf2sout_tkeep <= (others => '1'); end generate GEN_MM2S_TKEEP_DISABLE4; -- Input Stream port connections sf2sin_tready <= sig_strm_sin_ready; sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full. -- This should never happen if read address -- posting control is working properly. -- Stream transfer qualifiers sig_good_sin_strm_dbeat <= sin2sf_tvalid and sig_strm_sin_ready; sig_good_sout_strm_dbeat <= sig_sf2sout_tvalid and sig_sout2sf_tready; ---------------------------------------------------------------- -- Unpacking Logic ------------------------------------------ ---------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_UNPACKING -- -- If Generate Description: -- Omits any unpacking logic in the Store and Forward module. -- The Stream and MMap data widths are the same. The Data FIFO -- output can be connected directly to the stream outputs. -- ------------------------------------------------------------ OMIT_UNPACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate signal lsig_cmd_loaded : std_logic := '0'; signal lsig_ld_cmd : std_logic := '0'; signal lsig_cmd_cmplt_dbeat : std_logic := '0'; signal lsig_cmd_cmplt : std_logic := '0'; begin -- Data FIFO Output to the stream attachments sig_sf2sout_tvalid <= sig_data_fifo_dvalid and lsig_cmd_loaded ; sig_sf2sout_tdata <= sig_dfifo_data_out ; sig_sf2sout_tkeep <= sig_dfifo_tkeep_out ; sig_sf2sout_tlast <= sig_dfifo_tlast_out ; sig_sf2dre_flush <= sig_dfifo_dre_flush_out ; -- Control for reading the Data FIFO sig_pop_data_fifo <= lsig_cmd_loaded and sig_sout2sf_tready and sig_data_fifo_dvalid; -- Control for reading the Command/Offset FIFO sig_get_cntl_fifo_data <= lsig_ld_cmd ; -- Control for loading the DRE Control Reg sig_ld_dre_cntl_reg <= lsig_ld_cmd ; lsig_cmd_cmplt_dbeat <= sig_dfifo_cmd_cmplt_out and lsig_cmd_loaded and sig_data_fifo_dvalid and sig_sout2sf_tready ; -- Generate the control that loads the DRE lsig_ld_cmd <= (sig_cntl_fifo_has_data and -- startup or gap case not(lsig_cmd_loaded)) or (sig_cntl_fifo_has_data and -- back to back commands lsig_cmd_cmplt_dbeat); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_LOADED -- -- Process Description: -- Implements the flop indicating a command from the cmd fifo -- has been loaded into the DRE Output Register. -- ------------------------------------------------------------- IMP_CMD_LOADED : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_cmd_loaded <= '0'; Elsif (lsig_ld_cmd = '1' ) Then lsig_cmd_loaded <= '1'; elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued and lsig_cmd_cmplt_dbeat = '1') then lsig_cmd_loaded <= '0'; else null; -- Hold Current State end if; end if; end process IMP_CMD_LOADED; end generate OMIT_UNPACKING; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_UNPACKING -- -- If Generate Description: -- Includes unpacking logic in the Store and Forward module. -- The MMap Data bus is wider than the Stream width. -- ------------------------------------------------------------ INCLUDE_UNPACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH; Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH; Constant TKEEP_SLICE_WIDTH : integer := C_STREAM_DWIDTH/8; Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH; Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO); Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, OFFSET_CNTR_WIDTH); Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH); -- Types ----------------------------------------------------------------------------- type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of std_logic_vector(DATA_SLICE_WIDTH-1 downto 0); type lsig_tkeep_slice_type is array(MMAP2STRM_WIDTH_RATO downto 0) of std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0); type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0); -- local signals signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_ld_offset : std_logic := '0'; signal lsig_incr_offset : std_logic := '0'; signal lsig_offset_cntr_eq_max : std_logic := '0'; signal lsig_fifo_data_out_wide : lsig_data_slice_type; signal lsig_fifo_tkeep_out_wide : lsig_tkeep_slice_type; signal lsig_mux_sel : integer range 0 to MMAP2STRM_WIDTH_RATO-1; signal lsig_data_mux_out : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) ; signal lsig_tkeep_mux_out : std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0); signal lsig_tlast_out : std_logic := '0'; signal lsig_dre_flush_out : std_logic := '0'; signal lsig_this_fifo_wrd_done : std_logic := '0'; signal lsig_cmd_loaded : std_logic := '0'; signal lsig_cmd_cmplt_dbeat : std_logic := '0'; signal lsig_cmd_cmplt : std_logic := '0'; signal lsig_next_slice_tkeep_0 : std_logic := '0'; begin sig_sf2sout_tvalid <= sig_data_fifo_dvalid and lsig_cmd_loaded ; sig_sf2sout_tdata <= lsig_data_mux_out ; sig_sf2sout_tkeep <= lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0); sig_sf2sout_tlast <= lsig_tlast_out ; sig_sf2dre_flush <= lsig_dre_flush_out ; -- Control for reading the Data FIFO sig_pop_data_fifo <= lsig_this_fifo_wrd_done and lsig_cmd_loaded and sig_sout2sf_tready and sig_data_fifo_dvalid; -- Control for reading the Command/Offset FIFO sig_get_cntl_fifo_data <= lsig_ld_offset; -- Control for loading the DRE Control Reg sig_ld_dre_cntl_reg <= lsig_ld_offset ; lsig_next_slice_tkeep_0 <= lsig_fifo_tkeep_out_wide(lsig_mux_sel+1)(0); -- Detirmine if a Command Complete condition exists lsig_cmd_cmplt <= '1' when (sig_dfifo_cmd_cmplt_out = '1' and lsig_next_slice_tkeep_0 = '0') Else '0'; -- Detirmine if a TLAST condition exists -- From the RDC via the Data FIFO lsig_tlast_out <= '1' when (sig_dfifo_tlast_out = '1' and lsig_next_slice_tkeep_0 = '0') Else '0'; -- Detimine if a DRE Flush condition exists -- From the RDC via the Data FIFO lsig_dre_flush_out <= '1' when (sig_dfifo_dre_flush_out = '1' and lsig_next_slice_tkeep_0 = '0') Else '0'; lsig_cmd_cmplt_dbeat <= lsig_cmd_cmplt and lsig_cmd_loaded and sig_data_fifo_dvalid and sig_sout2sf_tready ; -- Check to see if the FIFO output word is finished. This occurs -- when the offset counter is at max value or the tlast from the -- fifo is set and the LS TKEED of the next MS Slice is zero. lsig_this_fifo_wrd_done <= '1' When (lsig_offset_cntr_eq_max = '1' or (lsig_cmd_cmplt_dbeat = '1' and lsig_next_slice_tkeep_0 = '0')) Else '0'; -- Generate the control that loads the starting address -- offset for the next input packet lsig_ld_offset <= (sig_cntl_fifo_has_data and -- startup or gap case not(lsig_cmd_loaded)) or (sig_cntl_fifo_has_data and -- back to back commands lsig_cmd_cmplt_dbeat); -- Generate the control for incrementing the offset counter lsig_incr_offset <= sig_good_sout_strm_dbeat; -- Check to see if the offset counter has reached its max -- value lsig_offset_cntr_eq_max <= '1' when (lsig_0ffset_cntr = OFFSET_CNT_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_LOADED -- -- Process Description: -- Implements the flop indicating a command from the cmd fifo -- has been loaded into the unpacker control logic. -- ------------------------------------------------------------- IMP_CMD_LOADED : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_cmd_loaded <= '0'; Elsif (lsig_ld_offset = '1' ) Then lsig_cmd_loaded <= '1'; elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued lsig_cmd_cmplt_dbeat = '1') then lsig_cmd_loaded <= '0'; else null; -- Hold Current State end if; end if; end process IMP_CMD_LOADED; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_OFFSET_CNTR -- -- Process Description: -- Implements the address offset counter that is used to -- generate the data and tkeep mux selects. -- Note that the counter has to be loaded with the starting -- offset plus one to sync up with the data input. ------------------------------------------------------------- IMP_OFFSET_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_0ffset_cntr <= (others => '0'); Elsif (lsig_ld_offset = '1') Then lsig_0ffset_cntr <= UNSIGNED(sig_curr_strt_offset_reg); elsif (lsig_incr_offset = '1') then lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE; else null; -- Hold Current State end if; end if; end process IMP_OFFSET_CNTR; ------------------------------------------------------------ -- For Generate -- -- Label: DO_DATA_CONVERTER -- -- For Generate Description: -- This ForGen converts the FIFO output data and tkeep from a single -- std logic vector type to a vector of slices. -- ------------------------------------------------------------ DO_DATA_CONVERTER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate begin lsig_fifo_data_out_wide(slice_index-1) <= sig_dfifo_data_out((slice_index*DATA_SLICE_WIDTH)-1 downto (slice_index-1)*DATA_SLICE_WIDTH); lsig_fifo_tkeep_out_wide(slice_index-1) <= sig_dfifo_tkeep_out((slice_index*TKEEP_SLICE_WIDTH)-1 downto (slice_index-1)*TKEEP_SLICE_WIDTH); end generate DO_DATA_CONVERTER; -- Assign the extra tkeep slice to all zeros to allow for detection -- of the data word done when the ls tkeep bit of the next tkeep -- slice is zero and the offset count is pointing to the last slice -- position. lsig_fifo_tkeep_out_wide(MMAP2STRM_WIDTH_RATO) <= (others => '0'); -- Mux the appropriate data and tkeep slice to the stream output lsig_mux_sel <= TO_INTEGER(lsig_0ffset_cntr); lsig_data_mux_out <= lsig_fifo_data_out_wide(lsig_mux_sel) ; lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0) <= lsig_fifo_tkeep_out_wide(lsig_mux_sel); end generate INCLUDE_UNPACKING; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_DRE_CNTL -- -- If Generate Description: -- This IfGen is used to omit the DRE control logic and -- minimize the Control FIFO when MM2S DRE is not included -- in the MM2S. -- ------------------------------------------------------------ OMIT_DRE_CNTL : if (C_DRE_IS_USED = 0) generate -- Constant Declarations ------------------------------------------------------------------ Constant USE_SYNC_FIFO : integer := 0; Constant SRL_FIFO_PRIM : integer := 2; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; Constant SF_OFFSET_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH, C_MAX_BURST_LEN); Constant SF_OFFSET_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant DRR_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; -- Signal Declarations -------------------------------------------------------------------- signal sig_offset_fifo_data_in : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_offset_fifo_data_out : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_offset_fifo_wr_valid : std_logic := '0'; signal sig_offset_fifo_wr_ready : std_logic := '0'; signal sig_offset_fifo_rd_valid : std_logic := '0'; signal sig_offset_fifo_rd_ready : std_logic := '0'; begin -- PCC DRE Command interface handshake dre2mstr_cmd_ready <= sig_offset_fifo_wr_ready ; sig_offset_fifo_wr_valid <= mstr2dre_cmd_valid ; -- No DRE so no controls sf2dre_new_align <= '0'; sf2dre_use_autodest <= '0'; sf2dre_src_align <= (others => '0'); sf2dre_dest_align <= (others => '0'); sf2dre_flush <= '0'; -- No DRE so no alignment values sig_curr_src_align_reg <= (others => '0'); sig_curr_dest_align_reg <= (others => '0'); -- Format the input data word for the Offset FIFO Queue sig_offset_fifo_data_in <= mstr2dre_strt_offset & -- MS field mstr2dre_calc_error & mstr2dre_eof & mstr2dre_drr & mstr2dre_tag; -- LS Field sig_cntl_fifo_has_data <= sig_offset_fifo_rd_valid ; sig_offset_fifo_rd_ready <= sig_get_cntl_fifo_data ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_offset_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_drr_reg <= sig_offset_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_offset_fifo_data_out(EOF_STRT_INDEX); sig_curr_calc_error_reg <= sig_offset_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_offset_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the Offset Control FIFO. This is still needed -- by the unpacker logic to get the starting offset at the -- begining of an input packet coming out of the Store and -- Forward data FIFO. -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo generic map ( C_DWIDTH => SF_OFFSET_FIFO_WIDTH , C_DEPTH => SF_OFFSET_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => SRL_FIFO_PRIM , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => reset , fifo_wr_clk => aclk , -- Write Side fifo_wr_tvalid => sig_offset_fifo_wr_valid , fifo_wr_tready => sig_offset_fifo_wr_ready , fifo_wr_tdata => sig_offset_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => aclk , fifo_async_rd_clk => reset , -- Read Side fifo_rd_tvalid => sig_offset_fifo_rd_valid , fifo_rd_tready => sig_offset_fifo_rd_ready , fifo_rd_tdata => sig_offset_fifo_data_out , fifo_rd_empty => open ); end generate OMIT_DRE_CNTL; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_DRE_CNTL -- -- If Generate Description: -- This IfGen is used to include the DRE control logic and -- Control FIFO when MM2S DRE is included in the MM2S. -- -- ------------------------------------------------------------ INCLUDE_DRE_CNTL : if (C_DRE_IS_USED = 1) generate -- Constant Declarations Constant DRECNTL_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH, C_MAX_BURST_LEN); Constant DRECNTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SRC_ALIGN_WIDTH + -- Source align field width DEST_ALIGN_WIDTH + -- Dest align field width DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH; Constant DRR_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; signal sig_cmd_fifo_data_in : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_data_out : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_dre_align_ready : std_logic := '0'; signal sig_dre_align_valid_reg : std_logic := '0'; signal sig_dre_use_autodest_reg : std_logic := '0'; signal sig_dre_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush_reg : std_logic := '0'; begin -- Assign the DRE Control Outputs sf2dre_new_align <= sig_dre_align_valid_reg; sf2dre_use_autodest <= sig_dre_use_autodest_reg; sf2dre_src_align <= sig_dre_src_align_reg; sf2dre_dest_align <= sig_dre_dest_align_reg; sf2dre_flush <= sig_sf2dre_flush; -- from RDC via data FIFO -- PCC DRE Command interface handshake dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ; -- Format the input data word for the DRE Control FIFO Queue sig_cmd_fifo_data_in <= mstr2dre_strt_offset & mstr2dre_calc_error & mstr2dre_eof & mstr2dre_drr & mstr2dre_dre_dest_align & mstr2dre_dre_src_align & mstr2dre_tag; -- Formulate the DRE Control FIFO Read signaling sig_cntl_fifo_has_data <= sig_fifo_rd_cmd_valid ; sig_fifo_rd_cmd_ready <= sig_get_cntl_fifo_data ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto SRC_ALIGN_STRT_INDEX); sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto DEST_ALIGN_STRT_INDEX); sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the DRE Control FIFO -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo generic map ( C_DWIDTH => DRECNTL_FIFO_WIDTH , C_DEPTH => DRECNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => SRL_FIFO_PRIM , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => reset , fifo_wr_clk => aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => aclk , fifo_async_rd_clk => reset , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => open ); ------------------------------------------------------------------------- -- DRE Control Register ------------------------------------------------------------------------- -- The DRE will auto-flush on a received TLAST so a commanded Flush -- is not needed. sig_dre_flush_reg <= '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CNTL_REG -- -- Process Description: -- Implements the DRE alignment Output Register. -- ------------------------------------------------------------- IMP_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then sig_dre_use_autodest_reg <= '0' ; sig_dre_src_align_reg <= (others => '0') ; sig_dre_dest_align_reg <= (others => '0') ; Elsif (sig_ld_dre_cntl_reg = '1' ) Then sig_dre_use_autodest_reg <= not(sig_curr_drr_reg) ; sig_dre_src_align_reg <= sig_curr_src_align_reg ; sig_dre_dest_align_reg <= sig_curr_dest_align_reg ; Elsif (sig_good_sout_strm_dbeat = '1') Then sig_dre_use_autodest_reg <= '0' ; sig_dre_src_align_reg <= (others => '0') ; sig_dre_dest_align_reg <= (others => '0') ; else null; -- Hold Current State end if; end if; end process IMP_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DRE_CNTL_VALID_REG -- -- Process Description: -- Implements the DRE Alignment valid Register. -- ------------------------------------------------------------- IMP_DRE_CNTL_VALID_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then sig_dre_align_valid_reg <= '0' ; Elsif (sig_ld_dre_cntl_reg = '1' ) Then sig_dre_align_valid_reg <= '1' ; Elsif (sig_good_sout_strm_dbeat = '1') Then sig_dre_align_valid_reg <= '0' ; else null; -- Hold Current State end if; end if; end process IMP_DRE_CNTL_VALID_REG; end generate INCLUDE_DRE_CNTL; ---------------------------------------------------------------- -- Token Counter Logic -- Predicting fifo space availability at some point in the -- future is based on managing a virtual pool of transfer tokens. -- A token represents 1 max length burst worth of space in the -- Data FIFO. ---------------------------------------------------------------- -- calculate how many tokens are commited to pending transfers sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr; -- Decrement the token counter when a token is -- borrowed sig_decr_token_cntr <= '1' when (sig_rd_addr_posted = '1' and sig_token_eq_zero = '0') else '0'; -- Increment the token counter when a -- token is returned. sig_incr_token_cntr <= '1' when (sig_rd_xfer_cmplt = '1' and sig_token_eq_max = '0') else '0'; -- Detect when the xfer token count is at max value sig_token_eq_max <= '1' when (sig_token_cntr = TOKEN_CNT_MAX) Else '0'; -- Detect when the xfer token count is at one sig_token_eq_one <= '1' when (sig_token_cntr = TOKEN_CNT_ONE) Else '0'; -- Detect when the xfer token count is at zero sig_token_eq_zero <= '1' when (sig_token_cntr = TOKEN_CNT_ZERO) Else '0'; -- Look ahead to see if the xfer token pool is going empty sig_taking_last_token <= '1' When (sig_token_eq_one = '1' and sig_rd_addr_posted = '1') Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_CNTR -- -- Process Description: -- Implements the Token counter -- ------------------------------------------------------------- IMP_TOKEN_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' ) then sig_token_cntr <= TOKEN_CNT_MAX; elsif (sig_incr_token_cntr = '1' and sig_decr_token_cntr = '0') then sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE; elsif (sig_incr_token_cntr = '0' and sig_decr_token_cntr = '1') then sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE; else null; -- hold current value end if; end if; end process IMP_TOKEN_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_AVAIL_FLAG -- -- Process Description: -- Implements the flag indicating that the AXI Read Master -- can post a read address request on the AXI4 bus. -- -- Read address posting can occur if: -- -- - The write side LEN fifo is not empty. -- - The commited plus actual Data FIFO space is less than -- the stall threshold (a max length read burst can fit -- in the data FIFO without overflow). -- - The max allowed commited read count has not been reached. -- -- The flag is cleared after each address has been posted to -- ensure a second unauthorized post does not occur. ------------------------------------------------------------- IMP_TOKEN_AVAIL_FLAG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' or sig_rd_addr_posted = '1') then sig_ok_to_post_rd_addr <= '0'; else sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full not(sig_token_eq_zero) and -- max allowed pending reads has not been reached not(sig_taking_last_token); -- the max allowed pending reads is about to be reached end if; end if; end process IMP_TOKEN_AVAIL_FLAG; ---------------------------------------------------------------- -- Data FIFO Logic ------------------------------------------ ---------------------------------------------------------------- GEN_MM2S_TKEEP_ENABLE3 : if C_ENABLE_MM2S_TKEEP = 1 generate begin -- FIFO Output ripping to components sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto DATA_OUT_LSB_INDEX); sig_dfifo_tkeep_out <= sig_data_fifo_data_out(TKEEP_OUT_MSB_INDEX downto TKEEP_OUT_LSB_INDEX); sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ; sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ; sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ; end generate GEN_MM2S_TKEEP_ENABLE3; GEN_MM2S_TKEEP_DISABLE3 : if C_ENABLE_MM2S_TKEEP = 0 generate begin -- FIFO Output ripping to components sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto DATA_OUT_LSB_INDEX); sig_dfifo_tkeep_out <= (others => '1'); sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ; sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ; sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ; end generate GEN_MM2S_TKEEP_DISABLE3; -- Stall Threshold calculations sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt); sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX); sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) + RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH); -- Compare the commited read space plus the actual used space against the -- stall threshold. Assert the read address posting stall flag if the -- threshold is met or exceeded. sig_stall_rd_addr_posts <= '1' when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US) Else '0'; -- FIFO Rd/WR Controls sig_push_data_fifo <= sig_good_sin_strm_dbeat; -- sig_pop_data_fifo <= sig_sout2sf_tready and -- sig_data_fifo_dvalid; GEN_MM2S_TKEEP_ENABLE2 : if C_ENABLE_MM2S_TKEEP = 1 generate begin -- Concatonate the Stream inputs into the single FIFO data in value sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field data2sf_cmd_cmplt & sin2sf_tlast & sin2sf_tkeep & sin2sf_tdata; -- ls field end generate GEN_MM2S_TKEEP_ENABLE2; GEN_MM2S_TKEEP_DISABLE2 : if C_ENABLE_MM2S_TKEEP = 0 generate begin -- Concatonate the Stream inputs into the single FIFO data in value sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field data2sf_cmd_cmplt & sin2sf_tlast & --sin2sf_tkeep & sin2sf_tdata; -- ls field end generate GEN_MM2S_TKEEP_DISABLE2; ------------------------------------------------------------ -- Instance: I_DATA_FIFO -- -- Description: -- Implements the Store and Forward data FIFO (synchronous) -- ------------------------------------------------------------ I_DATA_FIFO : entity axi_datamover_v5_1_9.axi_datamover_sfifo_autord generic map ( C_DWIDTH => DATA_FIFO_WIDTH , C_DEPTH => DATA_FIFO_DEPTH , C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH , C_NEED_ALMOST_EMPTY => NOT_NEEDED , C_NEED_ALMOST_FULL => NOT_NEEDED , C_USE_BLKMEM => BLK_MEM_FIFO , C_FAMILY => C_FAMILY ) port map ( -- Inputs SFIFO_Sinit => reset , SFIFO_Clk => aclk , SFIFO_Wr_en => sig_push_data_fifo , SFIFO_Din => sig_data_fifo_data_in , SFIFO_Rd_en => sig_pop_data_fifo , SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs SFIFO_DValid => sig_data_fifo_dvalid , SFIFO_Dout => sig_data_fifo_data_out , SFIFO_Full => sig_data_fifo_full , SFIFO_Empty => open , SFIFO_Almost_full => open , SFIFO_Almost_empty => open , SFIFO_Rd_count => open , SFIFO_Rd_count_minus1 => open , SFIFO_Wr_count => sig_data_fifo_wr_cnt , SFIFO_Rd_ack => open ); end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_mngr.vhd
4
51651
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_mngr.vhd -- Description: This entity is the top level entity for the AXI DMA MM2S -- manager. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_mm2s_mngr is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width ----------------------------------------------------------------------- -- Memory Map to Stream (MM2S) Parameters ----------------------------------------------------------------------- C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary Clock and Reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- soft_reset : in std_logic ; -- -- -- MM2S Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_halted : in std_logic ; -- mm2s_ftch_idle : in std_logic ; -- mm2s_updt_idle : in std_logic ; -- mm2s_ftch_err_early : in std_logic ; -- mm2s_ftch_stale_desc : in std_logic ; -- mm2s_tailpntr_enble : in std_logic ; -- mm2s_halt : in std_logic ; -- mm2s_halt_cmplt : in std_logic ; -- mm2s_halted_clr : out std_logic ; -- mm2s_halted_set : out std_logic ; -- mm2s_idle_set : out std_logic ; -- mm2s_idle_clr : out std_logic ; -- mm2s_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- mm2s_new_curdesc_wren : out std_logic ; -- mm2s_stop : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- cntrl_strm_stop : out std_logic ; mm2s_all_idle : out std_logic ; -- -- mm2s_error : out std_logic ; -- s2mm_error : in std_logic ; -- -- Simple DMA Mode Signals mm2s_sa : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_length_wren : in std_logic ; -- mm2s_length : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- mm2s_smple_done : out std_logic ; -- mm2s_interr_set : out std_logic ; -- mm2s_slverr_set : out std_logic ; -- mm2s_decerr_set : out std_logic ; -- m_axis_mm2s_aclk : in std_logic; mm2s_strm_tlast : in std_logic; mm2s_strm_tready : in std_logic; mm2s_axis_info : out std_logic_vector (13 downto 0); -- -- SG MM2S Descriptor Fetch AXI Stream In -- m_axis_mm2s_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_ftch_tvalid : in std_logic ; -- m_axis_mm2s_ftch_tready : out std_logic ; -- m_axis_mm2s_ftch_tlast : in std_logic ; -- m_axis_mm2s_ftch_tdata_new : in std_logic_vector -- (96+31*0+(0+2)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_mm2s_ftch_tvalid_new : in std_logic ; -- m_axis_ftch1_desc_available : in std_logic; -- -- SG MM2S Descriptor Update AXI Stream Out -- s_axis_mm2s_updtptr_tdata : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis_mm2s_updtptr_tvalid : out std_logic ; -- s_axis_mm2s_updtptr_tready : in std_logic ; -- s_axis_mm2s_updtptr_tlast : out std_logic ; -- -- s_axis_mm2s_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtsts_tvalid : out std_logic ; -- s_axis_mm2s_updtsts_tready : in std_logic ; -- s_axis_mm2s_updtsts_tlast : out std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_mm2s_cmd_tvalid : out std_logic ; -- s_axis_mm2s_cmd_tready : in std_logic ; -- s_axis_mm2s_cmd_tdata : out std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0);-- -- -- User Status Interface Ports (AXI Stream) -- m_axis_mm2s_sts_tvalid : in std_logic ; -- m_axis_mm2s_sts_tready : out std_logic ; -- m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; -- mm2s_err : in std_logic ; -- -- ftch_error : in std_logic ; -- updt_error : in std_logic ; -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic ; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_dma_mm2s_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Primary DataMover Command signals signal mm2s_cmnd_wr : std_logic := '0'; signal mm2s_cmnd_data : std_logic_vector ((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0'); signal mm2s_cmnd_pending : std_logic := '0'; -- Primary DataMover Status signals signal mm2s_done : std_logic := '0'; signal mm2s_stop_i : std_logic := '0'; signal mm2s_interr : std_logic := '0'; signal mm2s_slverr : std_logic := '0'; signal mm2s_decerr : std_logic := '0'; signal mm2s_tag : std_logic_vector(3 downto 0) := (others => '0'); signal dma_mm2s_error : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_d2 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; signal mm2s_error_i : std_logic := '0'; --signal cntrl_strm_stop : std_logic := '0'; signal mm2s_halted_set_i : std_logic := '0'; signal mm2s_sts_received_clr : std_logic := '0'; signal mm2s_sts_received : std_logic := '0'; signal mm2s_cmnd_idle : std_logic := '0'; signal mm2s_sts_idle : std_logic := '0'; -- Scatter Gather Interface signals signal desc_fetch_req : std_logic := '0'; signal desc_fetch_done : std_logic := '0'; signal desc_fetch_done_del : std_logic := '0'; signal desc_update_req : std_logic := '0'; signal desc_update_done : std_logic := '0'; signal desc_available : std_logic := '0'; signal packet_in_progress : std_logic := '0'; signal mm2s_desc_baddress : std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_eof : std_logic := '0'; signal mm2s_desc_sof : std_logic := '0'; signal mm2s_desc_cmplt : std_logic := '0'; signal mm2s_desc_info : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_info_int : std_logic_vector(13 downto 0) := (others => '0'); signal mm2s_strm_tlast_int : std_logic; signal rd_en_hold, rd_en_hold_int : std_logic; -- Control Stream Fifo write signals signal cntrlstrm_fifo_wren : std_logic := '0'; signal cntrlstrm_fifo_full : std_logic := '0'; signal cntrlstrm_fifo_din : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0'); signal info_fifo_full : std_logic; signal info_fifo_empty : std_logic; signal updt_pending : std_logic := '0'; signal mm2s_cmnd_wr_1 : std_logic := '0'; signal fifo_rst : std_logic; signal fifo_empty : std_logic; signal fifo_empty_first : std_logic; signal fifo_empty_first1 : std_logic; signal first_read_pulse : std_logic; signal fifo_read : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Include MM2S State Machine and support logic ------------------------------------------------------------------------------- GEN_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 1 generate begin -- Pass out to register module mm2s_halted_set <= mm2s_halted_set_i; ------------------------------------------------------------------------------- -- Graceful shut down logic ------------------------------------------------------------------------------- -- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error -- or SG Fetch error, or Stale Descriptor Error mm2s_error_i <= dma_mm2s_error -- Primary data mover reports error or updt_error -- SG Update engine reports error or ftch_error -- SG Fetch engine reports error or mm2s_ftch_err_early -- SG Fetch engine reports early error on mm2s or mm2s_ftch_stale_desc; -- SG Fetch stale descriptor error -- pass out to shut down s2mm mm2s_error <= mm2s_error_i; -- Clear run/stop and stop state machines due to errors or soft reset -- Error based on datamover error report or sg update error or sg fetch error -- SG update error and fetch error included because need to shut down, no way -- to update descriptors on sg update error and on fetch error descriptor -- data is corrupt therefor do not want to issue the xfer command to primary datamover --CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore -- need to stop all processes regardless of the source of the error. -- mm2s_stop_i <= mm2s_error -- Error -- or soft_reset; -- Soft Reset issued mm2s_stop_i <= mm2s_error_i -- Error on MM2S or s2mm_error -- Error on S2MM or soft_reset; -- Soft Reset issued -- Reg stop out REG_STOP_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop <= '0'; else mm2s_stop <= mm2s_stop_i; end if; end if; end process REG_STOP_OUT; -- Generate DMA Controller For Scatter Gather Mode GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate begin -- Not Used in SG Mode (Errors are imbedded in updated descriptor and -- generate error after descriptor update is complete) mm2s_interr_set <= '0'; mm2s_slverr_set <= '0'; mm2s_decerr_set <= '0'; mm2s_smple_done <= '0'; mm2s_cmnd_wr_1 <= m_axis_mm2s_ftch_tvalid_new; --------------------------------------------------------------------------- -- MM2S Primary DMA Controller State Machine --------------------------------------------------------------------------- I_MM2S_SM : entity axi_dma_v7_1_8.axi_dma_mm2s_sm generic map( C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status mm2s_run_stop => mm2s_run_stop , mm2s_keyhole => mm2s_keyhole , mm2s_ftch_idle => mm2s_ftch_idle , mm2s_cmnd_idle => mm2s_cmnd_idle , mm2s_sts_idle => mm2s_sts_idle , mm2s_stop => mm2s_stop_i , mm2s_desc_flush => mm2s_desc_flush , -- MM2S Descriptor Fetch Request (from mm2s_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , desc_update_done => desc_update_done , updt_pending => updt_pending , packet_in_progress => packet_in_progress , -- DataMover Command mm2s_cmnd_wr => open, --mm2s_cmnd_wr_1 , mm2s_cmnd_data => mm2s_cmnd_data , mm2s_cmnd_pending => mm2s_cmnd_pending , -- Descriptor Fields mm2s_cache_info => mm2s_desc_info , mm2s_desc_baddress => mm2s_desc_baddress , mm2s_desc_blength => mm2s_desc_blength , mm2s_desc_blength_v => mm2s_desc_blength_v , mm2s_desc_blength_s => mm2s_desc_blength_s , mm2s_desc_eof => mm2s_desc_eof , mm2s_desc_sof => mm2s_desc_sof ); --------------------------------------------------------------------------- -- MM2S Scatter Gather State Machine --------------------------------------------------------------------------- I_MM2S_SG_IF : entity axi_dma_v7_1_8.axi_dma_mm2s_sg_if generic map( ------------------------------------------------------------------- -- Scatter Gather Parameters ------------------------------------------------------------------- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH, C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA, C_FAMILY => C_FAMILY ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- SG MM2S Descriptor Fetch AXI Stream In m_axis_mm2s_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_mm2s_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_mm2s_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_mm2s_ftch_tlast => m_axis_mm2s_ftch_tlast , m_axis_mm2s_ftch_tdata_new => m_axis_mm2s_ftch_tdata_new , m_axis_mm2s_ftch_tdata_mcdma_new => m_axis_mm2s_ftch_tdata_mcdma_new , m_axis_mm2s_ftch_tvalid_new => m_axis_mm2s_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available , -- SG MM2S Descriptor Update AXI Stream Out s_axis_mm2s_updtptr_tdata => s_axis_mm2s_updtptr_tdata , s_axis_mm2s_updtptr_tvalid => s_axis_mm2s_updtptr_tvalid , s_axis_mm2s_updtptr_tready => s_axis_mm2s_updtptr_tready , s_axis_mm2s_updtptr_tlast => s_axis_mm2s_updtptr_tlast , s_axis_mm2s_updtsts_tdata => s_axis_mm2s_updtsts_tdata , s_axis_mm2s_updtsts_tvalid => s_axis_mm2s_updtsts_tvalid , s_axis_mm2s_updtsts_tready => s_axis_mm2s_updtsts_tready , s_axis_mm2s_updtsts_tlast => s_axis_mm2s_updtsts_tlast , -- MM2S Descriptor Fetch Request (from mm2s_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , updt_pending => updt_pending , packet_in_progress => packet_in_progress , -- MM2S Descriptor Update Request desc_update_done => desc_update_done , mm2s_ftch_stale_desc => mm2s_ftch_stale_desc , mm2s_sts_received_clr => mm2s_sts_received_clr , mm2s_sts_received => mm2s_sts_received , mm2s_desc_cmplt => mm2s_desc_cmplt , mm2s_done => mm2s_done , mm2s_interr => mm2s_interr , mm2s_slverr => mm2s_slverr , mm2s_decerr => mm2s_decerr , mm2s_tag => mm2s_tag , mm2s_halt => mm2s_halt , -- CR566306 -- Control Stream Output cntrlstrm_fifo_wren => cntrlstrm_fifo_wren , cntrlstrm_fifo_full => cntrlstrm_fifo_full , cntrlstrm_fifo_din => cntrlstrm_fifo_din , -- MM2S Descriptor Field Output mm2s_new_curdesc => mm2s_new_curdesc , mm2s_new_curdesc_wren => mm2s_new_curdesc_wren , mm2s_desc_baddress => mm2s_desc_baddress , mm2s_desc_blength => mm2s_desc_blength , mm2s_desc_blength_v => mm2s_desc_blength_v , mm2s_desc_blength_s => mm2s_desc_blength_s , mm2s_desc_info => mm2s_desc_info , mm2s_desc_eof => mm2s_desc_eof , mm2s_desc_sof => mm2s_desc_sof , mm2s_desc_app0 => mm2s_desc_app0 , mm2s_desc_app1 => mm2s_desc_app1 , mm2s_desc_app2 => mm2s_desc_app2 , mm2s_desc_app3 => mm2s_desc_app3 , mm2s_desc_app4 => mm2s_desc_app4 ); cntrlstrm_fifo_full <= '0'; end generate GEN_SCATTER_GATHER_MODE; -- Generate DMA Controller for Simple DMA Mode GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate begin -- Scatter Gather signals not used in Simple DMA Mode m_axis_mm2s_ftch_tready <= '0'; s_axis_mm2s_updtptr_tdata <= (others => '0'); s_axis_mm2s_updtptr_tvalid <= '0'; s_axis_mm2s_updtptr_tlast <= '0'; s_axis_mm2s_updtsts_tdata <= (others => '0'); s_axis_mm2s_updtsts_tvalid <= '0'; s_axis_mm2s_updtsts_tlast <= '0'; desc_available <= '0'; desc_fetch_done <= '0'; packet_in_progress <= '0'; desc_update_done <= '0'; cntrlstrm_fifo_wren <= '0'; cntrlstrm_fifo_din <= (others => '0'); mm2s_new_curdesc <= (others => '0'); mm2s_new_curdesc_wren <= '0'; mm2s_desc_baddress <= (others => '0'); mm2s_desc_blength <= (others => '0'); mm2s_desc_blength_v <= (others => '0'); mm2s_desc_blength_s <= (others => '0'); mm2s_desc_eof <= '0'; mm2s_desc_sof <= '0'; mm2s_desc_cmplt <= '0'; mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); desc_fetch_req <= '0'; -- Simple DMA State Machine I_MM2S_SMPL_SM : entity axi_dma_v7_1_8.axi_dma_smple_sm generic map( C_M_AXI_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH, C_MICRO_DMA => C_MICRO_DMA ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status run_stop => mm2s_run_stop , keyhole => mm2s_keyhole , stop => mm2s_stop_i , cmnd_idle => mm2s_cmnd_idle , sts_idle => mm2s_sts_idle , -- DataMover Status sts_received => mm2s_sts_received , sts_received_clr => mm2s_sts_received_clr , -- DataMover Command cmnd_wr => mm2s_cmnd_wr_1 , cmnd_data => mm2s_cmnd_data , cmnd_pending => mm2s_cmnd_pending , -- Trasnfer Qualifiers xfer_length_wren => mm2s_length_wren , xfer_address => mm2s_sa , xfer_length => mm2s_length ); -- Pass Done/Error Status out to DMASR mm2s_interr_set <= mm2s_interr; mm2s_slverr_set <= mm2s_slverr; mm2s_decerr_set <= mm2s_decerr; -- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR. -- Receive clear when not shutting down mm2s_smple_done <= mm2s_sts_received_clr when mm2s_stop_i = '0' -- Else halt set prior to halted being set else mm2s_halted_set_i when mm2s_halted = '0' else '0'; end generate GEN_SIMPLE_DMA_MODE; ------------------------------------------------------------------------------- -- MM2S Primary DataMover command status interface ------------------------------------------------------------------------------- I_MM2S_CMDSTS : entity axi_dma_v7_1_8.axi_dma_mm2s_cmdsts_if generic map( C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH, C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL, C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Fetch command write interface from mm2s sm mm2s_cmnd_wr => mm2s_cmnd_wr_1 , mm2s_cmnd_data => mm2s_cmnd_data , mm2s_cmnd_pending => mm2s_cmnd_pending , mm2s_sts_received_clr => mm2s_sts_received_clr , mm2s_sts_received => mm2s_sts_received , mm2s_tailpntr_enble => mm2s_tailpntr_enble , mm2s_desc_cmplt => mm2s_desc_cmplt , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready , m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep , -- MM2S Primary DataMover Status mm2s_err => mm2s_err , mm2s_done => mm2s_done , mm2s_error => dma_mm2s_error , mm2s_interr => mm2s_interr , mm2s_slverr => mm2s_slverr , mm2s_decerr => mm2s_decerr , mm2s_tag => mm2s_tag ); --------------------------------------------------------------------------- -- Halt / Idle Status Manager --------------------------------------------------------------------------- I_MM2S_STS_MNGR : entity axi_dma_v7_1_8.axi_dma_mm2s_sts_mngr generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- dma control and sg engine status signals mm2s_run_stop => mm2s_run_stop , mm2s_ftch_idle => mm2s_ftch_idle , mm2s_updt_idle => mm2s_updt_idle , mm2s_cmnd_idle => mm2s_cmnd_idle , mm2s_sts_idle => mm2s_sts_idle , -- stop and halt control/status mm2s_stop => mm2s_stop_i , mm2s_halt_cmplt => mm2s_halt_cmplt , -- system state and control mm2s_all_idle => mm2s_all_idle , mm2s_halted_clr => mm2s_halted_clr , mm2s_halted_set => mm2s_halted_set_i , mm2s_idle_set => mm2s_idle_set , mm2s_idle_clr => mm2s_idle_clr ); -- MM2S Control Stream Included GEN_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate begin -- Register soft reset to create rising edge pulse to use for shut down. -- soft_reset from DMACR does not clear until after all reset processes -- are done. This causes stop to assert too long causing issue with -- status stream skid buffer. REG_SFT_RST : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; else soft_reset_d1 <= soft_reset; soft_reset_d2 <= soft_reset_d1; end if; end if; end process REG_SFT_RST; -- Rising edge soft reset pulse soft_reset_re <= soft_reset_d1 and not soft_reset_d2; -- Control Stream module stop requires rising edge of soft reset to -- shut down due to DMACR.SoftReset does not deassert on internal hard reset -- It clears after therefore do not want to issue another stop to cntrl strm -- skid buffer. cntrl_strm_stop <= mm2s_error_i -- Error or soft_reset_re; -- Soft Reset issued -- Control stream interface -- I_MM2S_CNTRL_STREAM : entity axi_dma_v7_1_8.axi_dma_mm2s_cntrl_strm -- generic map( -- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , -- C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH , -- C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map( -- -- Secondary clock / reset -- m_axi_sg_aclk => m_axi_sg_aclk , -- m_axi_sg_aresetn => m_axi_sg_aresetn , -- -- -- Primary clock / reset -- axi_prmry_aclk => axi_prmry_aclk , -- p_reset_n => p_reset_n , -- -- -- MM2S Error -- mm2s_stop => cntrl_strm_stop , -- -- -- Control Stream input ---- cntrlstrm_fifo_wren => cntrlstrm_fifo_wren , -- cntrlstrm_fifo_full => cntrlstrm_fifo_full , -- cntrlstrm_fifo_din => cntrlstrm_fifo_din , -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , -- m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , -- m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , -- m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , -- m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast -- -- ); end generate GEN_CNTRL_STREAM; -- MM2S Control Stream Excluded GEN_NO_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate begin soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; soft_reset_re <= '0'; cntrl_strm_stop <= '0'; end generate GEN_NO_CNTRL_STREAM; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate GEN_MM2S_DMA_CONTROL; ------------------------------------------------------------------------------- -- Exclude MM2S State Machine and support logic ------------------------------------------------------------------------------- GEN_NO_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 0 generate begin m_axis_mm2s_ftch_tready <= '0'; s_axis_mm2s_updtptr_tdata <= (others =>'0'); s_axis_mm2s_updtptr_tvalid <= '0'; s_axis_mm2s_updtptr_tlast <= '0'; s_axis_mm2s_updtsts_tdata <= (others =>'0'); s_axis_mm2s_updtsts_tvalid <= '0'; s_axis_mm2s_updtsts_tlast <= '0'; mm2s_new_curdesc <= (others =>'0'); mm2s_new_curdesc_wren <= '0'; s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others =>'0'); m_axis_mm2s_sts_tready <= '0'; mm2s_halted_clr <= '0'; mm2s_halted_set <= '0'; mm2s_idle_set <= '0'; mm2s_idle_clr <= '0'; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; mm2s_stop <= '0'; mm2s_desc_flush <= '0'; mm2s_all_idle <= '1'; mm2s_error <= '0'; -- CR#570587 mm2s_interr_set <= '0'; mm2s_slverr_set <= '0'; mm2s_decerr_set <= '0'; mm2s_smple_done <= '0'; cntrl_strm_stop <= '0'; end generate GEN_NO_MM2S_DMA_CONTROL; TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 1) generate process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then desc_fetch_done_del <= '0'; else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then desc_fetch_done_del <= desc_fetch_done; end if; end if; end process; process (m_axis_mm2s_aclk) begin if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then if (m_axi_sg_aresetn = '0') then fifo_empty <= '0'; else fifo_empty <= info_fifo_empty; end if; end if; end process; process (m_axis_mm2s_aclk) begin if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then if (m_axi_sg_aresetn = '0') then fifo_empty_first <= '0'; fifo_empty_first1 <= '0'; else if (fifo_empty_first = '0' and (info_fifo_empty = '0' and fifo_empty = '1')) then fifo_empty_first <= '1'; end if; fifo_empty_first1 <= fifo_empty_first; end if; end if; end process; first_read_pulse <= fifo_empty_first and (not fifo_empty_first1); fifo_read <= first_read_pulse or rd_en_hold; mm2s_desc_info_int <= mm2s_desc_info (19 downto 16) & mm2s_desc_info (12 downto 8) & mm2s_desc_info (4 downto 0); -- mm2s_strm_tlast_int <= mm2s_strm_tlast and (not info_fifo_empty); -- process (m_axis_mm2s_aclk) -- begin -- if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then -- if (p_reset_n = '0') then -- rd_en_hold <= '0'; -- rd_en_hold_int <= '0'; -- else -- if (rd_en_hold = '1') then -- rd_en_hold <= '0'; -- elsif (info_fifo_empty = '0' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then -- rd_en_hold <= '1'; -- rd_en_hold_int <= '0'; -- else -- rd_en_hold <= rd_en_hold; -- rd_en_hold_int <= rd_en_hold_int; -- end if; -- end if; -- end if; -- end process; process (m_axis_mm2s_aclk) begin if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then if (p_reset_n = '0') then rd_en_hold <= '0'; rd_en_hold_int <= '0'; else if (info_fifo_empty = '1' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then rd_en_hold <= '1'; rd_en_hold_int <= '0'; elsif (info_fifo_empty = '0') then rd_en_hold <= mm2s_strm_tlast and mm2s_strm_tready; rd_en_hold_int <= rd_en_hold; else rd_en_hold <= rd_en_hold; rd_en_hold_int <= rd_en_hold_int; end if; end if; end if; end process; fifo_rst <= not (m_axi_sg_aresetn); -- Following FIFO is used to store the Tuser, Tid and xCache info I_INFO_FIFO : entity axi_dma_v7_1_8.axi_dma_afifo_autord generic map( C_DWIDTH => 14, C_DEPTH => 31 , C_CNT_WIDTH => 5 , C_USE_BLKMEM => 0, C_USE_AUTORD => 1, C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => fifo_rst , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => desc_fetch_done_del , AFIFO_Din => mm2s_desc_info_int , AFIFO_Rd_clk => m_axis_mm2s_aclk , AFIFO_Rd_en => rd_en_hold_int, --fifo_read, --mm2s_strm_tlast_int , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => open , AFIFO_Dout => mm2s_axis_info , AFIFO_Full => info_fifo_full , AFIFO_Empty => info_fifo_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); end generate TDEST_FIFO; NO_TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 0) generate mm2s_axis_info <= (others => '0'); end generate NO_TDEST_FIFO; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SW_standalone/ipshared/xilinx.com/proc_sys_reset_v5_0/hdl/src/vhdl/proc_sys_reset.vhd
6
22296
------------------------------------------------------------------------------- -- proc_sys_reset - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: proc_sys_reset.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: rolandp -- History: -- kc 11/07/01 -- First version -- -- kc 02/25/2002 -- Changed generic names C_EXT_RST_ACTIVE to -- C_EXT_RESET_HIGH and C_AUX_RST_ACTIVE to -- C_AUX_RESET_HIGH to match generics used in -- MicroBlaze. Added the DCM Lock as an input -- to keep reset active until after the Lock -- is valid. -- lcw 10/11/2004 -- Updated for NCSim -- Ravi 09/14/2006 -- Added Attributes for synthesis -- rolandp 04/16/2007 -- version 2.00a -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ -- ~~~~~~~ -- SK 05/12/11 -- ^^^^^^^ -- 1. Updated the core so remove the support for PPC related functionality. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_sys_reset_v5_0_8; use proc_sys_reset_v5_0_8.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- C_NUM_BUS_RST -- Number of Bus Structures reset to generate -- C_NUM_PERP_RST -- Number of Peripheral resets to generate -- -- C_NUM_INTERCONNECT_ARESETN -- No. of Active low reset to interconnect -- C_NUM_PERP_ARESETN -- No. of Active low reset to peripheral -- Definition of Ports: -- slowest_sync_clk -- Clock -- ext_reset_in -- External Reset Input -- aux_reset_in -- Auxiliary Reset Input -- mb_debug_sys_rst -- MDM Reset Input -- dcm_locked -- DCM Locked, hold system in reset until 1 -- mb_reset -- MB core reset out -- bus_struct_reset -- Bus structure reset out -- peripheral_reset -- Peripheral reset out -- interconnect_aresetn -- Interconnect Bus structure registered rst out -- peripheral_aresetn -- Active Low Peripheral registered reset out ------------------------------------------------------------------------------- entity proc_sys_reset is generic ( C_FAMILY : string := "virtex7"; C_EXT_RST_WIDTH : integer := 4; C_AUX_RST_WIDTH : integer := 4; C_EXT_RESET_HIGH : std_logic := '0'; -- High active input C_AUX_RESET_HIGH : std_logic := '1'; -- High active input C_NUM_BUS_RST : integer := 1; C_NUM_PERP_RST : integer := 1; C_NUM_INTERCONNECT_ARESETN : integer := 1; -- 3/15/2010 C_NUM_PERP_ARESETN : integer := 1 -- 3/15/2010 ); port ( slowest_sync_clk : in std_logic; ext_reset_in : in std_logic; aux_reset_in : in std_logic; -- from MDM mb_debug_sys_rst : in std_logic; -- DCM locked information dcm_locked : in std_logic := '1'; -- -- from PPC -- Core_Reset_Req_0 : in std_logic; -- Chip_Reset_Req_0 : in std_logic; -- System_Reset_Req_0 : in std_logic; -- Core_Reset_Req_1 : in std_logic; -- Chip_Reset_Req_1 : in std_logic; -- System_Reset_Req_1 : in std_logic; -- RstcPPCresetcore_0 : out std_logic := '0'; -- RstcPPCresetchip_0 : out std_logic := '0'; -- RstcPPCresetsys_0 : out std_logic := '0'; -- RstcPPCresetcore_1 : out std_logic := '0'; -- RstcPPCresetchip_1 : out std_logic := '0'; -- RstcPPCresetsys_1 : out std_logic := '0'; -- to Microblaze active high reset mb_reset : out std_logic := '0'; -- active high resets bus_struct_reset : out std_logic_vector(0 to C_NUM_BUS_RST - 1) := (others => '0'); peripheral_reset : out std_logic_vector(0 to C_NUM_PERP_RST - 1) := (others => '0'); -- active low resets interconnect_aresetn : out std_logic_vector(0 to (C_NUM_INTERCONNECT_ARESETN-1)) := (others => '1'); peripheral_aresetn : out std_logic_vector(0 to (C_NUM_PERP_ARESETN-1)) := (others => '1') ); end entity proc_sys_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture imp of proc_sys_reset is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal and Type Declarations -- signal Core_Reset_Req_0_d1 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_0_d2 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_0_d3 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d1 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d2 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d3 : std_logic := '0'; -- delayed Core_Reset_Req signal core_cnt_en_0 : std_logic := '0'; -- Core_Reset_Req_0 counter enable signal core_cnt_en_1 : std_logic := '0'; -- Core_Reset_Req_1 counter enable signal core_req_edge_0 : std_logic := '1'; -- Rising edge of Core_Reset_Req_0 signal core_req_edge_1 : std_logic := '1'; -- Rising edge of Core_Reset_Req_1 signal core_cnt_0 : std_logic_vector(3 downto 0); -- core counter output signal core_cnt_1 : std_logic_vector(3 downto 0); -- core counter output signal lpf_reset : std_logic; -- Low pass filtered ext or aux --signal Chip_Reset_Req : std_logic := '0'; --signal System_Reset_Req : std_logic := '0'; signal Bsr_out : std_logic; signal Pr_out : std_logic; -- signal Core_out : std_logic; -- signal Chip_out : std_logic; -- signal Sys_out : std_logic; signal MB_out : std_logic; ------------------------------------------------------------------------------- -- Attributes to synthesis ------------------------------------------------------------------------------- attribute equivalent_register_removal: string; attribute equivalent_register_removal of bus_struct_reset : signal is "no"; attribute equivalent_register_removal of peripheral_reset : signal is "no"; attribute equivalent_register_removal of interconnect_aresetn : signal is "no"; attribute equivalent_register_removal of peripheral_aresetn : signal is "no"; begin ------------------------------------------------------------------------------- -- --------------------- -- -- MB_RESET_HIGH_GEN: Generate active high reset for Micro-Blaze -- --------------------- -- MB_RESET_HIGH_GEN: if C_INT_RESET_HIGH = 1 generate -- begin MB_Reset_PROCESS: process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then mb_reset <= MB_out; end if; end process; -- ---------------------------------------------------------------------------- -- -- This For-generate creates D-Flip Flops for the Bus_Struct_Reset output(s) -- ---------------------------------------------------------------------------- BSR_OUT_DFF: for i in 0 to (C_NUM_BUS_RST-1) generate BSR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then bus_struct_reset(i) <= Bsr_out; end if; end process; end generate BSR_OUT_DFF; -- --------------------------------------------------------------------------- -- This For-generate creates D-Flip Flops for the Interconnect_aresetn op(s) -- --------------------------------------------------------------------------- ACTIVE_LOW_BSR_OUT_DFF: for i in 0 to (C_NUM_INTERCONNECT_ARESETN-1) generate BSR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then interconnect_aresetn(i) <= not (Bsr_out); end if; end process; end generate ACTIVE_LOW_BSR_OUT_DFF; ------------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- -- This For-generate creates D-Flip Flops for the Peripheral_Reset output(s) -- ---------------------------------------------------------------------------- PR_OUT_DFF: for i in 0 to (C_NUM_PERP_RST-1) generate PR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then peripheral_reset(i) <= Pr_out; end if; end process; end generate PR_OUT_DFF; -- ---------------------------------------------------------------------------- -- This For-generate creates D-Flip Flops for the Peripheral_aresetn op(s) -- ---------------------------------------------------------------------------- ACTIVE_LOW_PR_OUT_DFF: for i in 0 to (C_NUM_PERP_ARESETN-1) generate ACTIVE_LOW_PR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then peripheral_aresetn(i) <= not(Pr_out); end if; end process; end generate ACTIVE_LOW_PR_OUT_DFF; ------------------------------------------------------------------------------- -- This process defines the RstcPPCreset and MB_Reset outputs ------------------------------------------------------------------------------- -- Rstc_output_PROCESS_0: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- RstcPPCresetcore_0 <= not (core_cnt_0(3) and core_cnt_0(2) and -- core_cnt_0(1) and core_cnt_0(0)) -- or Core_out; -- RstcPPCresetchip_0 <= Chip_out; -- RstcPPCresetsys_0 <= Sys_out; -- end if; -- end process; -- Rstc_output_PROCESS_1: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- RstcPPCresetcore_1 <= not (core_cnt_1(3) and core_cnt_1(2) and -- core_cnt_1(1) and core_cnt_1(0)) -- or Core_out; -- RstcPPCresetchip_1 <= Chip_out; -- RstcPPCresetsys_1 <= Sys_out; -- end if; -- end process; ------------------------------------------------------------------------------- --------------------------------------------------------------------------------- ---- This process delays signals so the the edge can be detected and used ---- Double register to sync up with slowest_sync_clk --------------------------------------------------------------------------------- -- DELAY_PROCESS_0: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- core_reset_req_0_d1 <= Core_Reset_Req_0; -- core_reset_req_0_d2 <= core_reset_req_0_d1; -- core_reset_req_0_d3 <= core_reset_req_0_d2; -- end if; -- end process; -- -- DELAY_PROCESS_1: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- core_reset_req_1_d1 <= Core_Reset_Req_1; -- core_reset_req_1_d2 <= core_reset_req_1_d1; -- core_reset_req_1_d3 <= core_reset_req_1_d2; -- end if; -- end process; -- ** -- ------------------------------------------------------------------------------- -- ** -- -- This instantiates a counter to ensure the Core_Reset_Req_* will genereate a -- ** -- -- RstcPPCresetcore_* that is a mimimum of 15 clocks -- ** -- ------------------------------------------------------------------------------- -- ** -- CORE_RESET_0 : entity proc_sys_reset_v5_0_8.UPCNT_N -- ** -- generic map (C_SIZE => 4) -- ** -- port map( -- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); -- ** -- Cnt_en => core_cnt_en_0, -- in STD_LOGIC; -- ** -- Load => '0', -- in STD_LOGIC; -- ** -- Clr => core_req_edge_0, -- in STD_LOGIC; -- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC; -- ** -- Qout => core_cnt_0 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) -- ** -- ); -- ** -- -- ** -- CORE_RESET_1 : entity proc_sys_reset_v5_0_8.UPCNT_N -- ** -- generic map (C_SIZE => 4) -- ** -- port map( -- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); -- ** -- Cnt_en => core_cnt_en_1, -- in STD_LOGIC; -- ** -- Load => '0', -- in STD_LOGIC; -- ** -- Clr => core_req_edge_1, -- in STD_LOGIC; -- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC; -- ** -- Qout => core_cnt_1 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) -- ** -- ); -- ** -- -- ** -- ------------------------------------------------------------------------------- -- ** -- -- CORE_RESET_PROCESS -- ** -- ------------------------------------------------------------------------------- -- ** -- -- This generates the reset pulse and the count enable to core reset counter -- ** -- -- -- ** -- CORE_RESET_PROCESS_0: process (Slowest_sync_clk) -- ** -- begin -- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- ** -- core_cnt_en_0 <= not (core_cnt_0(3) and core_cnt_0(2) and core_cnt_0(1)); -- ** -- --or not core_req_edge_0; -- ** -- --core_req_edge_0 <= not(Core_Reset_Req_0_d2 and not Core_Reset_Req_0_d3); -- ** -- end if; -- ** -- end process; -- ** -- -- ** -- CORE_RESET_PROCESS_1: process (Slowest_sync_clk) -- ** -- begin -- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- ** -- core_cnt_en_1 <= not (core_cnt_1(3) and core_cnt_1(2) and core_cnt_1(1)); -- ** -- --or not core_req_edge_1; -- ** -- --core_req_edge_1 <= not(Core_Reset_Req_1_d2 and not Core_Reset_Req_1_d3); -- ** -- end if; -- ** -- end process; ------------------------------------------------------------------------------- -- This instantiates a low pass filter to filter both External and Auxiliary -- Reset Inputs. ------------------------------------------------------------------------------- EXT_LPF : entity proc_sys_reset_v5_0_8.LPF generic map ( C_EXT_RST_WIDTH => C_EXT_RST_WIDTH, C_AUX_RST_WIDTH => C_AUX_RST_WIDTH, C_EXT_RESET_HIGH => C_EXT_RESET_HIGH, C_AUX_RESET_HIGH => C_AUX_RESET_HIGH ) port map( MB_Debug_Sys_Rst => mb_debug_sys_rst, -- in std_logic Dcm_locked => dcm_locked, -- in std_logic External_System_Reset => ext_reset_in, -- in std_logic Auxiliary_System_Reset => aux_reset_in, -- in std_logic Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic Lpf_reset => lpf_reset -- out std_logic ); ------------------------------------------------------------------------------- -- This instantiates the sequencer -- This controls the time between resets becoming inactive ------------------------------------------------------------------------------- -- System_Reset_Req <= System_Reset_Req_0 or System_Reset_Req_1; -- Chip_Reset_Req <= Chip_Reset_Req_0 or Chip_Reset_Req_1; SEQ : entity proc_sys_reset_v5_0_8.SEQUENCE_PSR --generic map ( -- C_EXT_RESET_HIGH_1 => C_EXT_RESET_HIGH --) port map( Lpf_reset => lpf_reset, -- in std_logic --System_Reset_Req => '0', -- System_Reset_Req, -- in std_logic --Chip_Reset_Req => '0', -- Chip_Reset_Req, -- in std_logic Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic Bsr_out => Bsr_out, -- out std_logic Pr_out => Pr_out, -- out std_logic --Core_out => open, -- Core_out, -- out std_logic --Chip_out => open, -- Chip_out, -- out std_logic --Sys_out => open, -- Sys_out, -- out std_logic MB_out => MB_out); -- out std_logic end imp; --END_SINGLE_FILE_TAG
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_2/hdl/vhdl/feedforward_dcmp_64ns_64ns_1_1.vhd
2
4486
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity feedforward_dcmp_64ns_64ns_1_1 is generic ( ID : integer := 3; NUM_STAGE : integer := 1; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 1 ); port ( din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); opcode : in std_logic_vector(4 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of feedforward_dcmp_64ns_64ns_1_1 is --------------------- Component --------------------- component feedforward_ap_dcmp_0_no_dsp_64 is port ( s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(63 downto 0); s_axis_operation_tvalid : in std_logic; s_axis_operation_tdata : in std_logic_vector(7 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(7 downto 0) ); end component; --------------------- Constant ---------------------- -- AutoESL opcode constant AP_OEQ : std_logic_vector(4 downto 0) := "00001"; constant AP_OGT : std_logic_vector(4 downto 0) := "00010"; constant AP_OGE : std_logic_vector(4 downto 0) := "00011"; constant AP_OLT : std_logic_vector(4 downto 0) := "00100"; constant AP_OLE : std_logic_vector(4 downto 0) := "00101"; constant AP_ONE : std_logic_vector(4 downto 0) := "00110"; constant AP_UNO : std_logic_vector(4 downto 0) := "01000"; -- FPV6 opcode constant OP_EQ : std_logic_vector(7 downto 0) := "00010100"; constant OP_GT : std_logic_vector(7 downto 0) := "00100100"; constant OP_GE : std_logic_vector(7 downto 0) := "00110100"; constant OP_LT : std_logic_vector(7 downto 0) := "00001100"; constant OP_LE : std_logic_vector(7 downto 0) := "00011100"; constant OP_NE : std_logic_vector(7 downto 0) := "00101100"; constant OP_UO : std_logic_vector(7 downto 0) := "00000100"; --------------------- Local signal ------------------ signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(63 downto 0); signal op_tvalid : std_logic; signal op_tdata : std_logic_vector(7 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(7 downto 0); begin --------------------- Instantiation ----------------- feedforward_ap_dcmp_0_no_dsp_64_u : component feedforward_ap_dcmp_0_no_dsp_64 port map ( s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, s_axis_operation_tvalid => op_tvalid, s_axis_operation_tdata => op_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1; op_tvalid <= '1'; dout <= r_tdata(0 downto 0); --------------------- Opcode ------------------------ process (opcode) begin case (opcode) is when AP_OEQ => op_tdata <= OP_EQ; when AP_OGT => op_tdata <= OP_GT; when AP_OGE => op_tdata <= OP_GE; when AP_OLT => op_tdata <= OP_LT; when AP_OLE => op_tdata <= OP_LE; when AP_ONE => op_tdata <= OP_NE; when AP_UNO => op_tdata <= OP_UO; when others => op_tdata <= OP_EQ; end case; end process; end architecture;
gpl-3.0
makestuff/spi-talk
vhdl/fifo-gen/fifo_wrapper_xilinx.vhdl
1
1923
-- -- Copyright (C) 2009-2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fifo_wrapper is port( -- Clock and depth clk_in : in std_logic; -- Data is clocked into the FIFO on each clock edge where both valid & ready are high inputData_in : in std_logic_vector(7 downto 0); inputValid_in : in std_logic; inputReady_out : out std_logic; -- Data is clocked out of the FIFO on each clock edge where both valid & ready are high outputData_out : out std_logic_vector(7 downto 0); outputValid_out : out std_logic; outputReady_in : in std_logic ); end entity; architecture structural of fifo_wrapper is signal inputFull : std_logic; signal outputEmpty : std_logic; begin -- Invert "full/empty" signals to give "ready/valid" signals inputReady_out <= not(inputFull); outputValid_out <= not(outputEmpty); -- The encapsulated FIFO fifo : entity work.xilinx_fifo port map( clk => clk_in, -- Production end din => inputData_in, wr_en => inputValid_in, full => inputFull, -- Consumption end dout => outputData_out, empty => outputEmpty, rd_en => outputReady_in ); end architecture;
gpl-3.0
bonfireprocessor/bonfire-soc
spi/tb_spi_interface.vhd
1
4908
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:47:34 02/18/2017 -- Design Name: -- Module Name: /home/thomas/riscv/lxp32soc/spi/tb_spi_interface.vhd -- Project Name: bonfire -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: wb_spi_interface -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY tb_spi_interface IS END tb_spi_interface; ARCHITECTURE behavior OF tb_spi_interface IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT wb_spi_interface PORT( clk_i : IN std_logic; reset_i : IN std_logic; slave_cs_o : OUT std_logic; slave_clk_o : OUT std_logic; slave_mosi_o : OUT std_logic; slave_miso_i : IN std_logic; irq : OUT std_logic; wb_adr_in : IN std_logic_vector(7 downto 0); wb_dat_in : IN std_logic_vector(7 downto 0); wb_dat_out : OUT std_logic_vector(7 downto 0); wb_we_in : IN std_logic; wb_cyc_in : IN std_logic; wb_stb_in : IN std_logic; wb_ack_out : OUT std_logic ); END COMPONENT; --Inputs signal clk_i : std_logic := '0'; signal reset_i : std_logic := '0'; signal slave_miso_i : std_logic := '0'; signal wb_adr_in : std_logic_vector(7 downto 0) := (others => '0'); signal wb_dat_in : std_logic_vector(7 downto 0) := (others => '0'); signal wb_we_in : std_logic := '0'; signal wb_cyc_in : std_logic := '0'; signal wb_stb_in : std_logic := '0'; --Outputs signal slave_cs_o : std_logic; signal slave_clk_o : std_logic; signal slave_mosi_o : std_logic; signal irq : std_logic; signal wb_dat_out : std_logic_vector(7 downto 0); signal wb_ack_out : std_logic; -- Clock period definitions constant clk_i_period : time := 10 ns; BEGIN slave_miso_i <= slave_mosi_o; -- loop back -- Instantiate the Unit Under Test (UUT) uut: wb_spi_interface PORT MAP ( clk_i => clk_i, reset_i => reset_i, slave_cs_o => slave_cs_o, slave_clk_o => slave_clk_o, slave_mosi_o => slave_mosi_o, slave_miso_i => slave_miso_i, irq => irq, wb_adr_in => wb_adr_in, wb_dat_in => wb_dat_in, wb_dat_out => wb_dat_out, wb_we_in => wb_we_in, wb_cyc_in => wb_cyc_in, wb_stb_in => wb_stb_in, wb_ack_out => wb_ack_out ); -- Clock process definitions clk_i_process :process begin clk_i <= '0'; wait for clk_i_period/2; clk_i <= '1'; wait for clk_i_period/2; end process; -- Stimulus process stim_proc: process variable d,t : std_logic_vector(7 downto 0); procedure wb_write(address : in std_logic_vector(7 downto 0); data : in std_logic_vector(7 downto 0)) is begin wb_adr_in <= address; wait until rising_edge(clk_i); wb_dat_in <= data; wb_we_in <= '1'; wb_cyc_in <= '1'; wb_stb_in <= '1'; wait until rising_edge(clk_i) and wb_ack_out = '1' ; wb_stb_in <= '0'; wb_cyc_in <= '0'; end procedure; procedure wb_read(address : in std_logic_vector(7 downto 0); data: out std_logic_vector(7 downto 0) ) is begin wb_adr_in <= address; wait until rising_edge(clk_i); wb_we_in <= '1'; wb_cyc_in <= '1'; wb_stb_in <= '1'; wb_we_in <= '0'; wait until rising_edge(clk_i) and wb_ack_out = '1'; data:= wb_dat_out; wb_stb_in <= '0'; wb_cyc_in <= '0'; --wait for clk_period; end procedure; begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_i_period*10; wb_write(X"10",X"01"); -- Clock Divider wb_write(X"00",X"FE"); -- Chip Select -- send 10 bytes for i in 0 to 255 loop t:=std_logic_vector(to_unsigned(i,t'length)); wb_write(X"08",t); wb_read(X"0C",d); if d /= t then report "Failure"; wait; end if; end loop; report "Success"; wait; end process; END;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/vhdl/ANN_dexp_64ns_64ns_64_18_full_dsp.vhd
6
2769
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_dexp_64ns_64ns_64_18_full_dsp is generic ( ID : integer := 9; NUM_STAGE : integer := 18; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_dexp_64ns_64ns_64_18_full_dsp is --------------------- Component --------------------- component ANN_ap_dexp_16_full_dsp_64 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_dexp_16_full_dsp_64_u : component ANN_ap_dexp_16_full_dsp_64 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
makestuff/spi-talk
templates/eppa/vhdl/top_level.vhdl
1
5826
-- -- Copyright (C) 2009-2014 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library altera_mf; use altera_mf.altera_mf_components.all; entity top_level is generic ( NUM_DEVS : integer := 1 ); port( sysClk_in : in std_logic; -- 50MHz system clock -- EPP interface ----------------------------------------------------------------------------- eppData_io : inout std_logic_vector(7 downto 0); -- bidirectional 8-bit data bus eppAddrStb_in : in std_logic; -- active-low asynchronous address strobe eppDataStb_in : in std_logic; -- active-low asynchronous data strobe eppWrite_in : in std_logic; -- read='1'; write='0' eppWait_out : out std_logic -- active-low asynchronous wait signal ); end entity; architecture structural of top_level is -- Channel read/write interface ----------------------------------------------------------------- signal chanAddr : std_logic_vector(6 downto 0); -- the selected channel (0-127) -- Host >> FPGA pipe: signal h2fData : std_logic_vector(7 downto 0); -- data lines used when the host writes to a channel signal h2fValid : std_logic; -- '1' means "on the next clock rising edge, please accept the data on h2fData" signal h2fReady : std_logic; -- channel logic can drive this low to say "I'm not ready for more data yet" -- Host << FPGA pipe: signal f2hData : std_logic_vector(7 downto 0); -- data lines used when the host reads from a channel signal f2hValid : std_logic; -- channel logic can drive this low to say "I don't have data ready for you" signal f2hReady : std_logic; -- '1' means "on the next clock rising edge, put your next byte of data on f2hData" -- ---------------------------------------------------------------------------------------------- -- SPI signals signal spiCS : std_logic_vector(NUM_DEVS-1 downto 0); signal spiClk : std_logic; signal spiMOSI : std_logic; signal spiMISO : std_logic; --signal sendData : std_logic_vector(3 downto 0); --signal recvData : std_logic_vector(3 downto 0); -- Component from the Altera library to give application access to the config flash. component altserial_flash_loader generic ( enable_quad_spi_support : natural; enable_shared_access : string; enhanced_mode : natural; intended_device_family : string; lpm_type : string ); port ( dclkin : in std_logic; scein : in std_logic; sdoin : in std_logic; data0out : out std_logic; --data_in : in std_logic_vector(3 downto 0); --data_oe : in std_logic_vector(3 downto 0); --data_out : out std_logic_vector(3 downto 0); asmi_access_request : out std_logic; asmi_access_granted : in std_logic; noe : in std_logic ); end component; begin -- CommFPGA module comm_fpga_epp : entity work.comm_fpga_epp port map( clk_in => sysClk_in, reset_in => '0', reset_out => open, -- EPP interface eppData_io => eppData_io, eppAddrStb_in => eppAddrStb_in, eppDataStb_in => eppDataStb_in, eppWrite_in => eppWrite_in, eppWait_out => eppWait_out, -- DVR interface -> Connects to application module chanAddr_out => chanAddr, h2fData_out => h2fData, h2fValid_out => h2fValid, h2fReady_in => h2fReady, f2hData_in => f2hData, f2hValid_in => f2hValid, f2hReady_out => f2hReady ); -- Switches & LEDs application spi_talk_app : entity work.spi_talk generic map ( NUM_DEVS => NUM_DEVS ) port map( clk_in => sysClk_in, -- DVR interface -> Connects to comm_fpga module chanAddr_in => chanAddr, h2fData_in => h2fData, h2fValid_in => h2fValid, h2fReady_out => h2fReady, f2hData_out => f2hData, f2hValid_out => f2hValid, f2hReady_in => f2hReady, -- Peripheral interface spiClk_out => spiClk, spiData_out => spiMOSI, spiData_in => spiMISO, spiCS_out => spiCS ); -- Allow application access to config flash spi_access : altserial_flash_loader generic map ( enable_quad_spi_support => 0, enable_shared_access => "ON", enhanced_mode => 1, intended_device_family => "Cyclone II", lpm_type => "altserial_flash_loader" ) port map ( dclkin => spiClk, scein => spiCS(0), sdoin => spiMOSI, data0out => spiMISO, --data_in => sendData, --data_oe => "1101", -- drive D3, D2 & D0 --data_out => recvData, asmi_access_request => open, -- ignore requests asmi_access_granted => '0', -- application always has control noe => '0' -- always drive ); --sendData <= "111" & spiMOSI; --spiMISO <= recvData(1); end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_fptrunc_0_no_dsp_64/xbip_dsp48_addsub_v3_0_1/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd
24
86743
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qQi3Y4JwXl7Wn1bhw/jkWXomzcSGtpscU8oJ2LP5BaQ4u6xazRA/mCI7R7F7nM8pFppzcZaDXNDE awD47nPbZg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XEx6ZQwv4Vw0EbtXfrnFwRRFXeTMxOSVFFjSp4WS2rNJPGaN9nwYF1MaeUImPm4WplW12OharfDq Bd4u1MUCQQngaNAVq+qRFAvic1cEd9UAgV4uPUwUSymN6YFqFEFkBe61gVOGTL52kYCmFP5vOloO dikNZ7RmkwcL7Ou/YYw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UywbMvtnenvwrN54J6TLUnt5D0ugRYGbxGf5WbHCK4A1QpEpAfm4/GMahChLWJyd4co3Sz7iyKnH pF9fGrDxABF6+XgD+gYwW23LAy4Oeb9L0L1aN751j4eBb+SD/nc7Bvs8/PkG8AEiUh9nBX5X8YRG y6Rb3Rd/oLAqNh8W+hPkvGvBFD04EpmUO8rwABNMEgzx5Xy8UXIXF2/AM7g88q21LLpqxJfNMKwc 6gETTRFn2W/DccvMkQI7J7x9xQ6JV6mIj8jQumxc8qNgDnzszgiyVxNRBCbBRnwlMks8aj9jaN+c Q9ZuNT/eHVXIa0PtrhWx3BPMD5whsOfdpvUEtw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IBlJOJ+ArISdfMfaRPeRQ6J3iITR2w063jCi0nfoo8xkZyikCIgC9XnNEXDlcFlFoTYVTWN/pOxk 4QfAUNIYHfGqxHDX5K+igT3JRGAbHW05TeT9Sz1Tz76BTL6nuYHgWbYb3HeB+sQkWjFalZjk90K9 XOlFLMcGKo/KZGkFFlU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UEgDruV4ZmeVXnBh8PLE5PuwbRj5lmOC7K+F+X4e7otBgmXMWFiIeN9GvRB5AtCI3/1G6zC73gYH FO1FlbS2tVmG3nSzVkxcIbEL+1KFosqyivHaeWvPOnefymg/10sYhtvZO5E9oVciuYijzF2w37f1 +4YL/FQqMk/yNEOV2k/YRjnqc95iWqQ5vwJ7EAAYrnHnFINWKUvk3N1gH1DwIaBkwK3QG8wkmtAX tNp4c+AqLhfwpZ56BFnB83iDMJP8wmqSaW72Ckgh0dX309k5OA2Zw6uWUoRzYaEgJQgRL7ARYuPh p4NJLaGXoIG5duhfCAO8zu+TZ49OCwwXulwm7w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Dzk2duxGRZEP8sfvf9eFwJMWv7R8u0eFXVvb80PbacYDJR7yK9uh327PG+jja/aceEUlDK9iE6LC gHnAhFB+s2L7gbIN5CB2gJ0O/y7NGTy9/CsMTLlUlECbh3egIAKJ4XZKfIxn7KP1Sb+n2k7aQe6H FXUgDSit0mOXHhQbzQUUynd81PYcQDMSRTrNLn6L7GsMV/N4KrCegZhOpHfzOVEhHkkMpIWSGBt4 0gsZSXY4FhbaybuBSsYhSiZIPMLy3FxEgJQeZbHMHTyJaibx6UrTayI4VnRP4BA2lHpY4yqhwdrI qYhnt3+HhAvJgqexSmEUJ4YIMZSxSGHLYZZeHg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62080) `protect data_block tW2/X7vSNTSNWTm7mZMxJSkdL6z1jAw9kwofSFdaowgWLIA2jvujpuupdikfavDxnZ1dwvDoqSPm eSGiBg3FfdcTLvnivbGH4V/uNmnIgsKzfOgEqprgxw3XI7dQrHLDPms0oRcY7z4eiIz/oTDMi52Z hRtz+hwShH/8dCSVU1DQ5Q6f4aMStBW3IYaXs/Tt2JC39mc16QeeP6wWhjAqJt10d0CyJNn5iEUj 72McJc322g1OxzY/gSniN3/E+gMcfa3vQfM5CkdrKt1e5Ot21OVRv4AXBJGBFDPzkOAe4ueaMX0y iNpBTbvHLTn+c6UFWnnHPYk3F3wDjL956DqOMdJp4BsHUQZ1NowsqA4cfNAmk/3YaPXrU0EKhwnA D9PHEWJnZw/dUGVOw8t0DpxTyz5W1rQcFhJHIMu3/V0fryPGSHGcbqo7luWe3xfCuCEVaF0bOBqA ey7wZpFXa3n7J/Jj+E9dF2aX5EV6/iygRbH7wjjVJ6y/ExFNPH0Fz9yJfFVBesuzxYqEYPgknarC lhG2kq7RU+OLLyK2dzx/9Ruidu5034oIIxFxyyIPzjVmEMICsAkWkgFNsJT5fsbjEYqS+R4Yn8hQ n5rR+xw9lK4BboOZ7bomd8ZYm6LPjN7M2M5bJLr6Wh77kxTrAzrArcSVAt7JpLJsG/n6trGCxmwO QzN4MBB6GS/4rpA5adk68nd+BntMWB/AUzFWhiHJHWWjHlVzHwpd9JPKQVWWTm8Y+Nc+t8vc1H2T MHk8qXhO+yx+2LAj7VFCHJOkK+j6Akmd887DERwNsoYaTBSS0YEdoyDnsEgjlJEGTqMFedY7ksrN PzVYHZmeZeQHpwqLEl8WFAB1qZU/Vsn34eDvLrwsctfoR7G+fVpB3NhhtE5bPYwPfC2/LGMExhD2 m4SeAHW7dWqp3WvMj7mYzlp5pkXlgBzGqJB9MH+EU0N3nf8m7VdoN+9WOMWh530ZHBbzyKG/6B8Z bS6X02z34ElV0iFhmDLUlkgcjPyYhPBBYY13X525x6AYSysLLqwS0DBoWfh3y+V0L5cSyMNqoytX 3Uz1A4bs7KSdXo1DmTjmWf+t2GsMQrRFiw4oLgTvz5oNOqSy3Ft01KQsgPDUyrbsHAQiTIwj2Krm Tr5x5GURpianNSaNh93GeruWn0XLOcwgxnDhbldXsPDM+npKJu/KvMtfSDkoBeGAmdxZCSVGzh7e ElLFG33E8OtllXKBmbDD8tXuTxKOBZ/PEbq6M/3oiXi2OMilyPLzOtbYfWMaOVU+TX8F/h+xMbVa v+ah6i2k4svrqt2yWih94LaVT+vEbfU5hnDVbB4Lg6pAbFEesPvZQTL/AL3bvgyIs001Pcel4fA3 6vct0a2iTa+3g6Bgz9619mQTkNEf+HNVJEklXb5OK+MYnJ3lYaGJmKq4Ber35V9BdbqC9Mm8HkwS Mp+ukWJ7oQJKBHpA7XmGG2E+85TrU1zIZFRM2aMTnT6FGpe5nfjEZuHltso1FzbVgyfVUEbEnK+Z SgW8zQR1AGxw7OGKvWhwizoiMS2wTi3xvQSI1l5iehrClSWcATJGszsaL6FAPL2T+vTJFI14GxUL qn4ReDGG4pEA88WL0SGuDyG6fCPpku5ql3mpeQcCk1UhNE3G5q5ghjqlCtjtWOra6DIVA9JaAg8W n/BfrkpUvojBqrD+pl72/F7jsHORwmb/J2kl9jwwAipnvFpjdUNnn33cusWhEC0KqkvA7wQoTxgc gaSZ7PGd7LwvkOjR25mOlAOzlzGbokv4T7BxhE8qNmSMKSzj5MAn9B97J8bpWHeMVes4+CdcnGyj tUllG0mOp98bwUtc1Pdl8v9lREUFabtHp6cs/7DmtPNicFZER0DvMMbTdXikEplyIW9bSvkx6k99 aHpArlHPcKymsnB/rdi9gGsXNC5YQNLiLx/4LPjbmxfryJ8h3cYVS0hLHFaUukjV5iiQqlZD4qP8 O5nXc4J4942NTd3w3hHLD4KCOFbbQnOCCZadaCfM9TnCG7hVig2TbEIHqp71SNC3zTSLQ3IcLeqE MIWgJOuSDLewOnP8mGXnpKaqC0z+BKPijJHnqexJ+L9EDSKdmqtMnA3s/e4Lz0F0H0Dn6JbNPa8O ulrRIX50xhJqbpxmGMJ0HQwxMcIul+5nELRkVDl2ChT4dKV676aXv1FBVcP6BEHqPbIJt2vEx7+c MJjaswHrt4usi1TpGhBjzRIDbLOy/6MMCPvDOjsnFELflnHzkcTEXHHGuLUBZ7FUB3/YOql6+L18 dBF95cwD06HkqMCY2o57eLlNXlGkGw1+X7YJbqD5zwzvhfOHSXGQVhNMTf6ACao5g9BnWLEzNvXd XKaIu2qWj7ZXovKlZRkegy8vIvJXg5qyhHgk5/YLZMpBawlADVNIuNByLWOYfroehbmIEeScaBmO xDsx4FX7yQVl05m7ZwOHejGq4uObRszfzN9IcgWKBHiISojBOrAuGu+gM3bEbj06vqNN9TNL8OJg VBzW5EOvhRpE5/6ENI48sqRTu/TeLUKq2fcyH0+oc/JOJNY35EcAlVh58hy8wPQExbIr8NhDtg/P ICO0b9XYp7Jq4RlbUjgmui0xGxgv6SEs1Tu9O6EFPcTfJ8rhjdF7DWK1THtGC6Dnlkq8sMiFuX5E HaeIWec5zOa4CpsNjPQQdnWSGyNL2vDWT5vNKRDuVjIL9xf9md16Rke7KDdYh9SnQk0TrH9hgYup jhuLUhzRoLqx/I8mzd763LtS9yGFVICCdarigr4UnRl7C/GRhuwmCmer6G8Eqw1YT2H50cHgMxKW M8OWIy2KsiFSH4g+z2JvuX/UKlu/h0Of34iYGQbmC4FeFbppVUWXvur5pDhOwjHrCI0Ft4v06yhG mzu+paeFU88KsKzypzBzFkHgmzcGBcb7P6jcp5pGLNYf11gABq+RlDr1ZKMkcpkw/tylRqj4Cn3f 093NULCm/4xPrLyBRpI/mpW+Z71OdjUUTnXIA/eeamzCapUO6HYYVhanpzrEOfn0L5xDHq+D8uyE C45Wn/FthTfT0jDkc6SqxrzRnAyuxM8i7M86bYGix6J9kZFWuJMNKdey/vlpHIy7aUf/Unj9LGf7 HcJKcGLk7Qx9cMItI3zT3MR9HWKPrQ4i8Rb44fJi1gC5W3MSp+mVueo4/UZHlalBkgztWzwy1m3F YjUO3h/RHgqluCoEcDczNiW89U4ggk8OD+sa8eLsJbKJFTnydMRmkWa+h5y42UKpEx7gLCjpd83f 3sr1COkUkHNjROBIeLEFrTisJ3YU5t3+HH8Q+H/ZmRprhrAzhwATI3S0rB77z09yLAzUxE5cPUNN EWeBVaWssOfrfGuN/lsOdotW9mrScpORgLXQE/QPGzUeD+MskTIvJurkQfLTq2Uzi64NmLlJtHEN upQmItcAA3clNLaQ9UjhZjlBaSiW2/0FuPhl4mRmPF/nYbqDOh+pLwI36TZfbIMRnR+9VMJJu2kQ 27or5Go8Yq+Tj8SPp2eypWESaCJ7vyIUUK8ZqxNXzLyNSTRnveBRsFzH+Al7QdSDZzs4MWoNbRqK WEiNqHaRKD4Hw6mTYCuf79Ju635XtJ7mKcEBGxLMdqZpG5LWe35qpytQ/En936DsmLJbf5L7c9Gc gVAwVN0GlZ2TKM2F3TiVxie/rRG0xPF1cJMtXVywChNnfm/r1q7XauDgWbJcY4er+NHHNsaVCuYs H6QQfyG1h2vDZHAu1ihi1+mekYU8SKqH2fiHCcksSDdquCs9YLyyosriAg8/YmK8EOFhtV8UpWrg 1SJ2jWE3vPpu/EKqk46RUODfv4Y/Z4+XaJKkqM6yJKVNz1x/Cv2Wb9l64ZQIw/rroRgMdSkz8D8c IIyzFnEw6wyXWTdNKD5AkN6ldohqlDqTt7dLkWN0Q4VKY7NE78u0korsLl5iNzaBLwNNDZRrfX/B +3G6J6bPaK+2F5SanXoHOyqeiHAuMjcQNUt3IEo0QEN3ivBAUSB1fgv5Is68STz2hr3gar1o9SKU GIABJNqihiv6D+RGzsT0f4EY7XaxPgot8J89O1QtygpPIcRD69djzA4F1Hh4SELo29/lI+6dQfcz 2dyshntngWkrN42NrIONrq19yAdZS6J8puaNUxiHPJAD/pJGpMUkqwrNQ8fsNgODXOg3OaYJilzC +M7AZBSFY0oxgYY60BN9unNCFJkSRgITN382j9kBbkB+iRPNPqJRYP5vnttfQEWp5VEoXK1Rwfe2 TUNJQiCOBu/9aK89SaO3VzRYIR6zwJ5+BtzxpIsJ5pcA+37ulHGfERtuVX5SwulgHaZgdEkkOKB/ /c6YKMrvbIzxrkov10+j1Nf+oSN5F1kUxdf9W4ZzRqW2Gu4Eqs9E7y0l9l43HhWUIK2ARhi1QEfm p75CLYubnaVKOmR99ONnpxiyQhG1/WObag+g3TlHyk5lMjbC1JzLPhxdjnK4jq4BjjBP87bTfCUt iNTuHFO9KwtWNMnKbcXaOkJRtB4g0TFgRgU9jy7Sg/+aYhJMElyWnNBHI5MOTG89B40QyzIOwyDg 8cuVpRER4wm4r6XZWC2IYbody8A0OxLU88uGlvfPrzVuzIMn1w3Bsoa2d/5fDpkmamburON+Dlfp ntH2usz6iXaG4zu38QCN1lfVMhrMks0TvCNl5F8W25C7L4GJgv6P0hXWp1weH39eLJVvn4FzGAUW GnbSwq21z7I8J2X8Zp6igI0G/Ej2MRFDNi61hlIt9jRntOxOdvBi2s92QBWdOMJ0S68r03FVf620 c5MirgUjp2oE945ULOpWasDD7c0Gv5elcH55e2nriDbxOMWVnLjPLzJSJorrqRV+o8aaA+c6p9s4 h5gSGVAlPRj8t0ywv2gLO/jp8VCzQ+Fouli1xPgpQ9BqYHwQMe/b6Ek5O04nsd+SfwSLx9cRH1Yi i7v0lh/22L3uXjh+ZTScMfQPLcrRyBwO1onPQCIg7Ogph6bFpe3yju/NjO4nkK5RnHGZXpewVlmx OfTVO0wsQEY7IZeq27prtfi49feqaGjxW078Fi/Zh9Ev3Fm3KI3fcFjw3ljYVxJvVn6oGjbUe/71 fGQkwyMFdFJ3FKhPKshWGiHWQOi2IUAelz8mSOArNB9Arp2xDC1C4IglAmSsVDg1CKBCarcXLlKY yOeB+kc+TOkieyFGOkk5qVPm1cqMVv+c0FR0rln7vX4AuAa4ZCH0oVcWgLUEbeTP62E3POLFIqor 4opUReO4O6ubuzTOsDxLTNOIPsKCrysOVuQw8h66E8ol0a8RjjX+BDopANRBeSpGRi3w0JOPlJp6 srk2RI1/iI8bIn6om7rRwz9ktVXd1Ri8pXeHcgXcJeec6MhEo9zSmCAJjvX8olU0ZDhuDzHOb84I 1AAvOqYCf0hXig2IJ/fsSdtds06l9Y1JuevJdcS2tCS/84WDsnklUFE4fCYTtbU/sg8WOhSNoGYP BvRsiZKg8xpleDrvqvmqx5b3H3E1EGgCsFivBANxvmofNDyi/aMbpt1a02D8xxC3S6czfLrwSErC xeykHxrbGs21TcvFJphWSU61LaAcjPKNtful4P2JXPcaOgkuJx9igOi50c2EJsJ1AHd5pELSUWP5 gkMS+IWLcG1dbZJGjl263L2TK4yvNjiivm/d1XFheD4UJxnOXXiSOg2z1wtWJNQT0ps4pSyqJmv0 JgLquiPKl6WW6DnSrandmpz6D3dl1g2/WUUt3Kie12i+tKHoeJ7iPdK5lxEYYjpddEniTvPN8GGk Qo24Vnp7ZlNhxa4XoLiYFWQRYXFjSRMM4YCT6oeAEFnSbCZChEvs0gTurjDnJcUPqqOPd3uhRyO6 Qyz0JKF9b2He+x3mZVWv1TPmi5Va+oHdPAA8v5zCUAU60iYTjveF0clehhy5HDeFpAPlRORCd4NX 3HMBpj5vPRtTX/yHid48xgf7GnbyU2E2Zk967a2nFull7/5RZIUdVkLhuVa3Wk56gjnuiIZr7WNB oRc/N7sofaEM7PT/47zKAt7FSv8R7HgR8YqFeh+ModNX9X4qaF9dST3vDRrQbEogE9k9tOZtkrGy 7G+VmsJ4oNB1dh4Ck/uvMDzdioxwnLqZSY/6r9qFQota1uxaDEGEb+JHmDG0iuApmLSggbjLXJOA h5bm5X64Q8czSJs8ixdVMZ6PoQNIfPmhixLBKBmS9lisRE42ZlQLLoiA/wuhW3f8vLEFh8kOa6Ac qfNT/RcEQ7oe/rRe70X5TujRakPxsMDImHUF8ikCH/cLKMpTR5ZG0CsAOMeUaPJtEF3lNhZN+tMO DU7uU0QBl2ZLOP7aDwD1zvVqwqFU7VPTsGqAR+Imz8d2RO0f63gXNKHeGV7fNrEltuK9rJCeKthf ZpJrpUzRFwtZgb451QYTCQp5NDKcQiJxKb+0kIWnj+ed/4jJdaBsxVfbMgpsfYHJpogNxhgqHvLA O1yLbzBlMGDqunSZ44/VZUJ57ipC6mAbdipGExVavMuWnldNFn5SCinxmVu0bas5FVFYUPW6hABy jdDOEU380tdVsP2tkT6jLlA6TsL/eu7EJXbZn8OhlDaNr9/VUeY5LM3Yb3kFooybmX3kOGGD4aK0 H/uxcfob126dBmlsinpRtLanaEL2r0EmsP3b6QaVgRn2GSdW1g7JDegu+Kz5Odp+TzlMM/eJOt2u bIUsZkuRNuv98zaii2U/4igTsk/y9123c9YTR8bQZ5p/PrMwf6xBnRfOS7YilTgGirEeuDaXdhBj 2Y6RIeSJkK+qEI+ARqp4fekxMjfZVHgSsOMyGmy2lfeVP0ppA3KN/k/cKXnRfGZQ6ndaVFcvzsbd gbbN4VYJlXQ8zRnt6Ev/aNn6w1MG/DkHw4IMsxDRgK/N7M2633MdcH9Mzifq6GXy/xu8BXYt6K2R xamb/1ouZ8ub3Y72P98JdxifmoIyP1ZR79OCh+sz6i8m3T/YUC/ZDuiGegR/StiUk1d8RhjyQuTH z1tBT7pySTciEHT8OzmJ3esUjePLY6vW81HBwi4Xiq/wvFzjoaQSRk9ExSP5Mx9b5z7M9Q/B58Bz htoHCh0cMVd1i6mZXXPWL8OyZ2F8pquTNvIrJWL7QRVrRPV00Iu5B/vkdwPBKFbwZ/TiDjhNkgCa 4lEOsP1S/5+X+0UqGW7qDgbrPzB1n4KUgndNHvAFtZurIybYkFUTNj7LPgpk/M8Nf+W1j3t7HH3L hPe9DuTKE76Srg+xfbxd3sdsy4M/YJ/GASU9mx5jG5v3dE2KJF4DxnQxgpDImm5X+QpcWFGk/FYb lBP8BZWglRys8afuX8Pa8gcyETA5WXUdsdxUP2jKB0ikWvXRq40eEh5S4R+QWo7WBxH5o+9SggZ3 Pz+n3ebhtfIB5LguEJXQFDHPAheK25vZ8VDEoe79YO1BLCTpS+LJoar08eW9OJwUtDDCtv8MbQ++ NmPidTkROte82k7l97gxMVZdM/2/RAyHiVGu8vPwN3TtlJzX/qjgCv/tt6YwnksJZ6IE7R2o4gmY IVcSmY2/0ILQGOEBW7UefajWJKhaIVAUeOGsb8uGzWfYycnmZ0ECRoEReoew3ho+AP4FOnRUPgVQ +gRt3Tt4occNZVErAapSGIuNXuaqhsSJpLB5bQaA3nvuRfAJAMq2Ca2YlxHRjtmA9+NUq4l719yg 74B+9ouqaIz1qUH1sd/tIjEUHcia9wmIcMilk/VxEPy/WH58GPjNAksAcf2m0cCf0HERMouE02ho 48BNOu18U9Eo9Z8dSozRlZjJHrM4iAoKLlWYI6SmDaE36x5+vkXBNgjPekom2hnFQdMuhRa5mzkj StC/Hhc6/+Oe4dHtbWDhch7KkzfGMMkNOIyBJtCiL6lVmceFvmwcSe05F7A0WwtyW6kCNfkLtJgO Hd2ccAt3hzkUJO2yo7wlSYC76oEwxRaa03YaI/t/E6eoC581FTiH+n3AtqnSIEdjeQEYysEl70tV /9ODmh64QUt6nAfgEuv2AOZdkzsmLSCgdA3Aro3OIgojyWcby7aQ+H8g+UUeM+0AN00iSbfn/iFn D/4EjtECjCreuCkErUHO0DuGQmVNpw16Ee6yO/zG04bYvitF5qEV2OMGdwOL/tQmx1133YULCyP1 a+9N+u1MDkz6VyDgeQuMze9NQGjLoSHBBaBBiesK219QuktIfPXDNZlUXuVL7LEHN/wSb0+ougs2 GkSV0ta3SHMf4QMiowrQN3WgtwlGhhLxdz+cx8IcrUjO8tfvD6s9ykZUo9EEDPThEWvObgEm+Vpx RE5AgwLrgENMlPh87MUbHAKb5B0NVhTHH4E+gSfz2G9CuoYlM7cEEE0hYdnXbFPo6Dy/QxlxvBBf xcPdm1kr+/GHVPFIsHj6ZGkch0L/nM2dqlJvxPpwydNzBPjCCGtg7IrxrpL7ltZYm6Xr1hJqZY7s Jyw5copuEDAfT1T521LR2GfD9WtVMlre3R3u1esbHIP4g7DSNa5Joy1IJ83Dbn4H09v2Ri8geKed CtHk/bmw6H1f+dBNw4RqUP4hRHfSIWuk2M6IhN8cG3FxCLuDGM6T6S4hMen9mVMElgq9nI4hj7C9 Iy+T5shg5Q70Kp9gla3Q70NY3DmpZ4mxOnnGb9d1H8v0/eTsI4glUot9NiQgvdUcBiXonCYG5/67 dzFm31C595tNiYgU7Ll06XEh3yx0dFU5OtwAoYL7EKMM4zI4J2Cja4pFv+NT0BwcDhAChBQYdoYq g8WfbuFd4yRqQ+bf4NZLu+fHrd7N1HzAcwTXInJjP1C1XyD4ZTvOnISEQIQsz5kbY+PpBxek7Z++ 2M6/MtW48emQIoMKMlyuGulZFG7BnmYxGzq/2Zbh3Fk3XRPc6r7yhpxaX6m4hjmtkmDw8kew/Zh3 w9p1k9236hAvNZ+p5cRloAUCWcVubSRlCDjSzji749mnBJn2EXnt32o4qTh8fmIZjdqLj32aqGWn rpfNg901kAe41Iv7cJPV9PFu4otBG/aSeyvhCBGkyzlMoldHxPbxb7cGqcx1L4R5pgP1Shbq7K+M QxJY8rBkEdx0xNhX2LVt1ZIugmmwK0pOaFLFAzr2zV0dDuQaynPYhZFjCRpuoa9W/dc6BbfQtwp+ BBLREt1ObQvs7DeBMIYkGWzZAI9O+xzZ7enzBVwqxGJmrNCGRsrjzJ6czQdjLzzNR6xnJtaiZGoK F35w0/c6FOiA6uGi5Jq4r4CwiFaXsd+d6J7JOdTjr/6MeU5EM+MZYjPg6GDVKenf3KJH2jyvnFRA wLtIJmZnXr0cw3d1lv4QfqAGHRQiGvx8CSL5wJQR99xLma6Elk/GL7hajOOHy+3Atlt3/ikhXeFF 8D3W6pHUTVgB6OEUe4HNcjTs8aM2OUj2ha8CroByNcLyz47HMXd1JbCK0K7afcC0TQF0kwhH7rwI 79TeVvV3bJ1uEM/h0eLzncKqFDJq7mnQ94GsQFe0fDAy0Fw8+vZD+ECJbMUp0rFiEYiLWXuvd51s 0KPD+52QGFQDKIp2twvF5Ul6KCJflLoJgIbexViNd05GP5i2CR2knxx+2iHSPgxtkUiXmm6oDeeN P6/MldgILjvLtodxME5P4dKVOcVRB+poYo7/2vy+HdeBMCumc3cCl9eowyDgeom5rdc6YqbaU9S4 y950fFu3FOqWRm8OIqi6nB9XmhUZGHvpKbK+eDw7AxbYEcfeCo5r2T29CNfQj6b48G4noZ/+ccCR 1HEDRoTypa/EzgCB51K46/oVt5U2Luji/QGyUF6kU6oVmpM6DGDBec9woZrs9REK63uVAm+zuz9z pOVgXb/xUyqgSRGAjeqSKk4DryguWELUCkFQnahVMlMmvF1bIuTnAK9Vs15hRft/3fEd75FEGOub HaEhllM5Fn4d01SB2TTNOGctpdddKpD812P9b6HUwb/wzQE0VFYfqbs2q3751JHn7mCrhbAfxPQv kionWq/pqdPt21LTkm/H2SFSM6/UjML8os0J1rxvWaaNk9/1zpwKUgifMJmqnxNt7lSqoQdq4KTs SH/Q/NVUaRPyPetta78s2FnMKuBXEONCjznfcS7z8IvEiHfcCamxLB2cj2VxAYOUzw4qbRtKwlim eZDt9uKlCii61zsYzNBO/Q3l4Scpmf4DuLO7Fyu3fCEcJ1gJfZXzizU+VZBm0umDNqrY+c9IimuP lCEE/XFznK07QC7FMwgyi/VGFUa56UPsFAVVFxwhklcm516/IHS/OYntBsT41PjOcylEnXGM5PBX rQnEciSfrGF7WUZMVMy/E22oWtWfx5ABO2TburEJFc6Dhy7VJQ+uW6ByqKjp/yZeDRCtI4qNYE0f Q16GuKqOhwGfWu29UvIKpotD478GcJ5movGHYtLiTfsvOu5iJu8jZg/UquwAMKoLXBB55kHSmO/N 3/ILN3PFN1CrnPlYnp8MVKD0NorKNYMh+H/kiqHitoGfyckdUh9kwp8JRwSSC1Pt4WIvyFm8RKD/ 9naoNln3vxgJuvGtLS3Kr6Be9a/Al6WjVsyoHUNcbilrB62zDKWkgWN8FwhS4SkyDPwBN7HdTAWZ ptWVCnRCr5Rw+6tLBVXnthh1c+YHrOjpIbbZIoyTduconl/CVXC1m2FQseXPVh1kSvUzqMFf+qR6 hgpSbD/9+5o7OwT7iH14w/AdC3dcyQyVC5MXe2UPk4aa1Hv+wYhNcV2dA0UhOneIVuSO0x2PqsFb O8zHISc3HOOy4bqQm3AgykVRtTLaiYtHIrzWnauGh7HwGWYF4NmM4NunqStgv7D9GM8GwW380OCH MY0shuJPrF80WUsfyxxEGY/nZo5LGqhMp4lc/aGYLwfbGYc61k4Y2p5HxFNGcFPFIPz6HGP3iIff K6ASfcw+woFXOjyZlFFawpMnfz/htCLmLV5Co1R++zr13IAV2cvIJfMoVZDz8MqndhLhhzvu0Ti3 9imhcOzilBbq3I+FSApJwU/8rcax/ElSmQwv9AOWdNTuTIxU3+6oH0IeYRXWAaarUzJqdJ/Igmlf YO27HpsI7bYjTiumgGw1MxGxcUH0HFVvX87vyjpYKH7r/GKXCZ19cJf7NyTiDKz6LOOyM2laDQNj RKMuHQxTyPsVhYqcoaWqVeMKeS647+nKUpJjsh+Iqmswt+WHaxQp9scxkKgMxakwtZ5CRXKrKuR6 b+xaKR+2pb8qotEf4oT/gTOfA/6aDWgDbRtYK0Hv8g7OFXJhP6XFZvc5QgZK55U737GAZGBA5qUi J3qoq1U7p1jR+iVdVoa1IBA6noujJJEX30fLRvUSK96MZ1PisOoARxggMl9QT8GcA+kILk4as32O 81vR2/iZXqhLL/HT/uxwiX8gtsIv2mOpECB+TSqhbmmijRUvvkW3DJcOYJcs7E4YUoTtcqGe/zSF oc+1MireQy4Annm6Ymotnqh2MmgJ+G4dd4afjI+oeUofIEnRCBS+agKGSr+q05g3CB6aIzwdak0D fcaak4Tt0U1vYlb3osW222Tz2gKe2F8kLVvpCkgir2r8SSQC0/66D5KtKcZVwkdfGxnsYcFgbEtH cpYxZWBjYM27Kdkm3zIrAaD8ZIRkZ6tn05vYvwKCiKLXCvkJJJO0lMmUn83yP4Rdv14y88eNRUWw fNHnY9R+3kZUjMM5QhGDQtVBBmxS+pl/NXuESwz8HxLh4rADoBF+hDvBoT1vgWfnnY/tZxQlIeWy Holt560Zm2ez/7hKObWidCyM3BAorL76/fkyC3oGl3Hh8VgZwNiYu99M+lwdZkGxTziFUp5SkOTr +undRxPjD0f6OP7LdTfBkrnASTgv1/LZbTg0v8sHNf7+05ve6Md/XWzuEYTTupCafVRdSkzBGjv4 js69u5G4GjyV0zfHQdbWc7yuB7/aYqkGOOm21zryKBpPfPave2HUH4b3TxIjYavYzlMlRdUG3EsD fUiIOqiIKCkfodazWHy/CJPub5kNiI0WxFw6T70gjl98bjeT7nY/fmroXtsTDFQ4l97XOzHclSDB TX8QG80GKsz2qXLNlS8xNXotg2L5a6EfBHcOTnBvTxb0FvpDkt9QM43VpG+Uye0H32ZLZ2vnm1Sj 1DGml2HhKO9y98O269GiCFrErLzxucDU1d+h/4RqoK4d0UCEZfpWlzYDKHmvNO5pYYwQI4+CEELF F9qkD5g1JxqtDnCGPPCGEVpwP3XRnI8dH76VkZMLOvvEKebjqOdM9cVMbwf8q715hd2Jg3IaAvWO lBWu6eM3tmHEqKsiWKTDO3HAnpQ8HjIRSzToJAGuIfIM+jbmf44xstYLSU/LnzYYEgsN+hQ3uwfQ AX0FrNiUlZQ25yq4ZAkiYqQPjBJK39KEx8JWDK+/YYcm9FoegTCysyklycmUoMtzqSSW7GXeVk6z NaUPHOJATrv1v5RS2QBTsu7Be7j3DnorW3y1SLFUWCwTpt96mx81rr+wAUku5VhTQHzQxVwDqlHE CJNEopt38CW75ujPd0hR7chpbRyzFAZrfZXEJx+pZU8eHG7MSfLUvmmk+LJ4M0YTfluUA1TO52zr UUjmqfdVrb78gdMblrfLTSgZ7AtYyIZ5MtCy7WI15C0RbGiEyyNWBuW/0XjbPTDH6L6qTtTVKxVg g5YWFPOn7z4rwqm3U4wlRYKcM+uT+nX2CkkD8r84VvPZMmnoxMCSE29YPxGHBfivwAcKd0EHShYF Ahd0UggAGBESnlhqBR7QprploIRWVrKyVq1ZDiR8NavJVqchLDfw2+VxCTZNWlNrv6npC+nkWEMG GY0Gx5MC44AO2BwkyZksRxF6kxUT4vHGeb5yXaI6nEFmuE+UV9FcaLJ8rmH4ROBX1uT3MjH6e/zw B+eI9ICVmU+juPcYaGYiJ1m6iMmyG85GQ8/pXTl2Ov6c8TFJ634WNHIWXW+kIJY9PC2iOE/DJSUs JQFjKXzFzeHA106x61So2zZosuQaWjVqxyI1YgL7Hwxc6Uo6OXmLuahc7ewgkJc2xoNDQdvjDmO/ IZOOuk5+Ph8xHQVkE2UzOiT+DlR4cZhb0nInLuH0cuCp8+LjTkyQJMmm0wRSh5vW1cUS4o6Vuc8Z g3ZXigfW1WvS/x203tXl+sntCsQJj/PJiLyQUPw838v8W/QI65gZiyBXezkgbO9ZuTRmWv0PxMiW hLMmRxpcnkSfn8TLW/LtiCnn4UnXPCw9XbEuXQs3lokol5PZmQjHXWNcRaGLlk04OryQsw4DXfzl vs0nJ/QtXon4s80EYiaRmK2epvFe1ccXm15LySxKuPTHG5lDxGo87J/5+8BhXcoZCer8Ajv4KHrH WcMlgWvMghh8FBKzOHrwoJRPurw6HDmD6su1R4gRLV/96hKOlvcazB5CXACDuVYiYnsf12pmdWbl s68r1Wqmi0NXur7RxwIfEE/CFTGFvVa9UD1vmUCSJWmiNAJFS0wRzfqmqYruA9cIEKM3gEIJ3BDP HMd6mzz3H/8eN1mS2fKSGmL+TzYOXFLbyfNAbtKC6oVRPqv6HgOgfS1pFQiHulGDJ0ZNFj0oFZ2x QNF+6UFawUizQX53MoojqsDJJrpc9jWeOpllHjaGJ0F0iCnKc7xz/XXu3ZjHDGdaIW/loYlk/4pf nfLu4QjzrAeNjAPqgKyTV152IYy9nVJyzvB2YgkDwhFXtPzGEtA8AxrBjhYDT1Zr71MrPgd7374f nOSlA0Mgt39CWX7YB+NxriB6xMzBqxQiLHkDXfPniQXThzW7bHu1eZKBl/JZFdGo1kejF1PaKo88 RmwmTXUhnJzRITs873mdKcAH8Hk+xhCwUA4W1BGRMIwB3eiO9EMobBhEjDPYfU1dCdiLCWNbWYzZ 3NSPlinZnVRWfx2nWoPnmLxtOpkWnQ1wSvZN4R6lLHhW88H33qLJ8yDH0buZ2OfBqWLEbtRK0pvS LjxVWtqB7nE0Qmzu5giXdUrE7JAxmhUkRExx9vJeCOJq46mBxYFmH5BWCORLRS79XFUvVX0+cb60 TNl6/K9StPFFx8CS/A+91YP39Pl6V5DlbE47lfS2IzmrKcuTJw1epxjtUq9Cp7yencisRjQdWs8p gmb+HK25quHJm2C7i93bg2okRi1AkipcDayAsnI0O7BtkPpQVxhp5VeBBCr6yzIjsgARjkKAvYYA TV+yjmhEts4M7vPfQk2TwEiDe+BYTVAHPoIwJ+bGfSl6jDHqbqUzl08RaBf6qgY6CBh0C56qIKeK iOy12Qh9c5zaXWBINmXqVlEo9avSWhxziwN67NxeWi6tPrLQkkAJkoadJxp+3NK8gCqivAN8z0QR K0aZPx4fzry3717d6QaYrTMionfa9r+k1Bz3kLxzKdVaiYpjKyKNvuDwvwnbFjF/Btt4W2u2l6pf 99bdvOoEQPT1mLUu55/m6QEBvJFeCVL6lw45DA1kbvHcMdubApt5chd8SAJ+oGjBedhSkNVytElH nOeyCPdA2OWVngiEm6Kl284Zd8vIowjSZTQzfrUzSM7ECPVhPEBTDHY3ruyQ7aGEBDMVDLapFwUX vmaTwyJulFXqYocl/Cov11d1e42SydJqdKfZmDypjvMizRl72LHLToahKitD2s2o4n0GAh+roLJ4 bG6oC3eFuuMSnE9Sukv8ZdzCXmwTfbowCukvPgX0/9odmOQ5wFKjcmhuMK6LTWFAtzpcuMyniARv 5uKKakC1u8GOgpPqLeDW8Hx8OkMb81tMm76FY8GrwbcThL5awMiczyfqsSmsgL7JgDnWeeEWZpFi OsEVgpszrMUu4rs0onRaZNx1mQPpMO0s6JoyxjwzrXZ/wyHeLdJkMylEnxbQUox1f647aCkBn0nK HpI9s8FrhuYScrGmpXYK/ODrQs2/gZ0jWKowKQy2fVoSjNa09Gjs8X/bSZSb58V1P7qU9bELWHwu UdIza+KdX3KOaeh2m+Y/zT+7hmSiM/jSM3R+mapRKZgo58mX/XPhBb9WnWCxZvAq1q3+v1eaQ7P2 lCal5phJDv32nRPyvnunmXsNYU5nxQKzMLoiHv4w1LPaYigLCJZXoDw6ZzeTgjrJi+oGpM/WSXw0 YxAx6FU44p1RMnW1QUCoCFTupsv4FtkQw4UMgpP2mIiSvDwcS8xMO0Nk9PhiKjWIBuTqDpI6OKW1 LLOqnGyUrvfOYAXzfulKtc5f4iAFuomssCMzd/hFMSa4QFtxY+VjFfBhQXRpKiNYm5okPfY5dYgv SGVXkL4dmRS0mT1678V7g51ltFma+fkKUcOFWBFqwShQf10myk2dipKMIcg51M82soK35fSEgICf bxasLNZG5ueGX0qrT0Eh9La0SMHhTpzh8FSLC2r1Bj9vxB5G1LhdLeA8KiX9LiqNsY6YHwgwVk8C T4Nnt5rbX31TqE47DfK3e1feU4yHk7yPMo4EIHQq5BqEsXB6Clduz2BhBBVoddrVeXRX8NyZsocx Efr0vg92NrWFAXh34wlY+egtMmTt3CXM8/xBdY/d/CsQZbThDCfRfY/cp59Z2oKM/YfwxiZ9QUjh y3UGbIYDxWmc3BP1mmJKyudpxdLX/Rcrsy3rpne00nyts/eMHFtd418htRMc0h9PAeATepDzo4dh PPkH0jyhxY9SJqIyuhIbhyd/NVlLMr/ni+14x7FcRkquvFZZOy52B4jV3DranuuEsCOVXoeKdA4u isqifXDrcnwfstM9NPlEpvRTt+d7y5tfhpCnt466eL33NwIxrSSeUbDD0M7ZPxMTbABlL4+5syln cvGfhnnDLtiqjKBzJMDcCeL5gUu9il2Ff6F0PPzQg5YWRnlkgjQV6e40LmuX8GVDE/a6TGQkGxu0 qah9h9wbZerwCXdv6NHRx+qGvrQQLqyfR9mfYbWPdZpXj6KhhDpYvOKIKOdv2SY90zZOvE7n9dqS fiQ7KnQFz/fUpklkibr2+EMCKOwdPrJv9HvtLNiM1Q2Xp3ClPnzoRLbG3iCe3qkXrZPTILucUOo4 5d8TClJNX2p7jCmn43IP0lMTILM1HwLnKItFJEaOYqRvpryrp8A+4F4x+zphBajCg/yMJgvIjxOo HYXyuwtjAJlMGNlPpSz6Jqqh+uHa08EPfRQ3pQQTVh7hC28lYarHUtiOSFafnqB/PvDsjoN+wHP8 GaiXXJyESAXDo8rND5c94eFdq+F4/PPTkpgFfV4SC+glvMOUglX+GNWDnWP06HS2qJNpwFZDBACY +aR5Hu2wp94UcLk3SAgZCxlcdxkdIsDgKlrBXrtFuDUMyzxmHVPg09+lqfDQRvZF4g1XhYGFbxro LkmJzWic0ZxKjhuUn80fo83ajGVuqJXZE5HQ5YzW6fZLH+qNb6eAF6Gp2v1bU5xX+aipF+pNlRXd hZuXsLao874K1MxhcdjkTpGAZX0QYqJcdsVK1hmwMHhQIqpYqsTM291VAh90f1tMjoaZq8tM7F+x mzAXck0PG8Te60l71iHlmb7xOhQQh3vh2ctiqW0kJb6DW694qxGnxXm3U0hrdYnzLJ6BEIgkqG6l B4jPk2p2PWfJBLH6SuWh7NgJ/WBd1hcBJQpHgroWgSimgxfbAl2OnebP1L8yzJg49AKTIq8kWbzd vy0rD0itlcKMxFdfwWGBqxhMWsLl0bbchOjCieDNAw3bye8HG8ANroy9MccvAYiwOmv2/AJOpzCe X8Lz3EGz8wODrSZX+Ygloh7oFuPOjlfmJ0DGBjN/4hSba3TdWHCnqG/llqNBQCeDLElMyLL1tKZF 1AcP2SV8kAuPpkA6BWxi4rcLkbg3W/CXJAu0bk28dC+9eqxmK5s60LkO0TLXi0O7fZP0Lc0Of+m7 ZGjBvtaf7NZ/p9UvZTXOKDMlrDi/QrydU3zlZ9DZs1HgbGumumBHdxGwM+e2WmZGO2JJiYp9Q13T fUyS3Qda/KpfSB+0llWo+hixBj91oBR8DEi4i1tZgdmOz+SZSetxhGFbDsnYYnHPvkM2jDzGgbOF OiIP/jJt1Cl3QeTAjN/U7KfkiNbqk60M+37H8jC4pPra8k7hr2Z5hHXrZxBcvoKRQyPnj5KHVcNU pWWhpJwd1I1SbRmAhApqXbgiztoL2gAH7Jysbrt+l8W/XU9eVxuq5hYXdNOcHmO7NAKVkdOt8vvc RKzHFdyVnuK4H4xB2YXl57VWUg5nZRaNy2+85lxRNZJXTo9aMaiSDqzzVJ0hE4xRz+B4JwBHxtVg qcuwGVVfu3t0FRoP/GYGEpf8n7Lskvx4vn5BXaXuzAKQB2quMU9jk+i/pgiPYTHkS4ET3qiTex66 0c49JdCYrAlhioNca3AiadYtnZp2T0d7cpYyOdPixPLqQMzB+oAhyNadrbA4aQFg6j0jzVTI0toY vffeYzMdram2n2WJOcxLTft8HnW1bCDs1BKexOqg3i9ayELLXQy3F4GIYiNcAkzCSUCoRqiS9fAO XflP8UAzbGCx1YuxVZLTYFwIvKQpavJrVLOubzHA6UpKWBeP6wT65zzMaPBZ1P9tnOyMebVzfWP4 u0XZusNjUze7Is02udqRXKn0Pb3k4IxerX9jC+V8mOrJa/XgZCGt+iFbVcH1gYQqEPgV1pwp5onH PF1IV1AleICHvH2kZ5TyMP9cEofVnhV4fH8JWhbqKiLcgdqVp0WO6OqM+CFZ+Ed0oE+2Tisj7sk6 /FbHERHgcNBsIfLyemuBcl+JBOQEld58PcjMAxqn9Hj/r/zICL6YVh8BkpsQ3ivBDC8RacM5YDa/ x5CctW/dOLcLPto3kEZvfj2PQoGQCg+Sy1n2BLG7GMYCCteOYe2FLo8Xq0qmeXaoYwf1CBckUwDj +l9wMh/A957HwaTMNsyyX6ZZWVPqPGCnyWUe5Ynhyvo834vUk3+meSW2D1MBhLjryzZOZpcdeEq/ qwY+nM9Ah6isctNkC1YyVM55U4LkoxeFLQ6xV6Uhrbp9GLMK5IoRhSdb+wb7IpV5VIWfKJMlin2z Crw4lQDqkdPBJ+Vx7uIo3MlK6msRHHMRrAGWzVqA/+GdbHOe1zIUzRha8WzmyYubkH9h28Bvx01a DHbek2ym+r9e6WFSHBGkTcTFrbyXF+TV6ycTPrpKh71CWK8ndbjByngpz/ZLlWfA5JtSPYJDcmhG 8GyRNHL2vf6mH+7v4v7PMPIULWxeOIQqGnCpwXC2V3bYrWSH7Zja8G/iHk/54ZxH9lYUnEJWQ5rX YN4rA+RtAstDhdXkUWdAWid1yYPQug+6fazMk5KgA3Ed5AAryc5poxjY/sbJ1vfj/eHAOz9MK1We dHUmdvSy7/eVfxsm5ZuSrf1EizNbVo911kZAyPr2aYjrbFQLwHvIrtfwKchdiMAEhsWsg8phM3h0 8y1e2URf2Mw4GnGvoZ0NxH8NbinNzS8T9eLYkmF4oYzveghApyhC/6iMSATqw/zcMOv2X7YVvqvy AlSZLPwJhFegpzn598278CFsM9wTpHE8fdkJPou/XEENO1NQ3gsJOXsaCRmL7cF3iVknltNs2Bif 1ITd8FnnasOdgyt5NQkaHlB6R61C2kJOkNb/dGCif4uz9P93m0UkBW+zCpuuJtG+9HdElUGc+EZI KF0XMfdCiCJLZMsMrElvxX9YC3cpRhH+0aJGoWUIGyNsceaV5IVTV/mDJv+8nXkia7Xss5v4UAcw funnHYyZOssA8X+wK+EGBnnoCliFNiH23aDkLb8GCfRFvW7h7Ox8gHsALJCY7TqeTaZ2ezwuy4g4 SLPKSoSpjOcRhMAWmLkc/O6olqR3sAcVwf/KYspUXgxFLKWENoq+dSwo5j9f12sk+ulzuBPJZK6p nSdX5v3ojkVq4SWgCqRE7YYz5M4Gg3tiMNTPeFyxwQaQJCiayThz/uuhqXjaoB+IafFk/1PrenQs BkvHL7LOz7i4f7mc6Q42n3ePVj81easL8pSG3yQ0OsV2kj7RQjkzrfqFish+fFPlWSQTKtq3hsc8 XkJuASBKnT7sFS2vNfPBEpiyngVf/DLJsxU4p1MUSVp+MXtYSDotibSIHBwQlu1SDCIY5WkZ9FJ5 LZLMNlhiyiSoFHHhKFO6yivQxw59+yRvjaDJRtJVSY2MkZta1/TQ+gOhuN99fpeQyCLpapapdyCB eZ6zanRY+JcpZfSi+WaBBhJeFp51AaYEHbAqMhyoAaVaKpHpVxq2wllSuY/9bfmEUhLlUTF3P5vA cafLU5CALqLNzg0+xJcW8CQAghAyYPQVDf7ZdtQH2/wElJATuoeFRTakziZYzrsyXd7z6CVs5hV5 Bm2/oXLWcdkuL7O2nPetAmMgipWeVpu1WDeMxP5xkapfSGlXcJfLbPe87FPynqdQJ6tGiAmcyoM7 LRr7nnNGy2Znp9v7Pu/hMbyASFcnxSd09bNEJfDIGIYvnBZQORFaMaW9SnOPMg1VBoTCxjE8d67m LoQNSLhGso0a+XDHeIQgGzH9CFQ1AqAfn4E8GvjMymvx+p8zU5iErvwblszCeoXRriiqMH5hJEXO DeknzL/N7QSjeYXVJgHdvr15aNzWQpJotWRsmpqqDiLBuUBhYRG8jvMar+pZMqFfxuRzNekPI0DR kUduaz6Os+XEEdLnYHBsQ8KgIIX9dZt+EJrPLl0f8gEoHi4+fhtjQe3C6uTLePjxe7kvykf88jlc nFcIoHg6LAxPEGhqjAOFGz27ET/S1zEGf5TdLDJMsR6cJYpi9clE+FYV5VxaVEy3+grWDoh2xmq+ RwDA4W6eegCfXPV/3v9Kg+kImW9ihU3VXcygr6PsOuefGnlgONUwbv1/fe8XvsKRy8QflmjBuZVr 5mRVP4C8p1BSqdBkXaAeKJWEV3yw3uk/1AdQR8LzeKJMVStQ+9asOupBIErhny2jkvxXmnFRNBsT P15tzTENeus3omTYtGW7JbDORBOac7ImDI7XgmPrZ5MjZtY4ac+FSKL8oinIU08ZMESJ23IaC2Kz wBbtyaghEIozvy4L9qnRk8yU0OAp6au/AktIHRgQMXjxBHy87D9+UtU5igC7uJyeIOr6w/f1f2PG Adb2w4vboObAi7vL2fUNKgYOxQ84bVA6x4LMV7/3YP0TIs3iOtDP8glGdBum1riXN0idbntVpqyf CFwch+sdUaaeuQoC67F8Z/40WbyZWRtjTr66+Y6F92wjM0mlV8hFMHcTnW8UsdLk1BN7p1z4W0Wu vlkQaIRyBd1MItiJSRa8pEa0MsxzqIjpzLgVaSCcX8274Bpz0GvuNCjND5lawWVgrRua/0WkA10K Y/x9l8kQ1g0uDfIppSx51mXwTdRTKHknNAQynniSPF0gStIYvwc02dTYBxpXA9jY2NLHll6FIJQ8 sYhUUe0nhQcnwpvZmnxxUeAucJ+Rz5ekmZXZRr4+3GcTRvuz+6jSwxhx/8XIVxENwo/GKlz90XKF U6I2Vof09DSjA0Z1COzSw1NTyjM76aGYWWAjJogUF6T2r32Ko1RFLfRJ3gwkH0vryJI4ZJEJTzcd +QUMgdvsP5L4TvMHe4M37Mm9AU9AXjdXoeOC6GRtEVuk6P9fIDj8dzG7GR9E+PuUlGRzRIPZrYEj VZraPZd5golnwRBhU+JydT2UIqV6jvsFwqDOP4L7hStZvGz74ay1TiPafpsxwsNa5NH3eeznKzVX JrRl/0xmw8TSLvCyUaV0ku3LSCj9B6JEuDeD8S9fRDpRd+OVjX7f8YDuXML3JNUSKvHEeEGRTHEk 8WinZhECTF5jdbjavV95+xOfEZ83SK8g/tJ6joZq8UuiQoOJexT+8vbpq9gUogLnVMnksNEaF0K7 KeqCY6tmSaNeulPKllbAiiOjkrbBpBYt0IXvdzym9pkNk+QHqL/27LhvYawDTk+bfOifka59aZu1 jpf54eIA6h/M1z4LCUaGpLHGLji4OG1k1AShxHu5zny1I0Hry3X3X4riVZvIU7jW1MnGe8RwrDZI fAsMxum10gtWXaCzEmGTLzieVQwprqpS3BwSrFVL3c4OZX1EB60VhkXrue8G+B7YO8XjF+8R3FfL YSrFCzqESnkLqlF/1Y/SiOW43xQj9nJYWjInH2dXkyc4aIAwVYnhRtjJa7r7TrAQTYBD7QP9DDl2 x0nOqaEAd5VX7Zq/WbQG60/TkKp8odVnqcwUOwfDH/6MEPnJjdsaEZLuxLoG434nAygCpYFLDfmA hSzbXx6FLqc6LOZJHISR47q8aXH8Z4/YyCK9kGSDfhU4b2sxxm/LBMaoLiwFRq4H95cy3DPxKyKQ 4Qz5sioBqTun8bYj1Yp4Rwgyzt0D3oYKyV5o5XskMDznNdRLK+OQ0bQ9LkpsRjOaXx3PzlIdcsJ4 UbI5DUzOEoKMEJ7jvDJaKyw2mNHsCdyulKRrT5IX1mC2N5KafCoI49K96wkPOhWE0ug6NwG0Cho/ EIitDW5cl4hVSmvCh2uhftrEh+jepvmmYUGVHSs3zkwMU413w7IBge+Aq7naFX8x69raIQKGMM38 NuNGn5hiWqmhErM/kLGImoXgfh9O3j6CHKAGQakW8VfcuwHFtVABDM6m0YSdI8ALCsK490/Sc4FD /Wf/HXRpFpdhLgkr9OrWsRFxYbjRNKmxkIz5eIahhq/evVLSe91LbmNSIJRVa0iOykmRQpDotQhb +Em0dH1UNyP3TwQ1aoDPapqhgmYG6dMO3QGSeLvEY2I71DYz7KvbLInPrX7hJ12fQcr1H2RXKhhc fjLpJvGJYjdyYYFeySY4hrjTGxRV/r6rfrtwLWlglGQhK/kVDZO2owXnvXdZnaDSHZb/3iNocAnT /JQ4r4W4MytIaZutc+QdsP/dnDe+ciNk91vEx/W4tgpokLSrmvwObNJ5ErHg0oHIx9Do5fuXM8vm uUwdFBpccFxs1whvTNd1JdIVfpuSEyHgWHrTT5XV6o8sWTAnoFKcbPr031FcFq2bU7OAdCa8EimZ aZ3dGUgrUz2MzCqdl4kHxpX4ZFYfdnoZ9KAgFW/Qgn3OY1s9cDHRpeJiB75YtrFC9qFI4ZhPD8dg tps8rc365vmj1TEC6tH8RWEOohi5P2PwuwgExccZt/zMqTHUQCSt1J7TZ10cK5CTPGgN6fVm1mHj b3IdC+hhufzF9lR8SiFIH5cIsZKYvAp91qdcmc3QOnqO2l2uB0kVop2/ZdQgKCx/K9NO4Ge4PQA7 zqxhGsxNOz6o/73y85fCrWxNBVeQBIgy+XqXZhPNnHn+4KYT5HsEyozlm8T/nBH+q30J1Ysf5IoD Epm/XHxMTRwNnm91XOp4c7wqpr8jp7Eb04XOCTQshxLci9Z4s8DK/jx+5UcooakL18hIgjsrGYl1 M9ibReWTvSTd/BQ4RW1B3lKTn6vT8fV2jT+evOMRdjX5bn+G35mSZNSTqbq3t8Upmi9yNc0Ihtbw uDVJFSQziiaQvAbO0UE9cjIAq1VkB4ZaUpAnO3rKVHhnbOTjncalkWAqTceKedmXOGs6UqhMkjK3 69KTWRq3ujvPkCS0bPG4t2SCvI/r1PTQ723n+A6tYahokVjqgBpqPQ9ENTs/BW2p+JP+qrj3nW7a 9KCKq9seIetWexPAOn1SzHjzXEwymzmjIR7N1Ay8XeDj5HZ4dLOdwE5jak7ARH15AjlyfpgNRUiN DLtZk4W3MkbrDvmJBsqwjAdK8tVMqvcWsoypK6udbL2IKFV5Td2Dq1KMMIY0r8LkoMerCCBGaXWS +ixmeu2HWrEn+qklXABQgf8+6/DnvRdNogA5Wan/7P7js0kGtjTxn1lNvg+s3S6Lyc4QmcBjhi/N VfblqhJCk3ou58nxcWnMbBJE3RRbSCTXd/uMCfdPlK50gVC68BFQg2sRFfIQhklxgtpfte6Rxeuy wAO2pBeTLf9xsdUHRkn3vOmd2gHG1TB8xf//VodrUO8pqQrUXvKfaohw0kaZlJePg3CGBw85TDER gIRuMnjoccVllAqzdLIZMt8II2DfnRYw4RHWaXjjvBAo9TvJeR41cnhqEFnnpHID2xsa0IVaZNJa P7BbgT1jQ3T+zWwPGBNAN94AS+W3+1ohMqNI+pMwLVUGtHmqX6q8B/TlpmfB8Z2m9nPmaihf1bqQ 2M+i+Fp9gaxdgooZWuvmvTf+Q0y7x8CHIz3LUH+acPlhNxDRTTa6L6Nh2RMrQpDp7UCWh4/ENmLu hPH/TyXe10XWEtmLesB9+hUz3H5PcHdEtI3atFJLbeumNX0xaKDxosG8RNJq87Bbof4r9g4VoRXP sbXH2EJSkeqGZ1ykw+3KyisRrGW/xW6syRDj0m7CSsKv7drn4hVNttU/M0p/CebJH2BI2Z93wHOu G/Tylsk6eQ4kUCSuCoxPTCG9JODSRjm/R2tJ//0b5Ehk5gbUU8BP5JZNzUpxBAcrofHbS3OwINcd X9vmLoudZWdGlfTExzgHiiyJ6O8hw1dQ9YoIsZ/mW7NyBMz+/PMIgTuqFuGxWHhe2ft9vG3I3Kw5 9yjpcjwidnth+hZYhxR8dSpDeUTsPr7MRx+HBYwBpj/THNykZdcQ6gg7UPzA4J52ZkQEKbHQg2xO Ldez4Mr6M21Dyo8Eijz3lhoMgCjeQevTF9+Ztr4KsWaqpiKhrbTgV4Nwtv0V+poSa5Zhrf80MTXc VjN+xY2HBqVTkHCRMnP+UsFAUpSdh2NrbZfwOrHFNJMjlxjjAlKozdyySUMnKn7sqVqOK6S0ADS5 HHKhT+BKUAjXLSgQodugjGvF1wwxWxrRNs3rhfqVKESlaTvHg8t35BXWbtfrkSNT2GNmRCMef66i bBR2wJa9fGZLsJIGCw1B/LY0qraUkcbQmf6VtajErMdWZqjvnQ4PzQWEYBwJBmfF4EaYshvW+XPZ E7qJIK+TRXf3TI5XKxZfFKGq8/Q5e1QyPG6TTUi0N/H1ad4htStoHN/JdJw7bfdzuvqFhEZCuSzh 58GtKKi3ARZ6IwrHph6cexMmt2KPEYcOowRCCUxQPNUKq/8gTXHOgldcGGunRbOJj5MijOHUMJfb 4ehx67/LdnlfY8J3zFa2ARbf1gU52imAS6KMWqm5YBLjV1cl7OnJBRVLhxRVB3Yz7E/1mLZpgqPx ooXmGNb1UwaC/d851ejArPxnJvzLSdgvqRyjUEPUfoJtF0Na3LdkrQGqlK4eRRrdxC55eJKR5RTn xJsUK9BDFXAAlwuteJw6dAgtj3AhCXE59X2rxKQMCyas4H3DnUneEe/BAl1AuyH/5Bm7H7F6fxZg +D9D1deHdEr3hVoQ89xEIFdTzAUemON8rv+UCoSZ/eYUFCjygwRRFF5DJfdAyfbnTTqAKP+oVNVi PunJn97NZ8Sj0gtoxS0amFwjeZKd+9Q26bw448vf8EvJroVLzZ7Khfh8NV0D5BvytfzjqLP+Ap4S WDXRF5NWq8FlF6FHygTFwyO+AfIITR5HODntD1yZda5G/v18+sS7tEu9zfQYjM2vASA5k69QTWga QCRr1pml5w70VndO3xzzL/1K5IY9r1bq5PogidmN5Fs5Jrdv9xfcoiabiqO0HkLD1/Uq2DE/QYQL haPOQ65zBKdoMcOtpaurjdepaj8SDeQtKj56PHxty23U2f9NlGGQPyPHWI8cSn436JZOnz8LNpfC /O/oMrGckZxb8MQ3rvAYVyiRJFmtt3RRTvXLCDCKnmB/hTUGKlH+qYE4ShDmUOTuhbL7b0VEZqmy TT/IFNw253hrTuQjbtYhAhMrBBoT7OGr7ev8X0o8F60cZM/YaEIuG2m/bL+j+q8d/uoEwCMet/eK 1xpzOzuRoCLJCpb3H7CitEllx5W0SF4Hi7aByE9XpQYF991BIlNeHWcQxdbfUCVKlAZg61JU1/7q a/73bnB37Lbc7sVefktMBDC2Km3C3wknHhGXObd7M/CKxY/XN7pdLhxadFJEHi6VO/ueqOn3h5si uE+0L0PGHYuqdEbRxn2HsduhQ1wXc98xHDERWu0KJ72HEDSIvrIV7m4ak/n9jBRWMME5UGzOQKhv UdGRVn2+wEMw3vEef+nA9smbAP57wuSpf5ybRhiFfHGu+XvCTdAPsL9b2mcQ0qhG7teNhLv1Jgkp eoZe/jKu10CxV28SuVZadyOrq9mWJipNH6emCsIKSYAIH/VlQxErsWW/xKxkQ9I1XhJs4a8LBXBk 6OXdlH3Y9nDQiesPeP9jSJpGTARAOLzIZxXFILOdGHHLksLPxPn/gyk866TgLOLFk9aHmWWxjagy V/OPo6FEFF0bk7z9Ekx9Yt0a7pk+Svq0MwdgHXRj2wSYTRCm1gkBHlu64mVr7YSQ9uP8/Hgcg2zm 2fbCBmFDOnDdw9TPA9ydU1ffhO/w1wUOav+GoTy60JaLW4TVBjnrhlBoiIONyd6PYAX65nIYaTN7 gUif48ImO8IsbAvKf7GOyDsZm9GNCbokgxDoSduSmh/jd7K7oteisFMMbvdY5/u3SxYBC4kMB3R3 nt9ECe3t6w8lejLKbQX4B/RDm0maZ1YV7W3we96gs9rXcuqzCZtqIGKm0oW7L7tH969yVRqyxVjd TcPaI3cPsUlL7Hs6UzKk6kFy8VxPPzsvaQuy265TTomHOdYrDkoA3PVvrshUl5BKkjaJP5oBFul2 Nu4IH+Y8RtvQufgTfSIA2WOEao4x8L3MjaWviRtaoKNuxTJnhYfraa9bh5mdbECEA8DRTo+7VQgQ xFfa0smS7eLP0+eAbO0Av3tNe1PkKatXawugyJw7tJaec6ZHIMn1ZPRgqxJeNSjmdfFkpb1VVyMd Tow3kf1sWVwuuhvuJc9khRp/rvSwt34/Z5H1OMX9Rs7QE9hldBBSbE2UxoKXK8EKCjD+OOYN9g8G 8vqERM2u0L+zM6B7/SghrILwW6baKG0I3yJszNJStCAvy0Uf7eIBDebaC9chUQC8ADhB2CR65SQj 4z5O3WnwOG3FwwLyaMQjJlkGAS3yWSCgEaCrdi6HdNgegorljoHvT5L5YjWZfBVQlB5m3/+w+gNP Y8n4ssEc1DJ3K/xrL45/mYFWqODQfSKAvqo/5lR/WuHxPUC08tY4hQXIzS1kiEYMtLxf36NjPykV KBWfOz6BVaZEI5iO4wYfkT7yOXdhhcIoxUL4xF4TmblzQW3PMiSQpbndMwSjzzjosDJvxTutJ4fD ka7j1gAnkp0t//shVhzL8CKqRGkT0vam44L0FugDmLIZpPJKGLax4Du3MHzaEuiKP3Ge/ZraUODR quHYC0xUyJTSZ1JlGBs5bZrmckVMX5KUKVk6rEOyZknxJVcFJi0C7eLCec4ooESnz4aBL75PvJCx +BfLHIEXHUBW5EQA6JUqBGtzyQIx9RFJPeiq0yC6pT0ENOGqTHaTALsVBeVi/GdQloQStYAmkPii YP+eBerrM9H4SJK99WRziByM841LYdH+Q+fb4sd2u1N4ioNuwrZYutpMSpqxNYNPWZVfD8Om2h1I Ty0hEdYqfFQPTs9s3+lwUiNT9bDfIrnZyKHKftYM5H9y+GSk0jeFDateu0JV5ci85+VtDYoE5Rlt ars8hEmp5w26jDcB61JHE1aMqhch16Mj0TT8HRUpWArMmPYfZeZAUZ+TA90aMeHOim+ydIckwmQn EORu72xy5skxiOzQrh/ldSk7Qh8pEOrLzUH8hxto9SshWf06XluQrzhlyT2dDc2Jwz/PHah6OSod 9XgaHWMJUBdwFjJ9tfm6v2JamHfQ8CBMYHdzs+Xr1TjLvWSdcXvVqUDnqWuI+hyxOi3xIfSD5PxV s5IID1VJ4enpDH8joWexM7RfmtXO4a5uRpnfye9TOo0FgBqjEl89MqZp8yUc1W2x8Z233GVBWVuU /3Q/y4kpkkFSexu4kFaCodmKLaj1VK5WdHrkl6wvHp4/RA5c/UB/loN84jgqggn0rr/QRbOIME66 ybhy5iRekbcANz8EsO3LlrHRNVVohfpdO2K/clXTUsWYb8luhNcFI0mjMvX5vWoZHjd9PuYhcHOJ hsAESngH/WS0EXn2llNl/iI96f6rOwFksk5tZMjLgXWkdDLOAYdWDEELHc4HfMaNgYfGFg5FrVZ/ rpPHtNrGTOZtKNVoNApB32dAErhOVLjrBRUDpGeW58VqVWLU/DYXu7I9Wt2Q4S372a39mgZBwH/Z SSi6jsJ2wi6MgeNgOuyAWkqV09Ew63nNNgkQ4eeBPMk4tGH5ychgyJYKNHiWuxmhllhvJPQvTGIv wjuxB6WbXygvvym93BQD8Lx+UfV6N4oxLB1ei4TEV2cbjoE9yVRkMnI8arI7qZYOZ8X9gRQ6sc+t aNJVBF0A+pr6ev6vMQZEDKnHcl0mEAt6wmvn9ttC5cARWYBJwZzmQ656U63+4kDFSAbXu4vJcz9n XRyZc383m7CtnFbiyy+jAf7WPKYDljxedAEHKFDzFS/18VGJo9n0aiMo/w6yjzxEFqSwGG9wsDbX 0Hj0BM533BlYsNnSzRY0S9NDMxdyqJ189KYqt7dzsSwmrhEwSTiaCmz5Sf8D6nSOKuN64jshibrJ PeSvgB9mglPNDVF6Q+616Np6jqcJIXW12kITta0rFzgyyxojSyAMcnHLjM7aKlwPTaAZKqoGzCiv pt3oum80TQ7bqYJ22XdpZ+b7qnHbyzs4nWrQCsUv53Bv7RI/cmXELlWfjiSwJ4u8glt7f80D3Oj9 N0DTbiB8r5+xP/7xv5LZazPftD+eO4yadiihUy8odxu628XN/3ZDN/o8k/P8QAOCAihMSPH/UJIi czA5AsNXBYGlAJqHnvdV9uxv/WqBbBeKkZyvUBlO/QO11HEDUVhQCfj0UwJmMAHWit45MqLVNv19 IjLj518hG+Rq8OackIqivG/EcLUvotDE0FcvulSx/aZpRkjEB/jNJBP+svz7QcyPtwCsuLIPj1jL gsWkDfnigynAnhvGzuIr3kV9qgLIpdopAs6VmzpbbHHbDyR9lqvsmemVzpSXX++w1PXz3RspCVsk fLpZkmCsKZvNzOsYlJKMXGn5PIpki6fg4U/PuRlbqFi0mruhFxCoWMlAnP3t1PyBsdsyMz1pVSc9 yO9P/h677TES341TdNTjViUkd6zPpQsaJW2jsWHsEEUB4XWLGJxKasZ1t5tZlfjh2cvyG3+JHQCZ wkP/OBTyc0v9umWXh3syfaUj8nl3x3YrdaCI3PFl3K5/OEPauwsEVfXD//GjOUyYhNt6vDJI7dEj 16GH7UQVg9EzDkdTcMC5H4d6IqvnEvP5wbXm3th8xgUCyap+1FRkW1DJfrWsgAdek0kVdgJuCuH/ SANRU3frRGmlb30dJKeM8yfk2Zo+HvuWXNmMO5pNrjr7hOCdJg1WOqSoTxHLT9YcCpC56mkRth4G pJklPf81kup3Q2RUfQg3MYFkq91SyirPOW+UmNjn//nccU2nVBhDJv7exs5qP4OEuvDZcWG0g2Iw 6fGmc9+JpVdLtlvNwoBdNjXUXzj9Pvfx+kvfoIdmyb1q7y0eXSqPoePDn5QzuZHWF8lASNHXto3C 8suESt0Xz0S9WzdhvxGYQQ41TYbBTk35jMHUrRcWi4xU+Dki0Js4Yq6Pc+MsTjdh3iZEQ0HS93If X1yZTPMKfzYV4y9jnlGp+TjnDiP9fcjxXRSff430Z1GSGEmNFyiWO8EGq5zxdeuc5i6zSU6G92bN lQmpgSk+ER3y9k8CT/lLj6/4W6REvR4+cFJdoV7Vhsut4Kz54TJ9yg7SRxeU2PmfDoNApQpI6QUC F4h92NECUVNQ7v3o5khBK7Ete7clEIozJYGtwLhegKW89GDPd5fAxuXhyIm5ob5qITXjq/3wBXg8 8TAePUAhz5dWRznDxZreg6+swxCIMkNDFKity421k4sj2o7+OvGIqoQFxoJ1K3mZeKRGidFBCDnQ nVCGjVLqWIyp8WOKuDQ5mHAGleHzLofxGQTGgKsSmqX0AaNTvHfT8QyjGPRbZz+BrFDZDm4f/FyB i5/a290O3k+xvcn4GInMblM52I2jzt0wRU6GtmBVpPpiSIrX+JZknjYUV98lewVjVmtvK8oajPHz cz+biWWv1qGMFO04u8G4b4eqsPZ5KxWUvOD9rE9BFfVPu55T1blCVb+7qHDM9vnvTRTftPWgklnU HuVrvKb1vsfMftCr5/ocgZcMg2mfKeIQ8L4EXIghc2MUgePBg/VL+MuIlSHnzhN/En7umW0GddkR N9ROpO3l0Y7IXoKnRVtoy0o+p8DHvWoD+4hB/Dv5LopjsIxtdFbFxDB2OEKfG1e4r4fyHFSE4K3D sNziMASyNgyP7tx8EBzgF/eCT6Zd/BijvwHfpOEqMTN/V2h+qteXC8BfTSWnU8kbpS78pjgYqMQd UddlPrF/S7ex7tKoveP5S5WNF6n8W/DttX9GyUuxT64dxKgL1xEAdYVK2X8wDzopVEndAmqQRPZx jQWdThfn4Ps6go0MUGaUXH5dQTEMNpUr8JUNM77mAUOfzOwkdQ8s3UQ/uDeZwmcCgZd7qWgKuFm5 GEZ7baBExcu4MEHNbfacpKYiCByDpumfAoKbMxTYecsJNEhEL5coepbzi6Fr3Im1/hbyNhiNzo5Q vsmB7Ohp7aFG+MqVQk1pJFqP92U+Q8n26cpYkYHjoG76/rjpbVnbNG7e1evLsei5tZjwpXEoSWim AvyQ9QnU4yrLx7TqgPSmJz7TU4TzPcS84miO+TX4y4+zmhYQFY+etSNfyoYrrZ9xEgbYvegSXm9S 96vfVMGez4atAs3CNYjOIS3ej0X2ZAfG7drX4i0Dkf2YaGqHaGJQTm8MH1O3TxGt7/Lad0mD8ky1 82L35bYaV2RqvdBUYOo8UyBIA4JBF3Qb22VAlcUcoZ6ovvEoCvvwUVS9Dj3+KTX6KNhM9549Mpjf GaoIJd9sjIQuKAqEoD+eTWgizbIqzP7Ub4D4rA/ObEjtZsAWX4SwCgCnrV9eUt0TYSuiHwRQxuft iwPBDU7/OyZIXKDE7tFXH0xpimNRFzzoCq87k5A3RWWiA+35sHvmJhXNse6okKPBVJuhg8qz+Ky7 ToA9ZaHn8BbROuAkUDZMAcYJIExoRfZ7Eya1CRvXhx5Tb4S4ptYrafe1xniun3fskXLOse9ed0B/ frLg5tgw3aGmks8qSPwKUISKyAXOEaNkaW9/+1x3LYFw2wNSg4DKYxjO4+ORq1tYN6Q/++hUs/8a Cq/JRQniuPZvL+GJ8WIGLHe2/KBTHFhrjtgl/xe3bisgYRoc8vtp6Tqmj6JdrtTGGDJUIXMG1Y4N 0sddnungYL3Qwmy9Gd7NddJPPeaSWOi1R8BEKS3zNEImDWq8wgZ4oovk0ya6+jdd7LPnwB2km9kV WV8zKi8bycFWbTcitt87GWD2/rdsvDxEdek0dEYF6J6IEhn4OgiK0484AJEmKIQFkMP8vr0EpbSG fbK1dw+qyt85/bkwJDSwC0ntsG1rgaT2tFq6JCR3JP7gwXPcfjlSIuBkJMSCxctBAvKbqU4Cus3x 0Dw3RmR3KfRVH0rY0RFj2tuGYa7ujfxzcG/3nuYQjPfhnlAbow/mTC07kkYXWFTYn+kochfVzoPc HNM3rMOhlkS1f4t6DNQDE3DmSs60cRZkM7ftHiEGnNJPjGhZh9ldLgHQNfQf1aqp+lm/ndEOPs4f t1bRn+6KQdgxZpa7A+YUikn3u6e9nbSwgC6sn26WBh3HGBcI6B16xZnAvOGpeDV96XhK3W/YJbeV rFJOWLY2AIEepR9dUVlaIruO7+sddYP9F9OH2GMCBRhd/FPsqcRsdQbEH7ZUOiy9d7Wm8aT89bqR DMlhzlGMu4EyZq7JAb/UR8CIFE2xjnOIy+tqFujNRmtsMpY3WfLvsr4lh31owkcdlqxSDJP11FIm 0ksyoZy/5wSD7k76qTE4uXwRDyy8P42jwN4AcuzmPFbiE8ZjS6aSPVCtMsMcaH82WnNe4GzEaFzJ Tc2A8Khr44q9cS+2mux0SG65RCMiflTP6+1hCsWpd7dZhNoOkxHSgGnngpWnzttxKEoGDROBrDH/ LI0vUjRj0G2pYIj9QAXXmONzQ1FTiPblklLzPbHEddmUN82MclQD6G4+nuPAIZ+53rdUc5cbJ/18 IX5FrZP3guo91uzVY+cHXcko1JRbM5XRSrvAnaer4LxGvlLWH1ftGnbbUzj7qooLgB4eAMyxvRff XOJJI/bDzjJIzmrwN+GKwI7YGw4Y8w39aqqWw8oyYoHXIQP9MQgAV/yMCAOFEEQCfLhVTSowglTM 0or3Amww45hm6sjOIz8xOWcab97CjnP4wzpgJ0Vgj+EB1zDglMs6RJB+B1ng4jbZpmlZTvGhx6h5 ZKo9RJOyScoSpuNCoaD0MpUNPe/Z78dk3XY/OaWe3JPTnRE5wM33MlasShIscXZ6c2bf20zSHuOS ThmZ1vVAzZF2HVcRi4aHwYwxxUPExDtSAuF0LpzoqmW7lJbosJxeAkEtNzKVTwqFlpT4idleWCp7 JRelQNZMG6KaZsT9c0pxCQAYC4st9d6wJr7UbdF1ietDfBePtlvkQnS879FY1jKmbPiSPdDFRe0O dK9kRXrJhaD78jkxbjgQ79Y72ro4e7fNjtI2O1WGI5Qs1ALKayotIvUicdOf2HjhpZiBc7He/5a3 98NwjHBd5nD8Mabr+yQQEkgpFIazAVKndY+q8g3vMJW0GYa/FyvSlmTyzCtvKyzN1KyL5mG1DMna ZjhZSz8rGGB3IukrPjvq6onm/xeMbGuJfLCjkq+seqzS0CeJm3xQsrodHQS9AzeIGqXM+kwTkovk 6mVJ2DF3zYE4LMw32uapBaTFht7vn/VmUm/nCu3UpSsO3y9FtS+yDXFBuakJQpKTjmiR2txOCWrm yywMRsQZi2ekFEp8n8e8j01dpTyj7W8zQRTftoeuMBi3xqN8tEXcahngfmfcWeE07H6HFbwZ0L3A RZmE+4seDPmnr3uC1UDcJXa45ctzbXudy6PaWpBDU/PftJus3KePAVRNDswe3+IuP5udwDtFYFEf aAUgcUYW1nmP3h4LaVY2s6zkaU9fqeMYQUDfXmCdEVW0isWObI3oFh+nRlS8J4AAdUXT4aBG4Xpb h3q4UIov5xIngRTSQ4PmogHlqo9dZGkqjQT6flh+EOjCxy5NfLCg3t1rg35D+ghUD8qes3uPKX/q ujqbUtA5ug4V4ZoivpUd/0bjSgtm1JAUotRciR9g6arj2l2i5TdUfLEso0pLzOrqANuD4aBSoVdG numnBmhr6na/QGaO7hc7XXn484QR7Q+yCUMtnrtX419yh1BRk+xJeIxvvTEqcKOFeVC8UJF4ntsH UdSnnKZqRgkQunS+oM8r5Kr4xn1zIOQ637eIAi/OJXAF3ZnBM+FqqvOxALEm3640/qONxhMaKzbT Kh+dOIQuJetYimIjCwwyjbia/tzTFIVRbKI/DXFtl1KhhNgjPwIN3GeVSSqaWKmIOlRg+Cm4baj6 ONLLSny+vlL0xWsCBMdyBsfGj7RHZJAeP0wULE6JIx8IAqLQkgrTU52h8YUPTgCZKmU9Kr5Uq6bx gbqw5Sbuz4fNR24ju3uTEFNgt/Ia3XRbBL0C11Hc03gSX+PdEyMTMHnDqWK/jdT3Thf7gaVsGarb cJxoAX3j6z0SX4JVtkvHSS0Da8kpXoFJFN2E8vRTcGO0szcdXZR+DLkk66MSCzOHmGGYBKlt8iTs D/BCwIi0V+2kcyQ5Q6LzLNUrOlQAvMdiAUCLNk7IfVbUxScwZam+jCHX6IeFw0o/ZRjzr9xdGBcm siStu5RMfYXGTDs9yCqOM55PKeZqN6jUaFwbnK3ZLAycKHzjfyeeSIpd0qVCCpHHvmPH9W7IBvMi 7kTogsTZ71ok5o3KZon179p6VgC7PP5l+ZoRxkbqOkDZau/txsGDICRoD33MLccYOddXqjUIqAyk CICd3fG5gYmaE/cquKwC9dD8GEDWEyaPsjKCshHrL56//35YH26R1p3O7VllEmRWXudXJEKWRARE yPHwKiUs4ewiYsZ+xmUqhW5JNCHdAeMMTChXt7F55QOsRjDeZuc7nH7HZPN4A2POX9tfEpJOBk0R YRu6zAMqe4BlH5JeUTdhWW+1vE+RlUidEhVwy3JyCxIcSE66saFWylkN3pyY7UkOcx+t0ekLVmZl C/LKj1Im8qdY/9hf1sJ0PZrQu24SOkmkysIqiqBK9sPsJq1qdUZJDWCZFU1gsZTIYFNDXL1Br3pL i/75C6q4Ol0idKVFoyGHzlg5bocbEfeaIW4sYliaJcrgsMx0EHshfDazy4y09bIExJSRYPLunKDG GbZxee1/T2tzvBNRjNMXmpr8W3PBHDeAGZuupnBbNIcKv5UGPabYTckCMZB3H2faecx98GJ9uLyC 6nTeRFoBQ5B6vU6yk9Uz3Nzt8RZvYySDVcIYLBAN3ZLfHOUwA7OeRnA/JhTwdU75tj/7InGWIjSm 8gmUVTTtX6cONcnoWXGswfCWNvsjlifWmW+vNUp/mNfbEpX/0DhyWSUnyuHZQVnRmjLKY/hnh1xk Q8f6m/Ffd9xnYFsi6b2FJq43L90ukAwdCarsEkO5T15tYxd4pI6N38qqamAFxDRW4D+vkbCH+376 qAi4m3pkNbjMOfyOUjlJ3WTlqHq3RzQXb4+Pdl8Y2ZR7yLeaxMQVa0JwyFP3jI5+SjJqAJ9ioo81 EoyEaDorhlo7QnooTVvDA2+FhJIdAMaCR1NExsY24hPwPvvtqR1J8fi+Er5Aw6SvsXzJXaePTyOA a/+iHn+6qY1fC5VYWwbtn/tQ1vs6pYkWrp+9R+TOenSAtNUXkFUTIwElXzI/IeLWyB0+AOayFYuM X0J4NCRJwQrqSPrXkhvO0lGERnGsr5nS8psmJsySCUD8EyqGDib0lJYIMkLbk4tWc5WetZFWaBWR NQvJbdx0B7m4/CVLOyCo79VzgBAwph/L7fA+P9axlxSMIyf2dUYTWDTIzAeqRZQA8rZWtx9mvQMn T5HXo/AM/segFj1MW5ces9GBLFLs61T50hFIr82+W79uAyAXjxI8kSeDP9cYMiH7Oq1c60zninFt CzyBWTKtqPCc9Q19FokWJgmYqx6uRFxUN86N7PySSfhJ12wMoz8p9+AIGHZEkY1wq0gGVJhb5VQX iBub+RYbuA3VOH+bUIpiFv2OQReEz4/aB6hv96hLSIGY9dr5VytEqrHQjW2QySJgSwlO+rxvZhcB VFUjdFWbGtn80imZOkrDi42WSau4rnAKSDdUQtcuMaExn59QUXxiOB0syBv5GNAplHFWj7RUdWRD GvBa6EX7KU5Cf+bEcAdC18bRfH/zULQOeouj267jbLmxwUylqpBQcsJ7wU6RIxPypKIZT4xMzkfy Coy9xjPkGU0Y4k6R1leMdIOw67u4Sx1JApoVRPVkWuBtpO84BbKcbJ07VFIXwcLDVSY8T9NwbynA 55bpzFNsmFc1KPgAhLbdZrgXVkJ22ssvqVB+dSOCWe+TtU6j/x9FuoCgiw3Cpsn2X0zlIQE9B7e3 nHdfziuobCzvpEqm/dldNG3bpB6yGzUDHM4rzpdyK2UHacX2QS4EMkQoIozRuQ4ScndVLEgpwbJY Vmf45cDq1Q3WwprjN7PykOdL4XG/yNBH4wHiSA65rgVn6QvrRDpTVgmcLPGYXpoXIAy6HAo2VeCE pKYpW2f8KlvzFq9lbjunkO062DCt5LTVk243Gx2Xs14QbbJfKEgLbr8/sZ+xDMF86RXNSNM1czez jNTTn9SmdxrsVcuUsqlWvnl3bf5EDieY2WkFUIX68UmdLzxcU+BDLdjz0SjuY9EibqVcffYPQlqv heRtpihV6yseZynk6KCSYXDN4fZe03Y3Mc3wHmwnyHgaJIPlijBtRKxj7qhoy0H9JjymTCX9ri4c HFaoVkpGMaEAGSE9Iaai6MdxM6V5TfwBIfI88iZHc30YyKSldJjwaWBsXRPbTWkZCTtCgSjJfxHC k2D9qSYpkSCuguVbxggvbNWeubApzeqZVQ50b97mnh7PZocP0jDk6T39LttmuzIk25TnDMMaWvez LFhExcUNN0Qk7g96U9vbre8nNzCsXAkhTr7/9ReVsJhAQwg2iwKu8AFmFoWQmTswxbrhIo2hxKx5 k/inXlmeM22eQwMXhfcKakvf0v4+2QF66apAoMV3RGdH+39ggZIo4fEKj1Dd8ibgO0cpuaBkpSzX BW6t+pdnn2aab4+WoGOf5/26ZyHOXT6vuTHIhZgoa7YQPVjYionj8h1uvtKv6gEGkkGSH6veHEEu 7LxtY8H3t52gk8jDtkatbI0UffYCluuHb71F6AUwvzMJ6Wq9yRLxIDBjifA7ovnRBlPYct+lE5YD UAPJc6qfoNiTYaLKXZiEjpLCZ6ARKY+C17Qz4ur4oT/hB+zcfn1mw5bzLL7lQVpeg/Aj6A29dMha RzzWM2kO3yV66AxJYHHWi+iUr6rqsAZmbpSs46SIv2tBD1eN6TUIcYkXMaHEHll6I/5m1QYausSZ cdgG2ayutTMkLuxc2EYDom/+9qgv66R8iiyFkprihtxsEqU0XOln9sBsLeCethsL9mL8JnlU/KZs pnFv8+1uafqq1+2LLblPWpaQNnt8OUBQHzJMC2LoV3QqGsdlscWA68G19LTWCgm/qutN7g3Jq4pJ jepkAD/I/k2kGnYrOv8e61ftN0iOdwqRW5oyHzvD8ETMbiehSqHtXfTuUiobAhDdw4POoxhxXRcS /qctGtCbGhNjKmujkAfhth1i2zbIsCnroTJtGI8ivTYLubOU+q9h88+8D096k2vthEXesKswYJft 10kCGGB09nu0p/r33f53SlRRCO+UEMWGVesL3RUBU2uoOEQ8W+kRsLThdBlRHsOdQSt9E9Y9mNVb W/WuXL8kjzBms2erKgWhZHmETnQ2ZtCFpcZl/RgUGr1VS0PljjBy+4tKbTFsWINczp163ysGsyv7 nQRNVGou+G31u77OncVkA7ZxfoPwyDDCYPGC1OJuLAXmx/48u7v3yaRwvfneGdURmGdo/IvtzkO7 ZTa4XqhynEDbpg7a4qoGmHBAcIUSeVoBBotAFzqHJvtDsUdDOxXaxBVNw6CTIdJvMmaVY1jInqs5 ffUaAfCVhrLB6IEKQzUnV1Ed0QXGX8VVOb8nc02YRfOXHFx8ePR9YA9+f2L+k7K/e0A9Lq88saCe SZDb/yM5W1jhvo5o/4bk/Eu0qwkbcHfv5eQljtQ6vgkJDRxAr5SJQ6ZQC/oi4jC0SJzwexlOhweg lDN6+xjmGI+UWdoMVfe3qp56yQ1fHGFYCvgPOUJ3BOXa93DSzmkCzioNj+5isVM8SMTaYwQR94r/ rSJne+95uO4bFfgP5gZljufeBtnmrP41D7hCeV2D4y6wo4EUAO3OwsVrW/9g9XfvMFmEP6JpbPtb 0d5mTxZjHPvENJiVqPhvFjv9Cewov2gwZy7Z4CbS9Lpw9f4tioPcqPsZKipjkk8simedxNKpodE1 SwJppIOJHHGUp3ltndiBIYI6oca2a9/BhBnqoTHt0BrCv0ZZ3j74MT0K2hiZHwggrv9Sc+PD8608 auX6jeaGcGe/FSDvLs3x8tkLkKsz8jJZ1nmWExHqUrWmDmmnH2waYE4FsEzHZpc0LScJun2Bca4Z 4BCNIDPJ+oh99oEjuZeIG3DDjvCouJtMLdCytqQI56kVDXVJkYhRkPSmY689BSBZ1z8wR77xXbTF h+2YCwCkY2nFFrP1i2DApYr2hd3dL+HTgoAwdzLAQ6hFPlpTVsrc4dauKkMAeUaBwKvxelFRzxXA 0LhhYcmWQMJCLTbmUvYoMN0GrRZQNZ+2yB62Tm7BviT1CYlexzIE/w58uN1nLw2slpjtZhbsEiVO nJY6vf2Q1rdDrxkW/77bALgEop6/q2XCeNOTyyJ3idpU+VDVgRD9+KO2/o1KKhIKInj+SQBk6mSC NMUekSIyySQxmAoVPsPZKtLx5kabhkAZ1rl0DDhNynRPjkRVwV496r2lPRZFGVL5//DPieobt8RQ jsWc7NxV8Jte1ceVW6uGBeU7NXXXxCclbOmHr1TS93jQs/WZ5gFm8+MLkNw5XYiAHTdtYF5vY2zs +4SO709xTWFUk7SSG1bQRhhnwIq8wGtyPH9y9OYY1Q0KQpB4j/OnhoHhHU4wQ0mBFiVj2UnNezMd B/LMROrOIFS8VeW0ZALQhRV9lsG9bNP8iEjZqAxPBp8d84GoPS4aN0iBEvg0SifNWm/pZ6fqS+a5 j+Dm6HnAIlRuv6sKZm7enxi4MEI/GJI4rE1lh41wymGGBfJm0lXZBe1GSCENuqw6tR33NgvXh8Rh Kj+FiwmpfdA4Sj81LEU69x1tY4uXHnZZ/iwm5fplsWj71pGlbAOlVi17/nIPheiLwcQ0jI0DpuH7 zb9D/gnTFhtLc3OibjK0x9OowEPrVdrLm7N7VQvPS0rY/2gSnTMz46YqcaFGeS//gNyWQ+BRt9xj SbcZLu8zd6q+52lws/JY+9uC5GGvDSuokbTrm3YRETnWE29BRGnieW1sJ+LpSPd5mLgrIGeL3Yus yd2VF+XglZXNYK9Cdp8jMWAgw0QLF3Lca3uL2LaSdlyteaIu9cKjINvfXqRG7D35mVVh5krv+eOA vJlJ28K0vFgqMGO/FrPuJyydsUUUdPiCbIAOPtcq/LtENHGU8gAnpOpRloCJopyAnSvDD7y3HZhN kWBUNgBJ1l7q23OoP1XjydUANY+ogJaMUKF4BRbXKNpT/Vt+povJHtUOczOsN2hPSpQhSjhXk4Yg /vVeL7N53Bv86042GNvlbmnxsLONCkVHVoftT+xpbPSfZ2/mgMu7BONGeKvt20y38EnokMWxLnwr 5MFE6YWtuGK39ORxJwy3iNy8WGSjXecKTjK5Si4ELBkcY8W1eSUqqsyw2IFKplocnfOK1FHVK3DD bMJ0fFOfHDMwJ2tgDPpWmdW6+y4urdo8PPSg2v1fJIXs2SV6esc9ZPeFD/9D1/SX0LyWwANdJGRb l9vNFM9ttCEVSn5FWERreP0s5On5g04qZdxb92gD+GVC+A8eWHqYCwWz3C1tSdduJmbgoqllpc0k 8KPYq/csL0PENAnOO0lkpv2R7Y8nk5WLyT6rPjmStj6QzgHG0T+tJztdSSo+sc5VzUDwbmAFGfvA aiGSAtscZQyGG6n+WksQlEs9+SW22sPCOYN7OqMFrcC+7aDF7OYA8Y221ETG0qVA9g4xbxeh3Dwf jcMt50xCl1g6InPeQqSvE0orxBczFFZu19jc1xbugTJX71b1ttXoTntDn2H8FiXtMRQN7h4dCZ4c vQpuTNRSdOt8lbmGGR/wLhR3OFAKSI3kzri9kMXUx4bzGpzOSC17/nqgUAwH5tUhENC+QRNmkDsV +U292ov+rRA8C43VP09LxTOsqL9UAy6DglRbhjwOC34O9DigcXYv9c1DGb2osXjvjgN3UiQpZVdM hARst9THgAcxRBIBoFj79wjWdeGbe/+FJapNjyY8OK1KlVU1cckmseBCOMjyC+3/bryf0aNy4Yei C7lU6gcWhaCJzxOYBAL2CdbdPJz3rwEXECNYuRl7syHxuaiEjJwRlZljVCVwvoRzaVm+SEGAtHkN FQUo/+QVa+kSYTqhmf7JXiL8JrAlVxlNXpMc5ilom2PXRP1spFRCzpWTTH1lBycAGu6E2nTdNAEB Sdl2suShAQtyzjXYyBJ7Hj9GdEZc+vmtvX/qn0nLMjhDx9VvX/RnW27/gi2zo8XJ6IrCTqc79Nyo liWayZ13HIpJHY4mF2OK4w0Qop3dwMFZb2Ux2EoErjwiutDQNQydTH7jncSHlo1udpuwYAqLDELh 6I/kEX4ygvOF5+Ohmi9m2lxcpziI1ziK98VoHUclLSZIsAht/HhH3ctuRKxCSk16Ddg10kAl8+CK 7fUFSrZ3MuwQKS5l9QC2Py9IvkGxZ7u/O4K9y/2PJrc6Lh1l37zyxWruGLHFGVEmIlJhwuGcwubL GnR1jYLteBWWcWtTHrTbc1MLuLFSdXCsjvOu51O97LG/uBKl3/SoRYoERDFgMt13erbOdjXRPW7z E68OO4fKVECWU1h0xFBQxwimyjzb4OpvtVmEaeVGzTCF9BRzzP78EzS5OxRWrQ+dYH627p/+nQdn BhP01Ab2S6eW+7iqJdnkidnXWTAtewQQq8Ob95O980teFLgQrmo/YV1phEhvleEAgTBcjgk8wpRE JDSlEYrJgXeAkycUNGaX2RZdHa2Z8Td3tjxAkSTTuimete+aqniHH8MWTEkzvmVnNz5drFaFU/7t e4vROcR29BagGYrIH3FI7qR1b2m4QX5u4FEX33d+pFnB25t5Lfhx6AOJ9zvXvBMZypCrtQVtUgQL Zt6mGum2wuJw4RL75ykp3GdLuQbXZ3Eh0S77mZUWLVzsDptzFsYQUoeFb3CN+YoQVRhK+yC+PVP9 nH5NCuK4OJmgs7M6D3O2nh1wG1jJ2MrIIaO3DqOOvWWLddbcNZmgHXN8fzdiZEccwKN/rq/LgJGz gs/J7bA+7eekb1AJIQs97sDqZ+8Ad5ZQrgcY+Flh9Gd8v72z7s0v6InIR7JBBNaK6omFFCL2NQmT GbYcqLMeBUycBkv/CihNKT67nNNcD4GYp14LGplsxhITG5LMPFIHiA2A+/1OJKEYH3Hc+dCfLcrD ENJ3J2nRoPVNd5stJmraE2tV7dUO7QI4O09iVfUynejg76NR282Gyvkn12775ln5QHaHGYYu4zkq timSyFj1DLQBosLauLewduZ0+/NzL6rCTBsuC+afV2Qv+6kNXLh/9saSQbSFAU0eMZRp+a9r+2DT 9jlLHXQoIxDCJ7nQTSYE/SkZYormoHcI5d3S2T3jj1lsg6L/5O9VWPNJbHFoN9TcFt9hro2suPws aVWP8usUelKwwEOoRwEtl85zCyIdYCCEGZtCZSDLYrGrnmQzhiQaWK8m5spVhdhEO31Xl+f6Y8Vg alHE116ViQVZKHWCpUrh+Bxdvi7kS8GvY+/Q9uMKb6ce6xlUmRQl70nGaB+lb8hk+NTc8sM2o0Oa Uc4w8U5cBpGhrVmk5qCcsBvC/eFzh36iFWdrhrO6/j1cdWzpl2XZ+TmUgYjFUD9r89TNSIfOjWpR OF7z5cvgNXV3han5gp9BczfTAOzr2tkH/MEWS2ZzhnJM5N/fw4o1P74MQjhWIMJ1p03jnlWCXQ1L Oei0fYNey/AYZ4y2gmUO1Y7lIi/a4Ha1RRvgBcYlazTZQ9Prf6w+6W+956rl3rh6v6MgvEOMF/kA 7q9up9f0UbIK87kXUK0jIOxq9QdhO7tRHQb7I+PPKzK4G9Am7kcBJm725+8klV6Y7wv3tntLB+aa r0Uri0MBgH/KDUeOyRTIoNnF5O34l0iZWrmCSOShtFEB5iLxZG/k3wdXZOaiAlcx+Wn+avwufco1 v4rcwcVuzn+OVnLc0/y8ocGEEEmD7OShXe3iyZ3tbJeb3exN956yT0nrw0vzb73qwW7/ttISzZSR D/H7sKQINQdCZMW287H4JS1QE4l40vnUbiisD9TAC58mYzIrHpnyoh1zUzqVvxq5swKjcWT+0zva 2texERNdyK6R7UOaBZvCFUkX8GkMQzh19NIqI+pvYbstTExJx/YQLyvYRlxk7aLv6q6KOaS0CZYO rUGFhmWCBy8SOvgCgY7zC7+wK0nJwhBwhv+qyCOUOfdoghrDDc7hL4yF28seJTojaNPVdhOJzVf8 3t9I0euGcGGamusUsm5ZGtNji7xXa3nZ96ULdU7vx1lR2j53Z8qKOXuSQPrAbVqpDsh4NIMIFg7O ZZj3wvOTrCKB0WU6eLIBOCvYPW4MCFR3LYPJZInesOf99ECLfK96xcYYYq9V1pd8u5Z3w8FDSl3y mL5WS0wShSQFCO8afJvgEaue656wJvkn0oq4MsuNz0OZhh8IHapIPgr72DWyi00svlhkoD0LAvM6 UEZ7s42zAFqry7ksjCNuU+0hn7S1Ec1zNcgRMQ6H2vVc+jBufDayIRosFP9CoeX684RJSFht6ufd CTNGgHKJM15LBx/FXRgsg62oo7jCp2f5NPFRr2KTiOTRZL00v8C5r3cmvxLIwJW4Ut86BbHZ3vZ4 phrgttQqklDLQDmT6k5elD+xKXqX77VL4omsNARZz92uELKN49bTn/ydBVUh0TIH8g2MuIBtFXdL J/6he2UOPo/+1JlX4d14XpKgvS6YFnCKDe0b6VLVXhVGlnxwdSSfpdIG5n4azpk6GnP2ebjGnLJR 3KSOqfOdELT02SRmPyeZUIXIRAiVfCLyiQERvV2JCCFtwC0cNyssTGgLj+mtqCr+w4986HSJR0Xg Ep0TI9p+G0N5JtysRfw2IyMQDROfM6iT+lw2OvH63ZkzTbJr0RgJcOqjETmnpystjN++c+j3LLMw 5J4GlW5Ezl1GYRVIfvYki3OwKoZEfajC/jFvwZkFgWFkN3wDsgfoq2PZRmfUndBtC3MlCpCVT3pQ 84M8+SV4otbFZIbBIVonjCmFg10JImOau/H81slHBnM2XSiAkwRjf1WpcQu2kVa/m0AMbKd5HZEY O/l0B2JSbung+nVkCbBihLJ1bNGWBhjEdZM+eq9d/b+TlOt4efevjS4nyXd0EvrOLElAH3NIdwmQ zvwju4wD8Z+bqJW5oQYKi8doYH4tvo9L2nv9HTBX6Y7EKvOwry1aP/XDvNyyzg19pA52wZzF9a93 UShY8Tb10E7hPY6vcn7HpfkhuEksq64zGd+izPb48U5vSrCwpN5L+QBTA8sCuSJxY6G98ar6kHuh 8Ph+c680KV6T4N7kf/mow8U2toYM8fpwvF//PPAlLWBpBQM2+xbUFMq9eFCMYzmmodk0sfgm+Tn2 RbYcxdYnerOPrqbIH1BCRNm9jR3IJVN0bjxaHrK8ESBxHzaRYgWjbt8cnd2Eou3TxDldlAAprSUH 3WrnORJgm/sCQunBG96QP+KtjMlq+MuGnJtlb2zgg64wJXyRASnjJFZAWfmE2RVE+kmf8TzlgzLD bYCiW41YbFxa2Wh4O9Tbd9xDlWt/ExVIDHymqUJ/DyqwIWEVmH5LMSHKvx/qbesQGJjDmvTwepGa rkxzi28/zysO10WnulT/913rrJFQrRnEgJPgnQff2ib5vxj/U3evzSNtpcCnpkmVdrZFIPAVj+UY mjXuWjtIOkIgxzrc4wxemCjgdlZI4JkvvYRe7BderD9DpLtsDTUKQeLh40zX7bVudr+rWxG5lNQC zh7KWY9bCwBDlRVljm5QJEccOzZtoUAriTHOqZc6yQmutBizDlT9vE3jDo5Xtm/kRnRHGSIFT6Ec BoxzY9hYgwRrpXqvMg/MUYBtfxaHGdPP8SBNQez6H/7w8EWzCqPiNDmYmnRp2pp5D4XFhayGb/K/ vfjV109/iCR6f2L8f3NNJ+QOg/bF3ez1xfA3rWpCrkevK3r4YBH3nCi5kS9H9jl1xXn46ELqH6es guUv/OceNPsAxg5z5Ci2yaeH1wQpyuPM27+ADemtz30gCWrZpDfttaR/Ac3OEDfesn2fIJo+1QT7 gnYt3nA9o9KX1Ussyo931ZL2rQlPwYXUg9TmcqW4t4lgfhcNkFIx9V4IZoDL3ihaWm8/P7xdMY6j h86NY+pfmypDN63Ilt2gTVFnxgyHe8UW+mADlaDgDffUytdawi8uk98pf6Fx7jwBQhYq1IpxsTM1 VqgOeOayhejx84T+ELSilR0t8ARlKkhLvZiQDX+7MW6ouyby6fjP27CWItC96XOXqN8FnHkwMGpC alO9l2CVkj6QSm/AyBOmTe4WMN3PeE7AZIW8iChI8CCQmd6Mp1yknVlGapXyZ69VluKhJGqKLmEx jwjxtiuyk8NI9JaJ5nXlHStwGxtOMGs7FG98vkr7+oTKbZuVYaWJcnklmhj5hZp//lC/uGOTae0B BeLnK4D8V7NUrRprjHhfopxtQU6XRU/KOvn6EUL7WrBA+OHV401ePwi1dCk+bG3YgvdoWsyIaUOn a8tMj36OY+CPZk6ciOsnLcuXkgDwbX60Iy8YjVfJF7qiH4dvfLZHUJS8lNQ1NOTGPmIO9micpEdG chDZLWjO+R8nu86FgHmiNWhzZj4X2f+0k0wN7qZWOWZ8LvcivM2BeNQEh0ne4VmGa4qJp1UXVajh mklSK3QQu1NqDwaifIdy949j7UMXmft8wKZKLuUa82TtB7WvG0ikEkHiAqFeoFzRvDmqSHivAHK3 pKxl6yOngNo+IFyN5xyl8Xk/XPniytC0vxA/jFrOaX51cfdBtt1ZNij0xKo3VVStwjp/2wbigslx 4pH6by8oZZ9BRZ4EPlGCBz2Q0jF09TYU44ib7E7DQ52HDkTIuuf3Yc2/ptQJ9RU5ZtpeOJtkz1KJ HEnDB2tBTFNAaqhZgCfmddBw+1odDAJg5o/Mnh/AQkd6XloOF832rI0Y/G1v1Nt4j7LQ3IFikbiI 3yC2Ud/vN569TPZIZcL/Jci1M9gLKxGmvtnI9V4Kdh6mKJQTAulhxLMhrriUUBUD5wQ3sC3WU80x giwqBbFRoy2orJsnT1650FjCwDwideUZYLVAQC0zXeqoDjBlVuv3ZV7r6N03AaOA13U41fsdBweE 0zXfak8FW5js3ceYO27oRnHHE4T63MyChewoDaQwBsQInVG6kiljb6jd0U8QtdGlWC+sag5r45UW VpQ1tXK7xwySI0dVqLqexjlnxaTOI/Fm0CcPrB3xs/qvn3IsrC7LxXW+hdQQXyQcZL/DZJ1LUC6G 2CVWKERKh9oEE0y9oSzsbOrD0ee/3j1SCv8mkaR0LUgDeQmSB6M5Rl0FTdvNi6lOr//bkx3rypnE Ip5S/0oOmwgBHb5NffMoi2CdDIRHfiOmvbTi7YoYRgLAGVxBFue8Vb9wE3j9reEZHJbogVN3UE2K iHwqlFaTCyu/L+nbHGzcWA7Gl86tWTcRMXhIcaggLdjviw1iLL/d9E+d6yudoF543X2g1klItDJj 0HOonfGwj5bP9PPoY43bh3SW6dlaoDFrYQD7UTUkzW/L5SGhqS81pAgtuk1ARb3BN3RGQoin+tWX izonpJRvLXC1qVOTDptrXqn0t3yDiBPiLGHRQrbS6mCzCG/bMEFMgmgWO7n8sG3mJkcO6R1Mo4g/ +RNCOKad8Xmttmu/xgLT2DQLEePpEVMKPXKup1Hs+sn7G7azhhcFeZdWWaX9XSienkZs/AzXAaht 5Ty1dDNviE+P/KkHIu6I6M5g0N8XfH6uuDFTjFl/e11tYDohNsM351o2d7QYr/U6mzuivMJcT8dg fImwacAzn+n9f4E+Vpjegok2vdodQkavzpAunxAadyi3Kf/Fkg/FfyWbiwikkAp/DONemaXMEiTx VH3wCVBMFJqbY+JqDjKE+XEtmbv9WCPzcCumS7q1jTagy6msCu5fnXskElRZLbAz9/nPMruSsfD2 IXWAinjO4pY6iNav0Q/phsdSKT6NPzjlwVbkWMSgkzvuRnTGTsWtEjsbVN6IMxd+XtIarlz9GjZA u0i47yHxcJiA1RuyGfeohkixDy7hHPrvSzrfbFTlvv4mNlrAK+bkSvWfDRSATh3vkgaQ/AKwgdQz 7NZsEQbPQ5a+fdv6NevLV7qZDB7ZGHZtTNdXEL/I1weJ2lMQ4l/2IZaX01oVRO4KM1/OFCP0b8zy i36B4CqcQKNkFYAkLmbIvp+hsksb1VClLsVWrdbSupSfiZTiW9JQTO3XL+M+kEZfPuuOrAhYCnoZ J3v5o9mkRGLzSQ4P4A7WHiCnvWbasDaN1wspniBdRm7Rq+DASHi/yQPwNT0hW7MFeTvsQywugIhN LnVMaXNWkUHkvYzm+756jOE387FQWhEThBcUJ493UITPhX7D8u/XcZxdKzzJpLSguhdMfnS+2Lzu pfMntjuMqlLDphXCP6Pki4Gqqv+2SESJgYHqk9oH5vfhnEUPprGNfxuRcZ+2cHL49hSuThmx+Csg rGdzJfBBJCgq5ejpmIT2Cpe20jcvzLMfeWDjn6fHPWA/oKxhFf5fpgOU8czUspXuHD4J25HsAkxV Uk43e4j9lcRiIo3T0dDHJYCI32gQ67n55QpTEsi6Pde7mIm7eTUeF+IQYSebA/Mo+DEVFjHRb9Kf GY3g4Ift5jpH4Zo9ZgHcI9v3p+u5JOK94Qr9KKPZ7f2MernK182Ggiaz9gfBs7ro5CnLhXMnVDQ6 0+Jm0QNs72FD43WsmYwvLsV1x8IGo+tiGICOCaJdI064xGRubZGsn2/oIro48/CyZ7bSvNRCLWmm k5kcFHHQN1EMXjJ8sxAbSQjJKTgaxcjmiJp6i70xzo/xvhQrqNUHMEjtFn4/RLSwIPRWYmFLFWi1 RgXsNkRJ/tcniJJ0rWefgzyrThjBvmtNCTDlt3Jf9OoEwqGfoy9J61jAbbFVMB0BMgMRoEzhPA8N TGnK4Ztt9EyU2YpPuoLav4V2kHjAcwIT7AdYCEBHmG0Meon+98skssFIyXChTnKs0ZujG/S/bVPX Ltgx1TnMAP0C3pm5JjXmt2sCef1kKLeh4OHHXmK+p3q4NwV33BdnA4T/rsUJ2CU/wi2RVz+QqZfR paw2BWtfYaci3JVDFNzBamzho07CvjQhTwAjyqTRN8abzIIwZxnkW27nPXdO6jgeR7wNspkoIJZX L9yio2aGhC7SF6KqWZomFurY3zINivY8XXRumDPztdvcb7ENz/qM/rbKR6CRjVTsozOiLVeYQBIi YrI0ZIFlMjsStAWxjz5QOTUno3eR1BHALQdjsSrjlSCMX0qaMr6S7NZ2y0mW8JiugKs1ppBkFWPr uvgA6T1cO1mec8PQGqfltb1ldWH+PyfQKyhHvj5cHn3Ho7urmXupBk2nuWkxBduZWGIjBnl26ZlE TNiX3wnKt/oeT+cX+rScq/J3cBkgIUYztT8jZGV7t1zUvstrF1u7FFmpq3BgQmL6iNjAZaUyv/h5 DPT0tGECVWK3NXAfmIPqfBs0Wf62DDVJLBnyjdzbiAOQthKVfhHd8HUnCDztMfaLYqRv3rKIIjYn +Xzg1PCLfPs349G8H9EbhSjhUpRgGmWCJS4ds+kQbLRCk2uotCNIi1ncP3w0L+cLAwXBcSogscdx +W78x6MAKiuERlZ/jOHur8812UwBDgSWMjf6Wv7Ajku+xHoz8nlOKp2tt7NIpGB+++b1yMy7+mnS GVme0TYFBkp0kzU4vVoOUDA++PJmUUzHMp9JEuG13vp1uPGKgnpeMtA/0OWT951Q0IADyWChHgH1 LCcamDbPjIKP/mXvB1EAU5np8MWeEzJEVjF8K7En/NQIP+eNsuGL0Xdja0UidJP5tEM7Ixtlbuxj Lp6TwsVGVSSi0+u9n1UXwh5/SFEwXe7tmK7uQxWWXmJcc9yy0fl2KoTu9alzF3ewrfMF0k9KTmdJ uH4ub9Ny05XU6tJorS13vjkE1Fr5I9ph+6r2qHetsZiPWDpzjplKWjr4OPV+GAkw4x/7OLaieBzh 1TMzUP0sBKAMgP4GGzln/2EkaH+it6/Og00GUdl17tP1OERcwVymgsR+7VxWoIJsUltKWsoOnz6D HnSSpdEjHTDrPG2erpljOoPSiVAmmIlo971ZUXD5+ggSHT/0ct1ZyICahPIaNea0fOGABtihSyfl BPfCJPFETx2cUmdWiSUT0NIKnZY+lQXb6pPHpksWXQbAvO5tvl/8JpMLvryTYsE5JHhDlLo9Isfn lRHG8ZGcwlgBJALqI+bdXbWDX5OEKbKBkQZFHJ/i4XwvR54qugM/0SitkCyOu6UtMmHJK0IzHfA9 zSeG6EqMX0bNTfFe7TBaqtHf+WgDe7sRSq/m2XOrvHynMv/KHvzgg1JibWQPNqKVA2Zd3PCn1qtJ d4SUIasWNrvyTrSvtgz6nRiEk0kEHum0+31XFfdeXw8zTBOSrpY7UvGK960+Ki+uMyHBr1NZLXkY eNbhx8dZ+M1RExkB62Lx06PuH8/u5QQSI5cwnftAJWC8JzqZrATfGIrxN9SlQp+fpNOUD8TqtCLx pg6Rb1G5H5AzPy7E4YYiYTvszR1EHiV8XpfqEm5n8C5FN70YAwfoldoYCXC3gczURa7rMcYCwlfZ C+Hu8kI9tiUQQu6DgG5A5h13Mll7TGJeJ/RvXrBcNVgsnuuc+3nH502zeszo3A6G3gZsojAWGxmG PIeqikiuhsJsV/3gEdG0YO6aa+bu4HgthRAPmM0+S0PD0RVKp2jvCqwxoHR9KFsc8gqy+SkzOpx0 c+m/CPJTPuRJzwzthTk+ysAGNKjQ7OPma7GhO/Ji/yNgSr0rmx6bhCxa4XQmawhC6/ZmEfSvt4ZE M0E/DzFHcAWkOAQL76DJdk+UakyP6DpGTJ11Jaoy5Dx3vhLU7IzMlR626KcVfwdgjp6OvFXlgoA4 pVNW8qACje8u6gZgnRYnF/ZZpPvdTp8u1QkA0nrkiScEcTirqkhKwYnfGyi6QAWSF47eMaXI0UWw x7twBhkMBPUaos6wB4yszzDMSVmHo9OPkztM+vkg+C7k2j4zqFktm9m2v0HKjx0ly5XCkoPWoi6G rzFjuBZSLHDEh1exnMVhkXrhAwSbpQBdKQWqKp1TAg5pVhtzdc9Dla3jquyw2X8E8x2rT5UIDXdt OBLWPRdtrjAeInuK5BqpmiIaECReIMLO+umXCgalvqQ24+XxvDErazTmiSgSlgNmYSvPnMYczcxK vHnIKswaJD1n3NeX1ha9UiVUsz9hno578DvQLlliQnbU2cGOwRO3SEWlhauXpSGD1gLyCgsjiWUW spRw8pUrmLIViNd+o2UYLPcJOOXoS/1ps6LrV3zlf8l7kAoa3AFYJBk9daCe7f2zMPlOMrZySvJL Xd77qwO9jFQxPGm1nj49LP6snFouRHIIZvNwIKWv+9xWmeJ+QGkM1AYlrRRhYixK3VflvfHo1oCi y1M3O/nuNuZnAIC9qvDCclfQbBg8Ck4ndbIAokA0lCqWhueTY/ROqvro4/ryNBffCXXkK7r68kJI UapoAf7Yu+36/X1MjzbHZ0JQYhLlWN4+vHD0S2P3qbBU4AT5HyvMT73EDXt7KgSUATr4KVPxDd9o KrLIIDEY57+NQYoO8RmfR8ovQPnqxw0mi1uzaRitcuKrI13SQo3hQfp9iMzlITujnrHs0Evwh+4i p0a46vlcFUFQuBSSvO/hbe9Cpe6GTnncdhuXJDPBbgxa+9vEvxNgon8eSOcLs/z+SsEf8IyyoDLY BlN+EXyWaAfUbOu+85ErtcM/q+fHNvdldXNh5Mc/ZXL43tnlHFmyVT4rg1G/ng7f1mvOeAf93keR WayKEVhJAqf2KORDsmrPtO0BRcici7IWCnMqUpr8tor1TVv1Z8R3mEM3iVgIT4asqpo4svIW2cgC 6hY7SFECoQk+JbLXIThVpKKe3LlgtXOYc3D/6Zzv+eq9LRJjvbr6PxkaYt0XS1WV3sMKtV9/s7uN 901flMr+9XCmIVbwqq8VmPHq8vtRUoigBrWRTzGwNVjfapOy75y60P/Nk4859X4P2oLPRDLivrkr LlZwAOeK4tWj3gwXtBHw/EwPx/UjEyS5OOwlpy2bTtFowEG3d0yM7A43gqvFua4SoOxlAINH3VfM tgfWngus0AtL4IW3du0L8MrqhFKbAVNuavBREvwn91dv5Mk+2RcFbB0DmQMUzm4BSwfyyACwLmoA +3cVK0FJJlXZmdg6c4Jh6BM3sspoCpNXx6cEZ4/cBVhElGRI2ex6LJW1Pf9kfOlVPvPVayP1f2KQ RsHqwU0PG28rSEOV5Rd1AyO+fH0YiEiU3+1J7kn/Mbg8xOiAPSEOBWHuzgu3q4DtY5RCJkMFCDUk n4nPlJSs+Gn8VC34D1CWKGBN4i6Z5eDwXkfxLHmHwYNdKFfdLALFyc541zWCol3NRoL/gASzDjzW sRVr5wDMRIvM/2Q7WiOq6/izYb1X9+xj7r0A+wBloSK6OOWHMruOIx/OwDzB7OwtfrLsWRbZ2Z9S 6DtThkxdRkYlJG2uUuzX7BeATZ3fve1XmYTs88Pcn5+7SbiCjI6YEoVRT1zz/i8ffiwQ8dz2UkQB 2smEn6h/6F11SVPX0X6PMY9RSvkDjZSxL2H1e4agG4YOsTBu4YwrjRr/18Yi5TAGZRS8D7poAIJJ iNaoPSqTUQQ9yLVkLouR++CcrML342CWGuQeHXRYnwFItemJXAzX0YaPUB6qS/E1iBKykFXpGkAi l/8nzy/VawWCvMyCkRz3SbTvLnbob9+FzvkWxgOhEhsVLY7Gc+tv1hgXgzwyuvW5Nt8nAzPp9Het GJPcnjqBwsnLn9VA1iNTiuEXvhBATSFDp1af2qYKgGmQJ0F8K17VsyMsjhR9/v6bsBQrDl+pa3Rs BsQOIQw6XhhKyCUaDLa9ibJqPeDM5u2a0TcAZ4lC0zeatZoQjGdMdYOFrqMVIo7PbQpa4cgtr+iQ 9k6Hdff+WkNlV9+OmWLt0FHuIcTX3bIvOdJcvwiqglqGwDiUHNNgSlqjf0tDpbwQv/R2t9v+N4Pa HqbGZzHe7cITpxL19lS0AufzA81vz2fqR0CKh8s/3wYlPoDC5hlgaIikA0CyAD6ROfUWSA6HTNy4 SMPuAma+P0rMpep3+NLSEYxxQfZzQazYDUEP3vapHjNUebn9TQdlImEwyfQgLPAr+EKoTCqoMa5H pmylgRY6MsBhg7OSfouk+quXhoS0hLA7qx55cFZWs46BW0PFGEwDHuXxfssz0rsWl7bm19JfNNcp jAm4lnvIyEeVMdisaivLYCifm8sTkpSpN/Oc+R2KVmlaTSUKrrAokLSsLFdOwAWVsfqfrQEqgIzQ ITUAQDp9Oj7Y6CMYVHEXkYBLYTtMsS0VL5jO1frAAyD5kkevsBog+1Ndb9i0romGeYVFFFQELTwz XlLJy0gHXFT+2/jw5sYbmaTgpQ2zzhrYI8PW+N0O95hJCBhCmyhdbJDFScTCWcL6q55XA2IvWkDw /i9xgEMp5D5zI2foT87jULBnYkKVc1/kUnkEbhh2ZafLxOW6UJa2J6JNW1aKWg3/LYyTHkc76Ov2 U9tpyrShLWrtY4lJ6LaeX8LFaIcIr9xtAdCGbtjPV56BgL9nLGkZYAGEf0Gr8fTR0zpe9d4IzG13 t/nQNaSyKyeYLO2uMR5pYNWrjxctDVGBUYJkxbRiJPJXLQDmQS5eQ7LMGqlu60+ig4k77wQA/M4+ IAelHTmO1EzW76LeExPM+6tpRUHg8iPEepKj8eEbbtQodFw/Z19aakWeZAgRSzndW1cAEOUAgJeT dZ6bj/S4qIxcEIpfZqRuwkidhPtR+leBE1jwhKmwznoDwny2dunctyjGCvKO3XW3QvHZcV3+aUYk Wc3vDvoxRj7WywmMJcVk2RqnW8p6xCA8lLFH3VJ61ccJGOVm1gixZbJLz+L0CQkRVEr7/8srvxRw EZTXHHe7sraMDQoAdGSYJM69N+dwIlgDzJrhkN9k2S6mVraZ0jeOSllpSGUdkPM+g2sypIFnfpm+ cMJqGHrAtJvA+yCNp0FiDGXR0+hoMMpkYszQXfMPN7ZYA0sj09wY3RXqzdA2L5BA1QTqYMm/YS4f q+TJ2g02j6/dsLKQ5K63DBmHPec1JL8WZUuhSF0K7kXq7r+oPwAD1dJQAl7mvronnnBo2iUinZXR vqzWVG20ONsg7RRmTCBxdzRmzrOpfOvVIIZY87xQ8eFUVtvaao1I3rD1KYXMkxmaoJE1a9RHgItI a+8LT16hqqq2Q6w6LK7W2FWGjJVf7w2xFLydLTy1wyAfF4agcSYk9zk8PrH7zaD6ebvk/RHXxvqk BWeSJ45JELLqN+uHiZrb4i+DES/TFrSIu8JhKFoYcXHgcPM+a11vfLLWm4neahloQisoIapLH+VP fY2FcUo74Elirgd8ql62jv5qufwb0dpTqUjNOeuyIoq3oZ3V3V17l9nN+OHV4x3RuePvUqx1xhnk CJuD3udeUazPJ3NXUTcVZ/d9e7R+bMBnXoM0bbUKNH2PPTcCKigetK48vryQnSPXQNAClHmwj1gT V/q7zKflfbfls5+EJw0FtG3RyGDNWl1gBuFXfg4p2e1EBSQHMh7G6fNt2Y1kl9syhYAbJJRz8GAV L9bZ6Gh5g1+dN4c4p0+UL1H8jLb3RkMcjwv0EPIwSSFxkL1DPE0pT+Kb+QkUKVC3sqLyEy6gxoJr gw48Ot8DTcOMr0nBMzCvys+B3wdns4fJUhmoJim5sR4f8GP4ghurOLdLwkMMvfTOai/9T2eiYjZ8 eB/fcJsCUFgdNeyQ/RTSczyrxkXMsz00IGqRqRaawkKNfaK3WCTOR3LCpDoapM3ejleMciCW3WXV auMfPFlGhToIEZdCPSJa4kiXsUxRouCIuL5wcU59tl+IkJDm+U3flhXoglYOMc2f1/2e8vQsyFXe yDLGIdDvnjKmaL8p5+pp3cow1kEEYu/5Io98LOkgu5a1Oi4Hqpf8ucqzQeBlboO/9JkSxXs1qIN6 G7IVIU5bjXQuQ7Eyo37DN4EC03Djpb4lpR84ukQm1dO35FVckH7lEy8fufcT8eWW5sgzCRl5rrqN vps19pLOKoNjbpJxD3oPnfjc96/nI6aVWWMhIJn9zAuGX7B5VyNXTNMxIcv9y4Wgr2Kb7e8HnNDN nTvFyQOX4MUUD9cx1FtBH7VhPbXFnz1sUMIeO70ej3IEY+yvhrKU+CBEn+r3Mp40yt1EyrIQUd3U Fuym3CZ5LiUPCECHUG9ivozHRwT5yYMxqjpHZcsck5L6E78MN+j0z9YhPcJG2pCqry94yQUtCOep YHrJvszphU5/jYupzDVXe1sHfWp0wS1idAv1sN2QMtv3nTT/KL+zlq2lGB1H0NzIyQHHCWRjDrvG zAs5Wl7UjgkvxOZl7AEXBQ/W6MN2en1knD1hvB6o361A/e1dQybi2r9dlS2ZTzZW6BILYfF3SLQj oVmXV/Sq3PK/fyMHoMuN7oAJhp2Yvl8Z9TQx/kYbjDjYOSiKpnA8Y5tTaqGcvvvoRrmbwQMX3PMq lPjxB5DLhIpzFy8G/JogkyW383fa0l9HCYb/Pv1+DbJnAO3TQmVKb5Cn72nO+bfh2N3Kc4nfdRHp dKP3AG9oZ6fAjz87XDhBVF6llabnG3Y0D9WAjoh9k4YaOniKlWu6zG5mw/niYM6iB+/+Z0MrY1DD C2VBCotQ5sq42lMeFOd57HY3yUnGE+oW1l+tLpztS/ovXliRM9pKD7UWnB69ZqXBPfu0LQZdKMEm 7DeR09ISVbYyL/EgYPWkmR3jaiCgB+9d4aByGezbHIPiTkXhzvwKb3Ez955GZqO2WVCCffpxb1Ro 4tPhzjhEb252wG+K6RElnxLebiWiXUZnLmIOrkqXkKobXOAtZLoEo+7k0dLwwtNn2xWKWseTVtCQ 6DDvySeuzsNuqjsHY7wsls0o50u5aaVhHKUNumm97UfthdR1FqQ4svHfmpinXtJ93ncX5ON8NIGP oB2R0djuWpuagaQJYACRIvavRZ7TzwPTNXoe+EeVYGqZ9P54Kiy+vJ+qAyrCwhmC5FVAdOq8y3rH qO3+pivjw8noV+QxJe8TCWzuLJ/ZDULedcGaVvkcHv/5gBEpjPBZmFUibwOfYieUcT8U/Jm035TX owlLBXUqPLAeIpPPpT1zvtIdPDAZNVp/zJINjlEgw9JEKL6TsGvUs++9CtJN2xbBhqWLPugw61xt b1njAJIiEGG9tnezQpw0Pgo69KWONOKTmCvXjKJ9xjblB13V+7lBJOindh8bPv6yRuG6kZuB+BzJ ACsfF8zGmim+ChD534Po/dSqlCkmVd19FG6UzOLmFVDt22NoSHbudWKQ9QLIgashWRZB/Rk++QDT RyMj+5nuASgJwaxgbUVAz6fpb9F9MMmvowk3ZwpQRFZLEU/KJb0WxdVJfQLdhGCMvPbosSV6tMZo pY9waOqgRKpE1xV4vQCjZ/mXlpmdWy1YHvp7N1pnR1TjeJ1JGXyue4eKZnC3y+pgRAjRuf14bTEK j/I+nfo/kXmLiFOuQjLwWkVQtwyIwexaiOQhF2bL+L7C7V/y58/2B/9kibJHna/1IJbSIvZAhd74 5UYMupjtenDYzGo2o9L7OIfOKC4mY4iglOclugKnJ4h4yyBx8tRNnzq9SenFPYPnUO3YbSu5gneh xV+Ts0OgCXeo8ITEZE8kQLOTef0HxNOKW3UwKmsMaeP+6Z9N0pZwNlaiUhwuAGzIrFDSJzYMyAkH rKJcs4EHIAvujYQC0ujdJif79kczoVTVYb1+77JrBJDGlQD8XUny8U0Zg5bsqiKMMtY9z+uTc9gA rmtCp0okANMCL6WfSnZVCT1btCVOByjN4HriJ0dwAbBXO1FPNSDvwyuELlTn5jMuHMji3n2ZagIK +AwycA3MMHaVBE2oJTvpjTPleqyjFD4LM1h810QnfECCYCot869zjepmHlvCAni3sT76BJyAP6JS H54LN6XA0ClJgCknJ5ehthChMZ8yC3UbdFX2a6FWDMI6kAE5D4QLEIFkIYf4MeS0s2+8SwtVahHz 8sW+gueiCxSLZI2/TYz6tRkOvBzWRG9IVbe7dS1GcV5LRwyOoCO4WXKiljxvirSbeHzAiKE37OZP SmqU4osjKaXKZ2oZ49VHO4Npg0gH+SzSRwi6VypooP8Cl4NhO4p4/JgqEzpovUlXdjIq7+HCE0p6 DGzPkOPiDiORRqeOmcBf0yodqe5cxjRhbh/622T5CY2thm42lOj2d4JuVGxxk2JkHCmYzSM4ucTr X+CLqEDwi2WKn3IOFrAFiF657uAuA8iWjrLVok0JDBwk3gzbeqktgGHh6813JeDrrjEQ9cJD386Y a4mdgiPWQoSZmzlGFviP1/+q7yNT392gmtSMFAc3UhblCVk4dk3skX8luYYqcUaXYfEjfO+hJY5q IjL/Dq5TyqOZpHPkVHxkNt3P0A4QgD4rGgNiDDs/YaksEhvNwG+b33TY/4KT8o85V4UB/i1mndYK 4HswROK/JoT2l5o4pYXWE9o3aLjJRuNl9VCPsh/QeDN3lw2dnYZ4v9V8/ih78U79kSKVgU36vZqz jMWsq/gR9rXNgxYFnqtVLKz9q4pybfTsfqMNYwzloYTrpDtCB94lNWoMWP0unKLlbURDEn+xk4fI kYEUTQzm/uuzXKeglXhdyJtoQe13hn36gQIOFy6m4YOrnkOhlwD/G1V0eQYgtaE7faHCjhDFB1FJ SWQb33SxkXL/bAOHg0iRCYERtygWkToefZPJriGLkCxJ1Jt/8CrNRn/lNWKlr4u2eb3+9Tp6yL7c 2A/PLyHQ627OedJFRxYGq/c1KMAGGKherBmUWSCXdMlCi2dLgrN6OIimhCNwPmg0hWcyLMEI4o+i rE/80iuDcixQIuCWrpcEr5i0ha4cKVc7HeKHxAxnrMq1t1tEvVwflelNPscQDlhGXmEa/CUmxYcj h2Sjs4fB7EzHVg7PnBcUIevNlkyJHz+iMvh2QE6X9fXChTEdzIkZ54ameZx69JgJjjNm/uHdDOQe sYu65/AjH9hebDCmRDQ8TXBJ41QGuuTjJbQSV8uztWkckzfjPR36iwagfnSAYY1XWGObcxufiy1D Afk/YfKAFnv8jSIjx+2+8NKaPMQ6RObnSncNtG3eWCoTKKFmxeFPdIrhurlXQuoZnk7cQkwUp713 eZzS8rrYoI+3tiNaHLkQv/+hlYRUYRI17H/JNIwFd/tiX8oJkdbd15LaY8TLTrx+AaGiLHhQLbuC JYtj5kcn/gmKrF7bvIxPuaVJnPAKVJT1ctuNgNleMCeweewc6q49i2ts2hZkKv45+SxvD9RJxYUs sbALdBnceFBs/ZCSw9LuUzbqx1fq+rk9TNc31v3umjL+aIjYyOxhMvlWFLsu68iS5Afk6LS9qwy0 SaXcTgC2KxpmZWkbiS3QFZDVv3Ca/xZM9acooArCAvwsiz9aZpQcgwt8kZD8f2rCVd8SdJmCr/ji lhzD8QPdAKhbLVWtlWsPsvD7kIVtt2ixhrLhqSL/0xtZxI0qmZMnXyZQ9oSuuPlb4UOzULTuwLAt OZJvJyftBboGnIPcWTCd7H7mm/dhRFSvtEARWLKlT4wTWL15EVqOCYbzXeOgFUWKSqk+H2t7azlf MGWGYdYGH0UufXfIdgAHzj3xfeihxsn+GYnBFhSfEhiYaXZhHyBIOBxF0N5uBbV8pzKpx4mrMNQ0 IprMKCVIop8EPXe4v0Hb6OADN+S+fy6W8lYw8NcWU1Dq7emTvEH6eASV+uIpJEm1Vt9vakulIVP7 g1V4DE/tHAiytWzBERTbT9pn7yizT9bB74jVbO43ybxjvGicrXhxlg2W+tQiHRSnY6+8Y1YGO+uu /ClGKSp/GlMTHp/wojBDvPsCbIR2XbGR734Qzgk9ZXQiCqz+C3gOpJRjZhFYHzOovXuR1F5oHqwb PLUCpgtQAvvG9nORqWPb8FIzFbH/E5fVPH9Ktau3avA3woMFRqsrk4V3CJDwAxNMBlNrDtbQ17HQ AdKQLxPPYj0EMImNhkj8QyoLa++BLu1L8Vwmwbb6Nwq3lxhAkNbRhPqL+OccxX3wa8PkF5ZhWwja de+Ns1eq4PDpDjLvKACtWAAPo3+ZQcvPEoccrHNh+kjzxy7z7HxhMfARA+hujYnKjI9l6U3QbxiF 8fpT/5SWyGMrFAzzi/L16TehMx8DokmN8ekm4B/Uzlisl2RSCS3YwX7FpzOefdVyKiGslVSNREkF V4bqz/X0yL5xFCeqrk8CU91lsuBTzzBreoPbSWB3nCpAoCGbXWwnz00zEIl8sPA8RhAby4rGznCD UgeD9FnpoNVQdv4dWYOz22YbJoIlbvi3IJFNNFt1esxh67uBg5taKVG3EyhaYCdCC3MEgzsThjJf gKcKaF1gJxy3i6b9V0VccZcPpYBzQQUx+yCQADel9fHdmD0UbpMr8kendP87vfOmdL9O7qSF9mWY xIsbiy0hA24jMxC1BFXG0jZFC5goySo+bqAjZYTPpAlVlLBy57Rtf6mJywN5RXz33TJ+6u9ykHIq qdPTRequsdh8zTx1GQ2c9F+uyZ2JBBTHgkxUp7Grb8oiQIUUoMP/REpFt5a6eKDu1xCjwLjjmSVs w8cfIoP1mAhqMPHh130kkLyiHLboYNF8mjKERo8/BHMhJjXXqwEa7Xbbg6CJn5neS10KFtb20R/a zwZsb8GvpzzA62dELh5kqf73wgmVy1/yDi78cb0c8GmY1F2f+scQCYxjSLC84qnXa3aBxwgBnbmZ e6I3X6LlMK3dzoLvM6ZM+MOnFrIKSyP1MAyIPB7u5EcZF4KYsy9fa4mH3T93w10gEIUm6l/AwiYr 29ID6wtwoJ09MTHxedFihMqvKiOeCCBiV1feOuBw5tXwlDNUsf8SEX0xdURJY/WeVdrlsILVeNqB 0ldLi4zI+cD81fG0UjFaswXgoq62VzvqKFHdxrmA3nxz/69uD7os/nKdfmIwqy+pCYrQGEdPFh3x wxkJQgQvQfiXYfJLBLzsjEhOSje5n2bcduarmLpr3wEvsTm9qQKRuA/CGV+WU0Qhm9iEDvWYU5pQ cw1/RVNSuyQkWpq2k6Cm9WDL/bxAu+AJ6TDV+K+J+xv52aTBuhTbyK+nXtKCCqmOEBsrLkRowDQR zIQJwW0innQB78VBo2sDpjjbgSaGzO9CghYoIfyptcLQ6PYg9WUJeNnv18WHHN7XL0nzHSvnpFDD Ppnhg9DRixY0B6Vfw9yeK8+Wv7KKV6sg6cHffyYV4vOx35AA302p0TBtU4fvAxMQAzbPDAmxMBqh 76UkzQNnotI5bpQK9ONCbLtcFpl5RvHCFqFXe0qG8Tjc8Xp2TqBSh2hJ6Z1LmW+gnsLLSqMZ2udY phhj4Pctdz2kA70UeCl2xlRdn5uk9EID2VxoAviYCoIc1tOMFtrkc+jqTaRWFtlX4i/FVrPQuWMP /qAFmTN6iEPTU4WJ3yZwOF21O0e35KXLXnWDPHr9SjHsLWirU4S0ztQrposDPFgcmKnTCxZStitT iNepczeH7idPkEk+mEu9U7ufdbB8PJ5u82KolT4KpkOKbsjcseVtxw5tec4wvRtrS+AfGxnz/P73 GrLrTwbAf0QM+NZ4n0RxKkNeO+CZ9tCUQiYzGRlqkZYdmnXs97+Yz0aM/t41trdPtiyjTH8x7V/d GiSww/OHDTo6tXIzbWZKNGlCZgsBIn+itoonV6F796gtqF0+RI82+/xZeEGkEsFV5KYbp7dL0uZU rWLxhBT78UY2D+OfdfLz1gNaHe2OwRrXbZfAWSQ47LQdlaNs/licbAI4ez2r9tX/KyDaeKIm0c0v qf0pzE9Qu9ZreVF2tx+G9iIVr5e0YYTXJ4/vB4g9P2VUzBYHXvc9HxqivJ+Du2ED6FKdNhNo3sow 5H+4sdfCeczKGEObII9P6XIF4PYX/UF2zS+UMQF/UICs/pcDJWKkv+KW1pDjvKc4TaspnQywzjzu Uu22YC6mIz7DJn0+2kHJe9GXkSufVYyebpGfeA/Q24L3XUtphHYBDcWk5VBu771Hj+lMM3K5lcO5 +ASECPZqM6hxe/MB9ekjGldzlkYAhGoRw1JyYsgSGiezfylN8/6vn8IRP6zDy8Dn+i/u6fQ9jCCV 5ZvMTos1aH4Q6tZTFKUjoya4Sw57lmNWy/J2BF0aiRxcecbP6Q/Y5RvxZtzQzyBXq/TjD0pLqIOm 5a2QxNr/Ovkd/2bi3r7FAcBYq2tCQcsFmfA+lEsjMFwSa99vyfi0YGg4nh6A7hvPC8C/gMQT+11J cX8hd/1BXu78dF9EkF3j9CC8TCbZp9QDQj3ryogm1OeNp6vWzcY4Q/WGX9jHojy5kpaK22iBfKHM 4dBMNrt2nzcOQwoBpsNug4TyJjvSHHWbeOUZlc9Sr37LT/zfKwAwJGbehqbxxyuMuyAkp48n6sgt /aEEvOANKBgiT2gl4J9XAMPCfNf5DbMJi+H0NKe56KSiV78oofOIrCHfBU+1hxBh0VJT80sqg3nj Q5uwPfpTdiDeUUNeoSadiFaSNbge8gNcgypBUtJ9RIaS1aPYtg4I3HXaEwjbZYzsHdvrVRNJsh+t fmMLS/9OLKokVrKxrKYYhDd9yfdd2ThdCcsj8wPXLsXn2TTwDLz5fqW2Lvrn08FQY165Rfex6cAF inBkUh19WQQgmDMgNVf1qgCtt41tEvEDhePLFjoCMuUhNlDdvtnBxIpziPni/pchoSFApfkNa7+Z c0Kq+Q8tcTvpO3dergpX7nOefG8myEqFNKYVVEuG3CGVEP6t0OdennNI2yxVVCBaxjYpuBp9akEI ALTztRnT0kD2bb/cKMXqDrAFeUllbs4zXir7qwmiNy9iemS6wB2IehQR4LEazPjZ4gy5bGwMXBKY tgLijVH0/3bXRJ3PcO2h1vxLf1OJUNghUZQKs0741DdvCNiT/OWVOWews5BE8/SOzyfDOkKDxp7l uRrk4g0dx2vX1Db9leTlsCDjBuQ/UiEauvrlR2B4H38zOAUQGRgnhKB45M5tnykwLsbYOOpx9LZ1 zxX3vmOBzir21GRXvEqjjs8x4L1+u7IoENTC2OcqG6NkpSDWEvXNyyJEXq+PM+SmJIfdeEqAUbsM XApVL8Dt+6wDUG3kaYeofoDk3p2CiB566ZaN7qqBu0aCqP+17LnuQnCeQBlLWVEezR1qqK1dYOrp /w5k+vUhWyKuFZ4Qu2fhAiPQ1f+GzzZApt3YMBAGjZekGNQpHv1bN0BX1Sp0Ql3BcM6M3j7amLv8 ystSGcmnXCIbOJRAGSVysY/Dc563Bk30Tldu7xhj00UIxNDEVyL6joudeK8dmP1ZeqixcXRSuq9O Owowp6QRxUdQmgh/lPiV76nR1BZjQs/yLGYOcyn75VAD/xXwxreZ8oTfubhWmRxxwPLXJsgzUkk6 bpfLx0gqp6zS+1+sUCC1jYXxAMtk5DCEW3tddfQlPxWw9T5P8Np2PFUqghky1Z9U+s7twPi6YBmH EZlMpu/Mjm9K3l5zeHxgJYW3/J8SCU6UBYBk5vnUheP8PnWEcAWPmmKi2e/wW4RDpBri/Mq0n3Cu W9X+L73CU4iv8p9dBmk3p9omZ8eYaYuOzs2IH0aslJ7dbGVInHWaONil1E8s73uXqKaZ54Xoil5o rvssTaj5/IcB86u2Lpf46d6+qAXpCpDscgi7qmQ8HbDJUggxH4IyoP4omKsoHKtflyfeVrkble/L Bg4Q7vVwhA1mYr58mXrGMZiQ/fHsqGyh27Ik6SzDqRg5TfzJcI8KvAT/BqKMBmIEWNJN4v/eKrgX cbDTmq6IpHAbFp2PZYu90fXnbkzGBQKlf0Sah7F7BqJteO9uAeBafsVdIPIwic0DoBX3CjM2KM5Z Anek/i6zTjSS6zExNtZxLacRcg0B8soFoc2dYieObBTy3/IiI+iTJ6vZnQsz5aU6oIWXwiF0C02o pCMQYxOT+JNLpIahe+Uen9DELYFQfesi/pr/eIPZX649UkYporAmis4f0sC2WxI1tXALf2l95NOJ K4KD8KVBI9dFK8epW0i4jCqL7ADux9L2kQ9GAnD8JxthLbD9yXP7HYGFv6rW+FrCq7VDgy2bJeqb EbcfyCkPs+b5Lw8kEyjg7BNGlueywB2XX4VuerWtBG7o9rrBTdV56TI4l0DIzWV/bmEI1oQyUhKw EI7bBYepp3XcJvHuOR+sSuIFvIUQ0uZpLLS8sNYFfkrVEf+136Mb8vaFF8esFUVigKqx+STBDIdQ /A2NewrV7q0QAk2xUKtgby5tgFK8EJX93mi/BhgOLSaOJjMQuU9lnubhj3O4qgqusDxa1lIYsebR cbZ6HE05y/3M+YJkGW/U/fCdjolssunQ2V60Au3zDZmPSF7ZDMFduocy+3iFi83ayuHXOZF0p/1W xMgzquYbSNrSAJpTaoEA/fFQZAA/64MfCpsH5/aNRFoXgQNhMGJq2bLxdP39vN862j5awvZtLcpV Rn58Mwy/Dww+Jsu8+EVZZmdg7YLnoTOP8v8F5Y6Od2AajSwp6FZTlbMPslCtf9Fwyn8IbR1HYqCd Ua2blCSIbIfvuhJiwzmz1VOI95YxZZNG117lzB6nJ2LobQTNDgF3uMlAk/zYg7OtegVYjBoFMETL Rx6tdaBvVvHfynJMuRJkQ7vIHBvwRVbE/sgL1XR1Hfr7J2RsSQDhAcfFlKHDym1dkB180dneQVbs Vtp/+M37ByYRRir3u7G4oCsamhbJrTIE5RN6S6cUY3+O2Y7V6utBtFYO1fVBS/O26wR4HmS2g9vp vuElzQXPoF91bax8aRffqWHrzp5aBdYi5ydBMbeHMI0ULOKDpChhMbI2VK5NhiouoL7AWwF/CKn4 6J1SUWNrJsDije+24CUTbaRv2IFr56Xt3H0KUaSuuNA7E6VpaeUHcYeZwG7dUCO2IOcNcCtU7Htu uX14mjF2JPg+oGJ5dgGmXgH78sf1k6lAhNI3NN0q0rx3zpb1O0WtyeK6LYM8kKD8ly9ezfMZK8EW tkcj5OuYczbS41nEqgF7avHCwA8IIjTOwBwokTX4TgqSGodQcgKOfe+Il/ImyEmGyQB99/HrKjp0 Weoxgqj/f+IVPXlmjsuok1qB9MEyfeM7vkQ7WERhkdW0bhN1fYnFHnIag5Id+xFZ6ORVsk9suFX6 2zuayfIOzwPq2Y4DUtdLG+N3V2bMgns4mf+qwZIJ9gQs/sUgKMRFolz8mWw/rBTLjtsshZ+t+TZG sC/v/X9++ya99MP73n6S74keyQxFymy/FVt0b5PWznj4VLU9z3si9QeXYnPiM/IJwzFHa6HcJsxU DbTSKVh5xr0NPQyEdK8Gy7RdvWBX23P74nyHKPnhnEwu/gn8ZQTKQqNFyTEPemKXBxobXzlbT6MG jLb9EtKxRjl6cna5UkRZzZ9Pm+alq4W505FfQLW6lhP5SLklAY6Hp1smrcdwDuY4ELFdf8XPGENC 1jM5ks5RcObeAAx9TTJMK9Sj30fVnwcpW2ZqgYy913UNRCueV72xrh8t6NqeWw8CqcmTrJ1v29Jv +SZTCAR9Zj+IDTbTLIR0W0fRnwe9MV5unpe59Lnj0CQIed9hzSr9he9UkMw+RtuxBJ0DcvgLrLUn 4aMpJuyGsF54DOe8mL1DVO7tQQJZrFHFZFK+UTzJHt/DVKu130oHF1eC8MFVW7CDM5h3qt2o4r7t TqLO/OncBJ3reuyLOXM16iUG1Wr7wNVf95juWjZ3yzdL960SzEFLvuWsNs2QxmacKDIlpvKZEHdM bw5zbmDBSKw/CD/0d8BaqJpWNePgnHZefZXN3sDTF4ibX2lM3rg7pVT7PAdnwtV2tLb4ojvUwGQN 7PLn+92aC2W4oNKYk2TLdm/BKtxXE5aNN4hyHZMy0HAbXEsHvzlgdilSDJFQO4QC670608UWW6R2 hNXAunZC2AgV+RoCKuvt2vTTLbgIg6S/99/PxADu16Z//jciftz45Sckop4g4HiyXvRhNX4DNpxX AMmcJv8d9UsFMbsuLJ9lfFczEzTBVfHUi6QUSURsRU39ibEwbZQGGukQoUYbX+n7CiJRCbtfeGp1 p/EPS4p7GHkl5ovNTMrYnoIph/luNPu09Cgeej2bedKQGGrVNGV4zNuYFa14bLo/IkjBYOnE9ZlC qi8o4IJ+49KTCQ4xn08FJ8SC/gnjbLvJCEbcXDwiKC3bQSwKmq6Hwl/NwyJQUG2dUvqaYsFbKA3K 18Wk4MAINiKBkjJdMZCXuO7GSk5G2qriRLfONpecSbq4+qck7LAf9skgP1b9a9/tGWfTYPypJ8ha rsij0tznk6Citm60hPrGIywFoNtUVnMqZuXj59eVijFKvp73TJaSs+qdRagWjxpOrrFcDJqF1xC5 H72SuTrxZa2OOWcjg5naG0/9UjtC3WSsVoQGgyk+UkGbW2I/yq1fVt05rHX24TpFyuyUs+PJVbe7 1JAjUxKlr4CxR4iJ1KlfASbknJliQygrAIFlBApdSz3cOEBSj97Pj8uElRe0WzqME8155w55oTOO DAuoXd0wfMqnqC/14fdj0kKrnxjxGndpktWpNE5PUD0wDWtOm1OY8aVVkNFvknVpZqSZCGTw8HYe T7uHZrzpsEAxfT8Boz696oJ6VIi59kwNzBVHUGX/X8V+o99dBmHrNlRwHhg0ty1M1hvwf14c3ZWB pVWhFCLtMZd47LY88A+ZRvf8tEoT3zRSnZNOUbYmDAw5G/nV8nyqqL0viEmDMxrOAeC8wczroOiY g7qFbX0aAQwjBUdTVugboG1Xo6mrwYCJjkp9ozHTurnWJWZH1xtZ+9oUifkbG+/N8XT9vit0ViOE klVIffKla82l4Sztiymj4/svw9cLM2hWUavMzRkFAiIxK9E1TvBJM2uKAFgOaA4FwrjIpj5avnSX GVB9GmK/Zsi4kK1TUvQ4mkuvpL8mWDCPOT//dswDXrLWMLL64p6yLr7SKtDtVt967wBi9wT39Qz1 Ywm3kwi9GhoT4b/IZWjK3+2mKH8b0FeJqKWuASHOpw6isgCT/a/qqdsZ2nJ1jxjHDJAvMvG8vFSz OApLsDR+OWZc5/nluWrv2Mv+7udYDV5AwUc3VrnOCj9JHTvRymfgEOc+0AjCJ/fxyUtzevblLEeD QkRzAT3pAtb0eqNuA9U1gtUkkiuDM/Lrx4L68D6s825wTE/sRQaLWfT6Ceh/41b8Fz1wW6cjCF2i DX0elyd8AS8qlQ59ytJcLsJHOJIe2gzQI2MSbHxfR1PW9oSFv/v8dpfgp94TStZQz5oS5iNj/g17 icgWse4+xtvPVycVcDxJURDSuA6IMpXPwDDDneWH+FN3B41wHjHnxpKubjuuMOGU2GmdfyGVZyPS aFC893q+gqqE5nJLPE1FIqcpcakF6gR0rm3SOd6bymnWcZU+v1KoYFSfggArHjPbbyVmOo20zaxw zOcIg4SNMlQEXvBUW6V7tmD7NPuCQcieLAWrFFvNSGTlBGekI3Ba8H8Y1a60g6avZL+W9xUZHByJ V4T6knbN/D1ca4/gSsYvX0No8t5Fy/d5Fr8RxAIbnIW3DhE/9Ko9O4//LVj+U79NgF8o7csUpjVq G/Cz2bZRICjNQ0D6i3ZplZE4/t74sc3rlTWo9aa5jlPkQv1jSqyDaQY27u/IB8Nmwr9Nu+sLtM4Z csfFg4Srn1ZvbziealusO8B0zIdDca47eg0AiEKBKyukn4KHbqwwWAfP3/dugEWtf6/L19JDs427 ihKrhSrh/7nUzy6Y1Y+VS+BWY+KnAUG298R31zrkn/7yeJMNNUOs+vSQ1UhYcSDexyImCvzcyflV uUY1cjUG01q7iPPHYJ8+vuDIbejTT3sX5rMijZXGV27ov7gWvg9L2sln3LJ5+/tiYBVj0b0orgzY 2jax+W9roAaKFawTqNM4HaNa8hTxtxTLbr2tnfRABubd8ScviiOSiMT6x9YI1WOjNS7zkaIhVaZU V8RxLxCTI44qC8HZgWv45nA9UDQ+DMGd6kzcjpPFXsV0weBqvaKz4gTeMxIgaO5DycV+ue3pnaOm LaBUDtZgSeaArcZAmN9bbig90h8s3iSvsoAodliWq9z3h4ZW3jy4OG6CooCrTy1LYA82XYJ0YhmH cj1ODwrBkjATkHK8wYktoFK0uzt6PSzJvV33pTb8VrvZhvZBUj7QTr/HWsdYP2q+Uka7t3GhlM8A ojXqrkLw5DKyVoor1PXCBBuyLu9vyNYNSKIG2pEJoFaT1U1qmrCsSthHUaMeI0Hz9YKLQ+qFJOHP vKFO0/AYfO+u//wew+HU5zVzQWBqP9SLWP+GaW3Pcg2ApOIqqIby1kNyPXg2ptcD7UWj31BWPEwi gmSWFaGM7WPvqWYtCLrFAgXL4o6IZ1AA/Lzel4e8GDYGETRkSM1miZx1zKOZf48oZbfRm9boUyYa 5pE4QMQFSRGdUlfUl6lkgho+iLkZtseu2u+SKR1aAQ79kVIKJYnhFZ+PIDrxeB/Ty6CYGV0MSwF7 oAR3PgKaoSKv+f5Df5YRd/zgkOQs7wLVrcfyvUMPDiVHFFMd9gBvquP4QxB4HhqB3wPrJ5wzTVmt 5y52TlcwysPKgY25vR0aptgzk4WhGzh+lYQL2yi1ShnTk4gdNXdAGCpuKSAy5dpQbhXVSebSPWQ8 5FXSe1R6BGyOc+St4LAH7Vfw6F4giHrGzwYM9hTcxmJWkm4p9wqJyAKeEQO/UHwVUNAttno/qoDY H7+Rq0yfeu9yQnqc+SnwaGJmRsr63ejbzIzbsqxPp3pUYojF3+N0kWrx4/pWF1umygH1aFgG7aki Ml2KmFRHxzLafzz/X2IL1KIlbRKARI8bF2eJkRrnCl4lvPZwU2oqvMLPmCh9LbpnqchjimOkmmMN S0zIshF9TGdUNSndchQLy9T8JkCeZk+F3hmhKMzsx9GqLHCO2HKzp7lkmJ8jtCShcWvSLf+6jSGm hibGmUfQSWRaYSaYRAWOUhOfIjYzobmH2QTTD7xxqDTTrjHl5lMAb9IuWQq3BKxlwij7HXFD5uZb bJ4QGs0cmpcwwziRkc4p+2nnThvOo77oGvUeik51vCDYUJDEUifETbKC6BG5iikv7L/ba5JRE7da 94qN0Hwn0WHWCPgYoPknTeEL7JPxdZubg8FZOpuGV2vODOn8gDgHBWNNJoAfJjx2AgIcvO7XtRS9 kC66IpljPfinMNK50H8Mmw6YInoMO2DoxhBT36CqfeinpilTVUeimdzZwAwX3xFJfYtyZykCCNVz OVJYrAaGBGA15klDZ/jzqQsf2R4oN9toRpzvUB9v4EZ1rf7me8qTX6sKdEBMHph3N/xslmRRSu3z WqLuPHzhm4xmWPB/Vf8+4z3ljXXJ+xS8sC3F1K2IKk/zLm+YY1LamenpiCusk4fHIgHLtspp20Ee d50c4ot0ry7uVbsIymT5fI/Z9Fizmx9F2EAaLsfUmU8Jdu5h7n0q+4IFgKLSc49IyYjmGCbLz0Of 6tGWUMjruPH5dUr2TeuP51SQ+pXRf2Op2bTqxPaloCEUyjQV9EW6aQPkMgawUM5k+9B8dnK2FNs9 J0geP3/EBccLvYF8nB8+TTsC0u9VAYmYTj09az1Ce4eO1bKzpJ/EFx/wIpWI9ImQ2V0Zroq8azmI TecY29NUglDzmy2F01DuutdEYtOol5vdJ1nivNPDI3sMsx62L48t3S/D961N91kt1/Y8uXWSFcLZ CtdiDUh3OSuWB4zSSXL/93U8tVS2Db2VkNS0/1Ksc+tPz2MPwLMMPSWl8sPznWXS6IrEcUlE/qjG l9FdhWltNAqS0yN2LsjPOJEOrwTSv1hBfK3S53HdfSh1hFuQGmqAYBpgsQ63ZL4QSQa+hZ+ma+LI Tx4W77kT02JujrlIWk9CTzVd13WkSSap7XvRaxw3ZuVgPZ/Zh9WV7BmweJUCXIaSqZs/dwSi1Ji9 2OABWNBYPvxr8fGyNtda6sNmGEvmDM8NI0pkjikiCls3Vf6v20+/4bGLuruWczW8j5jYS2bykTml p0+4hNdBXq26gL6SUxUda1uY5vXkubCYoU/j4L9Fk5AX6VbvOOIkfhe8J1kRWmTzcUihr+taoqyR KOLjShtjat13qOJ79XC/+M0ADVZvhjvHuK3vVN+Jg9Y+1PX5UoHr9jU+YGdZOQ5NTyjWOLbMxplF RY706LN5rEcxUDQrZpk8IF0Ook4UY1SX8TDWb9BhRN+EAolcdrsbKn/nFBZUFfVtmiYYcIAvnwCC NVvxmkZwMvaICDEn/V/RJo2Aia5bLpWOUHDBfI5w21dfol/p4Kkw50XIqCBEM+hQYEZvyAaPL30c Rej1hi9u2Jutwgvr4/NAhPE0vNYrFuc7dcbJJrDswCbScs1I2i+R3D+XZT9y3RAm7lWk+dRFwM6h dFKzPMqnnCvBTcghweZoEwBMfZsKTvozfywiFuMa4likjfnj5jGXQLYo9sRCRc3D3CWwQ0ckbcQe Ch0AysZfTqmz5xYn9buembNhac9+RO+493Byt7zuE2jtS2guBqz3szLBZl4TLHNtESTi2KKRoyv0 g/KADbO0tJDhNP5oKwpq3Un1c7swBNj5EiDirnBsFcGwehaAdeHhwvJ7UvvYD3UpaVAjRb2//WUJ pKZDZdDib4eAf9jPv/3pTIkgeju1134ags3sHQXAfkoA/8BZkxjpoj/m2qLyOZ/6VhlN3QfydrRM z4UdTHfMZgvvFfpclk0xs/KyMzsZqCDCwhsz6M2v4V8CFGMjxx5e8ofRfqSPPy7UsNNbKHRtd8Ya BJl2ZzKZ5skOjhAxfrjjgdtjmGHbkrskU2ulcmuiolI11f/3k5XaBZml3n/yZyJI+6A6H1b+zgEJ Q1EBGUUXeCBZglpkPKfDGhbOFZOz4QzObVeBAUus993y9Ep26I+WLQiZLFPeAoEyfsB4WuBDuW+k F4iGU+1QwnMVYUHWQZzdRZPSMFArldVoiJZZGHT+4kv9AAlfM+vx+uAFsUs/3toTh1oxhU4K3tkz 49/9eRtGpG6h/kJ2EFNrNMm76VrnOHC5ppJcFBU+7ZQ3seN6w6+GmCkYWFEg70ls7DUJNrjDH6m6 ZZUb5pHDfwSmD9lp3MxI9z6P7nukPrwJIH/fXe8nJ4tHjTaicwalYBn46+qr+r8j3esU+WiBN6Ev 1OyYkdTcgEdEPbfI9Z0uWB1xwSlr0JeIKWBomTwrxNwIFp72rroTqvRmxanqCrmVvkvBxsItLcjG SLRk2cL1DMRmpIDGk8iD9BEPUp60dTY+RA1Jur5x2nAnO6j/UphijIOaUcCR4k3FMKQiSzamjdwS Wsd5o3HHts17uLknfwg2KeBle/IhsRQjMiusN6bdMXENT7kPcoLNnApLtLhQGquSEYm0Tva4oHZi s3LDKhM42ZGVk8V4ZP2n58xGvHIl0XAiC1oLejERCYUmHTNaGVWZcqmvr2wfsaNmI2Gr3oZofM/s BMyehazAPgb34imEOJp94z3UheJInpOPdaRDcqWY6iR5D6TFY8iNklPcGcWuJJNmy86e/NvfPzeU g4ctszW63UxHShHfUKuz3fbEKVcDuWmtLNEWKi+F1mfdMPmNf/A1R7YwktFrgTAnXyXZ8diFAujZ YQqpZoPlznS7Dwsg5M1qiWm8clgxcGHOXW2p4ktL3pCt1ZdAHLT4M7ve1XK9VjY2l08Ae5nHDoE9 Tu0Z4EkWa2E0O2wFgqghOyYRp7QJHIo4CXRWnIIOp8jIYNMntFeDpX19OQaDNZS5Avhvn/QU8KQz lBPLeVUutBbLpSlypbhxPoqZ+aKdb/gceqvXww0sIAbvuu3PZfo9UvduSM/t1V7JFAGqazN0qvvQ 00+Bqsb2/u0PTX6T3cbZuViT+cyQDsVRd3NxWqp/3bt7z7h9F69SwYOg2VosZxCYg+QE3vvCWdIJ x71RACJNUYk691/JyU+XP470CDAK6PqmpFg6jJkUGjFom5N0gBSUbIVLuRzD5i8jWqYSayywnnDO cBepjsboUiZ6Yh081Ju/daOBxMWqRv1y7G3iDBoZx55BJB1/4dfj8tFt5kU5d6X8mRnm5IrvYjtW yh93hxIOLJUAFzR0b1Qjo1Hr+qyNFAb2+D4h3gcnkV80i9ApM21K2YYp55vP0iRcl6lsqfKs5pjJ VTVcqj7uBs0MgBmp/KPlPxevnQrBXXx//J6R3JEFtX5g5drmOggWT1V9TcNRDiaGGEmVFDXG643i rq7mMCBGHdpdIYo6whjAm/ruSMp2v8t+Ki5ImXbtN1HKTRA11IOQqzB1XLBi3mbyq3KHjUxaZIvU FfE6bgh4eIT5Jyq15w/QewEzB/5RjFx7irBl8XLOeq+yDWjS/W8nC2fbItpp9YxGWXMtVPn+Na2K U7ixHe1+Drez9EUpVN1r54JRIRZ6i/JFspz8KO1Jl0mO4KygdzoHWoD8CnHDPUrP5497uR2xzXho nFOd3IWpOJdSzclokpAFxEyautP6FObTpIbuy4ereqkSu2MHsu63LDXJaqPpJM6BZqi9DcLqX8p2 oODsJa2It+hbE93G+JtwXlVh+V+K6NrphgLqBLHASOk6u6qoun7s0jZRFUaXPML2zp4Gf8/UZqc9 PPTpQrVAmPxncLfQDSmXThKI7YGAY3B1MnPhSY2Mi+BF7MxnKbL3WUUxwAeeKhuIGpEehWPrzeEI P3MlhmDiD6tZ24M0a0xRXjnjd5qGIJPQeVvdN/Ldgc6PpeS28zZmsnf9wosQDNj7nNtHPi4yQcSW UYzg/9Fr7+pd2cLUiK99ypSGu68GoBNw2bT5wi8E77s3/YSPlZunq86kZyJwDV4GO165C+ViJpj7 vzUGABIEsnJ8Z2bn1VGHgS3zQinLOuqgE41KrM01xgs6VTKwKeeaMUv5mCfBwMw/SB5+Do6PdQe1 ZRSS/vVwmKqlEGgEJbg89G6ltFmNXK3MgaLyTfl2jfhUtp/7mlwY7tQt8cRmw19sqp5GtzzyiuWq /3OWrgvsJNvs5n2OnStVeRrEcVc6oObEVsHMmxRP3YY7UWiaf+tc7cjZ0gYCD7xqrBUkmirzW0kV RhfVJ8hqyfpnfdzCXtahY4cMqvk7DKrZg9bGVFYxbOGdQdYebN/CGO/L2eoNeNRpbRcDbKPt5tCe t1Rp5wg2xQXiocBRmXJ23srqM3O4iiLbInfQsk5XPvxEEoMX8XyoOazxBlsf8hzVgHGFuzsU3vmC p1JuQYyC5sxEmWr1jAX2dmLtQY87vIH0p0I1+wINi4ZxsIO6sUBfm/3yIqdZF+f7LB448CDA5AAg 5ihTxO4ubqqwjnt2tAURYYsTWGAFppbkW6LtL1o/u+9WnWAvc+Ut5Qge57khRtm6v28DcTK2sqor uQS5md6aYX5Ri2NnaZhtG+IWB3gdu8dHfQ4F5dZXIkjDUn7I3+o9C/L5StJXORSizXkrPWZreTYz 8jMVZIBn0/nFpUXst0ctZj2LKV59NKXTjnVwEqCl5dc0ETta9P+Mj7ZAEQu7N6rQu7kMRHbhddb/ XjopvXvudTNv4dvTBt66FR3ZmPzYK6Fff6ZhkmSUr5m40lRw9V2BKx1n0+AvIe/Tg8JRs2Js7aJM c489eQg9z3tZ7lSvk67R2bbY6GGCBwLOsCTJ+6pUAh2oF9ZDRfgCJyxB9CNZVMWnOKXZz7+vGAfh WngV37iOE6VrOg+mbVILGf83E3AyeTwzOTl+s/GEQh4mlzo65QpimlJvm4bCRVSkKc0CLt8seFCg EKuuit1WNeaMQV3Ie2xV2ityTQryWVX7chL0kO0Bi5QWVSXeBwkWIVwYHVDvN07Ds0Ih9f4k36za nEPJplpHWbt6RPoUiNHw63wUgTUNAA1JBO56ClyeqXcUZ+oQUtkMluYgzl8Y27YVAecz9TWdfGs8 xTK3AR30wg918UeoXqm688p3cZHu+pPGoexQHfRTN6a5MSmrbwdZJFKYaif+/WhkDVm5pwlAGnFS Wje5WrvCt1xQI/f+P+/hRYTrLtkuoN7Pjp+/VnbJ12YEIe6GEat1FRWPoQRl03qT0fA4KmlHZj++ PGieKbIceLWYZO8np8/KlaU/nHbqOnFrxNEqpPRDUbWaZfCXWM70fGuTKsepb1H6bv1AzeWHj0Aq 3SCmjt0tNf4HAHTVR1ekEjVbir8M67KUlkTQKrnlV0GkI51ETpNbrAjBbDRW4A8stj2UHP3UYNJ2 JknQz3EzyOCo6arlmjrHADNPCZkvA2MkXN1p9DGMbrIoAzWyrokMGD/29BWVBXO1vYPyjf8qvYAd Fs4yOlqUNU10Zu6m+bttQD+wsdnLwKHSDXASA3BNP8QHFNa2x0nzEYx2LVl64kedWUWVDVj/3qzr JlXfenNUshNbrbC/D6PgRYOQG8xba2g3NDpo4b70t27TvZtY/kmDwejKKBc7bgrRHCaDo/Znaqih 5cthufjRN2Ge+IfH46q5ZGysKLcTM0DcxMjbUWzgZ0nRrL2kUpZzNLT5fTew5nE1yy5z4pUyi0q/ pnjm07EPhlXrK1xQahf4GEQUv0gMYZF7qCez8F8nNCwVIog/i9wdXsPbZpwRGRoBccLc/d7VDg/1 2ApekYVd4XMvdjnrHyqtGCgkPyVbgQBohZnCCn6s4pk5DFUtUgbty4vpEXmo57+0S7EF3cIdYqS+ tsfcspq9pICGtnfKcssWmCfAEFJ5ViFi6fAt0yP9wAqMH+XhKpOTExkruafV4qn6VDvXDMR38ej8 k/F+uaTd8awQ9kSciaCb6xygP9s5VM22KtYmZA9x93Ffbtiyqjtysh5Qjx5DLZ4TOoFZM/WEzR4S znr8T0tuSSeOcqvwQeJwtV4jhqCNwnqcoe9LWRXvYZ6E7aihHvYrzEXuceM8JtuCUBzuH8hVMXEg voa+KEEIemaNYGYQZED7MGtaeuidk5wp1S43kpRQv5gvm22XJ8PtKe78sTAAFAiyzt650kf6bc2Z EphXfH8XpdmbcAAMqMUwyKsFgVX41XHFqUqqc++LXTAATnLAbA7rTFfv0Yuadh+41yR+ANMqt9lT 6ehHL6HWL2yStFL8Peem/Md7BPYoOidbp3qmBIfjlZuCghiMRMti+c/GdJ2B7msArc2pm+ydg48a 5D6jefgSGGwilqgWlMAh+mAXUZt1t2fQdax3bIAfLpnnQM68dUMD7TIQn/+TR3TnDwZZSnBCk+4a 88Ije1l9Fh6LBrJhX0hojQsZY4vE61EtM2GoVe6mjy8m8IBZ00yzOvww/CzVtTWU/Mo9181pZ7IB ohDw2TzFt3a6PnFxaEhStmgsj4TOiKo8fHDb7+qzUoVSz5+xUHt3S8BhdD1nloPJi4OGbdLfCe/o 8ypABStbF7TrbItBFfeLKxh6wLWbof/9iQJzf5F+a2rRxO5Qu4D7dJLwz4ZvUO96v3DmvvHgRNxJ 7Qgoioqi2ILwS4K5lBLpHVBm/cU7mzY7lyowlV8jR3pc12qtYzO71b7tygYdtMaYf1ZYUVhcYfdL 67xEfUhfa8B7qVSr6/+0Qc6gEkhZFUEdTy8QAJC0jT8InW1Wjg2E94yfdI0GcV0kJDuMCldTQtZX /G4a8HXumUulAiJauLovNuUTM8aapK0l/D5z06/ddS5lkDRN/z6S3wjVt7ZwBIbD+croYVjG4b/+ 4BHXoqXvwA5q6Kunaal61sAriYWi8kB1diaHMZx1JDQtIXMCfeToDHhxAETsWHA8qIYdRkNWZKuE s06AGambfYm+WRpecjyIpl3Slm9paymTLdX7aKpWRb4+frYvx+WKGny5m2KPCrOUEN8B1iF5tgvT hItMF1bMs6DMzzhCN0ENt8kcjNQR7VpPyBA50+Tfxk5z9q2Dq6hZ09rqg9stpWGoXE+Lk3HXuocK HQ2I0L0cnBUskJkd22PffgntFZGkPSDmCRWzfLWU7sZiEy0W36iFPLDiftFHT7jzwl24KUBQ2ZTI gYlVw3g37pZZX7vehJ0h8tVQg1uLX449WNNm1fQxYoQUE9tG64uZKZ/edVS+Hol2af4U83ohRNdp TrmVwK9mwCcI4/wtcjh6W2Gx6BvyxXo15Pait/IEf4nWKxhr+3tUGulbKDWmZNX5FLfCiBlyt2wU nsTdSjyhbrnEOAcejT06Rd9UtO5+FKJa1rgo2L1AMdbw6m++Ec36CzKZEzm9I2EVWfQ3iySV6wCa GG/Zgl4BsoN/d3jkhmSlYd7tptgUFFrQ3hjTPVxZcHJbwLq86cxZvzlkjmSqFlzlh475qQJD0SEh DQxZOocEgdGGL5IdHX09PYSx9DuyRLc5bcDJKRETmtNBe1JbCe50eWscLzA48gRSV1vSbHTR9QwM u6aRIQj4t/LE9E//si+C+x8kaR6SRgWFMQkoXfjyFBsrZeJj39x2c7LlvTJsckuMIwRib9wMI7/n ildFYSJa/vqfhTlGzSQqxttY0elFjvDFHzbHrgPKGAB5z0VVPY5Z4JeAX4DYIxZbU4ncvmFHCG7N 2YkNW7dzIa9+XQtqy6mZFjzw+bb6eafr4zUrYRZqxnzXhzUNaqs2mAaukmE7nPC0K/8zRzrhQueE /qPBgC5cqgDt1iopcraT/uEGlyVFF6YpIsEAkmYtPTGKOZJC5gmfn0b7RNXx8Vnrp9JWFwBp2pAg RvBHiPNdPg/MsByzhEi2FRw3TtrwLY9JdXgh9r/5/Ku+cy2tcVwEIfIRaER2ja5mIMy4x+aKi/uB cHmSXgdAGNwvxTuIpuOyQ5L2WFIXLZwV9E8V/FkcIOV6dtzfsE/SprGqEHREFhSWeYWYrmO2vs9D 5A8elfwmKTJWCfsVAWZKT9TvU0eCGoJxQPDAMbQvs7Lh9+2QAJKd1fZ0HLqtcAVYo5owIZlHXX9L kuwFYjdV+gmSjzJuMy9nKx9iLF6WMphDM9NqVf/6qcxcseGgfEPppRVn2LF/Myz/TkMWlp9mXa89 nXfcg0wktwOPG9d/x+PTDNvxjhkw98zN98hztaur9YfX0eKjT/KxLQ1ZhukfBbK1J2D0iRqcQo9B byYKMXA0bFEbnZJMBHHIgANgQtTSGte4G6KhWK8a7Io265udk5KKNftdGzKD9vPYlD8Wf5SsNLwP zZUM2/8tRbTpREnaCGNCyTdb7SADexYfwGpJxlvaStONiC0hWdfC2oP8GO2XYxW3XW/OfPyOBwP7 JKXuR9U1rWjllcgCcmuYNJvbLw51Y99+Hwsi6gr8E0PZJVdVMDuKsd+KZTrJ/qS/e0JMVe+n5wfz +EDvjtjG86Rkfk0jUkECKKr3iEASFpnzyEpLUkj34LNebmXLmLpT0aRGXAxo810lkkrP1MmtBgCs StkBrZG29kd1c5NhVaqWo2BQdEL6W2zxAs6qqeVvua/ghhPzg8XpAbuojKIS6coG2dsq95kqE/d9 pFvS3lEifUS+a9mTzM4+J3Kmz+TI5inAHJFmc6fM8v0dsfokV2d2GTb9UUwwZgTBZ/B/pvhGxPI6 6fSWto3P+eUTwu65bWPxLthv+qStOdBnY+5lWAqsUaIElHdpK2GEuyvPVn4ZtU8Bz+3fCSXdCFy9 yr06aINqfFIXsii8hzIY4SGMtxjulsuxuEnCdf8/6m9t723ZSXYOVTWQ+raeYQs7HqQb0j/pFK+T OveSujWxigjAERgdPFXQTPDrfbx09/35D1anTkSUGAr4B1kv5PrZUxKXTw4YXfWq6wqoL+tNnQHi WdAOVb2R9lFgUPBYrEj/zWH1LeRPzqznddkeZ3eQVY2fCqzWQlQfYUpX7Axr9Nw3fRItlgBkj/zh 8uwYsUFv2CQUGyBd9WH36Zodqnl4JPcAsD8Jzs8E16SndgVWSjM8TCMcZgfa67B/FBcXNJG78MTu vt8ifFpI9QjuNIsNNnnJaOjhYao4F/w4s2LjtkAXZqxUVu9QCza4mJCtnHKbFq8cyyV5KeDdL+oi 7N0iV3rYqr3qYH2nE0yWihdbVknpp+YI4EY7kdpKaRu6bSOeGGcsnHYsF0RPsxdTi+CeOfUBmtZU emBT+2ERoIYMjC9gQJ0HTFYp3pbMiQh8hDJh4Qe0Ztw2BWO+O/ui4TbMiGU1nFVVP5drFVmgjora ce7pC0fjHqrZsKfJ74wuas6EKpfH7K8GPDpnDw077n7bPBl439C1koViISS3JDYhCJC9WfV0SwHW 7DtxuRIupOkkk4a0fN8DyDD0O77H7MStPrLH7O+9ubsuHmFnI490zcMhaPD+Yb8CNvBpUdduNwEV J9RmXITRtmTZHlI7WxU+kNqUI3pJBOZfIUeK1T634xfcvRzPn2o1NCO64DXYConfQAGN+yAhEd0g bIdT4PFm23HQ5R5VtGnUCYLQIa5NHky749sY/uOdZJZ+d3CeZIKw1q+6CnZQgNT7en4AgRi17opy bjq+aV7fLqWpOzH2yDbPHM127Wk5Ai6tn+d4EzLiEx+CNIq2wekSOrp7OCUseggYCJzTWUkl9uYx XaGfE9mtXghgDNCtaSXFmLlEuq8Ewx0Jsi5DJ6h5lXGOlpFH9aPFOCTuJvm62x7Q2+MVqetsvtHm LRQBZeUWsnEoVge6vn+kfN+jhtq/t4bXWYekmwxzXR1E+TQ4ioBmT9vOoSiVN3mlb5h7Jn8xAG2C FQJFBdqeRSGqj5lOgXkFBIC6yJuInt8pfwa8QKSsE4ZpgB/M2d31a3s3wjNzemdMmq5wPiNGnAQd cz9yfqx1CqB9hQaJlb1NFx05Pt4QnKn4yVc4+45Jqgg4RAh7BJs4/heHy1AdcpnuIfop3GXJqZ16 86vLCLju8z/N7C9PdS7nfqjUvLQYXtTbPxiGzNXMqQMSXEtLPm86PZtYpDbXig/1EwQF5W08h9My 7KRj8h+xC9Be8NqesNxY3vAHCRo06matU3x/CLe8gw2GORwbP3yhHlyo1/DPZ0ENSEFCqUr4auyJ kamR0BUuMg48EwwWD4cfzRjUdb673sa6ddN+sIQfKnWGkKEtEPrlb/qzMTsqH+zEc9W1AbRKsSp/ 7n7fWcFKhiqfiMhtfjO0VSnuKre+/OzvpBtGQBGPpocZRy/wSkI78eQXNxC0TGfReZlxcETpvYrZ rbMTL4ur2Xrkkds9wzaLgVjdo8us5cJ50KLdxGsCPnDxRvoGLJwMZOgizTq4Q5HJxdu1+zDWM1Qm rrZLgzDTOJyvGtGD/3iXO1xkUSW0ME1yvM+Z3xLZTIo/U90LD6xFWlJc3wlkSceObXqmd9plXiFo 1Kkwl9/6Tc5A3Wgri6K+6+EMcHbVvE/dQbBZabe4KNiBEtA/MIySxKjHArR17HhaM5/Y8+mCr9L0 uAIbdkgOpK4MFm/R+GtEX41UvnuC37bPToKRHo7emNZs/YaxdZpkACG6yuBVyqhVYLfa1gabKS5V W64FaxuH2yeJM9EOxbAIZ73QI2kyy1Y3QyPEer1eyDL9wnkeqBMgxPXHkhQgCQO/rIE3/nojxeRj nc0pxuu6Mrn1v7tNYUFy7WBe5AU9m7k8Q3H3NFx9EDyga5NynbfqaR3gdNp6cB8qWB8lJD1a2NwF obvLB2365NwWvrwcau3+hMOvgISrxm/ufA+CD7jVW+/JgMy+OJAe4l2TvfiRUB1JVmSYwbpG8zYA 4bZeR0AAHnjcYnEvhR8vDbXQegx0mJyT4ZQxz1Mwlnj1dr6lLpRPq0l4AMGTrLogS50lPSxiKJuz ffLo0u5cRMpWgGbl91q3Y/kNec4OVFURmQtj+aGVZy5N5Id4vkQ0QdQBUUnT6pljcqsRb9TLYzY1 /129LkMJBsp4+2/XVIthzR4eusltJTcqf8O/4PTJc3nzQDTmzVjYFzqFUhEzMMllGaJ2BnrNZqRy FGqI5vxgfH8X/q3Wi8X3r3qTB+WGkpgXwgvogl59r71LRe9VS32zWsYqaGa4jvclAeOjPj4bWNsB B5478Ip3SVLN1umBisA/zYoSS8HdLqTWkCxNiMpsob0IIfmPjmLjhpM6dvrFEIjlQCXbjdJE9YfM 3wg6og19W7iTN1M9LqpnDagg0MN8xauxPnRdgA7wA9jx5d4ruudFBqxkv606ospYPX3AV6k9w011 QmjQs4YbpUoc/RikyzQEH/SBETcE8OnQAqyPG06dDw9XyhaIcTvCq9oyW9J8Pu1ZSThgB1nl9YLq YjrZitGOVAB3y+RmFjA6asXmksFWBqUOeD23XdUNlUhFzpDUnJ0wZsudtRuWijdcAoSIbWY1lSGn 9g9nWCFEFW/VjHmKBIIZ7ELvMhN5amArhl3P5owTEYN0WDc11jugC66IZeuF1CUDJdR4yBzYqYTu WXu37arL3M1KcARALGK/Ckkk3N/F5zCvCPQ7j+iBxaULbGbf6xYTImaTFcVQUpTY+K64H4ougvxK /8faVSx48STPE76zJ+JjfrbLeiCR4tpZnc/hyYzBt8UaRf/F0ViLkZxTBsHuq2baH5BR8n4OISaX U5c+0zBrpO/eMwqk4uUj8bxCwwqLdblauV2QTEiSjkgTb/uluyh9N1CLCSO2T5sDvJP3/55i38XQ 8K7lGYCqHmMetZzuQIheTOhlDVQZXVMlljvOcxjPGV2LVwVENRC7a4HPi+pdI3vK59wDzNHX/tOP avitG1l4I2tUjoOlPJP/6aNibF2P9irbPgBLDNTQlzQI9xQ9dbixiR9VYjaEfYkqtU9DNB5O1php kWzEsaWOQ3skFmNyp7IuQAYAVZxxh14Cc9FD7bCTT7TW29fUD7cpstvaq3q2W+vStI3y43WYkppX gFzbzDq1evWLB3q7l+0yZuhezvZK6zF48Rv1faQquusvd4r82gxPaKWW+za6cQPbI9WDPhVupTNL dgrDVwQqIABhPfcp5sBs5YsS1vc57BTnALKQZU6UaDYEn4SGngnk5qWyWiEPGD/LCPKDO1C3V6a/ t8EA5w03KLTkBePQhDmvJTUWyUR8tWuQhRvOIDRw7lwLwkgQokz4IrBDVL5CIqiexIkH6xD0xoM7 NicYJ/ScDZEckgj4dPubK5T334Da9LOQyrnhc9s1+t8cRi/ORvGAkzI9IDZvNzYRWn+Hxl3HNZzn 7xmta7aMV19GyVwkBGq8K0/Z66b4qx1Dm0wMsxE8S+9lbLP+/KAWzNh/8Ptys/cY8KR3WqH+RMzc T9doNGIQxPRwvBOQ9BKJeyqB6mh3HnXecsrL0e8al4Pk0C32L4uR+tJA4HmTfythplfEbJa7yRYe 2co1aejpNAkDsU878pD5xg/pIrrHvrUZLwipn9FBOIO0gaEjT2ajqnx5VUZeAn5KnAXC1WwEBPE2 8blY0hwGSEwlIanubYMBTYeNjAbxl91WGzDoyKQQQlACCUED0mQW/kaRfNBfKW69/VmTM+46Sm3H BS3Wx8lKJhjt+gvYCZzRiNZY1aEAYbLu6W9GEK9Oee1teMs2IjdyYosWYqf9cYovbbAH7L7xynWD LxfjHhUgyn3jxDaZF1GUmm4F7/jqdEC6RpgiQX9y26x7KGnFywuBPfhRAugLLA+uoYkL40VFqqX1 iSc36+NI94sQaGQyApqF7+HifTdQX32STxe7EOKro87LdrmkiCyXJAGsAOIhSFDF9z5PRQncnak1 T/9DIlxvBPAUB5VcYXrkYfK16Qtllll+4mh2W+r7FnIPimebjowTF+8omhMDXnUjHu0M+MNPOHhO YuPrAp4zvTPX1qVGlZaxsyux1HDcbI2+1x1sWoYHq21ms6/CI0U2k/zUjQaKAbaf3CEZLaDRxZVj RhfzCWpf+qAIKJRxd5sjIeA5useuFzysane/iBQb4dxpGGrT49rBdPA+3pyRt9BeS/W2q9RrDO8h YvmnS98ulQwTD/XvRV4XEz5FbBMlkqPpD61Vo/vs75f7mT85VLOLFPoTPYvj1ZGp4SJ43bWJkCXZ qf5IEla4ClSFu6Ua1EFzPmKWnfhdoZP6/IxrOrOy/T5ZLwnoHvo5ZNRWhD0u5zIWUFuQC3+PwHKu FhYp4FDLNqbDy2TLbe653nh4oiwVZDMrz8jPYCG9GbCLfMtxWS8r4HA024gPkmfIUPwYbdwlsWNI BVH7RlUgJzxfKZ0Kw+nUa6OGPHpTJ6uG3lg7Z/eVCocC3DP1KczNRJQF6ikyoQLPmbkU0IAyT9fY 66IKcvXF3Z2oqjx9Kvmp+9JNsMWFfXYxuYtyyDtfbmFUaHClR7LYHPnztA4X2cBdHRBaK7j86Rt8 RCdl3lXfultSNkurqPvYxbrev+OA28kRE7aWMTmB4ItW/9dyqY4CY/oP0YAMdGiXD9GWH6JsBBmB 8SnjhtWoGWo6yM/kYkr/8PrHzEuvz4wPpXgEG+P3v56XKN5tb0w+V0jTJ/6Rk7sWvgHCYXIPj7hB iVLEL1iOyO/PtRyUPsY4HBi9/FUNfLfWBMnpj3P8+0ngw4TXBNUsK8LHdgujjv+CSwjJ1J/8iOks FRAjdpj+qZjSEMsy+BMZWWcV8UDXZtrTFSZkWz0KTQrVHh7FIHUTuCpNhQTLeAfECK9PmdSJdpcp zJC+9hFtntI/x4B7dcRc/uBL8ZrZdv+oPUALAG57AbnFz0uy7Y6XZQ2FTtK8HXH2mxBSDucqwGNK R0+2brN7doFjjeVBSlNDPOhn/tq/3Dfgp43tAQcvZ0QNDwYCBUGbSfntGItKaqDWN9j2QPQ7Z+o0 y94XcJ9/QJh39pbI0sS+cluJnAUxPvDFoHsx064NmDjFK5k5QctsikHGtYyqHFiRElSlSuk22Ya/ 8EWyTzKVnjz5jc90aIteLMjlJowrcVMG2xf7xJza+pELL9PosPRmRFpmGScI0/7ifnYFeammj8q4 2V7LPAJkVuih9ntCgTND4X7GjXTzKV4JC4PQ1uTVeKLfr8PfE5961fBiQORp9RbtCsnY0cMqT7Af 9Bn4HFf8NXOTR4d6r3ANXH861g3MOP80nj1lH0hcArcPGtN38Q0FvqhlrHm4fhGCH/TZL7wjZP8S sbygcUakopHB090l7acXWpxtLdt56gXjpZfmAKHUuUjX3R2vXvYrjhpRyDhskfzjCuf1qb3t7mBP zjzWhmX0Ov6yWHkf22CfWIehhm4lEGVgV53mYhf4Mex1NUT+AvFjEV5bvQa6FvRXxQPDMUOfQ0Vm SUw7xmEw7CUTpTbK/3LRFJGVQEqM6wE1UlsWyiMbIpr5DyeTBZo66609zInpmHExynGm/uyc2H+E fYxKeDAzozAN6+hTw9XSYsSL27+ySsFjUFfVrQo2dIhQAFhYG3RajCz9RuTFCcjhpmcMbIELtBAW jk4cbwWIzJ7JxbK1o2IOThMOAHffDMx/GUZBlz0om163dRhto2ujZdazxMMqLNB9ywFKDiWqBklK iREGFvM1/iu5MeAESY3UYnZl8vtOZKKkDxaEwFM67JW7L/1xUm0EyMsHMw7St5L2GPw7VG3eW0RY qo118gIjdhOou2aaMRM/wfUv+apYoHVjDybBgeLT0HiZCb3ydh9tW22B1mbQw09swPfOoJtOF6NB B0SzdQLbBp46O0RPbBuLcocVNNP1W+w21vxU2D0YdHL8kc9es/JL1LhXghl08/a9CSVDUNyRZNjv m0MLtuallrWgVxKgsbRcihOe4v96jNkwB5HBygqKW1w0WJjyr9oaSX7RU5xcb5KwKzwBZBuoaeU9 blHYIF/qvrsL/MrL/YcYjQ8aRMzFYH/9kz9BnupzbNNaEVOA+PLiOPCgnsbzv1Lgy0srie4TnLAv wRf+PX1QxxlAnIJLS38XzCjAD+l6O87urEOVgE95KlrlmJCt4bXS2nc9PC0TPYhd+hxwe/Aw5qrV 21V7evcTexb0iSWVZhH1oMBjYhTWeZ8UCFf4fVNW9XDYYisM4K1M6jINP/tYHQSO/8ysaEnB+UBO h8x0eFC5SDcpgWOh6xJPXir2ggHl5M47ngNDRsHu7IOpbiOs4kavqbw7h+6AL15D/mzR3TsJLHXJ 1NSxssue8HmCBfriubnaoSFpLtULX4BNqBJW3O26MtZ3WASunCj4tgOa9yLI86mx0bLhVHYOrzZL tnJCZ8H+h0xt9Gi9iin29wUkJzUGR1fJiIzP5oUYyy6GZtG9wZ8yoWsgQtJQPMDYuFBSUZEGQPlc 3snGuTqhcFmzir3hIMCCY/wrnpneRqnRoTaRfu1zHH4nkWLJJcdDey1iynpFi43KhvT7xgNnHzSd gR2nw3jl2xE2d/ioKlrgwAQEckR1ZJJ9r7Gi9lz8c9R6Az6BEkgfvMWRhXrrFveK0uW7pQcN8eA5 jACqICRWxsXhNfRfjID7rnZ7NsOOHxqKF7egGJ0ePo7mg25ondOiSLk6RS1zKqAaTv0/vKUmAa9i ZlsWP50thE25/TL2FZY1LL2SDOXXpdTUD/3StN+uF8JkFCZ+Hltd9r3/7kEqypgRmLtuMM0qrdcD g/0uNnieXUEgfsEETWlSAC/qu1oB555Tl9bEAmv8aFrqGaMzjTBJ4LtbZnQ13lPqkx2/JNlJblS1 74IuJPQttzAeFoXolmgg8R+Zh9VMmssauVPjt8WqjXJGInxIuIkm3MBzzn1RIM877ufCBRVEzpB9 uzTET0FP8BwK7XfcGISHFq67FLOnkQ7B17wBdmRwn2OxRmKEDdFoZD8XLvi9Pmr42A91HT2eju06 ewdZyUbPkfqRu39kMwETbthZpvg94LLGwoRWSx+6dcG6CGmF5XTczPyT9F4x+mHn7QZWBjmZbx3B qbLKhTWd43qMdnkoXX7+RVTj8DleSlurECay5E4qQ+aTnUkOWAG+78BD0G5iewTsWuT4cdfU4j46 taUxvz2gVDHDWxvqKQuPUgdcOpTC+UwGD0EHhIdQLb0+RUjBjWKnKSGPGyLV7mKQIiSS544DOwUa /53p3jxSedqeHSIcIg31jTOCORDmPW8arzpJ1u9QmABFtGUD6LVpW04TyDoAKoFIVFswVhT+XB4S EHGT9POn+SilaWQp743FMSbMdbs5rYy7LkEnhAtGt4WqSgY/FY7X94AJnwPb+ZDDOw2Fxu08KG0Z mLfLwm996RxuMKumE52n9J82StuNHScfFJN4KqnQ5apbmP26qnY6njXnyaFFojlVlZPp29Q4nFXQ vTl4IWQ6qGEJa0Z5PK4rd35YiHsbpe2rIOGHxBFQNhePBkosughbTGU9yOhooASvmxwYHnrrcWuS X1HdmD4h9VQkd9c3yVRd3eVFgGKu5o3ZE4DPeT+JkiXFe5xgRvrfJ3HNSUrAMUSF8J8pYLRua3Ck pttT6AHRwsy3AdSgqT8o923FLhe2O6ySRUAgJmQbtpDJmrnhsAwZwfsUbeg6ChLDZdm2MjSSmdKM o0AskUR1obMIZ+gjthWGLyoCKAs+9nX/xluM248KaZwkn21MuIu34v2e0wxVmHLNDUUsn2nHTKuh cdZaPI8rng9V1rHO4VxXiIM5ICMpmrFnbTvfIPTt4Qkbm5g4BUtggfPdRBFJjKdpvHNTF/Qci178 cFdQwKEwDUtFVWFN/xhkvGbFoZWE3lO7UrOlti7KYF4As75PAofHS8o64SFBhXoF+O1tKHiAdyFV TnHHMMWse0Wf4UKCEViPxI2ofK/hCuiUoeoG/OBwolL3KW3FqcTaKTpmDMfa4RZASqDq4kItYojV DeCtccTTMO1HXPSeDgTXJ2geeLFPTFS0fBp6WkqMCywp0QRybkUwWmFIf2kwLdr7/48be0ibKZbb VSeWXzPR61LFgpdtuYOi3rADtM+SSsVnjSaCcvoS3jBw/HAdysirr82d8vGLlg+MefXz/2i9bEvf o/R00LNQYgy4d8S3PCDt+8aeIcqJrBDU9RvyRG5aVYpegx8ynpoE7NEPR+/mKttp2vcPdV/SyO0N xbPjAUHGOph6d2N8q4wLVGeVgcR2U5jWuIiB3wkKOMA9Q/ODTqPhn4XayoTkcbrzGj2Pwg7CLP+E UJS+4uuVv2onlYbCFNxIHEgOFoeVT66OtChLWkFFVr/BhyTcVO/K1eJ1FVq4sF9BxYWlt0iNUxpv Ej82aadldmtE5S5KWoWVFDbsnsNuXzf0VgZXNaf3UgXL8/T2Apt3y0v1PQsjK09kGR7DJ2HbBoHP GY/af9lXp/eZ1vpmU1oGzfor6H2+eU9p4qYYelpgg+/csC10LWcUi9dlqO65isCjXQWtABCuFzGa BETTwXb25UfQlztdqkdjEMzV8hlH+zYmqiUcOa4m04KPTdc5mdbD2BruE/HjGSx/bpCxLrB/zKSL t9SdM9oeyo415ZEMveYLrMgZqWJ4iwNpCTOvFzzFknJe3ZLOG39hzQBG6R4yBgZJNR7C21LaXztJ dX1p0patDhNiAxs0wATWftpFvOak9Jg6G9d384h7/rMwvthYnvgCLUjtYvnzeDIfhWpkD6bYts81 ZIaXaaQ06d0LFJd56e/MRnJt/RrWyN0fuA2IZCgYgLxq/vSBEl1G86vrA7zkBw1lRFIGD0afpbm3 Ppvg6perLfnt31Qfcuj9ov/OVZxsf04hR5FL/52UXOa1quQVOKnksm26g5gN4vejyKv7nVRBz7gl zWvBq8KJCv4StlQ/35ZTvHyDQluukgDyBtTezEM95RBWZTKJM0fyiI5on1sORXHEK6i3KAjueDpk rOfIXXJYIgsESHkDRAaYjru+/0+Aq4KCeSA0aIOWSDX7a1JNR8+3x9B4Fs6izAO9gC7DerAP0KZG RMszdobNH3nBcRre7uksriQvd+KXlwEBSch0howwp5QsU/9iyz9vsoXF4Dj3d08Fek/ZOy5YoFn/ h5y0VIAKVGIxlzrlGe12oLYW1fBuRnwuuuOmvJX24uuea3XzsuROpGhO+sAdRP8l2WaHIf7G0tFD 6o59ueOUX9gFmOPDwDQ5auBkQ1HfrcVZh0X1pADqOhn+sacHWKCtTPVkp1/2kR+WgYuBDaf1nZam 4MZuBBoyp9++IpGsEMGlrsjSstiEN7zpaOKayoYxcEl0kplNUBwKwDuGht3mMUx1z0UVivLp0Ojy eNG6P2yWrR+WNjU2bzCcT8Y5CM7oGrjxVC+jfll6Jgo9R/aqMjCLQNW/zOLqO3LUkmbCB/dNg4xb rDoex4Kchbg6fkjn5kTEclp8w7R7j/Jptz8DcWSSASXGT3knKVIsXSGFEbIdRIlTewNFyAuO2hGl ntt4kVM8W8wJZ7g49o3dGCN03vqHZ1b3SG646lSN6HX5lqbCOmzRe1R3NTor3Z0qbiWl8MUGMyHk wXbYoHAUt8ZxbP4/kuEQgjjrT5aBFEeRO6wbd4SDU5T3+RQPp8PSG74WvzOVCUMQh8boZam36uTX sHO086N89g== `protect end_protected
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/readout/select_data.vhd
1
4893
---------------------------------------------------------------------------------- -- Company: NTU ATHNENS - BNL -- Engineer: Panagiotis Gkountoumis -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Panagiotis Gkountoumis -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 18.04.2016 13:00:21 -- Design Name: -- Module Name: config_logic - Behavioral -- Project Name: MMFE8 -- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Chandelog: -- 19.07.2016 Reverted component to work asynchronously (Reid Pinkham) -- 20.07.2016 Changed packet length from integer to std_logic_vector (Reid Pinkham) -- 04.08.2016 Added XADC support (Reid Pinkham) ---------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity select_data is port( configuring : in std_logic; data_acq : in std_logic; xadc : in std_logic; we_data : in std_logic; we_conf : in std_logic; we_xadc : in std_logic; daq_data_in : in std_logic_vector(15 downto 0); conf_data_in : in std_logic_vector(15 downto 0); xadc_data_in : in std_logic_vector(15 downto 0); data_packet_length : in std_logic_vector(11 downto 0); xadc_packet_length : in std_logic_vector(11 downto 0); conf_packet_length : in std_logic_vector(11 downto 0); end_packet_conf : in std_logic; end_packet_daq : in std_logic; end_packet_xadc : in std_logic; fifo_rst_daq : in std_logic; fifo_rst_xadc : in std_logic; rstFIFO_top : in std_logic; data_out : out std_logic_vector(15 downto 0); packet_length : out std_logic_vector(11 downto 0); we : out std_logic; end_packet : out std_logic; fifo_rst : out std_logic ); end select_data; architecture Behavioral of select_data is signal sel : std_logic_vector(2 downto 0); signal fifo_rst_i : std_logic; begin data_selection: process(configuring, data_acq, xadc, we_conf, conf_data_in, conf_packet_length, end_packet_conf, we_data, daq_data_in, data_packet_length, end_packet_daq, fifo_rst_daq, we_xadc, xadc_data_in, xadc_packet_length, end_packet_xadc, fifo_rst_xadc) begin sel <= configuring & data_acq & xadc; case sel is when "100" => -- Configuration we <= we_conf; data_out <= conf_data_in; packet_length <= conf_packet_length; end_packet <= end_packet_conf; fifo_rst_i <= '0'; when "010" => -- DAQ we <= we_data; data_out <= daq_data_in; packet_length <= data_packet_length; end_packet <= end_packet_daq; fifo_rst_i <= fifo_rst_daq; when "001" => -- XADC we <= we_xadc; data_out <= xadc_data_in; packet_length <= xadc_packet_length; end_packet <= end_packet_xadc; fifo_rst_i <= fifo_rst_xadc; when others => we <= '0'; data_out <= (others => '0'); packet_length <= x"000"; end_packet <= '0'; fifo_rst_i <= '0'; end case; end process; fifo_rst <= fifo_rst_i or rstFIFO_top; -- top reset always will work end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/imports/axi.vhd
2
747
-- -- Package File Template -- -- Purpose: This package defines data types for AXI transfers library IEEE; use IEEE.STD_LOGIC_1164.all; package axi is type axi_in_type is record data_in : std_logic_vector (7 downto 0); data_in_valid : std_logic; -- indicates data_in valid on clock data_in_last : std_logic; -- indicates last data in frame end record; type axi_out_type is record data_out_valid : std_logic; -- indicates data out is valid data_out_last : std_logic; -- with data out valid indicates the last byte of a frame data_out : std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) end record; end axi;
gpl-3.0
rkrajnc/minimig-mist
rtl/tg68/TG68.vhd
3
7888
------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- -- -- This is the TOP-Level for TG68_fast to generate 68K Bus signals -- -- -- -- Copyright (c) 2007-2008 Tobias Gubener <[email protected]> -- -- -- -- This source file is free software: you can redistribute it and/or modify -- -- it under the terms of the GNU Lesser General Public License as published -- -- by the Free Software Foundation, either version 3 of the License, or -- -- (at your option) any later version. -- -- -- -- This source file is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public License -- -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- -- Revision 1.02 2008/01/23 -- bugfix Timing -- -- Revision 1.01 2007/11/28 -- add MOVEP -- Bugfix Interrupt in MOVEQ -- -- Revision 1.0 2007/11/05 -- Clean up code and first release -- -- known bugs/todo: -- Add CHK INSTRUCTION -- full decode ILLEGAL INSTRUCTIONS -- Add FDC Output -- add odd Address test -- add TRACE -- Movem with regmask==x0000 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TG68 is port( clk : in std_logic; reset : in std_logic; clkena_in : in std_logic:='1'; data_in : in std_logic_vector(15 downto 0); IPL : in std_logic_vector(2 downto 0):="111"; dtack : in std_logic; addr : out std_logic_vector(31 downto 0); data_out : out std_logic_vector(15 downto 0); as : out std_logic; uds : out std_logic; lds : out std_logic; rw : out std_logic; drive_data : out std_logic; --enable for data_out driver enaRDreg : in std_logic:='1'; enaWRreg : in std_logic:='1' ); end TG68; ARCHITECTURE logic OF TG68 IS COMPONENT TG68_fast PORT ( clk : in std_logic; reset : in std_logic; clkena_in : in std_logic; data_in : in std_logic_vector(15 downto 0); IPL : in std_logic_vector(2 downto 0); test_IPL : in std_logic; address : out std_logic_vector(31 downto 0); data_write : out std_logic_vector(15 downto 0); state_out : out std_logic_vector(1 downto 0); decodeOPC : buffer std_logic; -- decodeOPC : out std_logic; wr : out std_logic; UDS, LDS : out std_logic; enaRDreg : in std_logic; enaWRreg : in std_logic ); END COMPONENT; SIGNAL as_s : std_logic; SIGNAL as_e : std_logic; SIGNAL uds_s : std_logic; SIGNAL uds_e : std_logic; SIGNAL lds_s : std_logic; SIGNAL lds_e : std_logic; SIGNAL rw_s : std_logic; SIGNAL rw_e : std_logic; SIGNAL waitm : std_logic; SIGNAL clkena_e : std_logic; SIGNAL S_state : std_logic_vector(1 downto 0); SIGNAL decode : std_logic; SIGNAL wr : std_logic; SIGNAL uds_in : std_logic; SIGNAL lds_in : std_logic; SIGNAL state : std_logic_vector(1 downto 0); SIGNAL clkena : std_logic; -- SIGNAL n_clk : std_logic; SIGNAL cpuIPL : std_logic_vector(2 downto 0); BEGIN -- n_clk <= NOT clk; TG68_fast_inst: TG68_fast PORT MAP ( -- originally n_clk was used -- clk => n_clk, -- : in std_logic; clk => clk, -- : in std_logic; reset => reset, -- : in std_logic; clkena_in => clkena, -- : in std_logic; data_in => data_in, -- : in std_logic_vector(15 downto 0); -- originally cpuIPL was used -- IPL => cpuIPL, -- : in std_logic_vector(2 downto 0); IPL => IPL, -- : in std_logic_vector(2 downto 0); test_IPL => '0', -- : in std_logic; address => addr, -- : out std_logic_vector(31 downto 0); data_write => data_out, -- : out std_logic_vector(15 downto 0); state_out => state, -- : out std_logic_vector(1 downto 0); decodeOPC => decode, -- : buffer std_logic; wr => wr, -- : out std_logic; UDS => uds_in, -- : out std_logic; LDS => lds_in, -- : out std_logic; enaRDreg => enaWRreg, enaWRreg => enaRDreg ); PROCESS (clk) BEGIN IF rising_edge(clk) THEN -- TODO new version is not edge sensitive (try to remove this) IF clkena_in='1' AND (clkena_e='1' OR state="01") THEN clkena <= '1'; ELSE clkena <= '0'; END IF; END IF; END PROCESS; PROCESS (clk, reset, state, as_s, as_e, rw_s, rw_e, uds_s, uds_e, lds_s, lds_e) BEGIN IF state="01" THEN as <= '1'; rw <= '1'; uds <= '1'; lds <= '1'; ELSE as <= as_s AND as_e; rw <= rw_s AND rw_e; uds <= uds_s AND uds_e; lds <= lds_s AND lds_e; END IF; END PROCESS; PROCESS (clk, reset, state, as_s, as_e, rw_s, rw_e, uds_s, uds_e, lds_s, lds_e) BEGIN IF reset='0' THEN S_state <= "11"; as_s <= '1'; rw_s <= '1'; uds_s <= '1'; lds_s <= '1'; ELSIF rising_edge(clk) THEN IF clkena_in='1' AND enaWRreg='1' THEN -- enaWRreg added as_s <= '1'; rw_s <= '1'; uds_s <= '1'; lds_s <= '1'; IF state/="01" OR decode='1' THEN CASE S_state IS WHEN "00" => as_s <= '0'; rw_s <= wr; IF wr='1' THEN uds_s <= uds_in; lds_s <= lds_in; END IF; S_state <= "01"; WHEN "01" => as_s <= '0'; rw_s <= wr; uds_s <= uds_in; lds_s <= lds_in; S_state <= "10"; WHEN "10" => rw_s <= wr; IF waitm='0' THEN S_state <= "11"; END IF; WHEN "11" => S_state <= "00"; WHEN OTHERS => null; END CASE; END IF; END IF; END IF; END PROCESS; PROCESS (clk, reset, state, as_s, as_e, rw_s, rw_e, uds_s, uds_e, lds_s, lds_e) BEGIN IF reset='0' THEN as_e <= '1'; rw_e <= '1'; uds_e <= '1'; lds_e <= '1'; clkena_e <= '0'; cpuIPL <= "111"; drive_data <= '0'; ELSIF rising_edge(clk) THEN -- originally it was falling_edge sensitive -- ELSIF falling_edge(clk) THEN IF clkena_in='1' AND enaRDreg='1' THEN -- enaRDreg added as_e <= '1'; rw_e <= '1'; uds_e <= '1'; lds_e <= '1'; clkena_e <= '0'; drive_data <= '0'; CASE S_state IS WHEN "00" => null; WHEN "01" => drive_data <= NOT wr; WHEN "10" => as_e <= '0'; uds_e <= uds_in; lds_e <= lds_in; cpuIPL <= IPL; drive_data <= NOT wr; IF state="01" THEN clkena_e <= '1'; waitm <= '0'; ELSE clkena_e <= NOT dtack; waitm <= dtack; END IF; WHEN OTHERS => null; END CASE; END IF; END IF; END PROCESS; END;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4400/pulse_pdxx_pwxx.vhd
3
3086
---------------------------------------------------------------------------------- --! Company: Weizmann Institute of Science --! Engineer: juna --! --! Create Date: 18/12/2014 --! Module Name: pulse_pdxx_pwxx ---------------------------------------------------------------------------------- --! Use standard library library IEEE; use IEEE.STD_LOGIC_1164.ALL; --! generates a one clk-pulse pd clkss after trigger rising edge entity pulse_pdxx_pwxx is generic ( pd : integer := 0; -- pulse delay in clks pw : integer := 1 -- pulse width in clks ); port ( clk : in std_logic; trigger : in std_logic; pulseout : out std_logic ); end pulse_pdxx_pwxx; architecture Behavioral of pulse_pdxx_pwxx is ------ constant shreg_pd_zeros: std_logic_vector(pd downto 0) := (others => '0'); constant shreg_pw_zeros: std_logic_vector(pw downto 0) := (others => '0'); -- signal shreg_pd: std_logic_vector(pd downto 0) := (others => '0'); signal shreg_pw: std_logic_vector(pw downto 0) := (others => '0'); -- signal on_s : std_logic := '0'; signal pulseout_s_pw_gt1_case_s : std_logic := '0'; signal trigger_1clk_delayed, t0, off_s : std_logic := '0'; ------ begin process (clk) begin if rising_edge(clk) then trigger_1clk_delayed <= trigger; end if; end process; t0 <= trigger and (not trigger_1clk_delayed); -- the first clk of a trigger, one clk pulse -- ---------------------------------------- -- shift register for pulse delay ---------------------------------------- pd0_case: if (pd = 0) generate on_s <= t0; end generate pd0_case; -- -- pd1_case: if (pd = 1) generate process (clk) begin if rising_edge(clk) then on_s <= t0; end if; end process; end generate pd1_case; -- -- pd_gt1_case: if (pd > 1) generate -- process (clk) begin if rising_edge(clk) then if t0 = '1' then shreg_pd <= shreg_pd_zeros(pd-1 downto 0) & '1'; else shreg_pd <= shreg_pd(pd-1 downto 0) & '0'; end if; end if; end process; -- on_s <= shreg_pd(pd-1); end generate pd_gt1_case; ---------------------------------------- -- shift register for pulse width ---------------------------------------- pw1_case: if (pw = 1) generate pulseout <= on_s; end generate pw1_case; pw_gt1_case: if (pw > 1) generate -- process (clk) begin if rising_edge(clk) then if on_s = '1' then shreg_pw <= shreg_pw_zeros(pw-1 downto 0) & '1'; else shreg_pw <= shreg_pw(pw-1 downto 0) & '0'; end if; end if; end process; -- off_s <= shreg_pw(pw-1); -- process (clk) begin if rising_edge(clk) then if off_s = '1' then pulseout_s_pw_gt1_case_s <= '0'; elsif on_s = '1' then pulseout_s_pw_gt1_case_s <= '1'; end if; end if; end process; -- pulseout <= (pulseout_s_pw_gt1_case_s or on_s) and (not off_s); end generate pw_gt1_case; end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4472/EPROC_IN2_HDLC.vhd
3
6959
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 05/19/2015 --! Module Name: EPROC_IN2_HDLC --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee, work; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.centralRouter_package.all; use work.all; --! HDLC decoder for EPROC_IN2 module entity EPROC_IN2_HDLC is port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; edataIN : in std_logic_vector (1 downto 0); dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic ); end EPROC_IN2_HDLC; architecture Behavioral of EPROC_IN2_HDLC is ---------------------------------- ---------------------------------- signal edataIN_r : std_logic_vector (1 downto 0) := (others=>'1'); signal bit_in_sr,out_sr : std_logic_vector (7 downto 0) := (others=>'1'); signal bit_cnt,error_bit_cnt : std_logic_vector (2 downto 0) := (others=>'0'); signal error_state,error_state_r,error_out : std_logic := '1'; signal edataIN_latch_trig,bit_in,isflag_r,isflag_rr,bit_in_r,bit_in_r_we,remove_zero_r : std_logic := '0'; signal isflag,iserror,remove_zero,out_sr_rdy,dataOUTrdy_s,error_out_rdy,remove_zero_state : std_logic; begin ------------------------------------------------------------------------------------------- --live bitstream -- input serializer ------------------------------------------------------------------------------------------- process(bitCLKx2, rst) begin if rst = '1' then edataIN_latch_trig <= '0'; elsif bitCLKx2'event and bitCLKx2 = '1' then edataIN_latch_trig <= not edataIN_latch_trig; end if; end process; -- process(bitCLKx2, rst) begin if rst = '1' then edataIN_r <= (others=>'1'); elsif bitCLKx2'event and bitCLKx2 = '1' then if edataIN_latch_trig = '1' then edataIN_r <= edataIN; end if; end if; end process; -- process(bitCLKx2) begin if bitCLKx2'event and bitCLKx2 = '1' then if edataIN_latch_trig = '0' then bit_in <= edataIN_r(0); else bit_in <= edataIN_r(1); end if; end if; end process; -- ------------------------------------------------------------------------------------------- --clock1 -- input shift register ------------------------------------------------------------------------------------------- process(bitCLKx2, rst) begin if rst = '1' then bit_in_sr <= (others=>'1'); elsif bitCLKx2'event and bitCLKx2 = '1' then bit_in_sr <= bit_in & bit_in_sr(7 downto 1); end if; end process; -- isflag <= '1' when (bit_in_sr = "01111110") else '0'; iserror <= '1' when (bit_in_sr(7 downto 1) = "1111111") else '0'; remove_zero <= '1' when (bit_in_sr(7 downto 2) = "011111" and isflag = '0' and error_state = '0') else '0'; -- ------------------------------------------------------------------------------------------- --clock2 -- latching the error state, forwarding clean bit sequence ------------------------------------------------------------------------------------------- process(bitCLKx2, rst) begin if rst = '1' then error_state <= '1'; elsif bitCLKx2'event and bitCLKx2 = '1' then if iserror = '1' then error_state <= '1'; elsif isflag = '1' then error_state <= '0'; end if; end if; end process; -- process(bitCLKx2, rst) begin if rst = '1' then isflag_r <= '0'; isflag_rr <= '0'; --bit_in_r_we <= '0'; remove_zero_r <= '0'; error_state_r <= '1'; elsif bitCLKx2'event and bitCLKx2 = '1' then isflag_r <= isflag; isflag_rr <= isflag_r; --bit_in_r_we <= not(error_state or remove_zero); remove_zero_r <= remove_zero; error_state_r <= error_state; end if; end process; -- bit_in_r_we <= not(error_state or remove_zero); -- bit_in_r <= bit_in_sr(7); -- ------------------------------------------------------------------------------------------- --clock3 -- output shift register ------------------------------------------------------------------------------------------- process(bitCLKx2) begin if bitCLKx2'event and bitCLKx2 = '1' then if remove_zero = '0' then out_sr <= bit_in_r & out_sr(7 downto 1); end if; end if; end process; -- process(bitCLKx2, rst) begin if rst = '1' then bit_cnt <= (others=>'0'); elsif bitCLKx2'event and bitCLKx2 = '1' then if error_state = '1' then bit_cnt <= (others=>'0'); else if bit_in_r_we = '1' or isflag_r = '1' then bit_cnt <= bit_cnt + 1; end if; end if; end if; end process; -- process(bitCLKx2) begin if rising_edge(bitCLKx2) then if bit_cnt = "111" and error_state = '0' and remove_zero = '0' then out_sr_rdy <= '1'; else out_sr_rdy <= '0'; end if; end if; end process; -- ------------------------------------------------------------------------------------------- --clock3+ -- output latch ------------------------------------------------------------------------------------------- dataOUTrdy_s <= isflag_r or out_sr_rdy or error_out_rdy; out_rdy_pulse: entity work.pulse_pdxx_pwxx generic map(pd=>0,pw=>1) port map(bitCLKx4,dataOUTrdy_s,dataOUTrdy); -- process(bitCLKx2) begin if rising_edge(bitCLKx2) then if bit_in_sr /= x"ff" then error_out <= '0'; elsif error_state = '1' then error_out <= '1'; end if; end if; end process; -- process(bitCLKx2, rst) begin if rst = '1' then error_bit_cnt <= (others=>'0'); elsif bitCLKx2'event and bitCLKx2 = '1' then if error_out = '0' then error_bit_cnt <= (others=>'0'); else error_bit_cnt <= error_bit_cnt + 1; end if; end if; end process; -- error_out_rdy <= '1' when (error_bit_cnt = "001" and error_out = '1') else '0'; -- process(bitCLKx2) begin if rising_edge(bitCLKx2) then if error_state_r = '1' and isflag = '1' then dataOUT(9 downto 8) <= "10"; -- sop elsif error_state_r = '0' and isflag = '1' then dataOUT(9 downto 8) <= "01"; -- eop else dataOUT(9 downto 8) <= error_out & error_out; -- 00-data, 11-error end if; end if; end process; -- dataOUT(7 downto 0) <= out_sr; -- end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4472/EPROC_IN4_direct.vhd
4
3202
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 04/13/2015 --! Module Name: EPROC_IN4_direct --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; use work.centralRouter_package.all; --! direct data driver for EPROC_IN2 module entity EPROC_IN4_direct is port ( bitCLK : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; edataIN : in std_logic_vector (3 downto 0); dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic ); end EPROC_IN4_direct; architecture Behavioral of EPROC_IN4_direct is ---------------------------------- ---------------------------------- component pulse_pdxx_pwxx generic( pd : integer := 0; pw : integer := 1); port( clk : in std_logic; trigger : in std_logic; pulseout : out std_logic ); end component pulse_pdxx_pwxx; ---------------------------------- ---------------------------------- signal word10b : std_logic_vector (9 downto 0) := "1100000000"; -- comma signal word8b : std_logic_vector (7 downto 0) := (others=>'0'); signal inpcount : std_logic := '0'; signal word8bRdy, word10bRdy : std_logic := '0'; begin ------------------------------------------------------------------------------------------- -- input counter 0 to 1 ------------------------------------------------------------------------------------------- input_count: process(bitCLK, rst) begin if rst = '1' then inpcount <= '0'; elsif bitCLK'event and bitCLK = '1' then inpcount <= not inpcount; end if; end process; ------------------------------------------------------------------------------------------- -- input mapping ------------------------------------------------------------------------------------------- input_map: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then case inpcount is when '0' => word8b(3 downto 0) <= edataIN; when '1' => word8b(7 downto 4) <= edataIN; when others => end case; end if; end process; ------------------------------------------------------------------------------------------- -- output (code = "00" = data) ------------------------------------------------------------------------------------------- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word8bRdy <= inpcount; end if; end process; -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then if word8bRdy = '1' then word10b <= "00" & word8b; -- data word10bRdy <= '1'; else word10bRdy <= '0'; end if; end if; end process; dataOUT <= word10b; dataOUTrdy_pulse: pulse_pdxx_pwxx GENERIC MAP(pd=>0,pw=>1) PORT MAP(bitCLKx4, word10bRdy, dataOUTrdy); end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4088/EPROC_IN4_direct.vhd
4
3202
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 04/13/2015 --! Module Name: EPROC_IN4_direct --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; use work.centralRouter_package.all; --! direct data driver for EPROC_IN2 module entity EPROC_IN4_direct is port ( bitCLK : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; edataIN : in std_logic_vector (3 downto 0); dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic ); end EPROC_IN4_direct; architecture Behavioral of EPROC_IN4_direct is ---------------------------------- ---------------------------------- component pulse_pdxx_pwxx generic( pd : integer := 0; pw : integer := 1); port( clk : in std_logic; trigger : in std_logic; pulseout : out std_logic ); end component pulse_pdxx_pwxx; ---------------------------------- ---------------------------------- signal word10b : std_logic_vector (9 downto 0) := "1100000000"; -- comma signal word8b : std_logic_vector (7 downto 0) := (others=>'0'); signal inpcount : std_logic := '0'; signal word8bRdy, word10bRdy : std_logic := '0'; begin ------------------------------------------------------------------------------------------- -- input counter 0 to 1 ------------------------------------------------------------------------------------------- input_count: process(bitCLK, rst) begin if rst = '1' then inpcount <= '0'; elsif bitCLK'event and bitCLK = '1' then inpcount <= not inpcount; end if; end process; ------------------------------------------------------------------------------------------- -- input mapping ------------------------------------------------------------------------------------------- input_map: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then case inpcount is when '0' => word8b(3 downto 0) <= edataIN; when '1' => word8b(7 downto 4) <= edataIN; when others => end case; end if; end process; ------------------------------------------------------------------------------------------- -- output (code = "00" = data) ------------------------------------------------------------------------------------------- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word8bRdy <= inpcount; end if; end process; -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then if word8bRdy = '1' then word10b <= "00" & word8b; -- data word10bRdy <= '1'; else word10bRdy <= '0'; end if; end if; end process; dataOUT <= word10b; dataOUTrdy_pulse: pulse_pdxx_pwxx GENERIC MAP(pd=>0,pw=>1) PORT MAP(bitCLKx4, word10bRdy, dataOUTrdy); end Behavioral;
gpl-3.0
rkrajnc/minimig-mist
rtl/tg68/TG68_fast.vhd
3
104993
------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- -- -- This is the 68000 software compatible Kernal of TG68 -- -- -- -- Copyright (c) 2007-2010 Tobias Gubener <[email protected]> -- -- -- -- This source file is free software: you can redistribute it and/or modify -- -- it under the terms of the GNU Lesser General Public License as published -- -- by the Free Software Foundation, either version 3 of the License, or -- -- (at your option) any later version. -- -- -- -- This source file is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public License -- -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- -- Revision 1.08 2010/06/14 -- Bugfix Movem with regmask==xFFFF -- Add missing Illegal $4AFC -- -- Revision 1.07 2009/10/02 -- Bugfix Movem with regmask==x0000 -- -- Revision 1.06 2009/02/10 -- Bugfix shift and rotations opcodes when the bitcount and the data are in the same register: -- Example lsr.l D2,D2 -- Thanks to Peter Graf for report -- -- Revision 1.05 2009/01/26 -- Implement missing RTR -- Thanks to Peter Graf for report -- -- Revision 1.04 2007/12/29 -- size improvement -- change signal "microaddr" to one hot state machine -- -- Revision 1.03 2007/12/21 -- Thanks to Andreas Ehliar -- Split regfile to use blockram for registers -- insert "WHEN OTHERS => null;" on END CASE; -- -- Revision 1.02 2007/12/17 -- Bugfix jsr nn.w -- -- Revision 1.01 2007/11/28 -- add MOVEP -- Bugfix Interrupt in MOVEQ -- -- Revision 1.0 2007/11/05 -- Clean up code and first release -- -- known bugs/todo: -- Add CHK INSTRUCTION -- full decode ILLEGAL INSTRUCTIONS -- Add FC Output -- add odd Address test -- add TRACE library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TG68_fast is port(clk : in std_logic; reset : in std_logic; --low active clkena_in : in std_logic:='1'; data_in : in std_logic_vector(15 downto 0); IPL : in std_logic_vector(2 downto 0):="111"; test_IPL : in std_logic:='0'; --only for debugging address : out std_logic_vector(31 downto 0); data_write : out std_logic_vector(15 downto 0); state_out : out std_logic_vector(1 downto 0); LDS, UDS : out std_logic; decodeOPC : buffer std_logic; wr : out std_logic; enaRDreg : in std_logic:='1'; enaWRreg : in std_logic:='1' ); end TG68_fast; architecture logic of TG68_fast is signal state : std_logic_vector(1 downto 0); signal clkena : std_logic; signal clkenareg : std_logic; signal TG68_PC : std_logic_vector(31 downto 0); signal TG68_PC_add : std_logic_vector(31 downto 0); signal memaddr : std_logic_vector(31 downto 0); signal memaddr_in : std_logic_vector(31 downto 0); signal ea_data : std_logic_vector(31 downto 0); signal ea_data_OP1 : std_logic; signal setaddrlong : std_logic; signal OP1out, OP2out : std_logic_vector(31 downto 0); signal OP1outbrief : std_logic_vector(15 downto 0); signal OP1in : std_logic_vector(31 downto 0); signal data_write_tmp : std_logic_vector(31 downto 0); signal Xtmp : std_logic_vector(31 downto 0); signal PC_dataa, PC_datab, PC_result : std_logic_vector(31 downto 0); signal setregstore : std_logic; signal datatype : std_logic_vector(1 downto 0); signal longread : std_logic; signal longreaddirect : std_logic; signal long_done : std_logic; signal nextpass : std_logic; signal setnextpass : std_logic; signal setdispbyte : std_logic; signal setdisp : std_logic; signal setdispbrief : std_logic; signal regdirectsource : std_logic; signal endOPC : std_logic; signal postadd : std_logic; signal presub : std_logic; signal addsub_a : std_logic_vector(31 downto 0); signal addsub_b : std_logic_vector(31 downto 0); signal addsub_q : std_logic_vector(31 downto 0); signal briefext : std_logic_vector(31 downto 0); signal setbriefext : std_logic; signal addsub : std_logic; signal c_in : std_logic_vector(3 downto 0); signal c_out : std_logic_vector(2 downto 0); signal add_result : std_logic_vector(33 downto 0); signal addsub_ofl : std_logic_vector(2 downto 0); signal flag_z : std_logic_vector(2 downto 0); signal last_data_read : std_logic_vector(15 downto 0); signal data_read : std_logic_vector(31 downto 0); signal registerin : std_logic_vector(31 downto 0); signal reg_QA : std_logic_vector(31 downto 0); signal reg_QB : std_logic_vector(31 downto 0); signal Hwrena,Lwrena : std_logic; signal Regwrena : std_logic; signal rf_dest_addr : std_logic_vector(6 downto 0); signal rf_source_addr : std_logic_vector(6 downto 0); signal rf_dest_addr_tmp : std_logic_vector(6 downto 0); signal rf_source_addr_tmp : std_logic_vector(6 downto 0); signal opcode : std_logic_vector(15 downto 0); signal laststate : std_logic_vector(1 downto 0); signal setstate : std_logic_vector(1 downto 0); signal mem_address : std_logic_vector(31 downto 0); signal memaddr_a : std_logic_vector(31 downto 0); signal mem_data_read : std_logic_vector(31 downto 0); signal mem_data_write : std_logic_vector(31 downto 0); signal set_mem_rega : std_logic; signal data_read_ram : std_logic_vector(31 downto 0); signal data_read_uart : std_logic_vector(7 downto 0); signal counter_reg : std_logic_vector(31 downto 0); signal TG68_PC_br8 : std_logic; signal TG68_PC_brw : std_logic; signal TG68_PC_nop : std_logic; signal setgetbrief : std_logic; signal getbrief : std_logic; signal brief : std_logic_vector(15 downto 0); signal dest_areg : std_logic; signal source_areg : std_logic; signal data_is_source : std_logic; signal set_store_in_tmp : std_logic; signal store_in_tmp : std_logic; signal write_back : std_logic; signal setaddsub : std_logic; signal setstackaddr : std_logic; signal writePC : std_logic; signal writePC_add : std_logic; signal set_TG68_PC_dec: std_logic; signal TG68_PC_dec : std_logic_vector(1 downto 0); signal directPC : std_logic; signal set_directPC : std_logic; signal execOPC : std_logic; signal fetchOPC : std_logic; signal Flags : std_logic_vector(15 downto 0); --T.S..III ...XNZVC signal set_Flags : std_logic_vector(3 downto 0); --NZVC signal exec_ADD : std_logic; signal exec_OR : std_logic; signal exec_AND : std_logic; signal exec_EOR : std_logic; signal exec_MOVE : std_logic; signal exec_MOVEQ : std_logic; signal exec_MOVESR : std_logic; signal exec_DIRECT : std_logic; signal exec_ADDQ : std_logic; signal exec_CMP : std_logic; signal exec_ROT : std_logic; signal exec_exg : std_logic; signal exec_swap : std_logic; signal exec_write_back: std_logic; signal exec_tas : std_logic; signal exec_EXT : std_logic; signal exec_ABCD : std_logic; signal exec_SBCD : std_logic; signal exec_MULU : std_logic; signal exec_DIVU : std_logic; signal exec_Scc : std_logic; signal exec_CPMAW : std_logic; signal set_exec_ADD : std_logic; signal set_exec_OR : std_logic; signal set_exec_AND : std_logic; signal set_exec_EOR : std_logic; signal set_exec_MOVE : std_logic; signal set_exec_MOVEQ : std_logic; signal set_exec_MOVESR: std_logic; signal set_exec_ADDQ : std_logic; signal set_exec_CMP : std_logic; signal set_exec_ROT : std_logic; signal set_exec_tas : std_logic; signal set_exec_EXT : std_logic; signal set_exec_ABCD : std_logic; signal set_exec_SBCD : std_logic; signal set_exec_MULU : std_logic; signal set_exec_DIVU : std_logic; signal set_exec_Scc : std_logic; signal set_exec_CPMAW : std_logic; signal condition : std_logic; signal OP2out_one : std_logic; signal OP1out_zero : std_logic; signal ea_to_pc : std_logic; signal ea_build : std_logic; signal ea_only : std_logic; signal get_ea_now : std_logic; signal source_lowbits : std_logic; signal dest_hbits : std_logic; signal rot_rot : std_logic; signal rot_lsb : std_logic; signal rot_msb : std_logic; signal rot_XC : std_logic; signal set_rot_nop : std_logic; signal rot_nop : std_logic; signal rot_out : std_logic_vector(31 downto 0); signal rot_bits : std_logic_vector(1 downto 0); signal rot_cnt : std_logic_vector(5 downto 0); signal set_rot_cnt : std_logic_vector(5 downto 0); signal movem_busy : std_logic; signal set_movem_busy : std_logic; signal movem_addr : std_logic; signal movem_regaddr : std_logic_vector(3 downto 0); signal movem_mask : std_logic_vector(15 downto 0); signal set_get_movem_mask : std_logic; signal get_movem_mask : std_logic; signal maskzero : std_logic; signal test_maskzero : std_logic; signal movem_muxa : std_logic_vector(7 downto 0); signal movem_muxb : std_logic_vector(3 downto 0); signal movem_muxc : std_logic_vector(1 downto 0); signal movem_presub : std_logic; signal save_memaddr : std_logic; signal movem_bits : std_logic_vector(4 downto 0); signal ea_calc_b : std_logic_vector(31 downto 0); signal set_mem_addsub : std_logic; signal bit_bits : std_logic_vector(1 downto 0); signal bit_number_reg : std_logic_vector(4 downto 0); signal bit_number : std_logic_vector(4 downto 0); signal exec_Bits : std_logic; signal bits_out : std_logic_vector(31 downto 0); signal one_bit_in : std_logic; signal one_bit_out : std_logic; signal set_get_bitnumber : std_logic; signal get_bitnumber : std_logic; signal mem_byte : std_logic; signal wait_mem_byte : std_logic; signal movepl : std_logic; signal movepw : std_logic; signal set_movepl : std_logic; signal set_movepw : std_logic; signal set_direct_data: std_logic; signal use_direct_data: std_logic; signal direct_data : std_logic; signal set_get_extendedOPC : std_logic; signal get_extendedOPC: std_logic; signal setstate_delay : std_logic_vector(1 downto 0); signal setstate_mux : std_logic_vector(1 downto 0); signal use_XZFlag : std_logic; signal use_XFlag : std_logic; signal dummy_a : std_logic_vector(8 downto 0); signal niba_l : std_logic_vector(5 downto 0); signal niba_h : std_logic_vector(5 downto 0); signal niba_lc : std_logic; signal niba_hc : std_logic; signal bcda_lc : std_logic; signal bcda_hc : std_logic; signal dummy_s : std_logic_vector(8 downto 0); signal nibs_l : std_logic_vector(5 downto 0); signal nibs_h : std_logic_vector(5 downto 0); signal nibs_lc : std_logic; signal nibs_hc : std_logic; signal dummy_mulu : std_logic_vector(31 downto 0); signal dummy_div : std_logic_vector(31 downto 0); signal dummy_div_sub : std_logic_vector(16 downto 0); signal dummy_div_over : std_logic_vector(16 downto 0); signal set_V_Flag : std_logic; signal OP1sign : std_logic; signal set_sign : std_logic; signal sign : std_logic; signal sign2 : std_logic; signal muls_msb : std_logic; signal mulu_reg : std_logic_vector(31 downto 0); signal div_reg : std_logic_vector(31 downto 0); signal div_sign : std_logic; signal div_quot : std_logic_vector(31 downto 0); signal div_ovl : std_logic; signal pre_V_Flag : std_logic; signal set_vectoraddr : std_logic; signal writeSR : std_logic; signal trap_illegal : std_logic; signal trap_priv : std_logic; signal trap_1010 : std_logic; signal trap_1111 : std_logic; signal trap_trap : std_logic; signal trap_trapv : std_logic; signal trap_interrupt : std_logic; signal trapmake : std_logic; signal trapd : std_logic; -- signal trap_PC : std_logic_vector(31 downto 0); signal trap_SR : std_logic_vector(15 downto 0); signal set_directSR : std_logic; signal directSR : std_logic; signal set_directCCR : std_logic; signal directCCR : std_logic; signal set_stop : std_logic; signal stop : std_logic; signal trap_vector : std_logic_vector(31 downto 0); signal to_USP : std_logic; signal from_USP : std_logic; signal to_SR : std_logic; signal from_SR : std_logic; signal illegal_write_mode : std_logic; signal illegal_read_mode : std_logic; signal illegal_byteaddr : std_logic; signal use_SP : std_logic; signal no_Flags : std_logic; signal IPL_nr : std_logic_vector(2 downto 0); signal rIPL_nr : std_logic_vector(2 downto 0); signal interrupt : std_logic; signal SVmode : std_logic; signal trap_chk : std_logic; signal test_delay : std_logic_vector(2 downto 0); signal set_PCmarker : std_logic; signal PCmarker : std_logic; signal set_Z_error : std_logic; signal Z_error : std_logic; type micro_states is (idle, nop, ld_nn, st_nn, ld_dAn1, ld_dAn2, ld_AnXn1, ld_AnXn2, ld_AnXn3, st_dAn1, st_dAn2, st_AnXn1, st_AnXn2, st_AnXn3, bra1, bra2, bsr1, bsr2, dbcc1, dbcc2, movem, andi, op_AxAy, cmpm, link, int1, int2, int3, int4, rte, trap1, trap2, trap3, movep1, movep2, movep3, movep4, movep5, init1, init2, mul1, mul2, mul3, mul4, mul5, mul6, mul7, mul8, mul9, mul10, mul11, mul12, mul13, mul14, mul15, div1, div2, div3, div4, div5, div6, div7, div8, div9, div10, div11, div12, div13, div14, div15 ); signal micro_state : micro_states; signal next_micro_state : micro_states; type regfile_t is array(0 to 16) of std_logic_vector(15 downto 0); signal regfile_low : regfile_t; signal regfile_high : regfile_t; signal RWindex_A : integer range 0 to 16; signal RWindex_B : integer range 0 to 16; BEGIN ----------------------------------------------------------------------------- -- Registerfile ----------------------------------------------------------------------------- RWindex_A <= conv_integer(rf_dest_addr(4)&(rf_dest_addr(3 downto 0) XOR "1111")); RWindex_B <= conv_integer(rf_source_addr(4)&(rf_source_addr(3 downto 0) XOR "1111")); PROCESS (clk) BEGIN IF rising_edge(clk) THEN IF clkenareg='1' THEN -- IF falling_edge(clk) THEN -- IF clkena='1' THEN reg_QA <= regfile_high(RWindex_A) & regfile_low(RWindex_A); reg_QB <= regfile_high(RWindex_B) & regfile_low(RWindex_B); END IF; END IF; IF rising_edge(clk) THEN IF clkena='1' THEN IF Lwrena='1' THEN regfile_low(RWindex_A) <= registerin(15 downto 0); END IF; IF Hwrena='1' THEN regfile_high(RWindex_A) <= registerin(31 downto 16); END IF; END IF; END IF; END PROCESS; address <= TG68_PC when state="00" else X"ffffffff" when state="01" else memaddr; LDS <= '0' WHEN (datatype/="00" OR state="00" OR memaddr(0)='1') AND state/="01" ELSE '1'; UDS <= '0' WHEN (datatype/="00" OR state="00" OR memaddr(0)='0') AND state/="01" ELSE '1'; state_out <= state; wr <= '0' WHEN state="11" ELSE '1'; IPL_nr <= NOT IPL; ----------------------------------------------------------------------------- -- "ALU" ----------------------------------------------------------------------------- PROCESS (addsub_a, addsub_b, addsub, add_result, c_in) BEGIN IF addsub='1' THEN --ADD add_result <= (('0'&addsub_a&c_in(0))+('0'&addsub_b&c_in(0))); ELSE --SUB add_result <= (('0'&addsub_a&'0')-('0'&addsub_b&c_in(0))); END IF; addsub_q <= add_result(32 downto 1); c_in(1) <= add_result(9) XOR addsub_a(8) XOR addsub_b(8); c_in(2) <= add_result(17) XOR addsub_a(16) XOR addsub_b(16); c_in(3) <= add_result(33); addsub_ofl(0) <= (c_in(1) XOR add_result(8) XOR addsub_a(7) XOR addsub_b(7)); --V Byte addsub_ofl(1) <= (c_in(2) XOR add_result(16) XOR addsub_a(15) XOR addsub_b(15)); --V Word addsub_ofl(2) <= (c_in(3) XOR add_result(32) XOR addsub_a(31) XOR addsub_b(31)); --V Long c_out <= c_in(3 downto 1); END PROCESS; ----------------------------------------------------------------------------- -- MEM_IO ----------------------------------------------------------------------------- PROCESS (clk, reset, clkena_in, opcode, rIPL_nr, longread, get_extendedOPC, memaddr, memaddr_a, set_mem_addsub, movem_presub, movem_busy, state, PCmarker, execOPC, datatype, setdisp, setdispbrief, briefext, setdispbyte, brief, set_mem_rega, reg_QA, setaddrlong, data_read, decodeOPC, TG68_PC, data_in, long_done, last_data_read, mem_byte, data_write_tmp, addsub_q, set_vectoraddr, trap_vector, interrupt, enaWRreg, enaRDreg) BEGIN clkena <= clkena_in AND NOT longread AND NOT get_extendedOPC AND enaWRreg; clkenareg <= clkena_in AND NOT longread AND NOT get_extendedOPC AND enaRDreg; IF rising_edge(clk) THEN IF clkena='1' THEN trap_vector(31 downto 8) <= (others => '0'); -- IF trap_addr_fault='1' THEN -- trap_vector(7 downto 0) <= X"08"; -- END IF; -- IF trap_addr_error='1' THEN -- trap_vector(7 downto 0) <= X"0C"; -- END IF; IF trap_illegal='1' THEN trap_vector(7 downto 0) <= X"10"; END IF; IF z_error='1' THEN trap_vector(7 downto 0) <= X"14"; END IF; -- IF trap_chk='1' THEN -- trap_vector(7 downto 0) <= X"18"; -- END IF; IF trap_trapv='1' THEN trap_vector(7 downto 0) <= X"1C"; END IF; IF trap_priv='1' THEN trap_vector(7 downto 0) <= X"20"; END IF; -- IF trap_trace='1' THEN -- trap_vector(7 downto 0) <= X"24"; -- END IF; IF trap_1010='1' THEN trap_vector(7 downto 0) <= X"28"; END IF; IF trap_1111='1' THEN trap_vector(7 downto 0) <= X"2C"; END IF; IF trap_trap='1' THEN trap_vector(7 downto 2) <= "10"&opcode(3 downto 0); END IF; IF interrupt='1' THEN trap_vector(7 downto 2) <= "011"&rIPL_nr; END IF; END IF; END IF; memaddr_a(3 downto 0) <= "0000"; memaddr_a(7 downto 4) <= (OTHERS=>memaddr_a(3)); memaddr_a(15 downto 8) <= (OTHERS=>memaddr_a(7)); memaddr_a(31 downto 16) <= (OTHERS=>memaddr_a(15)); IF movem_presub='1' THEN IF movem_busy='1' OR longread='1' THEN memaddr_a(3 downto 0) <= "1110"; END IF; ELSIF state(1)='1' OR (get_extendedOPC='1' AND PCmarker='1') THEN memaddr_a(1) <= '1'; ELSIF execOPC='1' THEN IF datatype="10" THEN memaddr_a(3 downto 0) <= "1100"; ELSE memaddr_a(3 downto 0) <= "1110"; END IF; ELSIF setdisp='1' THEN IF setdispbrief='1' THEN memaddr_a <= briefext; ELSIF setdispbyte='1' THEN memaddr_a(7 downto 0) <= brief(7 downto 0); ELSE memaddr_a(15 downto 0) <= brief; END IF; END IF; memaddr_in <= memaddr+memaddr_a; IF longread='0' THEN IF set_mem_addsub='1' THEN memaddr_in <= addsub_q; ELSIF set_vectoraddr='1' THEN memaddr_in <= trap_vector; ELSIF interrupt='1' THEN memaddr_in <= "1111111111111111111111111111"&rIPL_nr&'0'; ELSIF set_mem_rega='1' THEN memaddr_in <= reg_QA; ELSIF setaddrlong='1' AND longread='0' THEN memaddr_in <= data_read; ELSIF decodeOPC='1' THEN memaddr_in <= TG68_PC; END IF; END IF; data_read(15 downto 0) <= data_in; data_read(31 downto 16) <= (OTHERS=>data_in(15)); IF long_done='1' THEN data_read(31 downto 16) <= last_data_read; END IF; IF mem_byte='1' AND memaddr(0)='0' THEN data_read(7 downto 0) <= data_in(15 downto 8); END IF; IF longread='1' THEN data_write <= data_write_tmp(31 downto 16); ELSE data_write(7 downto 0) <= data_write_tmp(7 downto 0); IF mem_byte='1' THEN data_write(15 downto 8) <= data_write_tmp(7 downto 0); ELSE data_write(15 downto 8) <= data_write_tmp(15 downto 8); IF datatype="00" THEN data_write(7 downto 0) <= data_write_tmp(15 downto 8); END IF; END IF; END IF; IF reset='0' THEN longread <= '0'; long_done <= '0'; ELSIF rising_edge(clk) THEN IF clkena_in='1' AND enaWRreg='1' THEN last_data_read <= data_in; long_done <= longread; IF get_extendedOPC='0' OR (get_extendedOPC='1' AND PCmarker='1') THEN memaddr <= memaddr_in; END IF; IF get_extendedOPC='0' THEN IF ((setstate_mux(1)='1' AND datatype="10") OR longreaddirect='1') AND longread='0' AND interrupt='0' THEN longread <= '1'; ELSE longread <= '0'; END IF; END IF; END IF; END IF; END PROCESS; ----------------------------------------------------------------------------- -- brief ----------------------------------------------------------------------------- process (clk, brief, OP1out) begin IF brief(11)='1' THEN OP1outbrief <= OP1out(31 downto 16); ELSE OP1outbrief <= (OTHERS=>OP1out(15)); END IF; IF rising_edge(clk) THEN IF clkena='1' THEN briefext <= OP1outbrief&OP1out(15 downto 0); -- CASE brief(10 downto 9) IS -- WHEN "00" => briefext <= OP1outbrief&OP1out(15 downto 0); -- WHEN "01" => briefext <= OP1outbrief(14 downto 0)&OP1out(15 downto 0)&'0'; -- WHEN "10" => briefext <= OP1outbrief(13 downto 0)&OP1out(15 downto 0)&"00"; -- WHEN "11" => briefext <= OP1outbrief(12 downto 0)&OP1out(15 downto 0)&"000"; -- END CASE; end if; end if; end process; ----------------------------------------------------------------------------- -- PC Calc + fetch opcode ----------------------------------------------------------------------------- process (clk, reset, opcode, TG68_PC, TG68_PC_dec, TG68_PC_br8, TG68_PC_brw, PC_dataa, PC_datab, execOPC, last_data_read, get_extendedOPC, setstate_delay, setstate) begin PC_dataa <= TG68_PC; PC_datab(2 downto 0) <= "010"; PC_datab(7 downto 3) <= (others => PC_datab(2)); PC_datab(15 downto 8) <= (others => PC_datab(7)); PC_datab(31 downto 16) <= (others => PC_datab(15)); IF execOPC='0' THEN IF TG68_PC_br8='1' THEN PC_datab(7 downto 0) <= opcode(7 downto 0); END IF; IF TG68_PC_dec(1)='1' THEN PC_datab(2) <= '1'; END IF; IF TG68_PC_brw = '1' THEN PC_datab(15 downto 0) <= last_data_read(15 downto 0); END IF; END IF; TG68_PC_add <= PC_dataa+PC_datab; IF get_extendedOPC='1' THEN setstate_mux <= setstate_delay; ELSE setstate_mux <= setstate; END IF; IF reset = '0' THEN opcode(15 downto 12) <= X"7"; --moveq opcode(8 downto 6) <= "010"; --long TG68_PC <= (others =>'0'); state <= "01"; decodeOPC <= '0'; fetchOPC <= '0'; endOPC <= '0'; interrupt <= '0'; trap_interrupt <= '1'; execOPC <= '0'; getbrief <= '0'; TG68_PC_dec <= "00"; directPC <= '0'; directSR <= '0'; directCCR <= '0'; stop <= '0'; exec_ADD <= '0'; exec_OR <= '0'; exec_AND <= '0'; exec_EOR <= '0'; exec_MOVE <= '0'; exec_MOVEQ <= '0'; exec_MOVESR <= '0'; exec_ADDQ <= '0'; exec_CMP <= '0'; exec_ROT <= '0'; exec_EXT <= '0'; exec_ABCD <= '0'; exec_SBCD <= '0'; exec_MULU <= '0'; exec_DIVU <= '0'; exec_Scc <= '0'; exec_CPMAW <= '0'; mem_byte <= '0'; rot_cnt <="000001"; rot_nop <= '0'; get_extendedOPC <= '0'; get_bitnumber <= '0'; get_movem_mask <= '0'; test_maskzero <= '0'; movepl <= '0'; movepw <= '0'; test_delay <= "000"; PCmarker <= '0'; ELSIF rising_edge(clk) THEN IF clkena_in='1' AND enaWRreg='1' THEN get_extendedOPC <= set_get_extendedOPC; get_bitnumber <= set_get_bitnumber; get_movem_mask <= set_get_movem_mask; test_maskzero <= get_movem_mask; setstate_delay <= setstate; TG68_PC_dec <= TG68_PC_dec(0)&set_TG68_PC_dec; IF directPC='1' AND clkena='1' THEN TG68_PC <= data_read; ELSIF ea_to_pc='1' AND longread='0' THEN TG68_PC <= memaddr_in; ELSIF (state ="00" AND TG68_PC_nop='0') OR TG68_PC_br8='1' OR TG68_PC_brw='1' OR TG68_PC_dec(1)='1' THEN TG68_PC <= TG68_PC_add; END IF; IF get_bitnumber='1' THEN bit_number_reg <= data_read(4 downto 0); END IF; IF clkena='1' OR get_extendedOPC='1' THEN IF set_get_extendedOPC='1' THEN state <= "00"; ELSIF get_extendedOPC='1' THEN state <= setstate_mux; ELSIF fetchOPC='1' OR (state="10" AND write_back='1' AND setstate/="10") OR set_rot_cnt/="000001" OR stop='1' THEN state <= "01"; --decode cycle, execute cycle ELSE state <= setstate_mux; END IF; IF setstate_mux(1)='1' AND datatype="00" AND set_get_extendedOPC='0' AND wait_mem_byte='0' THEN mem_byte <= '1'; ELSE mem_byte <= '0'; END IF; END IF; END IF; IF clkena='1' THEN exec_ADD <= '0'; exec_OR <= '0'; exec_AND <= '0'; exec_EOR <= '0'; exec_MOVE <= '0'; exec_MOVEQ <= '0'; exec_MOVESR <= '0'; exec_ADDQ <= '0'; exec_CMP <= '0'; exec_ROT <= '0'; exec_ABCD <= '0'; exec_SBCD <= '0'; fetchOPC <= '0'; exec_CPMAW <= '0'; endOPC <= '0'; interrupt <= '0'; execOPC <= '0'; exec_EXT <= '0'; exec_Scc <= '0'; rot_nop <= '0'; decodeOPC <= fetchOPC; directPC <= set_directPC; directSR <= set_directSR; directCCR <= set_directCCR; exec_MULU <= set_exec_MULU; exec_DIVU <= set_exec_DIVU; movepl <= '0'; movepw <= '0'; stop <= set_stop OR (stop AND NOT interrupt); IF set_PCmarker='1' THEN PCmarker <= '1'; ELSIF (state="10" AND longread='0') OR (ea_only='1' AND get_ea_now='1') THEN PCmarker <= '0'; END IF; IF (decodeOPC OR execOPC)='1' THEN rot_cnt <= set_rot_cnt; END IF; IF next_micro_state=idle AND setstate_mux="00" AND (setnextpass='0' OR ea_only='1') AND endOPC='0' AND movem_busy='0' AND set_movem_busy='0' AND set_get_bitnumber='0' THEN nextpass <= '0'; IF (exec_write_back='0' OR state="11") AND set_rot_cnt="000001" THEN endOPC <= '1'; IF Flags(10 downto 8)<IPL_nr OR IPL_nr="111" THEN interrupt <= '1'; rIPL_nr <= IPL_nr; ELSE IF stop='0' THEN fetchOPC <= '1'; END IF; END IF; END IF; IF exec_write_back='0' OR state/="11" THEN IF stop='0' THEN execOPC <= '1'; END IF; exec_ADD <= set_exec_ADD; exec_OR <= set_exec_OR; exec_AND <= set_exec_AND; exec_EOR <= set_exec_EOR; exec_MOVE <= set_exec_MOVE; exec_MOVEQ <= set_exec_MOVEQ; exec_MOVESR <= set_exec_MOVESR; exec_ADDQ <= set_exec_ADDQ; exec_CMP <= set_exec_CMP; exec_ROT <= set_exec_ROT; exec_tas <= set_exec_tas; exec_EXT <= set_exec_EXT; exec_ABCD <= set_exec_ABCD; exec_SBCD <= set_exec_SBCD; exec_Scc <= set_exec_Scc; exec_CPMAW <= set_exec_CPMAW; rot_nop <= set_rot_nop; END IF; ELSE IF endOPC='0' AND (setnextpass='1' OR (regdirectsource='1' AND decodeOPC='1')) THEN nextpass <= '1'; END IF; END IF; IF interrupt='1' THEN opcode(15 downto 12) <= X"7"; --moveq opcode(8 downto 6) <= "010"; --long -- trap_PC <= TG68_PC; trap_interrupt <= '1'; END IF; IF fetchOPC='1' THEN trap_interrupt <= '0'; IF (test_IPL='1' AND (Flags(10 downto 8)<IPL_nr OR IPL_nr="111")) OR to_SR='1' THEN -- IF (test_IPL='1' AND (Flags(10 downto 8)<IPL_nr OR IPL_nr="111")) OR to_SR='1' OR opcode(15 downto 6)="0100111011" THEN --nur für Validator opcode <= X"60FE"; IF to_SR='0' THEN test_delay <= "001"; END IF; ELSE opcode <= data_read(15 downto 0); END IF; getbrief <= '0'; -- trap_PC <= TG68_PC; ELSE test_delay <= test_delay(1 downto 0)&'0'; getbrief <= setgetbrief; movepl <= set_movepl; movepw <= set_movepw; END IF; IF decodeOPC='1' OR interrupt='1' THEN trap_SR <= Flags; END IF; IF getbrief='1' THEN brief <= data_read(15 downto 0); END IF; end if; end if; end process; ----------------------------------------------------------------------------- -- handle EA_data, data_write_tmp ----------------------------------------------------------------------------- PROCESS (clk, reset, opcode) BEGIN IF reset = '0' THEN set_store_in_tmp <='0'; exec_DIRECT <= '0'; exec_write_back <= '0'; direct_data <= '0'; use_direct_data <= '0'; Z_error <= '0'; ELSIF rising_edge(clk) THEN IF clkena='1' THEN direct_data <= '0'; IF endOPC='1' THEN set_store_in_tmp <='0'; exec_DIRECT <= '0'; exec_write_back <= '0'; use_direct_data <= '0'; Z_error <= '0'; ELSE IF set_Z_error='1' THEN Z_error <= '1'; END IF; exec_DIRECT <= set_exec_MOVE; IF setstate_mux="10" AND write_back='1' THEN exec_write_back <= '1'; END IF; END IF; IF set_direct_data='1' THEN direct_data <= '1'; use_direct_data <= '1'; END IF; IF set_exec_MOVE='1' AND state="11" THEN use_direct_data <= '1'; END IF; IF (exec_DIRECT='1' AND state="00" AND getbrief='0' AND endOPC='0') OR state="10" THEN set_store_in_tmp <= '1'; ea_data <= data_read; END IF; IF writePC_add='1' THEN data_write_tmp <= TG68_PC_add; ELSIF writePC='1' OR fetchOPC='1' OR interrupt='1' OR (trap_trap='1' AND decodeOPC='1') THEN --fetchOPC für Trap data_write_tmp <= TG68_PC; ELSIF execOPC='1' OR (get_ea_now='1' AND ea_only='1') THEN --get_ea_now='1' AND ea_only='1' ist für pea data_write_tmp <= registerin(31 downto 8)&(registerin(7)OR exec_tas)&registerin(6 downto 0); ELSIF (exec_DIRECT='1' AND state="10") OR direct_data='1' THEN data_write_tmp <= data_read; IF movepl='1' THEN data_write_tmp(31 downto 8) <= data_write_tmp(23 downto 0); END IF; ELSIF (movem_busy='1' AND datatype="10" AND movem_presub='1') OR movepl='1' THEN data_write_tmp <= OP2out(15 downto 0)&OP2out(31 downto 16); ELSIF (NOT trapmake AND decodeOPC)='1' OR movem_busy='1' OR movepw='1' THEN data_write_tmp <= OP2out; ELSIF writeSR='1'THEN data_write_tmp(15 downto 0) <= trap_SR(15 downto 8)& Flags(7 downto 0); END IF; END IF; END IF; END PROCESS; ----------------------------------------------------------------------------- -- set dest regaddr ----------------------------------------------------------------------------- PROCESS (opcode, rf_dest_addr_tmp, to_USP, Flags, trapmake, movem_addr, movem_presub, movem_regaddr, setbriefext, brief, setstackaddr, dest_hbits, dest_areg, data_is_source) BEGIN rf_dest_addr <= rf_dest_addr_tmp; IF rf_dest_addr_tmp(3 downto 0)="1111" AND to_USP='0' THEN rf_dest_addr(4) <= Flags(13) OR trapmake; END IF; IF movem_addr='1' THEN IF movem_presub='1' THEN rf_dest_addr_tmp <= "000"&(movem_regaddr XOR "1111"); ELSE rf_dest_addr_tmp <= "000"&movem_regaddr; END IF; ELSIF setbriefext='1' THEN rf_dest_addr_tmp <= ("000"&brief(15 downto 12)); ELSIF setstackaddr='1' THEN rf_dest_addr_tmp <= "0001111"; ELSIF dest_hbits='1' THEN rf_dest_addr_tmp <= "000"&dest_areg&opcode(11 downto 9); ELSE IF opcode(5 downto 3)="000" OR data_is_source='1' THEN rf_dest_addr_tmp <= "000"&dest_areg&opcode(2 downto 0); ELSE rf_dest_addr_tmp <= "0001"&opcode(2 downto 0); END IF; END IF; END PROCESS; ----------------------------------------------------------------------------- -- set OP1 ----------------------------------------------------------------------------- PROCESS (reg_QA, OP1out_zero, from_SR, Flags, ea_data_OP1, set_store_in_tmp, ea_data) BEGIN OP1out <= reg_QA; IF OP1out_zero='1' THEN OP1out <= (OTHERS => '0'); ELSIF from_SR='1' THEN OP1out(15 downto 0) <= Flags; ELSIF ea_data_OP1='1' AND set_store_in_tmp='1' THEN OP1out <= ea_data; END IF; END PROCESS; ----------------------------------------------------------------------------- -- set source regaddr ----------------------------------------------------------------------------- PROCESS (opcode, Flags, movem_addr, movem_presub, movem_regaddr, source_lowbits, source_areg, from_USP, rf_source_addr_tmp) BEGIN rf_source_addr <= rf_source_addr_tmp; IF rf_source_addr_tmp(3 downto 0)="1111" AND from_USP='0' THEN rf_source_addr(4) <= Flags(13); END IF; IF movem_addr='1' THEN IF movem_presub='1' THEN rf_source_addr_tmp <= "000"&(movem_regaddr XOR "1111"); ELSE rf_source_addr_tmp <= "000"&movem_regaddr; END IF; ELSIF from_USP='1' THEN rf_source_addr_tmp <= "0001111"; ELSIF source_lowbits='1' THEN rf_source_addr_tmp <= "000"&source_areg&opcode(2 downto 0); ELSE rf_source_addr_tmp <= "000"&source_areg&opcode(11 downto 9); END IF; END PROCESS; ----------------------------------------------------------------------------- -- set OP2 ----------------------------------------------------------------------------- PROCESS (OP2out, reg_QB, opcode, datatype, OP2out_one, exec_EXT, exec_MOVEQ, EXEC_ADDQ, use_direct_data, data_write_tmp, ea_data_OP1, set_store_in_tmp, ea_data, movepl) BEGIN OP2out(15 downto 0) <= reg_QB(15 downto 0); OP2out(31 downto 16) <= (OTHERS => OP2out(15)); IF OP2out_one='1' THEN OP2out(15 downto 0) <= "1111111111111111"; ELSIF exec_EXT='1' THEN IF opcode(6)='0' THEN --ext.w OP2out(15 downto 8) <= (OTHERS => OP2out(7)); END IF; ELSIF use_direct_data='1' THEN OP2out <= data_write_tmp; ELSIF ea_data_OP1='0' AND set_store_in_tmp='1' THEN OP2out <= ea_data; ELSIF exec_MOVEQ='1' THEN OP2out(7 downto 0) <= opcode(7 downto 0); OP2out(15 downto 8) <= (OTHERS => opcode(7)); ELSIF exec_ADDQ='1' THEN OP2out(2 downto 0) <= opcode(11 downto 9); IF opcode(11 downto 9)="000" THEN OP2out(3) <='1'; ELSE OP2out(3) <='0'; END IF; OP2out(15 downto 4) <= (OTHERS => '0'); ELSIF datatype="10" OR movepl='1' THEN OP2out(31 downto 16) <= reg_QB(31 downto 16); END IF; END PROCESS; ----------------------------------------------------------------------------- -- addsub ----------------------------------------------------------------------------- PROCESS (OP1out, OP2out, presub, postadd, execOPC, OP2out_one, datatype, use_SP, use_XZFlag, use_XFlag, Flags, setaddsub) BEGIN addsub_a <= OP1out; addsub_b <= OP2out; addsub <= NOT presub; c_in(0) <='0'; IF execOPC='0' AND OP2out_one='0' THEN IF datatype="00" AND use_SP='0' THEN addsub_b <= "00000000000000000000000000000001"; ELSIF datatype="10" AND (presub OR postadd)='1' THEN addsub_b <= "00000000000000000000000000000100"; ELSE addsub_b <= "00000000000000000000000000000010"; END IF; ELSE IF (use_XZFlag='1' OR use_XFlag='1') AND Flags(4)='1' THEN c_in(0) <= '1'; END IF; addsub <= setaddsub; END IF; END PROCESS; ----------------------------------------------------------------------------- -- Write Reg ----------------------------------------------------------------------------- PROCESS (clkena, OP1in, datatype, presub, postadd, endOPC, regwrena, state, execOPC, last_data_read, movem_addr, rf_dest_addr, reg_QA, maskzero) BEGIN Lwrena <= '0'; Hwrena <= '0'; registerin <= OP1in; IF (presub='1' OR postadd='1') AND endOPC='0' THEN -- -(An)+ Hwrena <= '1'; Lwrena <= '1'; ELSIF Regwrena='1' AND maskzero='0' THEN --read (mem) Lwrena <= '1'; CASE datatype IS WHEN "00" => --BYTE registerin(15 downto 8) <= reg_QA(15 downto 8); WHEN "01" => --WORD IF rf_dest_addr(3)='1' OR movem_addr='1' THEN Hwrena <='1'; END IF; WHEN OTHERS => --LONG Hwrena <= '1'; END CASE; END IF; END PROCESS; ------------------------------------------------------------------------------ --ALU ------------------------------------------------------------------------------ PROCESS (opcode, OP1in, OP1out, OP2out, datatype, c_out, exec_ABCD, exec_SBCD, exec_CPMAW, exec_MOVESR, bits_out, Flags, flag_z, use_XZFlag, addsub_ofl, dummy_s, dummy_a, niba_hc, niba_h, niba_l, niba_lc, nibs_hc, nibs_h, nibs_l, nibs_lc, addsub_q, movem_addr, data_read, exec_MULU, exec_DIVU, exec_OR, exec_AND, exec_Scc, exec_EOR, exec_MOVE, exec_exg, exec_ROT, execOPC, exec_swap, exec_Bits, rot_out, dummy_mulu, dummy_div, save_memaddr, memaddr, memaddr_in, ea_only, get_ea_now) BEGIN --BCD_ARITH------------------------------------------------------------------- --ADC dummy_a <= niba_hc&(niba_h(4 downto 1)+('0',niba_hc,niba_hc,'0'))&(niba_l(4 downto 1)+('0',niba_lc,niba_lc,'0')); niba_l <= ('0'&OP1out(3 downto 0)&'1') + ('0'&OP2out(3 downto 0)&Flags(4)); niba_lc <= niba_l(5) OR (niba_l(4) AND niba_l(3)) OR (niba_l(4) AND niba_l(2)); niba_h <= ('0'&OP1out(7 downto 4)&'1') + ('0'&OP2out(7 downto 4)&niba_lc); niba_hc <= niba_h(5) OR (niba_h(4) AND niba_h(3)) OR (niba_h(4) AND niba_h(2)); --SBC dummy_s <= nibs_hc&(nibs_h(4 downto 1)-('0',nibs_hc,nibs_hc,'0'))&(nibs_l(4 downto 1)-('0',nibs_lc,nibs_lc,'0')); nibs_l <= ('0'&OP1out(3 downto 0)&'0') - ('0'&OP2out(3 downto 0)&Flags(4)); nibs_lc <= nibs_l(5); nibs_h <= ('0'&OP1out(7 downto 4)&'0') - ('0'&OP2out(7 downto 4)&nibs_lc); nibs_hc <= nibs_h(5); ------------------------------------------------------------------------------ flag_z <= "000"; OP1in <= addsub_q; IF movem_addr='1' THEN OP1in <= data_read; ELSIF exec_ABCD='1' THEN OP1in(7 downto 0) <= dummy_a(7 downto 0); ELSIF exec_SBCD='1' THEN OP1in(7 downto 0) <= dummy_s(7 downto 0); ELSIF exec_MULU='1' THEN OP1in <= dummy_mulu; ELSIF exec_DIVU='1' AND execOPC='1' THEN OP1in <= dummy_div; ELSIF exec_OR='1' THEN OP1in <= OP2out OR OP1out; ELSIF exec_AND='1' OR exec_Scc='1' THEN OP1in <= OP2out AND OP1out; ELSIF exec_EOR='1' THEN OP1in <= OP2out XOR OP1out; ELSIF exec_MOVE='1' OR exec_exg='1' THEN OP1in <= OP2out; ELSIF exec_ROT='1' THEN OP1in <= rot_out; ELSIF save_memaddr='1' THEN OP1in <= memaddr; ELSIF get_ea_now='1' AND ea_only='1' THEN OP1in <= memaddr_in; ELSIF exec_swap='1' THEN OP1in <= OP1out(15 downto 0)& OP1out(31 downto 16); ELSIF exec_bits='1' THEN OP1in <= bits_out; ELSIF exec_MOVESR='1' THEN OP1in(15 downto 0) <= Flags; END IF; IF use_XZFlag='1' AND flags(2)='0' THEN flag_z <= "000"; ELSIF OP1in(7 downto 0)="00000000" THEN flag_z(0) <= '1'; IF OP1in(15 downto 8)="00000000" THEN flag_z(1) <= '1'; IF OP1in(31 downto 16)="0000000000000000" THEN flag_z(2) <= '1'; END IF; END IF; END IF; -- --Flags NZVC IF datatype="00" THEN --Byte set_flags <= OP1IN(7)&flag_z(0)&addsub_ofl(0)&c_out(0); IF exec_ABCD='1' THEN set_flags(0) <= dummy_a(8); ELSIF exec_SBCD='1' THEN set_flags(0) <= dummy_s(8); END IF; ELSIF datatype="10" OR exec_CPMAW='1' THEN --Long set_flags <= OP1IN(31)&flag_z(2)&addsub_ofl(2)&c_out(2); ELSE --Word set_flags <= OP1IN(15)&flag_z(1)&addsub_ofl(1)&c_out(1); END IF; END PROCESS; ------------------------------------------------------------------------------ --Flags ------------------------------------------------------------------------------ PROCESS (clk, reset, opcode) BEGIN IF reset='0' THEN Flags(13) <= '1'; SVmode <= '1'; Flags(10 downto 8) <= "111"; ELSIF rising_edge(clk) THEN IF clkena = '1' THEN IF directSR='1' THEN Flags <= data_read(15 downto 0); END IF; IF directCCR='1' THEN Flags(7 downto 0) <= data_read(7 downto 0); END IF; IF interrupt='1' THEN Flags(10 downto 8) <=rIPL_nr; SVmode <= '1'; END IF; IF writeSR='1' OR interrupt='1' THEN Flags(13) <='1'; END IF; IF endOPC='1' AND to_SR='0' THEN SVmode <= Flags(13); END IF; IF execOPC='1' AND to_SR='1' THEN Flags(7 downto 0) <= OP1in(7 downto 0); --CCR IF datatype="01" AND (opcode(14)='0' OR opcode(9)='1') THEN --move to CCR wird als word gespeichert Flags(15 downto 8) <= OP1in(15 downto 8); --SR SVmode <= OP1in(13); END IF; ELSIF Z_error='1' THEN IF opcode(8)='0' THEN Flags(3 downto 0) <= "1000"; ELSE Flags(3 downto 0) <= "0100"; END IF; ELSIF no_Flags='0' AND trapmake='0' THEN IF exec_ADD='1' THEN Flags(4) <= set_flags(0); ELSIF exec_ROT='1' AND rot_bits/="11" AND rot_nop='0' THEN Flags(4) <= rot_XC; END IF; IF (exec_ADD OR exec_CMP)='1' THEN Flags(3 downto 0) <= set_flags; ELSIF decodeOPC='1' and set_exec_ROT='1' THEN Flags(1) <= '0'; ELSIF exec_DIVU='1' THEN IF set_V_Flag='1' THEN Flags(3 downto 0) <= "1010"; ELSE Flags(3 downto 0) <= OP1IN(15)&flag_z(1)&"00"; END IF; ELSIF exec_OR='1' OR exec_AND='1' OR exec_EOR='1' OR exec_MOVE='1' OR exec_swap='1' OR exec_MULU='1' THEN Flags(3 downto 0) <= set_flags(3 downto 2)&"00"; ELSIF exec_ROT='1' THEN Flags(3 downto 2) <= set_flags(3 downto 2); Flags(0) <= rot_XC; IF rot_bits="00" THEN --ASL/ASR Flags(1) <= ((set_flags(3) XOR rot_rot) OR Flags(1)); END IF; ELSIF exec_bits='1' THEN Flags(2) <= NOT one_bit_in; END IF; END IF; END IF; END IF; END PROCESS; ----------------------------------------------------------------------------- -- execute opcode ----------------------------------------------------------------------------- PROCESS (clk, reset, OP2out, opcode, fetchOPC, decodeOPC, execOPC, endOPC, nextpass, condition, set_V_flag, trapmake, trapd, interrupt, trap_interrupt, rot_nop, Z_error, c_in, rot_cnt, one_bit_in, bit_number_reg, bit_number, ea_only, get_ea_now, ea_build, datatype, exec_write_back, get_extendedOPC, Flags, SVmode, movem_addr, movem_busy, getbrief, set_exec_AND, set_exec_OR, set_exec_EOR, TG68_PC_dec, c_out, OP1out, micro_state) BEGIN TG68_PC_br8 <= '0'; TG68_PC_brw <= '0'; TG68_PC_nop <= '0'; setstate <= "00"; Regwrena <= '0'; postadd <= '0'; presub <= '0'; movem_presub <= '0'; setaddsub <= '1'; setaddrlong <= '0'; setnextpass <= '0'; regdirectsource <= '0'; setdisp <= '0'; setdispbyte <= '0'; setdispbrief <= '0'; setbriefext <= '0'; setgetbrief <= '0'; longreaddirect <= '0'; dest_areg <= '0'; source_areg <= '0'; data_is_source <= '0'; write_back <= '0'; setstackaddr <= '0'; writePC <= '0'; writePC_add <= '0'; set_TG68_PC_dec <= '0'; set_directPC <= '0'; set_exec_ADD <= '0'; set_exec_OR <= '0'; set_exec_AND <= '0'; set_exec_EOR <= '0'; set_exec_MOVE <= '0'; set_exec_MOVEQ <= '0'; set_exec_MOVESR <= '0'; set_exec_ADDQ <= '0'; set_exec_CMP <= '0'; set_exec_ROT <= '0'; set_exec_EXT <= '0'; set_exec_CPMAW <= '0'; OP2out_one <= '0'; ea_to_pc <= '0'; ea_build <= '0'; get_ea_now <= '0'; rot_bits <= "XX"; set_rot_nop <= '0'; set_rot_cnt <= "000001"; set_movem_busy <= '0'; set_get_movem_mask <= '0'; save_memaddr <= '0'; set_mem_addsub <= '0'; exec_exg <= '0'; exec_swap <= '0'; exec_Bits <= '0'; set_get_bitnumber <= '0'; dest_hbits <= '0'; source_lowbits <= '0'; set_mem_rega <= '0'; ea_data_OP1 <= '0'; ea_only <= '0'; set_direct_data <= '0'; set_get_extendedOPC <= '0'; set_exec_tas <= '0'; OP1out_zero <= '0'; use_XZFlag <= '0'; use_XFlag <= '0'; set_exec_ABCD <= '0'; set_exec_SBCD <= '0'; set_exec_MULU <= '0'; set_exec_DIVU <= '0'; set_exec_Scc <= '0'; trap_illegal <='0'; trap_priv <='0'; trap_1010 <='0'; trap_1111 <='0'; trap_trap <='0'; trap_trapv <= '0'; trapmake <='0'; set_vectoraddr <='0'; writeSR <= '0'; set_directSR <= '0'; set_directCCR <= '0'; set_stop <= '0'; from_SR <= '0'; to_SR <= '0'; from_USP <= '0'; to_USP <= '0'; illegal_write_mode <= '0'; illegal_read_mode <= '0'; illegal_byteaddr <= '0'; no_Flags <= '0'; set_PCmarker <= '0'; use_SP <= '0'; set_Z_error <= '0'; wait_mem_byte <= '0'; set_movepl <= '0'; set_movepw <= '0'; trap_chk <= '0'; next_micro_state <= idle; ------------------------------------------------------------------------------ --Sourcepass ------------------------------------------------------------------------------ IF ea_only='0' AND get_ea_now='1' THEN setstate <= "10"; END IF; IF ea_build='1' THEN CASE opcode(5 downto 3) IS --source WHEN "010"|"011"|"100" => -- -(An)+ get_ea_now <='1'; setnextpass <= '1'; IF opcode(4)='1' THEN set_mem_rega <= '1'; ELSE set_mem_addsub <= '1'; END IF; IF opcode(3)='1' THEN --(An)+ postadd <= '1'; IF opcode(2 downto 0)="111" THEN use_SP <= '1'; END IF; END IF; IF opcode(5)='1' THEN -- -(An) presub <= '1'; IF opcode(2 downto 0)="111" THEN use_SP <= '1'; END IF; END IF; IF opcode(4 downto 3)/="10" THEN regwrena <= '1'; END IF; WHEN "101" => --(d16,An) next_micro_state <= ld_dAn1; setgetbrief <='1'; set_mem_regA <= '1'; WHEN "110" => --(d8,An,Xn) next_micro_state <= ld_AnXn1; setgetbrief <='1'; set_mem_regA <= '1'; WHEN "111" => CASE opcode(2 downto 0) IS WHEN "000" => --(xxxx).w next_micro_state <= ld_nn; WHEN "001" => --(xxxx).l longreaddirect <= '1'; next_micro_state <= ld_nn; WHEN "010" => --(d16,PC) next_micro_state <= ld_dAn1; setgetbrief <= '1'; set_PCmarker <= '1'; WHEN "011" => --(d8,PC,Xn) next_micro_state <= ld_AnXn1; setgetbrief <= '1'; set_PCmarker <= '1'; WHEN "100" => --#data setnextpass <= '1'; set_direct_data <= '1'; IF datatype="10" THEN longreaddirect <= '1'; END IF; WHEN OTHERS => END CASE; WHEN OTHERS => END CASE; END IF; ------------------------------------------------------------------------------ --prepere opcode ------------------------------------------------------------------------------ CASE opcode(7 downto 6) IS WHEN "00" => datatype <= "00"; --Byte WHEN "01" => datatype <= "01"; --Word WHEN OTHERS => datatype <= "10"; --Long END CASE; IF execOPC='1' AND endOPC='0' AND exec_write_back='1' THEN setstate <="11"; END IF; ------------------------------------------------------------------------------ --test illegal mode ------------------------------------------------------------------------------ IF (opcode(5 downto 3)="111" AND opcode(2 downto 1)/="00") OR (opcode(5 downto 3)="001" AND datatype="00") THEN illegal_write_mode <= '1'; END IF; IF (opcode(5 downto 2)="1111" AND opcode(1 downto 0)/="00") OR (opcode(5 downto 3)="001" AND datatype="00") THEN illegal_read_mode <= '1'; END IF; IF opcode(5 downto 3)="001" AND datatype="00" THEN illegal_byteaddr <= '1'; END IF; CASE opcode(15 downto 12) IS -- 0000 ---------------------------------------------------------------------------- WHEN "0000" => IF opcode(8)='1' AND opcode(5 downto 3)="001" THEN --movep datatype <= "00"; --Byte use_SP <= '1'; no_Flags <='1'; IF opcode(7)='0' THEN set_exec_move <= '1'; set_movepl <= '1'; END IF; IF decodeOPC='1' THEN IF opcode(7)='0' THEN set_direct_data <= '1'; END IF; next_micro_state <= movep1; setgetbrief <='1'; set_mem_regA <= '1'; END IF; IF opcode(7)='0' AND endOPC='1' THEN IF opcode(6)='1' THEN datatype <= "10"; --Long ELSE datatype <= "01"; --Word END IF; dest_hbits <='1'; regwrena <= '1'; END IF; ELSE IF opcode(8)='1' OR opcode(11 downto 8)="1000" THEN --Bits IF execOPC='1' AND get_extendedOPC='0' THEN IF opcode(7 downto 6)/="00" AND endOPC='1' THEN regwrena <= '1'; END IF; exec_Bits <= '1'; ea_data_OP1 <= '1'; END IF; -- IF get_extendedOPC='1' THEN -- datatype <= "01"; --Word -- ELS IF opcode(5 downto 4)="00" THEN datatype <= "10"; --Long ELSE datatype <= "00"; --Byte IF opcode(7 downto 6)/="00" THEN write_back <= '1'; END IF; END IF; IF decodeOPC='1' THEN ea_build <= '1'; IF opcode(8)='0' THEN IF opcode(5 downto 4)/="00" THEN --Dn, An set_get_extendedOPC <= '1'; END IF; set_get_bitnumber <= '1'; END IF; END IF; ELSE --andi, ...xxxi IF opcode(11 downto 8)="0000" THEN --ORI set_exec_OR <= '1'; END IF; IF opcode(11 downto 8)="0010" THEN --ANDI set_exec_AND <= '1'; END IF; IF opcode(11 downto 8)="0100" OR opcode(11 downto 8)="0110" THEN --SUBI, ADDI set_exec_ADD <= '1'; END IF; IF opcode(11 downto 8)="1010" THEN --EORI set_exec_EOR <= '1'; END IF; IF opcode(11 downto 8)="1100" THEN --CMPI set_exec_CMP <= '1'; ELSIF trapmake='0' THEN write_back <= '1'; END IF; IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (set_exec_AND OR set_exec_OR OR set_exec_EOR)='1' THEN --SR -- IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (opcode(11 downto 8)="0010" OR opcode(11 downto 8)="0000" OR opcode(11 downto 8)="1010") THEN --SR IF SVmode='0' AND opcode(6)='1' THEN --SR trap_priv <= '1'; trapmake <= '1'; ELSE from_SR <= '1'; to_SR <= '1'; IF decodeOPC='1' THEN setnextpass <= '1'; set_direct_data <= '1'; END IF; END IF; ELSE IF decodeOPC='1' THEN IF opcode(11 downto 8)="0010" OR opcode(11 downto 8)="0000" OR opcode(11 downto 8)="0100" --ANDI, ORI, SUBI OR opcode(11 downto 8)="0110" OR opcode(11 downto 8)="1010" OR opcode(11 downto 8)="1100" THEN --ADDI, EORI, CMPI -- IF (set_exec_AND OR set_exec_OR OR set_exec_ADD --ANDI, ORI, SUBI -- OR set_exec_EOR OR set_exec_CMP)='1' THEN --ADDI, EORI, CMPI next_micro_state <= andi; set_direct_data <= '1'; IF datatype="10" THEN longreaddirect <= '1'; END IF; END IF; END IF; IF execOPC='1' THEN ea_data_OP1 <= '1'; IF opcode(11 downto 8)/="1100" THEN --CMPI IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; IF opcode(11 downto 8)="1100" OR opcode(11 downto 8)="0100" THEN --CMPI, SUBI setaddsub <= '0'; END IF; END IF; END IF; END IF; END IF; -- 0001, 0010, 0011 ----------------------------------------------------------------- WHEN "0001"|"0010"|"0011" => --move.b, move.l, move.w set_exec_MOVE <= '1'; IF opcode(8 downto 6)="001" THEN no_Flags <= '1'; END IF; IF opcode(5 downto 4)="00" THEN --Dn, An regdirectsource <= '1'; END IF; CASE opcode(13 downto 12) IS WHEN "01" => datatype <= "00"; --Byte WHEN "10" => datatype <= "10"; --Long WHEN OTHERS => datatype <= "01"; --Word END CASE; source_lowbits <= '1'; -- Dn=> An=> IF opcode(3)='1' THEN source_areg <= '1'; END IF; IF getbrief='1' AND nextpass='1' THEN -- =>(d16,An) =>(d8,An,Xn) set_mem_rega <= '1'; END IF; IF execOPC='1' AND opcode(8 downto 7)="00" THEN Regwrena <= '1'; END IF; IF nextpass='1' OR execOPC='1' OR opcode(5 downto 4)="00" THEN dest_hbits <= '1'; IF opcode(8 downto 6)/="000" THEN dest_areg <= '1'; END IF; END IF; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF micro_state=idle AND (nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1')) THEN CASE opcode(8 downto 6) IS --destination -- WHEN "000" => --Dn -- WHEN "001" => --An WHEN "010"|"011"|"100" => --destination -(an)+ IF opcode(7)='1' THEN set_mem_rega <= '1'; ELSE set_mem_addsub <= '1'; END IF; IF opcode(6)='1' THEN --(An)+ postadd <= '1'; IF opcode(11 downto 9)="111" THEN use_SP <= '1'; END IF; END IF; IF opcode(8)='1' THEN -- -(An) presub <= '1'; IF opcode(11 downto 9)="111" THEN use_SP <= '1'; END IF; END IF; IF opcode(7 downto 6)/="10" THEN regwrena <= '1'; END IF; setstate <= "11"; next_micro_state <= nop; WHEN "101" => --(d16,An) next_micro_state <= st_dAn1; set_mem_regA <= '1'; setgetbrief <= '1'; WHEN "110" => --(d8,An,Xn) next_micro_state <= st_AnXn1; set_mem_regA <= '1'; setgetbrief <= '1'; WHEN "111" => CASE opcode(11 downto 9) IS WHEN "000" => --(xxxx).w next_micro_state <= st_nn; WHEN "001" => --(xxxx).l longreaddirect <= '1'; next_micro_state <= st_nn; WHEN OTHERS => END CASE; WHEN OTHERS => END CASE; END IF; -- 0100 ---------------------------------------------------------------------------- WHEN "0100" => --rts_group IF opcode(8)='1' THEN --lea IF opcode(6)='1' THEN --lea IF opcode(7)='1' THEN ea_only <= '1'; IF opcode(5 downto 3)="010" THEN --lea (Am),An set_exec_move <='1'; no_Flags <='1'; dest_areg <= '1'; dest_hbits <= '1'; source_lowbits <= '1'; source_areg <= '1'; IF execOPC='1' THEN Regwrena <= '1'; END IF; ELSE IF decodeOPC='1' THEN ea_build <= '1'; END IF; END IF; IF get_ea_now='1' THEN dest_areg <= '1'; dest_hbits <= '1'; regwrena <= '1'; END IF; ELSE trap_illegal <= '1'; trapmake <= '1'; END IF; ELSE --chk IF opcode(7)='1' THEN set_exec_ADD <= '1'; IF decodeOPC='1' THEN ea_build <= '1'; END IF; datatype <= "01"; --Word IF execOPC='1' THEN setaddsub <= '0'; --first alternative ea_data_OP1 <= '1'; IF c_out(1)='1' OR OP1out(15)='1' OR OP2out(15)='1' THEN -- trap_chk <= '1'; --first I must change the Trap System -- trapmake <= '1'; END IF; --second alternative -- IF (c_out(1)='0' AND flag_z(1)='0') OR OP1out(15)='1' OR OP2out(15)='1' THEN -- -- trap_chk <= '1'; --first I must change the Trap System -- -- trapmake <= '1'; -- END IF; -- dest_hbits <= '1'; -- source_lowbits <='1'; END IF; ELSE trap_illegal <= '1'; -- chk long for 68020 trapmake <= '1'; END IF; END IF; ELSE CASE opcode(11 downto 9) IS WHEN "000"=> IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF opcode(7 downto 6)="11" THEN --move from SR set_exec_MOVESR <= '1'; datatype <= "01"; write_back <='1'; -- im 68000 wird auch erst gelesen IF execOPC='1' THEN IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; ELSE --negx use_XFlag <= '1'; write_back <='1'; set_exec_ADD <= '1'; setaddsub <='0'; IF execOPC='1' THEN source_lowbits <= '1'; OP1out_zero <= '1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; END IF; WHEN "001"=> IF opcode(7 downto 6)="11" THEN --move from CCR 68010 trap_illegal <= '1'; trapmake <= '1'; ELSE --clr IF decodeOPC='1' THEN ea_build <= '1'; END IF; write_back <='1'; set_exec_AND <= '1'; IF execOPC='1' THEN OP1out_zero <= '1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; END IF; WHEN "010"=> IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF opcode(7 downto 6)="11" THEN --move to CCR set_exec_MOVE <= '1'; datatype <= "01"; IF execOPC='1' THEN source_lowbits <= '1'; to_SR <= '1'; END IF; ELSE --neg write_back <='1'; set_exec_ADD <= '1'; setaddsub <='0'; IF execOPC='1' THEN source_lowbits <= '1'; OP1out_zero <= '1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; END IF; WHEN "011"=> --not, move toSR IF opcode(7 downto 6)="11" THEN --move to SR IF SVmode='1' THEN IF decodeOPC='1' THEN ea_build <= '1'; END IF; set_exec_MOVE <= '1'; datatype <= "01"; IF execOPC='1' THEN source_lowbits <= '1'; to_SR <= '1'; END IF; ELSE trap_priv <= '1'; trapmake <= '1'; END IF; ELSE --not IF decodeOPC='1' THEN ea_build <= '1'; END IF; write_back <='1'; set_exec_EOR <= '1'; IF execOPC='1' THEN OP2out_one <= '1'; ea_data_OP1 <= '1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; END IF; WHEN "100"|"110"=> IF opcode(7)='1' THEN --movem, ext IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN --ext source_lowbits <= '1'; IF decodeOPC='1' THEN set_exec_EXT <= '1'; set_exec_move <= '1'; END IF; IF opcode(6)='0' THEN datatype <= "01"; --WORD END IF; IF execOPC='1' THEN regwrena <= '1'; END IF; ELSE --movem -- IF opcode(11 downto 7)="10001" OR opcode(11 downto 7)="11001" THEN --MOVEM ea_only <= '1'; IF decodeOPC='1' THEN datatype <= "01"; --Word set_get_movem_mask <='1'; set_get_extendedOPC <='1'; IF opcode(5 downto 3)="010" OR opcode(5 downto 3)="011" OR opcode(5 downto 3)="100" THEN set_mem_rega <= '1'; setstate <= "01"; IF opcode(10)='0' THEN set_movem_busy <='1'; ELSE next_micro_state <= movem; END IF; ELSE ea_build <= '1'; END IF; ELSE IF opcode(6)='0' THEN datatype <= "01"; --Word END IF; END IF; IF execOPC='1' THEN IF opcode(5 downto 3)="100" OR opcode(5 downto 3)="011" THEN regwrena <= '1'; save_memaddr <= '1'; END IF; END IF; IF get_ea_now='1' THEN set_movem_busy <= '1'; IF opcode(10)='0' THEN setstate <="01"; ELSE setstate <="10"; END IF; END IF; IF opcode(5 downto 3)="100" THEN movem_presub <= '1'; END IF; IF movem_addr='1' THEN IF opcode(10)='1' THEN regwrena <= '1'; END IF; END IF; IF movem_busy='1' THEN IF opcode(10)='0' THEN setstate <="11"; ELSE setstate <="10"; END IF; END IF; END IF; ELSE IF opcode(10)='1' THEN --MUL, DIV 68020 trap_illegal <= '1'; trapmake <= '1'; ELSE --pea, swap IF opcode(6)='1' THEN datatype <= "10"; IF opcode(5 downto 3)="000" THEN --swap IF execOPC='1' THEN exec_swap <= '1'; regwrena <= '1'; END IF; ELSIF opcode(5 downto 3)="001" THEN --bkpt ELSE --pea ea_only <= '1'; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF nextpass='1' AND micro_state=idle THEN presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; setstate <="11"; next_micro_state <= nop; END IF; IF get_ea_now='1' THEN setstate <="01"; END IF; END IF; ELSE --nbcd IF decodeOPC='1' THEN --nbcd ea_build <= '1'; END IF; use_XFlag <= '1'; write_back <='1'; set_exec_ADD <= '1'; set_exec_SBCD <= '1'; IF execOPC='1' THEN source_lowbits <= '1'; OP1out_zero <= '1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; END IF; END IF; END IF; WHEN "101"=> --tst, tas IF opcode(7 downto 2)="111111" THEN --4AFC illegal trap_illegal <= '1'; trapmake <= '1'; ELSE IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF execOPC='1' THEN dest_hbits <= '1'; --for Flags source_lowbits <= '1'; -- IF opcode(3)='1' THEN --MC68020... -- source_areg <= '1'; -- END IF; END IF; set_exec_MOVE <= '1'; IF opcode(7 downto 6)="11" THEN --tas set_exec_tas <= '1'; write_back <= '1'; datatype <= "00"; --Byte IF execOPC='1' AND endOPC='1' THEN regwrena <= '1'; END IF; END IF; END IF; -- WHEN "110"=> WHEN "111"=> --4EXX IF opcode(7)='1' THEN --jsr, jmp datatype <= "10"; ea_only <= '1'; IF nextpass='1' AND micro_state=idle THEN presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; setstate <="11"; next_micro_state <= nop; END IF; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF get_ea_now='1' THEN --jsr IF opcode(6)='0' THEN setstate <="01"; END IF; ea_to_pc <= '1'; IF opcode(5 downto 1)="11100" THEN writePC_add <= '1'; ELSE writePC <= '1'; END IF; END IF; ELSE -- CASE opcode(6 downto 0) IS WHEN "1000000"|"1000001"|"1000010"|"1000011"|"1000100"|"1000101"|"1000110"|"1000111"| --trap "1001000"|"1001001"|"1001010"|"1001011"|"1001100"|"1001101"|"1001110"|"1001111" => --trap trap_trap <='1'; trapmake <= '1'; WHEN "1010000"|"1010001"|"1010010"|"1010011"|"1010100"|"1010101"|"1010110"|"1010111" => --link datatype <= "10"; IF decodeOPC='1' THEN next_micro_state <= link; set_exec_MOVE <= '1'; --für displacement presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; source_lowbits <= '1'; source_areg <= '1'; END IF; IF execOPC='1' THEN setstackaddr <='1'; regwrena <= '1'; END IF; WHEN "1011000"|"1011001"|"1011010"|"1011011"|"1011100"|"1011101"|"1011110"|"1011111" => --unlink datatype <= "10"; IF decodeOPC='1' THEN setstate <= "10"; set_mem_rega <= '1'; ELSIF execOPC='1' THEN regwrena <= '1'; exec_exg <= '1'; ELSE setstackaddr <='1'; regwrena <= '1'; get_ea_now <= '1'; ea_only <= '1'; END IF; WHEN "1100000"|"1100001"|"1100010"|"1100011"|"1100100"|"1100101"|"1100110"|"1100111" => --move An,USP IF SVmode='1' THEN no_Flags <= '1'; to_USP <= '1'; setstackaddr <= '1'; source_lowbits <= '1'; source_areg <= '1'; set_exec_MOVE <= '1'; datatype <= "10"; IF execOPC='1' THEN regwrena <= '1'; END IF; ELSE trap_priv <= '1'; trapmake <= '1'; END IF; WHEN "1101000"|"1101001"|"1101010"|"1101011"|"1101100"|"1101101"|"1101110"|"1101111" => --move USP,An IF SVmode='1' THEN no_Flags <= '1'; from_USP <= '1'; set_exec_MOVE <= '1'; datatype <= "10"; IF execOPC='1' THEN regwrena <= '1'; END IF; ELSE trap_priv <= '1'; trapmake <= '1'; END IF; WHEN "1110000" => --reset IF SVmode='0' THEN trap_priv <= '1'; trapmake <= '1'; END IF; WHEN "1110001" => --nop WHEN "1110010" => --stop IF SVmode='0' THEN trap_priv <= '1'; trapmake <= '1'; ELSE IF decodeOPC='1' THEN setnextpass <= '1'; set_directSR <= '1'; set_stop <= '1'; END IF; END IF; WHEN "1110011" => --rte IF SVmode='1' THEN IF decodeOPC='1' THEN datatype <= "01"; setstate <= "10"; postadd <= '1'; setstackaddr <= '1'; set_mem_rega <= '1'; set_directSR <= '1'; next_micro_state <= rte; END IF; ELSE trap_priv <= '1'; trapmake <= '1'; END IF; WHEN "1110101" => --rts IF decodeOPC='1' THEN datatype <= "10"; setstate <= "10"; postadd <= '1'; setstackaddr <= '1'; set_mem_rega <= '1'; set_directPC <= '1'; next_micro_state <= nop; END IF; WHEN "1110110" => --trapv IF Flags(1)='1' THEN trap_trapv <= '1'; trapmake <= '1'; END IF; WHEN "1110111" => --rtr IF decodeOPC='1' THEN datatype <= "01"; setstate <= "10"; postadd <= '1'; setstackaddr <= '1'; set_mem_rega <= '1'; set_directCCR <= '1'; next_micro_state <= rte; END IF; WHEN OTHERS => trap_illegal <= '1'; trapmake <= '1'; END CASE; END IF; WHEN OTHERS => null; END CASE; END IF; -- 0101 ---------------------------------------------------------------------------- WHEN "0101" => --subq, addq IF opcode(7 downto 6)="11" THEN --dbcc IF opcode(5 downto 3)="001" THEN --dbcc datatype <= "01"; --Word IF decodeOPC='1' THEN next_micro_state <= nop; OP2out_one <= '1'; IF condition='0' THEN Regwrena <= '1'; IF c_in(2)='1' THEN next_micro_state <= dbcc1; END IF; END IF; data_is_source <= '1'; END IF; ELSE --Scc datatype <= "00"; --Byte write_back <= '1'; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF condition='0' THEN set_exec_Scc <= '1'; END IF; IF execOPC='1' THEN IF condition='1' THEN OP2out_one <= '1'; exec_EXG <= '1'; ELSE OP1out_zero <= '1'; END IF; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; END IF; ELSE --addq, subq IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF opcode(5 downto 3)="001" THEN no_Flags <= '1'; END IF; write_back <= '1'; set_exec_ADDQ <= '1'; set_exec_ADD <= '1'; IF execOPC='1' THEN ea_data_OP1 <= '1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; IF opcode(8)='1' THEN setaddsub <= '0'; END IF; END IF; END IF; -- 0110 ---------------------------------------------------------------------------- WHEN "0110" => --bra,bsr,bcc datatype <= "10"; IF micro_state=idle THEN IF opcode(11 downto 8)="0001" THEN --bsr IF opcode(7 downto 0)="00000000" THEN next_micro_state <= bsr1; ELSE next_micro_state <= bsr2; setstate <= "01"; END IF; presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; ELSE --bra IF opcode(7 downto 0)="00000000" THEN next_micro_state <= bra1; END IF; IF condition='1' THEN TG68_PC_br8 <= '1'; END IF; END IF; END IF; -- 0111 ---------------------------------------------------------------------------- WHEN "0111" => --moveq IF opcode(8)='0' THEN IF trap_interrupt='0' THEN datatype <= "10"; --Long Regwrena <= '1'; set_exec_MOVEQ <= '1'; set_exec_MOVE <= '1'; dest_hbits <= '1'; END IF; ELSE trap_illegal <= '1'; trapmake <= '1'; END IF; -- 1000 ---------------------------------------------------------------------------- WHEN "1000" => --or IF opcode(7 downto 6)="11" THEN --divu, divs IF opcode(5 downto 4)="00" THEN --Dn, An regdirectsource <= '1'; END IF; IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div1; END IF; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF execOPC='1' AND z_error='0' AND set_V_Flag='0' THEN regwrena <= '1'; END IF; IF (micro_state/=idle AND nextpass='1') OR execOPC='1' THEN dest_hbits <= '1'; source_lowbits <='1'; ELSE datatype <= "01"; END IF; ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN --sbcd, pack , unpack IF opcode(7 downto 6)="00" THEN --sbcd use_XZFlag <= '1'; set_exec_ADD <= '1'; set_exec_SBCD <= '1'; IF opcode(3)='1' THEN write_back <= '1'; IF decodeOPC='1' THEN set_direct_data <= '1'; setstate <= "10"; set_mem_addsub <= '1'; presub <= '1'; next_micro_state <= op_AxAy; END IF; END IF; IF execOPC='1' THEN ea_data_OP1 <= '1'; dest_hbits <= '1'; source_lowbits <='1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; ELSE --pack, unpack trap_illegal <= '1'; trapmake <= '1'; END IF; ELSE --or set_exec_OR <= '1'; IF opcode(8)='1' THEN write_back <= '1'; END IF; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF execOPC='1' THEN IF endOPC='1' THEN Regwrena <= '1'; END IF; IF opcode(8)='1' THEN ea_data_OP1 <= '1'; ELSE dest_hbits <= '1'; source_lowbits <='1'; IF opcode(3)='1' THEN source_areg <= '1'; END IF; END IF; END IF; END IF; -- 1001, 1101 ----------------------------------------------------------------------- WHEN "1001"|"1101" => --sub, add set_exec_ADD <= '1'; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF opcode(8 downto 6)="011" THEN --adda.w, suba.w datatype <= "01"; --Word END IF; IF execOPC='1' THEN IF endOPC='1' THEN Regwrena <= '1'; END IF; IF opcode(14)='0' THEN setaddsub <= '0'; END IF; END IF; IF opcode(8)='1' AND opcode(5 downto 4)="00" AND opcode(7 downto 6)/="11" THEN --addx, subx use_XZFlag <= '1'; IF opcode(3)='1' THEN write_back <= '1'; IF decodeOPC='1' THEN set_direct_data <= '1'; setstate <= "10"; set_mem_addsub <= '1'; presub <= '1'; next_micro_state <= op_AxAy; END IF; END IF; IF execOPC='1' THEN ea_data_OP1 <= '1'; dest_hbits <= '1'; source_lowbits <='1'; END IF; ELSE --sub, add IF opcode(8)='1' AND opcode(7 downto 6)/="11" THEN write_back <= '1'; END IF; IF execOPC='1' THEN IF opcode(7 downto 6)="11" THEN --adda, suba no_Flags <= '1'; dest_areg <='1'; dest_hbits <= '1'; source_lowbits <='1'; IF opcode(3)='1' THEN source_areg <= '1'; END IF; ELSE IF opcode(8)='1' THEN ea_data_OP1 <= '1'; ELSE dest_hbits <= '1'; source_lowbits <='1'; IF opcode(3)='1' THEN source_areg <= '1'; END IF; END IF; END IF; END IF; END IF; -- 1010 ---------------------------------------------------------------------------- WHEN "1010" => --Trap 1010 trap_1010 <= '1'; trapmake <= '1'; -- 1011 ---------------------------------------------------------------------------- WHEN "1011" => --eor, cmp IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF opcode(8 downto 6)="011" THEN --cmpa.w datatype <= "01"; --Word set_exec_CPMAW <= '1'; END IF; IF opcode(8)='1' AND opcode(5 downto 3)="001" AND opcode(7 downto 6)/="11" THEN --cmpm set_exec_CMP <= '1'; IF decodeOPC='1' THEN set_direct_data <= '1'; setstate <= "10"; set_mem_rega <= '1'; postadd <= '1'; next_micro_state <= cmpm; END IF; IF execOPC='1' THEN ea_data_OP1 <= '1'; setaddsub <= '0'; END IF; ELSE --sub, add IF opcode(8)='1' AND opcode(7 downto 6)/="11" THEN --eor set_exec_EOR <= '1'; write_back <= '1'; ELSE --cmp set_exec_CMP <= '1'; END IF; IF execOPC='1' THEN IF opcode(8)='1' AND opcode(7 downto 6)/="11" THEN --eor ea_data_OP1 <= '1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; ELSE --cmp source_lowbits <='1'; IF opcode(3)='1' THEN source_areg <= '1'; END IF; IF opcode(7 downto 6)="11" THEN --cmpa dest_areg <='1'; END IF; dest_hbits <= '1'; setaddsub <= '0'; END IF; END IF; END IF; -- 1100 ---------------------------------------------------------------------------- WHEN "1100" => --and, exg IF opcode(7 downto 6)="11" THEN --mulu, muls IF opcode(5 downto 4)="00" THEN --Dn, An regdirectsource <= '1'; END IF; IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul1; END IF; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF execOPC='1' THEN regwrena <= '1'; END IF; IF (micro_state/=idle AND nextpass='1') OR execOPC='1' THEN dest_hbits <= '1'; source_lowbits <='1'; ELSE datatype <= "01"; END IF; ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN --exg, abcd IF opcode(7 downto 6)="00" THEN --abcd use_XZFlag <= '1'; -- datatype <= "00"; --ist schon default set_exec_ADD <= '1'; set_exec_ABCD <= '1'; IF opcode(3)='1' THEN write_back <= '1'; IF decodeOPC='1' THEN set_direct_data <= '1'; setstate <= "10"; set_mem_addsub <= '1'; presub <= '1'; next_micro_state <= op_AxAy; END IF; END IF; IF execOPC='1' THEN ea_data_OP1 <= '1'; dest_hbits <= '1'; source_lowbits <='1'; IF endOPC='1' THEN Regwrena <= '1'; END IF; END IF; ELSE --exg datatype <= "10"; regwrena <= '1'; IF opcode(6)='1' AND opcode(3)='1' THEN dest_areg <= '1'; source_areg <= '1'; END IF; IF decodeOPC='1' THEN set_mem_rega <= '1'; exec_exg <= '1'; ELSE save_memaddr <= '1'; dest_hbits <= '1'; END IF; END IF; ELSE --and set_exec_AND <= '1'; IF opcode(8)='1' THEN write_back <= '1'; END IF; IF decodeOPC='1' THEN ea_build <= '1'; END IF; IF execOPC='1' THEN IF endOPC='1' THEN Regwrena <= '1'; END IF; IF opcode(8)='1' THEN ea_data_OP1 <= '1'; ELSE dest_hbits <= '1'; source_lowbits <='1'; IF opcode(3)='1' THEN source_areg <= '1'; END IF; END IF; END IF; END IF; -- 1110 ---------------------------------------------------------------------------- WHEN "1110" => --rotation set_exec_ROT <= '1'; IF opcode(7 downto 6)="11" THEN datatype <= "01"; rot_bits <= opcode(10 downto 9); ea_data_OP1 <= '1'; write_back <= '1'; ELSE rot_bits <= opcode(4 downto 3); data_is_source <= '1'; END IF; IF decodeOPC='1' THEN IF opcode(7 downto 6)="11" THEN ea_build <= '1'; ELSE IF opcode(5)='1' THEN IF OP2out(5 downto 0)/="000000" THEN set_rot_cnt <= OP2out(5 downto 0); ELSE set_rot_nop <= '1'; END IF; ELSE set_rot_cnt(2 downto 0) <= opcode(11 downto 9); IF opcode(11 downto 9)="000" THEN set_rot_cnt(3) <='1'; ELSE set_rot_cnt(3) <='0'; END IF; END IF; END IF; END IF; IF opcode(7 downto 6)/="11" THEN IF execOPC='1' AND rot_nop='0' THEN Regwrena <= '1'; set_rot_cnt <= rot_cnt-1; END IF; END IF; -- ---------------------------------------------------------------------------- WHEN OTHERS => trap_1111 <= '1'; trapmake <= '1'; END CASE; -- END PROCESS; ----------------------------------------------------------------------------- -- execute microcode ----------------------------------------------------------------------------- --PROCESS (micro_state) -- BEGIN IF Z_error='1' THEN -- divu by zero trapmake <= '1'; --wichtig für USP IF trapd='0' THEN writePC <= '1'; END IF; END IF; IF trapmake='1' AND trapd='0' THEN next_micro_state <= trap1; presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; setstate <= "11"; datatype <= "10"; END IF; IF interrupt='1' THEN next_micro_state <= int1; setstate <= "10"; -- datatype <= "01"; --wirkt sich auf Flags aus END IF; IF reset='0' THEN micro_state <= init1; ELSIF rising_edge(clk) THEN IF clkena='1' THEN trapd <= trapmake; IF fetchOPC='1' THEN micro_state <= idle; ELSE micro_state <= next_micro_state; END IF; END IF; END IF; CASE micro_state IS WHEN ld_nn => -- (nnnn).w/l=> get_ea_now <='1'; setnextpass <= '1'; setaddrlong <= '1'; WHEN st_nn => -- =>(nnnn).w/l setstate <= "11"; setaddrlong <= '1'; next_micro_state <= nop; WHEN ld_dAn1 => -- d(An)=>, --d(PC)=> setstate <= "01"; next_micro_state <= ld_dAn2; WHEN ld_dAn2 => -- d(An)=>, --d(PC)=> get_ea_now <='1'; setdisp <= '1'; --word setnextpass <= '1'; WHEN ld_AnXn1 => -- d(An,Xn)=>, --d(PC,Xn)=> setstate <= "01"; next_micro_state <= ld_AnXn2; WHEN ld_AnXn2 => -- d(An,Xn)=>, --d(PC,Xn)=> setdisp <= '1'; --byte setdispbyte <= '1'; setstate <= "01"; setbriefext <= '1'; next_micro_state <= ld_AnXn3; WHEN ld_AnXn3 => get_ea_now <='1'; setdisp <= '1'; --brief setdispbrief <= '1'; setnextpass <= '1'; WHEN st_dAn1 => -- =>d(An) setstate <= "01"; next_micro_state <= st_dAn2; WHEN st_dAn2 => -- =>d(An) setstate <= "11"; setdisp <= '1'; --word next_micro_state <= nop; WHEN st_AnXn1 => -- =>d(An,Xn) setstate <= "01"; next_micro_state <= st_AnXn2; WHEN st_AnXn2 => -- =>d(An,Xn) setdisp <= '1'; --byte setdispbyte <= '1'; setstate <= "01"; setbriefext <= '1'; next_micro_state <= st_AnXn3; WHEN st_AnXn3 => setstate <= "11"; setdisp <= '1'; --brief setdispbrief <= '1'; next_micro_state <= nop; WHEN bra1 => --bra IF condition='1' THEN TG68_PC_br8 <= '1'; --pc+0000 setstate <= "01"; next_micro_state <= bra2; END IF; WHEN bra2 => --bra TG68_PC_brw <= '1'; WHEN bsr1 => --bsr set_TG68_PC_dec <= '1'; --in 2 Takten -2 setstate <= "01"; next_micro_state <= bsr2; WHEN bsr2 => --bsr IF TG68_PC_dec(0)='1' THEN TG68_PC_brw <= '1'; ELSE TG68_PC_br8 <= '1'; END IF; writePC <= '1'; setstate <= "11"; next_micro_state <= nop; WHEN dbcc1 => --dbcc TG68_PC_nop <= '1'; setstate <= "01"; next_micro_state <= dbcc2; WHEN dbcc2 => --dbcc TG68_PC_brw <= '1'; WHEN movem => --movem set_movem_busy <='1'; setstate <= "10"; WHEN andi => --andi IF opcode(5 downto 4)/="00" THEN ea_build <= '1'; setnextpass <= '1'; END IF; WHEN op_AxAy => -- op -(Ax),-(Ay) presub <= '1'; dest_hbits <= '1'; dest_areg <= '1'; set_mem_addsub <= '1'; setstate <= "10"; WHEN cmpm => -- cmpm (Ay)+,(Ax)+ postadd <= '1'; dest_hbits <= '1'; dest_areg <= '1'; set_mem_rega <= '1'; setstate <= "10"; WHEN link => -- link setstate <="11"; save_memaddr <= '1'; regwrena <= '1'; WHEN int1 => -- interrupt presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; setstate <= "11"; datatype <= "10"; next_micro_state <= int2; WHEN int2 => -- interrupt presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; setstate <= "11"; datatype <= "01"; writeSR <= '1'; next_micro_state <= int3; WHEN int3 => -- interrupt set_vectoraddr <= '1'; datatype <= "10"; set_directPC <= '1'; setstate <= "10"; next_micro_state <= int4; WHEN int4 => -- interrupt datatype <= "10"; WHEN rte => -- RTE datatype <= "10"; setstate <= "10"; postadd <= '1'; setstackaddr <= '1'; set_mem_rega <= '1'; set_directPC <= '1'; next_micro_state <= nop; WHEN trap1 => -- TRAP presub <= '1'; setstackaddr <='1'; set_mem_addsub <= '1'; setstate <= "11"; datatype <= "01"; writeSR <= '1'; next_micro_state <= trap2; WHEN trap2 => -- TRAP set_vectoraddr <= '1'; datatype <= "10"; set_directPC <= '1'; -- longreaddirect <= '1'; setstate <= "10"; next_micro_state <= trap3; WHEN trap3 => -- TRAP datatype <= "10"; WHEN movep1 => -- MOVEP d(An) setstate <= "01"; IF opcode(6)='1' THEN set_movepl <= '1'; END IF; next_micro_state <= movep2; WHEN movep2 => setdisp <= '1'; IF opcode(7)='0' THEN setstate <= "10"; ELSE setstate <= "11"; wait_mem_byte <= '1'; END IF; next_micro_state <= movep3; WHEN movep3 => IF opcode(6)='1' THEN set_movepw <= '1'; next_micro_state <= movep4; END IF; IF opcode(7)='0' THEN setstate <= "10"; ELSE setstate <= "11"; END IF; WHEN movep4 => IF opcode(7)='0' THEN setstate <= "10"; ELSE wait_mem_byte <= '1'; setstate <= "11"; END IF; next_micro_state <= movep5; WHEN movep5 => IF opcode(7)='0' THEN setstate <= "10"; ELSE setstate <= "11"; END IF; WHEN init1 => -- init SP longreaddirect <= '1'; next_micro_state <= init2; WHEN init2 => -- init PC get_ea_now <='1'; --\ ea_only <= '1'; --- OP1in <= memaddr_in setaddrlong <= '1'; -- memaddr_in <= data_read regwrena <= '1'; setstackaddr <='1'; -- dest_addr <= SP set_directPC <= '1'; longreaddirect <= '1'; next_micro_state <= nop; WHEN mul1 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul2; WHEN mul2 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul3; WHEN mul3 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul4; WHEN mul4 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul5; WHEN mul5 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul6; WHEN mul6 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul7; WHEN mul7 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul8; WHEN mul8 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul9; WHEN mul9 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul10; WHEN mul10 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul11; WHEN mul11 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul12; WHEN mul12 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul13; WHEN mul13 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul14; WHEN mul14 => -- mulu set_exec_MULU <= '1'; setstate <="01"; next_micro_state <= mul15; WHEN mul15 => -- mulu set_exec_MULU <= '1'; WHEN div1 => -- divu IF OP2out(15 downto 0)=x"0000" THEN --div zero set_Z_error <= '1'; ELSE set_exec_DIVU <= '1'; next_micro_state <= div2; END IF; setstate <="01"; WHEN div2 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div3; WHEN div3 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div4; WHEN div4 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div5; WHEN div5 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div6; WHEN div6 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div7; WHEN div7 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div8; WHEN div8 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div9; WHEN div9 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div10; WHEN div10 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div11; WHEN div11 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div12; WHEN div12 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div13; WHEN div13 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div14; WHEN div14 => -- divu set_exec_DIVU <= '1'; setstate <="01"; next_micro_state <= div15; WHEN div15 => -- divu set_exec_DIVU <= '1'; WHEN OTHERS => null; END CASE; END PROCESS; ----------------------------------------------------------------------------- -- Conditions ----------------------------------------------------------------------------- PROCESS (opcode, Flags) BEGIN CASE opcode(11 downto 8) IS WHEN X"0" => condition <= '1'; WHEN X"1" => condition <= '0'; WHEN X"2" => condition <= NOT Flags(0) AND NOT Flags(2); WHEN X"3" => condition <= Flags(0) OR Flags(2); WHEN X"4" => condition <= NOT Flags(0); WHEN X"5" => condition <= Flags(0); WHEN X"6" => condition <= NOT Flags(2); WHEN X"7" => condition <= Flags(2); WHEN X"8" => condition <= NOT Flags(1); WHEN X"9" => condition <= Flags(1); WHEN X"a" => condition <= NOT Flags(3); WHEN X"b" => condition <= Flags(3); WHEN X"c" => condition <= (Flags(3) AND Flags(1)) OR (NOT Flags(3) AND NOT Flags(1)); WHEN X"d" => condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)); WHEN X"e" => condition <= (Flags(3) AND Flags(1) AND NOT Flags(2)) OR (NOT Flags(3) AND NOT Flags(1) AND NOT Flags(2)); WHEN X"f" => condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)) OR Flags(2); WHEN OTHERS => null; END CASE; END PROCESS; ----------------------------------------------------------------------------- -- Bits ----------------------------------------------------------------------------- PROCESS (opcode, OP1out, OP2out, one_bit_in, one_bit_out, bit_Number, bit_number_reg) BEGIN CASE opcode(7 downto 6) IS WHEN "00" => --btst one_bit_out <= one_bit_in; WHEN "01" => --bchg one_bit_out <= NOT one_bit_in; WHEN "10" => --bclr one_bit_out <= '0'; WHEN "11" => --bset one_bit_out <= '1'; WHEN OTHERS => null; END CASE; IF opcode(8)='0' THEN IF opcode(5 downto 4)="00" THEN bit_number <= bit_number_reg(4 downto 0); ELSE bit_number <= "00"&bit_number_reg(2 downto 0); END IF; ELSE IF opcode(5 downto 4)="00" THEN bit_number <= OP2out(4 downto 0); ELSE bit_number <= "00"&OP2out(2 downto 0); END IF; END IF; bits_out <= OP1out; CASE bit_Number IS WHEN "00000" => one_bit_in <= OP1out(0); bits_out(0) <= one_bit_out; WHEN "00001" => one_bit_in <= OP1out(1); bits_out(1) <= one_bit_out; WHEN "00010" => one_bit_in <= OP1out(2); bits_out(2) <= one_bit_out; WHEN "00011" => one_bit_in <= OP1out(3); bits_out(3) <= one_bit_out; WHEN "00100" => one_bit_in <= OP1out(4); bits_out(4) <= one_bit_out; WHEN "00101" => one_bit_in <= OP1out(5); bits_out(5) <= one_bit_out; WHEN "00110" => one_bit_in <= OP1out(6); bits_out(6) <= one_bit_out; WHEN "00111" => one_bit_in <= OP1out(7); bits_out(7) <= one_bit_out; WHEN "01000" => one_bit_in <= OP1out(8); bits_out(8) <= one_bit_out; WHEN "01001" => one_bit_in <= OP1out(9); bits_out(9) <= one_bit_out; WHEN "01010" => one_bit_in <= OP1out(10); bits_out(10) <= one_bit_out; WHEN "01011" => one_bit_in <= OP1out(11); bits_out(11) <= one_bit_out; WHEN "01100" => one_bit_in <= OP1out(12); bits_out(12) <= one_bit_out; WHEN "01101" => one_bit_in <= OP1out(13); bits_out(13) <= one_bit_out; WHEN "01110" => one_bit_in <= OP1out(14); bits_out(14) <= one_bit_out; WHEN "01111" => one_bit_in <= OP1out(15); bits_out(15) <= one_bit_out; WHEN "10000" => one_bit_in <= OP1out(16); bits_out(16) <= one_bit_out; WHEN "10001" => one_bit_in <= OP1out(17); bits_out(17) <= one_bit_out; WHEN "10010" => one_bit_in <= OP1out(18); bits_out(18) <= one_bit_out; WHEN "10011" => one_bit_in <= OP1out(19); bits_out(19) <= one_bit_out; WHEN "10100" => one_bit_in <= OP1out(20); bits_out(20) <= one_bit_out; WHEN "10101" => one_bit_in <= OP1out(21); bits_out(21) <= one_bit_out; WHEN "10110" => one_bit_in <= OP1out(22); bits_out(22) <= one_bit_out; WHEN "10111" => one_bit_in <= OP1out(23); bits_out(23) <= one_bit_out; WHEN "11000" => one_bit_in <= OP1out(24); bits_out(24) <= one_bit_out; WHEN "11001" => one_bit_in <= OP1out(25); bits_out(25) <= one_bit_out; WHEN "11010" => one_bit_in <= OP1out(26); bits_out(26) <= one_bit_out; WHEN "11011" => one_bit_in <= OP1out(27); bits_out(27) <= one_bit_out; WHEN "11100" => one_bit_in <= OP1out(28); bits_out(28) <= one_bit_out; WHEN "11101" => one_bit_in <= OP1out(29); bits_out(29) <= one_bit_out; WHEN "11110" => one_bit_in <= OP1out(30); bits_out(30) <= one_bit_out; WHEN "11111" => one_bit_in <= OP1out(31); bits_out(31) <= one_bit_out; WHEN OTHERS => null; END CASE; END PROCESS; ----------------------------------------------------------------------------- -- Rotation ----------------------------------------------------------------------------- PROCESS (opcode, OP1out, Flags, rot_bits, rot_msb, rot_lsb, rot_rot, rot_nop) BEGIN CASE opcode(7 downto 6) IS WHEN "00" => --Byte rot_rot <= OP1out(7); WHEN "01"|"11" => --Word rot_rot <= OP1out(15); WHEN "10" => --Long rot_rot <= OP1out(31); WHEN OTHERS => null; END CASE; CASE rot_bits IS WHEN "00" => --ASL, ASR rot_lsb <= '0'; rot_msb <= rot_rot; WHEN "01" => --LSL, LSR rot_lsb <= '0'; rot_msb <= '0'; WHEN "10" => --ROXL, ROXR rot_lsb <= Flags(4); rot_msb <= Flags(4); WHEN "11" => --ROL, ROR rot_lsb <= rot_rot; rot_msb <= OP1out(0); WHEN OTHERS => null; END CASE; IF rot_nop='1' THEN rot_out <= OP1out; rot_XC <= Flags(0); ELSE IF opcode(8)='1' THEN --left rot_out <= OP1out(30 downto 0)&rot_lsb; rot_XC <= rot_rot; ELSE --right rot_XC <= OP1out(0); rot_out <= rot_msb&OP1out(31 downto 1); CASE opcode(7 downto 6) IS WHEN "00" => --Byte rot_out(7) <= rot_msb; WHEN "01"|"11" => --Word rot_out(15) <= rot_msb; WHEN OTHERS => END CASE; END IF; END IF; END PROCESS; ----------------------------------------------------------------------------- -- MULU/MULS ----------------------------------------------------------------------------- PROCESS (clk, opcode, OP2out, muls_msb, mulu_reg, OP1sign, sign2) BEGIN IF rising_edge(clk) THEN IF clkena='1' THEN IF decodeOPC='1' THEN IF opcode(8)='1' AND reg_QB(15)='1' THEN --MULS Neg faktor OP1sign <= '1'; mulu_reg <= "0000000000000000"&(0-reg_QB(15 downto 0)); ELSE OP1sign <= '0'; mulu_reg <= "0000000000000000"&reg_QB(15 downto 0); END IF; ELSIF exec_MULU='1' THEN mulu_reg <= dummy_mulu; END IF; END IF; END IF; IF (opcode(8)='1' AND OP2out(15)='1') OR OP1sign='1' THEN muls_msb <= mulu_reg(31); ELSE muls_msb <= '0'; END IF; IF opcode(8)='1' AND OP2out(15)='1' THEN sign2 <= '1'; ELSE sign2 <= '0'; END IF; IF mulu_reg(0)='1' THEN IF OP1sign='1' THEN dummy_mulu <= (muls_msb&mulu_reg(31 downto 16))-(sign2&OP2out(15 downto 0))& mulu_reg(15 downto 1); ELSE dummy_mulu <= (muls_msb&mulu_reg(31 downto 16))+(sign2&OP2out(15 downto 0))& mulu_reg(15 downto 1); END IF; ELSE dummy_mulu <= muls_msb&mulu_reg(31 downto 1); END IF; END PROCESS; ----------------------------------------------------------------------------- -- DIVU ----------------------------------------------------------------------------- PROCESS (clk, execOPC, opcode, OP1out, OP2out, div_reg, dummy_div_sub, div_quot, div_sign, dummy_div_over, dummy_div) BEGIN set_V_Flag <= '0'; IF rising_edge(clk) THEN IF clkena='1' THEN IF decodeOPC='1' THEN IF opcode(8)='1' AND reg_QB(31)='1' THEN -- Neg divisor div_sign <= '1'; div_reg <= 0-reg_QB; ELSE div_sign <= '0'; div_reg <= reg_QB; END IF; ELSIF exec_DIVU='1' THEN div_reg <= div_quot; END IF; END IF; END IF; dummy_div_over <= ('0'&OP1out(31 downto 16))-('0'&OP2out(15 downto 0)); IF opcode(8)='1' AND OP2out(15) ='1' THEN dummy_div_sub <= (div_reg(31 downto 15))+('1'&OP2out(15 downto 0)); ELSE dummy_div_sub <= (div_reg(31 downto 15))-('0'&OP2out(15 downto 0)); END IF; IF (dummy_div_sub(16))='1' THEN div_quot(31 downto 16) <= div_reg(30 downto 15); ELSE div_quot(31 downto 16) <= dummy_div_sub(15 downto 0); END IF; div_quot(15 downto 0) <= div_reg(14 downto 0)&NOT dummy_div_sub(16); IF execOPC='1' AND opcode(8)='1' AND (OP2out(15) XOR div_sign)='1' THEN dummy_div(15 downto 0) <= 0-div_quot(15 downto 0); ELSE dummy_div(15 downto 0) <= div_quot(15 downto 0); END IF; IF div_sign='1' THEN dummy_div(31 downto 16) <= 0-div_quot(31 downto 16); ELSE dummy_div(31 downto 16) <= div_quot(31 downto 16); END IF; IF (opcode(8)='1' AND (OP2out(15) XOR div_sign XOR dummy_div(15))='1' AND dummy_div(15 downto 0)/=X"0000") --Overflow DIVS OR (opcode(8)='0' AND dummy_div_over(16)='0') THEN --Overflow DIVU set_V_Flag <= '1'; END IF; END PROCESS; ----------------------------------------------------------------------------- -- Movem ----------------------------------------------------------------------------- PROCESS (reset, clk, movem_mask, movem_muxa ,movem_muxb, movem_muxc) BEGIN IF movem_mask(7 downto 0)="00000000" THEN movem_muxa <= movem_mask(15 downto 8); movem_regaddr(3) <= '1'; ELSE movem_muxa <= movem_mask(7 downto 0); movem_regaddr(3) <= '0'; END IF; IF movem_muxa(3 downto 0)="0000" THEN movem_muxb <= movem_muxa(7 downto 4); movem_regaddr(2) <= '1'; ELSE movem_muxb <= movem_muxa(3 downto 0); movem_regaddr(2) <= '0'; END IF; IF movem_muxb(1 downto 0)="00" THEN movem_muxc <= movem_muxb(3 downto 2); movem_regaddr(1) <= '1'; ELSE movem_muxc <= movem_muxb(1 downto 0); movem_regaddr(1) <= '0'; END IF; IF movem_muxc(0)='0' THEN movem_regaddr(0) <= '1'; ELSE movem_regaddr(0) <= '0'; END IF; movem_bits <= ("0000"&movem_mask(0))+("0000"&movem_mask(1))+("0000"&movem_mask(2))+("0000"&movem_mask(3))+ ("0000"&movem_mask(4))+("0000"&movem_mask(5))+("0000"&movem_mask(6))+("0000"&movem_mask(7))+ ("0000"&movem_mask(8))+("0000"&movem_mask(9))+("0000"&movem_mask(10))+("0000"&movem_mask(11))+ ("0000"&movem_mask(12))+("0000"&movem_mask(13))+("0000"&movem_mask(14))+("0000"&movem_mask(15)); IF reset = '0' THEN movem_busy <= '0'; movem_addr <= '0'; maskzero <= '0'; ELSIF rising_edge(clk) THEN IF clkena_in='1' AND get_movem_mask='1' AND enaWRreg='1' THEN movem_mask <= data_read(15 downto 0); END IF; IF clkena_in='1' AND test_maskzero='1' AND enaWRreg='1' THEN IF movem_mask=X"0000" THEN maskzero <= '1'; END IF; END IF; IF clkena_in='1' AND endOPC='1' AND enaWRreg='1' THEN maskzero <= '0'; END IF; IF clkena='1' THEN IF set_movem_busy='1' THEN IF movem_bits(4 downto 1) /= "0000" OR opcode(10)='0' THEN movem_busy <= '1'; END IF; movem_addr <= '1'; END IF; IF movem_addr='1' THEN CASE movem_regaddr IS WHEN "0000" => movem_mask(0) <= '0'; WHEN "0001" => movem_mask(1) <= '0'; WHEN "0010" => movem_mask(2) <= '0'; WHEN "0011" => movem_mask(3) <= '0'; WHEN "0100" => movem_mask(4) <= '0'; WHEN "0101" => movem_mask(5) <= '0'; WHEN "0110" => movem_mask(6) <= '0'; WHEN "0111" => movem_mask(7) <= '0'; WHEN "1000" => movem_mask(8) <= '0'; WHEN "1001" => movem_mask(9) <= '0'; WHEN "1010" => movem_mask(10) <= '0'; WHEN "1011" => movem_mask(11) <= '0'; WHEN "1100" => movem_mask(12) <= '0'; WHEN "1101" => movem_mask(13) <= '0'; WHEN "1110" => movem_mask(14) <= '0'; WHEN "1111" => movem_mask(15) <= '0'; WHEN OTHERS => null; END CASE; IF opcode(10)='1' THEN IF movem_bits="00010" OR movem_bits="00001" OR movem_bits="00000" THEN movem_busy <= '0'; END IF; END IF; IF movem_bits="00001" OR movem_bits="00000" THEN movem_busy <= '0'; movem_addr <= '0'; END IF; END IF; END IF; END IF; END PROCESS; END;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4400/SCDataMANAGER.vhd
4
5210
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 07/13/2014 --! Module Name: SCDataMANAGER - Sub-Chunk Data Manager --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library IEEE,work; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; --! sub-chunk data manager, --! inserts sub-chunk trailer at the end of the chunk/block entity SCDataMANAGER is Port ( CLK : in std_logic; rst : in std_logic; xoff : in std_logic; maxCLEN : in std_logic_vector (2 downto 0); -- (15 downto 0); rstCLENcount : in std_logic; truncateCdata : out std_logic; -- maximum allowed chunk length is reached of xoff received - truncation mark ------------- trailerMOD : in std_logic_vector (1 downto 0); -- keeps its value till the next DIN_RDY_s trailerTYPE : in std_logic_vector (2 downto 0); -- keeps its value till the next DIN_RDY_s trailerRSRVbit : in std_logic; -- ------------- trailerSENDtrig : in std_logic; dataCNTena : in std_logic; -- counts only data (or 'flush' padding), no header, no trailer ------------- trailerOUT : out std_logic_vector (15 downto 0); trailerOUTrdy : out std_logic ); end SCDataMANAGER; architecture Behavioral of SCDataMANAGER is ---- signal truncate_state, sc_counter_rst, first_byte_count_rst : std_logic := '0'; signal truncate_data_flag, rst_fall, rstCLENcount_s, trailerSENDtrig_next_clk : std_logic; signal sc_data_count : std_logic_vector(9 downto 0) := (others => '0'); signal schunk_length : std_logic_vector(9 downto 0); signal chunk_data_count : std_logic_vector(11 downto 0); signal trailer_s : std_logic_vector(15 downto 0); constant zero_data_trailer : std_logic_vector(15 downto 0) := "0000000000000000"; -- "000"=null chunk, "00"=no truncation & no cerr, '0', 10 bit length is zero; ---- begin rst_fall_pulse: entity work.pulse_fall_pw01 PORT MAP(CLK, rst, rst_fall); ----------------------------------------------------------------- -- chunk data counter, -- counts to MAX_COUNT then rises MAX_REACHED -- used for chunk data truncation ----------------------------------------------------------------- rstCLENcount_s <= rstCLENcount or rst_fall; -- CD_COUNTER_inst: entity work.CD_COUNTER PORT MAP( CLK => CLK, RESET => rstCLENcount_s, xoff => xoff, COUNT_ENA => dataCNTena, MAX_COUNT => maxCLEN, count_out => chunk_data_count, -- the whole chunk data counter, used for data truncation truncate_data => truncate_data_flag ); -- truncate_state_latch: process(rstCLENcount, CLK) begin if rstCLENcount = '1' then truncate_state <= '0'; elsif CLK'event and CLK = '1' then if truncate_data_flag = '1' and trailerSENDtrig = '1' then -- first trigger goes through truncate_state <= '1'; end if; end if; end process; -- truncateCdata <= truncate_data_flag; -- ----------------------------------------------------------------- -- trailer: in case of zero data (last word of a block is left) ----------------------------------------------------------------- zero_data_case: entity work.pulse_pdxx_pwxx generic map(pd=>1,pw=>2) PORT MAP(CLK, trailerSENDtrig, trailerSENDtrig_next_clk); --process(CLK) --begin -- if CLK'event and CLK = '1' then -- trailerSENDtrig_next_clk <= trailerSENDtrig; -- end if; --end process; -- ----------------------------------------------------------------- -- Sub-Chunk Trailer bits ----------------------------------------------------------------- schunk_length <= sc_data_count; -- chunk_data_count(9 downto 0); -- trailer_s <= trailerTYPE & trailerMOD & trailerRSRVbit & schunk_length; -- process(trailerSENDtrig_next_clk, trailer_s) begin if trailerSENDtrig_next_clk = '1' then trailerOUT <= zero_data_trailer; -- in case the only a space for a single 16-bit word is left, null-chunk is sent (ignored by software) else trailerOUT <= trailer_s; end if; end process; -- trailerOUTrdy <= trailerSENDtrig and (not truncate_state); -- same clock! ----------------------------------------------------------------- -- sub-chunk data counter ----------------------------------------------------------------- sc_counter_rst <= rst_fall or rstCLENcount; -- sub_chunk_counter: process(CLK) begin if CLK'event and CLK = '1' then if sc_counter_rst = '1' or (dataCNTena = '0' and trailerSENDtrig = '1') then sc_data_count <= (others => '0'); else if dataCNTena = '1' then --and first_byte_count_rst = '0' then if trailerSENDtrig = '1' then sc_data_count <= "0000000001"; else sc_data_count <= sc_data_count + 1; end if; end if; end if; end if; end process; -- end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/MMFE8_1VMM/sources_1/readout/FIFO2UDP.vhd
1
9705
---------------------------------------------------------------------------------- -- Company: NTU ATHNENS - BNL -- Engineer: Panagiotis Gkountoumis -- -- Create Date: 18.04.2016 13:00:21 -- Design Name: -- Module Name: config_logic - Behavioral -- Project Name: MMFE8 -- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity FIFO2UDP is Port ( clk_200 : in std_logic; clk_125 : in std_logic; daq_data_in : in std_logic_vector(63 downto 0); fifo_data_out : out std_logic_vector (7 downto 0); udp_txi : out udp_tx_type; udp_tx_start : out std_logic; re_out : out std_logic; control : out std_logic; udp_tx_data_out_ready : in std_logic; wr_en : in std_logic; end_packet : in std_logic; global_reset : in std_logic; packet_length_in : in integer; reset_DAQ_FIFO : in std_logic; sending_o : out std_logic ); end FIFO2UDP; architecture Behavioral of FIFO2UDP is signal count : integer := 0; signal i : integer := 0; signal count_length : integer := 0; signal packet_length_int : integer := 0; signal daq_fifo_re : std_logic := '0'; signal fifo_empty : std_logic := '0'; signal prog_fifo_empty : std_logic := '0'; signal daq_out : std_logic_vector(255 downto 0); signal data_out : std_logic_vector(7 downto 0) := x"00"; signal data_out_valid : std_logic := '0'; signal packet_length : integer := 0; signal daq_data_in_int : std_logic_vector(63 downto 0); signal data_out_last : std_logic := '0'; signal sending : std_logic := '0'; signal end_packet_synced : std_logic := '0'; signal udp_tx_start_int : std_logic := '0'; signal wr_en_int : std_logic := '0'; signal is_trailer : integer := 0; signal temp_buffer : std_logic_vector(63 downto 0) := (others=> '0'); signal daq_data_out : std_logic_vector(7 downto 0) := x"00"; type tx_state is (HEADER, EN_RE, WAIT_ONE, DATA, TRAILER, LAST, IDLE); signal state : tx_state; component readout_fifo is port( rst : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(63 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(7 downto 0); full : out std_logic; empty : out std_logic; prog_empty : out std_logic ); end component; begin daq_FIFO_instance: readout_fifo port map( rst => reset_DAQ_FIFO, wr_clk => clk_200, rd_clk => clk_125, din => daq_data_in, wr_en => wr_en, rd_en => daq_fifo_re, dout => daq_data_out, full => open, empty => fifo_empty, prog_empty => prog_fifo_empty ); synced_end_packet: process (clk_125) begin if clk_125'event and clk_125 = '1' then end_packet_synced <= end_packet; end if; end process; process (clk_125, count, state, udp_tx_data_out_ready, fifo_empty, prog_fifo_empty, data_out_valid, end_packet_synced) begin if clk_125'event and clk_125 = '1' then if global_reset = '1' then sending <= '0'; data_out_last <= '0'; data_out_valid <= '0'; udp_tx_start_int <= '0'; elsif end_packet_synced = '1' and sending = '0' then packet_length <= (packet_length_in * 8) + 4; count_length <= packet_length_in * 8; state <= HEADER; sending <= '1'; else end if; if sending = '1' then if count = 0 then count <= count + 1; data_out_last <= '0'; data_out_valid <= '0'; data_out <= (others => '0'); udp_tx_start_int <= '0'; elsif count = 1 then udp_tx_start_int <= '1'; udp_txi.hdr.dst_ip_addr <= x"c0a80010"; -- set a generic ip adrress (192.168.0.255) udp_txi.hdr.src_port <= x"19CB"; -- set src and dst ports udp_txi.hdr.dst_port <= x"1778"; -- x"6af0"; udp_txi.hdr.data_length <= std_logic_vector(to_unsigned(packet_length, udp_txi.hdr.data_length'length)); daq_fifo_re <= '0'; udp_txi.hdr.checksum <= x"0000"; count <= count + 1; elsif count = 2 then if udp_tx_data_out_ready = '1' then udp_tx_start_int <= '0'; daq_fifo_re <= '1'; count <= count + 1; else end if; elsif count = 3 then if udp_tx_data_out_ready = '1' then count_length <= count_length - 1; udp_tx_start_int <= '0'; count <= count + 1; data_out <= daq_data_out; end if; elsif count = 4 then if udp_tx_data_out_ready = '1' then if count_length = 1 then daq_fifo_re <= '0'; elsif count_length = 0 then count <= count + 1; daq_fifo_re <= '0'; end if; count_length <= count_length - 1; udp_tx_start_int <= '0'; data_out_valid <= '1'; control <= '0'; data_out_last <= '0'; data_out <= daq_data_out; else daq_fifo_re <= '0'; end if; elsif count >= 5 and count <= 7 then if udp_tx_data_out_ready = '1' then daq_fifo_re <= '0'; udp_tx_start_int <= '0'; data_out_last <= '0'; data_out <= x"ff"; count <= count + 1; end if; elsif count = 8 then if udp_tx_data_out_ready = '1' then daq_fifo_re <= '0'; udp_tx_start_int <= '0'; data_out_last <= '1'; data_out <= x"ff"; count <= count + 1; end if; elsif count = 9 then count <= count + 1; data_out_last <= '0'; data_out_valid <= '0'; data_out <= (others => '0'); udp_tx_start_int <= '0'; else count <= 0; count_length <= 0; data_out_last <= '0'; data_out_valid <= '0'; udp_tx_start_int <= '0'; sending <= '0'; end if; end if; end if; end process; udp_tx_start <= udp_tx_start_int; udp_txi.data.data_out_last <= data_out_last; udp_txi.data.data_out_valid <= data_out_valid ; udp_txi.data.data_out <= data_out; packet_length_int <= packet_length_in; daq_data_in_int <= daq_data_in; wr_en_int <= wr_en; sending_o <= sending; end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/configuration/fpga_config_block.vhd
1
24485
---------------------------------------------------------------------------------- -- Company: NTU Athens - BNL -- Engineer: Christos Bakalis ([email protected]) -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Christos Bakalis -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 30.01.2017 -- Design Name: FPGA Configuration Block -- Module Name: fpga_config_block - RTL -- Project Name: MMFE8 - NTUA -- Target Devices: Artix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: Module that samples the data coming from the UDP/Ethernet -- to produce various control signals for the FPGA user logic. It controls -- the configuration of the XADC/AXI4SPI_FLASH modules and more general -- FPGA commands. -- Dependencies: MMFE8 NTUA Project -- -- Changelog: -- 07.03.2017 Changed FPGA_conf_proc to accomodate CKBC/CKTP configuration -- and future register address configuration scheme. (Christos Bakalis) -- 14.03.2017 Register address configuration scheme deployed. (Christos Bakalis) -- 17.03.2017 Added synchronizers for daq and trigger signals. (Christos Bakalis) -- 31.03.2017 Added 2 ckbc mode register (Paris) -- 05.08.2017 Added fpga_config_router and fpga_config_buffer to optimize the -- FPGA configuration scheme. (Christos Bakalis) -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity fpga_config_block is port( ------------------------------------ ------- General Interface ---------- clk_125 : in std_logic; rst : in std_logic; rst_fifo_init : in std_logic; cnt_bytes : in unsigned(7 downto 0); user_din_udp : in std_logic_vector(7 downto 0); ------------------------------------ -------- UDP Interface ------------- udp_rx : in udp_rx_type; ------------------------------------ ---------- XADC Interface ---------- xadc_conf : in std_logic; xadcPacket_rdy : out std_logic; vmm_id_xadc : out std_logic_vector(15 downto 0); xadc_sample_size : out std_logic_vector(10 downto 0); xadc_delay : out std_logic_vector(17 downto 0); ------------------------------------ ---------- AXI4SPI Interface ------- flash_conf : in std_logic; flashPacket_rdy : out std_logic; myIP_set : out std_logic_vector(31 downto 0); myMAC_set : out std_logic_vector(47 downto 0); destIP_set : out std_logic_vector(31 downto 0); ------------------------------------ -------- CKTP/CKBC Interface ------- ckbc_freq : out std_logic_vector(7 downto 0); cktk_max_num : out std_logic_vector(7 downto 0); cktp_max_num : out std_logic_vector(15 downto 0); cktp_skew : out std_logic_vector(7 downto 0); cktp_period : out std_logic_vector(15 downto 0); cktp_width : out std_logic_vector(7 downto 0); ckbc_max_num : out std_logic_vector(7 downto 0); ------------------------------------ -------- FPGA Config Interface ----- fpga_conf : in std_logic; fpga_rst : out std_logic; fpgaPacket_rdy : out std_logic; latency : out std_logic_vector(15 downto 0); tr_delay_limit : out std_logic_vector(15 downto 0); daq_on : out std_logic; ext_trigger : out std_logic; ckbcMode : out std_logic ); end fpga_config_block; architecture RTL of fpga_config_block is component fpga_reg_buffer port ( rst : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(31 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(31 downto 0); full : out std_logic; empty : out std_logic; wr_rst_busy : out std_logic; rd_rst_busy : out std_logic ); end component; component fpga_config_router port( ------------------------------------ ------ General Interface ----------- clk_125 : in std_logic; reg_addr : in std_logic_vector(7 downto 0); reg_rst : in std_logic; reg_value_bit : in std_logic; sreg_ena : in std_logic; ------------------------------------ ---------- XADC Interface ---------- vmm_id_xadc : out std_logic_vector(15 downto 0); xadc_sample_size : out std_logic_vector(10 downto 0); xadc_delay : out std_logic_vector(17 downto 0); ------------------------------------ ---------- AXI4SPI Interface ------- myIP_set : out std_logic_vector(31 downto 0); myMAC_set : out std_logic_vector(47 downto 0); destIP_set : out std_logic_vector(31 downto 0); ------------------------------------ -------- CKTP/CKBC Interface ------- ckbc_freq : out std_logic_vector(7 downto 0); cktk_max_num : out std_logic_vector(7 downto 0); cktp_max_num : out std_logic_vector(15 downto 0); cktp_skew : out std_logic_vector(7 downto 0); cktp_period : out std_logic_vector(15 downto 0); cktp_width : out std_logic_vector(7 downto 0); ------------------------------------ -------- FPGA Config Interface ----- latency : out std_logic_vector(15 downto 0); tr_delay_limit : out std_logic_vector(15 downto 0); ckbc_max_num : out std_logic_vector(7 downto 0); daq_state : out std_logic_vector(7 downto 0); trig_state : out std_logic_vector(7 downto 0); ro_state : out std_logic_vector(7 downto 0); fpga_rst_state : out std_logic_vector(7 downto 0) ); end component; -- register the address/value and valid signal from UDP packet signal reg_address : std_logic_vector(7 downto 0) := (others => '0'); signal reg_value : std_logic_vector(31 downto 0) := (others => '0'); signal din_valid : std_logic := '0'; signal din_last : std_logic := '0'; -- FPGA reset signals signal reg_rst : std_logic := '0'; signal rst_cnt : integer range 0 to 63 := 0; -- other signal fpgaPacket_rdy_i : std_logic := '0'; -- FSM, demux signals signal wait_cnt : unsigned(4 downto 0) := (others => '0'); signal reg_index : integer range 0 to 31 := 31; signal reg_value_bit : std_logic := '0'; signal sreg_en : std_logic := '0'; signal read_buffers : std_logic := '0'; type stateType is (ST_IDLE, ST_CHK, ST_WAIT, ST_WRH_SREG, ST_WRL_SREG, ST_DONE); signal state : stateType := ST_IDLE; attribute FSM_ENCODING : string; attribute FSM_ENCODING of state : signal is "ONE_HOT"; -- signals for the FIFOs signal wr_en : std_logic := '0'; signal rd_en : std_logic := '0'; signal rst_fifo : std_logic := '0'; signal din_regAddr : std_logic_vector(31 downto 0) := (others => '0'); signal dout_regAaddr : std_logic_vector(31 downto 0) := (others => '0'); signal dout_regValue : std_logic_vector(31 downto 0) := (others => '0'); signal addr_buffer_full : std_logic := '0'; signal addr_buffer_empty: std_logic := '0'; signal val_buffer_full : std_logic := '0'; signal val_buffer_empty : std_logic := '0'; signal addr_rdRst_busy : std_logic := '0'; signal addr_wrRst_busy : std_logic := '0'; signal val_rdRst_busy : std_logic := '0'; signal val_wrRst_busy : std_logic := '0'; -- internal registers and synchronizer signals signal daq_state_reg : std_logic_vector(7 downto 0) := (others => '0'); signal trig_state_reg : std_logic_vector(7 downto 0) := (others => '0'); signal ro_state_reg : std_logic_vector(7 downto 0) := (others => '0'); signal fpga_rst_reg : std_logic_vector(7 downto 0) := (others => '0'); signal daq_on_i : std_logic := '0'; signal daq_on_sync : std_logic := '0'; signal ext_trg_i : std_logic := '0'; signal ext_trg_sync : std_logic := '0'; signal fpga_rst_i : std_logic := '0'; signal ckbcMode_i : std_logic := '0'; signal ckbcMode_sync : std_logic := '0'; -- async_regs attribute ASYNC_REG : string; attribute ASYNC_REG of daq_on : signal is "true"; attribute ASYNC_REG of daq_on_sync : signal is "true"; attribute ASYNC_REG of ext_trigger : signal is "true"; attribute ASYNC_REG of ext_trg_sync : signal is "true"; attribute ASYNC_REG of ckbcMode : signal is "true"; attribute ASYNC_REG of ckbcMode_sync : signal is "true"; begin -- register the valid signal reg_valid_proc: process(clk_125) begin if(rising_edge(clk_125))then din_valid <= udp_rx.data.data_in_valid; din_last <= udp_rx.data.data_in_last; end if; end process; -- sub-process that samples register addresses and values for FPGA/xADC/Flash-IP configuration FPGA_conf_proc: process(clk_125) begin if(rising_edge(clk_125))then if(rst = '1')then reg_address <= (others => '0'); reg_value <= (others => '0'); else if((fpga_conf = '1' or flash_conf = '1' or xadc_conf = '1') and din_valid = '1')then case cnt_bytes is ---------------------------- --- register addresses ----- when "00001100" => -- 12 reg_address <= user_din_udp; when "00010100" => -- 20 reg_address <= user_din_udp; when "00011100" => -- 28 reg_address <= user_din_udp; when "00100100" => -- 36 reg_address <= user_din_udp; when "00101100" => -- 44 reg_address <= user_din_udp; when "00110100" => -- 52 reg_address <= user_din_udp; when "00111100" => -- 60 reg_address <= user_din_udp; ---------------------------- --- register values -------- when "00001101" => -- 13 reg_value(31 downto 24) <= user_din_udp; when "00001110" => -- 14 reg_value(23 downto 16) <= user_din_udp; when "00001111" => -- 15 reg_value(15 downto 8) <= user_din_udp; when "00010000" => -- 16 reg_value(7 downto 0) <= user_din_udp; ---------------------------- when "00010101" => -- 21 reg_value(31 downto 24) <= user_din_udp; when "00010110" => -- 22 reg_value(23 downto 16) <= user_din_udp; when "00010111" => -- 23 reg_value(15 downto 8) <= user_din_udp; when "00011000" => -- 24 reg_value(7 downto 0) <= user_din_udp; ---------------------------- when "00011101" => -- 29 reg_value(31 downto 24) <= user_din_udp; when "00011110" => -- 30 reg_value(23 downto 16) <= user_din_udp; when "00011111" => -- 31 reg_value(15 downto 8) <= user_din_udp; when "00100000" => -- 32 reg_value(7 downto 0) <= user_din_udp; ---------------------------- when "00100101" => -- 37 reg_value(31 downto 24) <= user_din_udp; when "00100110" => -- 38 reg_value(23 downto 16) <= user_din_udp; when "00100111" => -- 39 reg_value(15 downto 8) <= user_din_udp; when "00101000" => -- 40 reg_value(7 downto 0) <= user_din_udp; ---------------------------- when "00101101" => -- 45 reg_value(31 downto 24) <= user_din_udp; when "00101110" => -- 46 reg_value(23 downto 16) <= user_din_udp; when "00101111" => -- 47 reg_value(15 downto 8) <= user_din_udp; when "00110000" => -- 48 reg_value(7 downto 0) <= user_din_udp; ---------------------------- when "00110101" => -- 53 reg_value(31 downto 24) <= user_din_udp; when "00110110" => -- 54 reg_value(23 downto 16) <= user_din_udp; when "00110111" => -- 55 reg_value(15 downto 8) <= user_din_udp; when "00111000" => -- 56 reg_value(7 downto 0) <= user_din_udp; ---------------------------- when "00111101" => -- 61 reg_value(31 downto 24) <= user_din_udp; when "00111110" => -- 62 reg_value(23 downto 16) <= user_din_udp; when "00111111" => -- 63 reg_value(15 downto 8) <= user_din_udp; when "01000000" => -- 64 reg_value(7 downto 0) <= user_din_udp; ---------------------------- when "01000100" => -- 68 read_buffers <= '1'; when others => null; end case; elsif((fpga_conf = '1' or flash_conf = '1' or xadc_conf = '1') and din_valid = '0')then read_buffers <= '1'; else read_buffers <= '0'; end if; end if; end if; end process; -- process that controls the write-enable signal wr_ena_proc: process(clk_125) begin if(rising_edge(clk_125))then if((fpga_conf = '1' or flash_conf = '1' or xadc_conf = '1') and din_valid = '1' and din_last = '0')then case cnt_bytes is -- 18 26 34 42 50 58 66 when "00010010" | "00011010" | "00100010" | "00101010" | "00110010" | "00111010" | "01000010" => wr_en <= '1'; -- 19 27 35 43 51 59 67 when "00010011" | "00011011" | "00100011" | "00101011" | "00110011" | "00111011" | "01000011" => wr_en <= '0'; when others => wr_en <= '0'; end case; elsif((fpga_conf = '1' or flash_conf = '1' or xadc_conf = '1') and din_valid = '1' and din_last = '1')then wr_en <= '1'; else wr_en <= '0'; end if; end if; end process; -- FSM that reads the two FIFOs and fills the shift registers FSM_FPGA_conf: process(clk_125) begin if(rising_edge(clk_125))then --reg_value_bit <= dout_regValue(reg_index); -- userclk2 clock domain if(fpga_conf = '0' and flash_conf = '0' and xadc_conf = '0')then rd_en <= '0'; sreg_en <= '0'; reg_index <= 31; fpgaPacket_rdy_i <= '0'; flashPacket_rdy <= '0'; xadcPacket_rdy <= '0'; wait_cnt <= (others => '0'); state <= ST_IDLE; else case state is -- wait to be activated by registering process when ST_IDLE => if(read_buffers = '1' and wait_cnt = "11111")then wait_cnt <= (others => '0'); state <= ST_CHK; elsif(read_buffers = '1' and wait_cnt /= "11111")then wait_cnt <= wait_cnt + 1; state <= ST_IDLE; else wait_cnt <= (others => '0'); state <= ST_IDLE; end if; -- check if the FIFOs are empty when ST_CHK => if(addr_buffer_empty = '0' and val_buffer_empty = '0')then rd_en <= '1'; state <= ST_WAIT; else rd_en <= '0'; state <= ST_DONE; end if; -- wait here for MUX and shift register when ST_WAIT => rd_en <= '0'; wait_cnt <= wait_cnt + 1; if(wait_cnt = "00111")then state <= ST_WRH_SREG; else state <= ST_WAIT; end if; -- write the shift register when ST_WRH_SREG => sreg_en <= '1'; state <= ST_WRL_SREG; -- check the index when ST_WRL_SREG => sreg_en <= '0'; if(reg_index = 0)then -- sent the entire register value reg_index <= 31; state <= ST_CHK; else reg_index <= reg_index - 1; state <= ST_WAIT; end if; -- wait here until reset by master_handling_FSM when ST_DONE => if(fpga_conf = '1')then fpgaPacket_rdy_i <= '1'; elsif(flash_conf = '1')then flashPacket_rdy <= '1'; elsif(xadc_conf = '1')then xadcPacket_rdy <= '1'; else fpgaPacket_rdy_i <= '1'; end if; state <= ST_DONE; when others => rd_en <= '0'; sreg_en <= '0'; reg_index <= 31; fpgaPacket_rdy_i <= '0'; flashPacket_rdy <= '0'; xadcPacket_rdy <= '0'; wait_cnt <= (others => '0'); state <= ST_IDLE; end case; end if; end if; end process; fpga_conf_router_inst: fpga_config_router port map( ------------------------------------ ------ General Interface ----------- clk_125 => clk_125, reg_addr => dout_regAaddr(7 downto 0), reg_rst => reg_rst, reg_value_bit => reg_value_bit, sreg_ena => sreg_en, ------------------------------------ ---------- XADC Interface ---------- vmm_id_xadc => vmm_id_xadc, xadc_sample_size => xadc_sample_size, xadc_delay => xadc_delay, ------------------------------------ ---------- AXI4SPI Interface ------- myIP_set => myIP_set, myMAC_set => myMAC_set, destIP_set => destIP_set, ------------------------------------ -------- CKTP/CKBC Interface ------- ckbc_freq => ckbc_freq, cktk_max_num => cktk_max_num, cktp_max_num => cktp_max_num, cktp_skew => cktp_skew, cktp_period => cktp_period, cktp_width => cktp_width, ------------------------------------ -------- FPGA Config Interface ----- latency => latency, tr_delay_limit => tr_delay_limit, ckbc_max_num => ckbc_max_num, daq_state => daq_state_reg, trig_state => trig_state_reg, ro_state => ro_state_reg, fpga_rst_state => fpga_rst_reg ); reg_addr_buffer: fpga_reg_buffer PORT MAP ( rst => rst_fifo, wr_clk => clk_125, rd_clk => clk_125, din => din_regAddr, wr_en => wr_en, rd_en => rd_en, dout => dout_regAaddr, full => addr_buffer_full, empty => addr_buffer_empty, wr_rst_busy => addr_rdRst_busy, rd_rst_busy => addr_wrRst_busy ); reg_value_buffer: fpga_reg_buffer PORT MAP ( rst => rst_fifo, wr_clk => clk_125, rd_clk => clk_125, din => reg_value, wr_en => wr_en, rd_en => rd_en, dout => dout_regValue, full => val_buffer_full, empty => val_buffer_empty, wr_rst_busy => val_rdRst_busy, rd_rst_busy => val_wrRst_busy ); -- FPGA reset asserter rst_asserter_proc: process(clk_125) begin if(rising_edge(clk_125))then if(fpga_rst_i = '1')then case rst_cnt is when 0 to 62 => fpga_rst <= '1'; rst_cnt <= rst_cnt + 1; when 63 => fpga_rst <= '0'; reg_rst <= '1'; when others => rst_cnt <= 0; fpga_rst <= '0'; reg_rst <= '0'; end case; else rst_cnt <= 0; fpga_rst <= '0'; reg_rst <= '0'; end if; end if; end process; din_regAddr <= x"000000" & reg_address; fpgaPacket_rdy <= fpgaPacket_rdy_i; -- process to handle daq state daqOnOff_proc: process(daq_state_reg, daq_on_i, fpgaPacket_rdy_i) begin if(fpgaPacket_rdy_i = '1')then case daq_state_reg is when x"01" => daq_on_i <= '1'; when x"00" => daq_on_i <= '0'; when others => daq_on_i <= daq_on_i; end case; else daq_on_i <= daq_on_i; end if; end process; -- process to handle trigger state triggerState_proc: process(trig_state_reg, ext_trg_i, fpgaPacket_rdy_i) begin if(fpgaPacket_rdy_i = '1')then case trig_state_reg is when x"04" => ext_trg_i <= '1'; when x"07" => ext_trg_i <= '0'; when others => ext_trg_i <= ext_trg_i; end case; else ext_trg_i <= ext_trg_i; end if; end process; -- process to handle readout state readoutState_proc: process(ro_state_reg, ckbcMode_i, fpgaPacket_rdy_i) begin if(fpgaPacket_rdy_i = '1')then case ro_state_reg is when x"01" => ckbcMode_i <= '1'; when x"00" => ckbcMode_i <= '0'; when others => ckbcMode_i <= ckbcMode_i; end case; else ckbcMode_i <= ckbcMode_i; end if; end process; -- process to handle FPGA reset state FPGArst_proc: process(fpga_rst_reg, fpga_rst_i, fpgaPacket_rdy_i) begin if(fpgaPacket_rdy_i = '1')then case fpga_rst_reg is when x"aa" => fpga_rst_i <= '1'; when x"00" => fpga_rst_i <= '0'; when others => fpga_rst_i <= fpga_rst_i; end case; else fpga_rst_i <= fpga_rst_i; end if; end process; -- synchronizing circuit syncProc: process(clk_125) begin if(rising_edge(clk_125))then daq_on_sync <= daq_on_i; daq_on <= daq_on_sync; ext_trg_sync <= ext_trg_i; ext_trigger <= ext_trg_sync; ckbcMode_sync <= ckbcMode_i; ckbcMode <= ckbcMode_sync; end if; end process; reg_value_bit <= dout_regValue(reg_index); -- userclk2 clock domain rst_fifo <= rst or fpgaPacket_rdy_i or rst_fifo_init; -- reset the FIFOs after each configuration end RTL;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/imports/sgmii_10_100_1000/ipcore_dir/temac_10_100_1000/example_design/common/temac_10_100_1000_sync_block.vhd
2
4747
-------------------------------------------------------------------------------- -- Title : CDC Sync Block -- Project : Tri-Mode Ethernet MAC -------------------------------------------------------------------------------- -- File : temac_10_100_1000_sync_block.vhd -- Author : Xilinx Inc. -------------------------------------------------------------------------------- -- Description: Used on signals crossing from one clock domain to -- another, this is a flip-flop pair, with both flops -- placed together with RLOCs into the same slice. Thus -- the routing delay between the two is minimum to safe- -- guard against metastability issues. -- ----------------------------------------------------------------------------- -- (c) Copyright 2004-2008 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity temac_10_100_1000_sync_block is generic ( INITIALISE : bit_vector(1 downto 0) := "00" ); port ( clk : in std_logic; -- clock to be sync'ed to data_in : in std_logic; -- Data to be 'synced' data_out : out std_logic -- synced data ); end temac_10_100_1000_sync_block; architecture structural of temac_10_100_1000_sync_block is -- Internal Signals signal data_sync1 : std_logic; signal data_sync2 : std_logic; -- These attributes will stop timing errors being reported in back annotated -- SDF simulation. attribute ASYNC_REG : string; attribute ASYNC_REG of data_sync1 : signal is "TRUE"; attribute ASYNC_REG of data_sync2 : signal is "TRUE"; attribute RLOC : string; attribute RLOC of data_sync1 : signal is "X0Y0"; attribute RLOC of data_sync2 : signal is "X0Y0"; attribute SHREG_EXTRACT : string; attribute SHREG_EXTRACT of data_sync1 : signal is "NO"; attribute SHREG_EXTRACT of data_sync2 : signal is "NO"; begin data_sync : FD generic map ( INIT => INITIALISE(0) ) port map ( C => clk, D => data_in, Q => data_sync1 ); data_sync_reg : FD generic map ( INIT => INITIALISE(1) ) port map ( C => clk, D => data_sync1, Q => data_sync2 ); data_out <= data_sync2; end structural;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/MMFE8_1VMM/sources_1/readout/select_data.vhd
1
2396
---------------------------------------------------------------------------------- -- Company: NTU ATHNENS - BNL -- Engineer: Panagiotis Gkountoumis -- -- Create Date: 18.04.2016 13:00:21 -- Design Name: -- Module Name: config_logic - Behavioral -- Project Name: MMFE8 -- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity select_data is port( clk_in : in std_logic; configuring : in std_logic; data_acq : in std_logic; we_data : in std_logic; we_conf : in std_logic; daq_data_in : in std_logic_vector(63 downto 0); conf_data_in : in std_logic_vector(63 downto 0); data_packet_length : in integer; conf_packet_length : in integer; end_packet_conf : in std_logic; end_packet_daq : in std_logic; data_out : out std_logic_vector(63 downto 0); packet_length : out integer; we : out std_logic; end_packet : out std_logic ); end select_data; architecture Behavioral of select_data is begin data_selection : process(clk_in, configuring, data_acq) begin if rising_edge(clk_in) then if configuring = '1' then we <= we_conf; data_out <= conf_data_in; packet_length <= 2;--conf_packet_length; end_packet <= end_packet_conf; elsif data_acq = '1' then we <= we_data; data_out <= daq_data_in; packet_length <= data_packet_length; end_packet <= end_packet_daq; else we <= '0'; data_out <= (others => '0'); packet_length <= 0; end_packet <= '0'; end if; end if; end process; end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4472/EPROC_IN4.vhd
1
4275
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 06/22/2014 --! Module Name: EPROC_IN4 --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee, work; use ieee.std_logic_1164.ALL; use work.all; --! E-link processor, 4bit input entity EPROC_IN4 is generic ( do_generate : boolean := true; includeNoEncodingCase : boolean := true ); port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; ENA : in std_logic; ENCODING : in std_logic_vector (1 downto 0); EDATA_IN : in std_logic_vector (3 downto 0); DATA_OUT : out std_logic_vector (9 downto 0); DATA_RDY : out std_logic; busyOut : out std_logic ); end EPROC_IN4; architecture Behavioral of EPROC_IN4 is constant zeros10array : std_logic_vector (9 downto 0) := (others=>'0'); -- signal DATA_OUT_direct,DATA_OUT_8b10b_case,DATA_OUT_HDLC_case,DATA_OUT_s : std_logic_vector (9 downto 0); signal DATA_RDY_direct,DATA_RDY_8b10b_case,DATA_RDY_HDLC_case,DATA_RDY_sig : std_logic; --- signal RESTART_sig, rst_case00, rst_case01 : std_logic; --- begin gen_enabled: if do_generate = true generate RESTART_sig <= rst or (not ENA); -- comes from clk40 domain ------------------------------------------------------------------------------------------- -- ENCODING case "00": direct data, no delimeter... ------------------------------------------------------------------------------------------- direct_data_enabled: if includeNoEncodingCase = true generate rst_case00 <= RESTART_sig or (ENCODING(1) or ENCODING(0)); EPROC_IN4_direct_inst: entity work.EPROC_IN4_direct port map( bitCLK => bitCLK, bitCLKx4 => bitCLKx4, rst => rst_case00, edataIN => EDATA_IN, dataOUT => DATA_OUT_direct, dataOUTrdy => DATA_RDY_direct ); end generate direct_data_enabled; -- direct_data_disabled: if includeNoEncodingCase = false generate DATA_RDY_direct <= '0'; DATA_OUT_direct <= (others=>'0'); end generate direct_data_disabled; -- ------------------------------------------------------------------------------------------- -- ENCODING case "01": DEC8b10b ------------------------------------------------------------------------------------------- rst_case01 <= RESTART_sig or (ENCODING(1) or (not ENCODING(0))); -- EPROC_IN4_DEC8b10b_inst: entity work.EPROC_IN4_DEC8b10b port map( bitCLK => bitCLK, bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst_case01, edataIN => EDATA_IN, dataOUT => DATA_OUT_8b10b_case, dataOUTrdy => DATA_RDY_8b10b_case, busyOut => busyOut ); ------------------------------------------------------------------------------------------- -- ENCODING case "10": HDLC ------------------------------------------------------------------------------------------- -- TBD DATA_OUT_HDLC_case <= (others=>'0'); DATA_RDY_HDLC_case <= '0'; ------------------------------------------------------------------------------------------- -- output data/rdy according to the encoding settings ------------------------------------------------------------------------------------------- DATA_OUT_MUX4_10bit: entity work.MUX4_Nbit generic map(N=>10) port map( data0 => DATA_OUT_direct, data1 => DATA_OUT_8b10b_case, data2 => DATA_OUT_HDLC_case, data3 => zeros10array, sel => ENCODING, data_out => DATA_OUT_s ); DATA_RDY_MUX4: entity work.MUX4 port map( data0 => DATA_RDY_direct, data1 => DATA_RDY_8b10b_case, data2 => DATA_RDY_HDLC_case, data3 => '0', sel => ENCODING, data_out => DATA_RDY_sig ); DATA_RDY <= DATA_RDY_sig; DATA_OUT <= DATA_OUT_s; -------------------- end generate gen_enabled; -- -- gen_disabled: if do_generate = false generate DATA_OUT <= (others=>'0'); DATA_RDY <= '0'; busyOut <= '0'; end generate gen_disabled; end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/MMFE8_1VMM/sources_1/imports/sgmii_10_100_1000/ipcore_dir/i2c_top.vhd
2
1550
---------------------------------------------------------------------------------- -- Company: NTU ATHNENS - BNL -- Engineer: Panagiotis Gkountoumis -- -- Create Date: 18.04.2016 13:00:21 -- Design Name: -- Module Name: config_logic - Behavioral -- Project Name: MMFE8 -- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use UNISIM.VComponents.all; entity i2c_top is generic(cnt_1ms : natural := 50_000; -- 20ns*50_000 = 1ms cnt_10ms : natural := 500_000); --20ns*500_000 = 10ms port( clk_in : in std_logic; -- clk40, W19, LVCMOS33 phy_rstn_out : out std_logic ); end i2c_top; architecture rtl of i2c_top is signal phy_resetn : std_logic := '0'; begin phy_rstn_out <= phy_resetn; phy_resetn_process : process(clk_in, phy_resetn) is variable cnt : natural range 0 to cnt_1ms := 0; --1ms begin if (rising_edge(clk_in)) then if phy_resetn = '0' then --resetn if(cnt < cnt_1ms)then --cnt cnt := cnt + 1; elsif(cnt = cnt_1ms)then cnt := 0; phy_resetn <= '1'; else null; end if; --cnt else null; end if; --resetn check end if; --clk end process; end rtl;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4400/EPROC_OUT2.vhd
2
5358
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 18/03/2015 --! Module Name: EPROC_OUT2 --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee,work; use ieee.std_logic_1164.all; use work.all; --! E-link processor, 2bit output entity EPROC_OUT2 is generic ( do_generate : boolean := true ); port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; ENA : in std_logic; swap_outbits : in std_logic; getDataTrig : out std_logic; -- @ bitCLKx4 ENCODING : in std_logic_vector (3 downto 0); EDATA_OUT : out std_logic_vector (1 downto 0); TTCin : in std_logic_vector (1 downto 0); DATA_IN : in std_logic_vector (9 downto 0); DATA_RDY : in std_logic ); end EPROC_OUT2; architecture Behavioral of EPROC_OUT2 is constant zeros2bit : std_logic_vector (1 downto 0) := (others=>'0'); signal EdataOUT_ENC8b10b_case, EdataOUT_direct_case, EdataOUT_HDLC_case, EdataOUT_TTC0_case : std_logic_vector (1 downto 0); signal rst_s, rst_case000, rst_case001, rst_case010, rst_case011 : std_logic; signal getDataTrig_ENC8b10b_case, getDataTrig_direct_case, getDataTrig_HDLC_case, getDataTrig_TTC_case : std_logic; signal edata_out_s : std_logic_vector (1 downto 0); begin gen_enabled: if do_generate = true generate rst_s <= rst or (not ENA); ------------------------------------------------------------------------------------------- -- case 0: direct data, no delimeter... ------------------------------------------------------------------------------------------- rst_case000 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "000")) else '1'; -- direct_case: entity work.EPROC_OUT2_direct port map( bitCLK => bitCLK, bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst_case000, getDataTrig => getDataTrig_direct_case, edataIN => DATA_IN, edataINrdy => DATA_RDY, EdataOUT => EdataOUT_direct_case ); -- ------------------------------------------------------------------------------------------- -- case 1: DEC8b10b ------------------------------------------------------------------------------------------- rst_case001 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "001")) else '1'; -- ENC8b10b_case: entity work.EPROC_OUT2_ENC8b10b port map( bitCLK => bitCLK, bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst_case001, getDataTrig => getDataTrig_ENC8b10b_case, edataIN => DATA_IN, edataINrdy => DATA_RDY, EdataOUT => EdataOUT_ENC8b10b_case ); -- ------------------------------------------------------------------------------------------- -- case 2: HDLC ------------------------------------------------------------------------------------------- rst_case010 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "010")) else '1'; -- HDLC_case: entity work.EPROC_OUT2_HDLC port map( bitCLK => bitCLK, bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst_case010, getDataTrig => getDataTrig_HDLC_case, -- output, data request edataIN => DATA_IN, edataINrdy => DATA_RDY, EdataOUT => EdataOUT_HDLC_case ); -- ------------------------------------------------------------------------------------------- -- case 3: TTC-0 ------------------------------------------------------------------------------------------- rst_case011 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "011")) else '1'; -- getDataTrig_TTC_case <= '0'; --'1' when (ENCODING(2 downto 0) = "011") else '0'; -- ttc_r: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then if rst_case011 = '1' then EdataOUT_TTC0_case <= zeros2bit; else EdataOUT_TTC0_case <= TTCin; end if; end if; end process; -- ------------------------------------------------------------------------------------------- -- output data and busy according to the encoding settings ------------------------------------------------------------------------------------------- dataOUTmux: entity work.MUX4_Nbit generic map (N=>2) port map( data0 => EdataOUT_direct_case, data1 => EdataOUT_ENC8b10b_case, data2 => EdataOUT_HDLC_case, data3 => EdataOUT_TTC0_case, sel => ENCODING(1 downto 0), data_out => edata_out_s ); -- getDataTrig <= ENA and (getDataTrig_TTC_case or getDataTrig_HDLC_case or getDataTrig_ENC8b10b_case or getDataTrig_direct_case); -- end generate gen_enabled; -- -- gen_disabled: if do_generate = false generate edata_out_s <= (others=>'0'); getDataTrig <= '0'; end generate gen_disabled; -- out_sel: process(swap_outbits,edata_out_s) begin if swap_outbits = '1' then EDATA_OUT <= edata_out_s(0) & edata_out_s(1); else EDATA_OUT <= edata_out_s; end if; end process; -- end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/configuration/config_logic.vhd
1
33862
---------------------------------------------------------------------------------- -- Company: NTU ATHNENS - BNL -- Engineer: Panagiotis Gkountoumis -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Panagiotis Gkountoumis -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 18.04.2016 13:00:21 -- Design Name: -- Module Name: config_logic - Behavioral -- Project Name: MMFE8 -- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- Changelog: -- 02.08.2016 Added ONLY_CONF_ONCE as a state to prevent multiple configuratoins -- of the VMM. (Reid Pinkham) -- 16.09.2016 Added additional elsif in state = CHECK for dynamic IP configuration -- (Lev Kurilenko) -- ---------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity config_logic is Port ( clk125 : in std_logic; clk200 : in std_logic; clk_in : in std_logic; reset : in std_logic; user_data_in : in std_logic_vector (7 downto 0); user_data_out : out std_logic_vector (63 downto 0); udp_rx : in udp_rx_type; resp_data : out udp_response; send_error : out std_logic; user_conf : out std_logic; user_wr_en : in std_logic; user_last : in std_logic; configuring : in std_logic; -- we_conf : out std_logic; vmm_id : out std_logic_vector(15 downto 0); cfg_bit_out : out std_logic; VMM_SCK : out std_logic; VMM_SDO : in std_logic; status : out std_logic_vector(3 downto 0); start_vmm_conf : in std_logic; conf_done : out std_logic; ext_trigger : out std_logic; ACQ_sync : out std_logic_vector(15 downto 0); udp_header : in std_logic; packet_length : in std_logic_vector (15 downto 0); VMM_CS : out std_logic; ena_conf : out std_logic; xadc_busy : in std_logic; xadc_start : out std_logic; vmm_id_xadc : out std_logic_vector(15 downto 0); xadc_sample_size : out std_logic_vector(10 downto 0); xadc_delay : out std_logic_vector(17 downto 0); myIP_set : out std_logic_vector(31 downto 0); --Lev myMAC_set : out std_logic_vector(47 downto 0); --Lev destIP_set : out std_logic_vector(31 downto 0); --Lev newip_start : out std_logic --Lev ); end config_logic; architecture rtl of config_logic is signal packet_length_int : integer := 0; signal reading_packet : std_logic := '0'; signal user_last_int : std_logic := '0'; signal count, timeout : integer := 0; signal last_synced200 : std_logic := '0'; signal i,w,del_cnt : integer := 0; signal del_cnt2 : integer := 0; signal counter, k, j : integer := 0; signal sig_out : std_logic_vector(292 downto 0); signal sn : std_logic_vector(31 downto 0); signal vmm_id_int : std_logic_vector(15 downto 0); signal cmd : std_logic_vector(15 downto 0); signal user_data_in_int : std_logic_vector(7 downto 0); signal status_int : std_logic_vector(3 downto 0); signal user_wr_en_int : std_logic := '0'; signal cfg_bit_out_i : std_logic := '0'; signal VMM_SCK_i : std_logic := '0'; signal start_conf_process : std_logic := '0'; signal conf_done_i : std_logic := '0'; signal cnt_array, cnt_pause : integer := 0; signal MainFSMstate : std_logic_vector(3 downto 0); signal ConfFSMstate : std_logic_vector(3 downto 0); signal test_data_int : std_logic_vector(31 downto 0); signal delay_data : std_logic_vector(7 downto 0); signal udp_header_int : std_logic := '0'; signal cs_int : std_logic := '1'; signal VMM_SDO_i : std_logic := '0'; type data_buffer is array(0 to 60) of std_logic_vector(31 downto 0); signal conf_data : data_buffer; signal reply_package : std_logic_vector(63 downto 0); signal udp_response_int : udp_response; signal start_vmm_conf_int : std_logic := '0'; signal start_vmm_conf_synced : std_logic := '0'; -- signal we_conf_int : std_logic := '0'; signal vmm_we_int : std_logic := '0'; signal cnt_cktk : integer := 0; signal DAQ_START_STOP : std_logic_vector(31 downto 0); signal dest_port : std_logic_vector(15 downto 0); signal data_length : integer := 0; signal cnt_reply : integer := 0; signal cnt_conf_18 : integer := 0; signal cnt_conf_96 : integer := 0; signal delay_user_last : std_logic := '0'; signal ena_conf_i : std_logic := '1'; signal ERROR : std_logic_vector(15 downto 0); signal vmm_id_xadc_i : std_logic_vector(15 downto 0); signal xadc_sample_size_i : std_logic_vector(10 downto 0); signal xadc_delay_i : std_logic_vector(17 downto 0); ----------------------------------------------------------- -- IP Signal LEV signal newip_counter : integer := 0; --Lev ----------------------------------------------------------- type tx_state is (IDLE, SerialNo, VMMID, COMMAND, DATA, CHECK, VMM_CONF, DELAY, FPGA_CONF, XADC_Init, XADC, SEND_REPLY, TEST, REPLY); signal state : tx_state; type state_t is (START, SEND1,SEND0, PAUSE_ONE, FINISHED, ONLY_CONF_ONCE); signal conf_state : state_t; attribute keep : string; attribute dont_touch : string; attribute keep of sn : signal is "true"; attribute keep of vmm_id_int : signal is "true"; attribute keep of user_last_int : signal is "true"; attribute keep of cmd : signal is "true"; attribute keep of count : signal is "true"; attribute keep of last_synced200 : signal is "true"; attribute keep of reading_packet : signal is "true"; attribute keep of user_data_in_int : signal is "true"; attribute keep of user_wr_en_int : signal is "true"; attribute keep of packet_length_int : signal is "true"; attribute keep of cfg_bit_out_i : signal is "true"; attribute keep of status_int : signal is "true"; attribute keep of start_conf_process : signal is "true"; attribute keep of conf_done_i : signal is "true"; attribute keep of cnt_array : signal is "true"; attribute keep of DAQ_START_STOP : signal is "true"; attribute dont_touch of DAQ_START_STOP : signal is "true"; attribute keep of user_wr_en : signal is "true"; attribute dont_touch of user_wr_en : signal is "true"; attribute keep of MainFSMstate : signal is "true"; attribute keep of ConfFSMstate : signal is "true"; attribute keep of test_data_int : signal is "true"; attribute keep of delay_data : signal is "true"; attribute keep of i : signal is "true"; attribute keep of VMM_SCK_i : signal is "true"; attribute keep of udp_header_int : signal is "true"; attribute keep of j : signal is "true"; attribute keep of start_vmm_conf_int : signal is "true"; attribute keep of start_vmm_conf_synced : signal is "true"; attribute keep of dest_port : signal is "true"; attribute keep of cnt_conf_18 : signal is "true"; attribute keep of cnt_conf_96 : signal is "true"; -- attribute keep of vmm_id_xadc_i : signal is "true"; -- attribute keep of xadc_sample_size_i : signal is "true"; -- attribute keep of xadc_delay_i : signal is "true"; -- attribute keep of vmm_we_int : signal is "true"; -- attribute dont_touch of vmm_we_int : signal is "true"; attribute keep of cnt_cktk : signal is "true"; attribute dont_touch of cnt_cktk : signal is "true"; attribute keep of k : signal is "true"; attribute dont_touch of k : signal is "true"; attribute keep of cs_int : signal is "true"; attribute dont_touch of cs_int : signal is "true"; attribute keep of counter : signal is "true"; attribute dont_touch of counter : signal is "true"; attribute keep of del_cnt : signal is "true"; attribute dont_touch of del_cnt : signal is "true"; attribute keep of VMM_SDO_i : signal is "true"; attribute dont_touch of VMM_SDO_i : signal is "true"; attribute keep of ena_conf_i : signal is "true"; attribute dont_touch of ena_conf_i : signal is "true"; component ila_user_FIFO IS PORT ( clk : IN std_logic; probe0 : IN std_logic_vector(292 DOWNTO 0) ); end component; ----------------------------------------------------------- -- NEW IP Signals LEV ----------------------------------------------------------- --attribute keep of conf_data : signal is "true"; --Lev attribute keep of newip_counter : signal is "true"; --Lev attribute keep of myIP_set : signal is "true"; --Lev attribute keep of myMAC_set : signal is "true"; --Lev attribute keep of destIP_set : signal is "true"; --Lev begin process (clk125) begin if clk125'event and clk125 = '1' then user_wr_en_int <= user_wr_en; delay_data <= user_data_in; delay_user_last <= user_last; end if; end process; user_last_int <= user_last; user_data_in_int <= user_data_in; --synced_to_125: process(clk125) -- begin -- if rising_edge(clk125) then -- start_vmm_conf_synced <= start_vmm_conf_int; -- end if; -- end process; ------------------------ IDLE 0000 ------------------------ VMM_CONF 0001 ------------------------ XADC 0010 ------------------------ RESET FPGA 0011 ------------------------ DAQ OFF 1000 ------------------------ FPGA_CONF 1001 ------------------------ REPLY 1011 ------------------------ DAQ ON 1111 process (clk125, state, configuring, cmd, reading_packet, count, packet_length_int, user_wr_en_int, last_synced200, user_wr_en, dest_port) -- variable i : natural range 0 to 10 := 0; --1ms begin if clk125'event and clk125 = '1' then if reset = '1' then state <= IDLE; else case state is when IDLE => MainFSMstate <= "0000"; status_int <= "0000"; count <= 0; j <= 3; cnt_array <= 0; sn <= (others=> '0'); vmm_id_int <= x"0000"; cmd <= x"0000"; -- cs_int <= '1'; if user_wr_en = '1' then state <= DATA; end if; when DATA => MainFSMstate <= "0001"; if j = 0 then cnt_array <= cnt_array + 1; conf_data(cnt_array)(8*j+ 7 downto 8*j) <= delay_data; j <= 3; else conf_data(cnt_array)(8*j+ 7 downto 8*j) <= delay_data; j <= j - 1; end if; if delay_user_last = '1' then -- cnt_array <= 0; -- count <= 4; j <= 0; state <= SerialNo; end if; when SerialNo => MainFSMstate <= "0010"; -- count <= count - 1; sn <= conf_data(0); reply_package(63 downto 32) <= sn; state <= VMMID; when VMMID => MainFSMstate <= "0011"; vmm_id_int <= conf_data(1)(31 downto 16); packet_length_int <= to_integer(unsigned(packet_length)); data_length <= packet_length_int - 8; reply_package(31 downto 16) <= vmm_id_int; state <= COMMAND; when COMMAND => MainFSMstate <= "0100"; cmd <= conf_data(1)(15 downto 0); reply_package(15 downto 0) <= cmd; state <= CHECK; when CHECK => MainFSMstate <= "0101"; if dest_port = x"1778" then -- 6008 VMM CONFIGURATION state <= VMM_CONF; -- if vmm_id_int /= x"ffff" then status_int <= "0001"; -- else -- status_int <= "0010"; -- end if; elsif dest_port = x"19C8" or dest_port = x"1777" then -- 6600 FPGA CONFIGURATION cmd <= conf_data(1)(31 downto 16); vmm_id_int <= conf_data(1)(15 downto 0); state <= FPGA_CONF; status_int <= "1001"; count <= 0; elsif dest_port = x"19CC" then -- 6604 Flash Configuration --Lev -- wait a few clock cycles to initatiate New IP Set --Lev -- wait around 10 clock cycles --Lev newip_start <= '1'; --Lev newip_counter <= newip_counter + 1; --Lev myIP_set <= conf_data(2)(31 downto 0); --Lev myMAC_set(47 downto 32) <= conf_data(3)(15 downto 0); --Lev myMAC_set(31 downto 0) <= conf_data(4)(31 downto 0); --Lev destIP_set <= conf_data(5)(31 downto 0); --Lev if (newip_counter = 10) then newip_counter <= 0; newip_start <= '0'; state <= IDLE; end if; elsif dest_port = x"19D0" then -- 6608 XADC state <= XADC_Init; status_int <= "0100"; xadc_start <= '1'; if cnt_array > 0 then -- If it is not an empty packet vmm_id_xadc_i <= conf_data(0)(15 downto 0); xadc_sample_size_i <= conf_data(1)(10 downto 0); xadc_delay_i <= conf_data(2)(17 downto 0); else -- is an empty packet vmm_id_xadc_i <= "0000000000000000"; xadc_sample_size_i <= "01111111111"; -- 1023 packets xadc_delay_i <= "011111111111111111"; -- 1023 samples over ~0.7 seconds end if; else count <= 0; state <= IDLE; end if; when VMM_CONF => MainFSMstate <= "0110"; if timeout = 5000000 then state <= IDLE; timeout <= 0; ERROR <= x"ffff"; else timeout <= timeout + 1; end if; if conf_done_i = '1' then -- user_data_out <= reply_package; state <= DELAY;-- SEND_REPLY; -- reading_packet <= '0'; ERROR <= x"0000"; status_int <= "1011"; end if; when DELAY => if del_cnt2 = 10 then state <= REPLY; del_cnt2 <= 0; else del_cnt2 <= del_cnt2 + 1; end if; when XADC_Init => -- Initialize the XADC MainFSMstate <= "0111"; state <= XADC; xadc_start <= '0'; when XADC => --Main XADC State if (xadc_busy = '0') then -- if xadc is done state <= IDLE; else state <= XADC; end if; when FPGA_CONF => MainFSMstate <= "1011"; -- DAQ_START_STOP <= conf_data(count+2); -------------------------------------set this for the real configuration -- if count*8 <= data_length then -- if conf_data(count + 2) = x"00000000" and conf_data(count + 3) = x"00000004" then -- EXTERNAL -- ext_trigger <= '1'; -- elsif conf_data(count + 2) = x"00000000" and conf_data(count + 3) = x"00000007" then -- PULSER -- ext_trigger <= '0'; -- elsif conf_data(count + 2) = x"00001111" and conf_data(count + 3) = x"00000001" then -- DAQ ON -- status_int <= "1111"; -- elsif conf_data(count + 2) = x"00001111" and conf_data(count + 3) = x"00000000" then -- DAQ OFF -- status_int <= "1000"; -- elsif conf_data(count + 2) = x"ffffffff" and conf_data(count + 3) = x"ffff8000" then -- RESET FPGA -- status_int <= "0011"; -- else -- state <= IDLE; -- end if; -- else -- count <= 0; -- state <= IDLE; -- end if; -------------------------------------set this for the real configuration DAQ_START_STOP <= conf_data(4); if conf_data(5) = x"00000004" and conf_data(4) = x"00000000" then -- EXTERNAL ext_trigger <= '1'; state <= TEST; elsif conf_data(5) = x"00000007" and conf_data(4) = x"00000000" then -- PULSER ext_trigger <= '0'; state <= TEST; elsif conf_data(5) = x"00000001" and conf_data(4) = x"0000000f" then -- DAQ ON status_int <= "1111"; state <= TEST; elsif conf_data(5) = x"00000000" and conf_data(4) = x"0000000f" then -- DAQ OFF status_int <= "1000"; state <= TEST; elsif conf_data(4) = x"ffffffff" and conf_data(5) = x"ffff8000" then -- RESET FPGA status_int <= "0011"; state <= IDLE; elsif conf_data(4) = x"00000005" then -- Latency ACQ_sync <= conf_data(5)(15 downto 0); state <= IDLE; else state <= TEST; end if; when TEST => if count < 10 then DAQ_START_STOP <= conf_data(count); count <= count + 1; else count <= 0; state <= IDLE; end if; when REPLY => state <= IDLE; -- if cnt_reply = 0 then ---- user_data_out_i <= conf_data_out_i; -- user_data_out <= reply_package; -- cnt_reply <= cnt_reply + 1; -- elsif cnt_reply = 1 then -- user_data_out_i <= (others => '0'); -- cnt_reply <= cnt_reply + 1; -- end_packet_conf_int <= '1'; -- we_conf_int <= '0'; -- elsif cnt_reply > 1 and cnt_reply < 100 then -- cnt_reply <= cnt_reply + 1; -- else -- cnt_reply <= 0; -- state <= IDLE; ---- state <= DAQ_INIT; -- end_packet_conf_int <= '1'; -- end if; when others => end case; end if; end if; end process; --synced_to_clkin: process(clk_in) -- begin -- if rising_edge(clk_in) then -- start_vmm_conf_synced <= start_vmm_conf; -- end if; -- end process; sync_start_vmm_conf: process(clk200) begin if rising_edge(clk200) then if start_vmm_conf = '1' then start_vmm_conf_synced <= '1'; end if; if w = 40 then start_vmm_conf_synced <= '0'; w <= 0; else w <= w + 1; end if; end if; end process; config_vmm_fsm : process( clk_in, conf_state, k, i, counter, del_cnt) begin if rising_edge( clk_in) then if reset = '1' or status_int = "0011" then conf_state <= START; else case conf_state is when START => ConfFSMstate <= "0001"; cnt_conf_96 <= 0; cnt_conf_18 <= 0; cs_int <= '1'; counter <= 1728; i <= 31; k <= 2; cfg_bit_out_i <= '0'; VMM_SCK_i <= '0'; test_data_int <= conf_data(k); conf_done_i <= '0'; if start_vmm_conf = '1' then conf_state <= SEND0; cs_int <= '0'; ena_conf_i <= '0'; end if; when SEND0 => ConfFSMstate <= "0010"; VMM_SCK_i <= '1'; cnt_cktk <= cnt_cktk + 1; if cnt_conf_96 < 96 then cnt_conf_96 <= cnt_conf_96 + 1; conf_state <= SEND1; else cnt_conf_96 <= 0; conf_state <= PAUSE_ONE; VMM_SCK_i <= '0'; cnt_conf_18 <= cnt_conf_18 + 1; cs_int <= '1'; end if; if cnt_conf_18 = 18 then conf_state <= FINISHED; end if; if k <= packet_length_int - 1 then test_data_int <= conf_data(k); if i /= 0 then cfg_bit_out_i <= conf_data(k)(i);--(0); i <= i - 1; else cfg_bit_out_i <= conf_data(k)(0); k <= k + 1; i <= 31; end if; end if; when SEND1 => if cnt_conf_96 = 0 then cs_int <= '0'; end if; ConfFSMstate <= "0011"; VMM_SCK_i <= '0'; if (counter - 2) >= 0 then if cnt_conf_96 /= 96 then counter <= counter - 1; end if; conf_state <= SEND0; else conf_state <= FINISHED; end if; when PAUSE_ONE => ConfFSMstate <= "1111"; VMM_SCK_i <= '0'; cfg_bit_out_i <= '0'; i <= 31; if cnt_pause = 10 then conf_state <= SEND1; cnt_pause <= 0; else cnt_pause <= cnt_pause + 1; end if; when FINISHED => cnt_conf_96 <= 0; cnt_conf_18 <= 0; cs_int <= '0'; ena_conf_i <= '1'; ConfFSMstate <= "0100"; cfg_bit_out_i <= '0'; if del_cnt = 5 then conf_done_i <= '1'; del_cnt <= del_cnt + 1; elsif del_cnt = 100 then conf_state <= ONLY_CONF_ONCE; del_cnt <= 0; else del_cnt <= del_cnt + 1; end if; VMM_SCK_i <= '0'; counter <= 0; cs_int <= '1'; cnt_cktk <= 0; when ONLY_CONF_ONCE => ConfFSMstate <= "0101"; if (start_vmm_conf = '0') then conf_state <= START; else conf_state <= ONLY_CONF_ONCE; end if; end case; end if; end if; end process config_vmm_fsm ; start_vmm_conf_int <= start_vmm_conf; vmm_id <= vmm_id_int; dest_port <= udp_rx.hdr.dst_port; vmm_id_xadc <=vmm_id_xadc_i; xadc_sample_size <= xadc_sample_size_i; xadc_delay <= xadc_delay_i; status <= status_int; conf_done <= conf_done_i; cfg_bit_out <= cfg_bit_out_i; VMM_SCK <= VMM_SCK_i; -- ila_conf_logic : ila_user_FIFO -- port map( -- clk => clk125, -- probe0 => sig_out -- ); --we_conf <= we_conf_int; --vmm_we_int <= vmm_we; VMM_CS <= cs_int; VMM_SDO_i <= VMM_SDO; ena_conf <= ena_conf_i; sig_out(7 downto 0) <= delay_data; sig_out(8) <= start_vmm_conf_int;--user_fifo_empty; sig_out(9) <= start_vmm_conf_synced;--user_fifo_en_main;--'0'; --user_fifo_en; sig_out(10) <= udp_header_int;--send_error_int; sig_out(11) <= user_wr_en; sig_out(43 downto 12) <= sn; sig_out(59 downto 44) <= vmm_id_int; sig_out(75 downto 60) <= cmd; sig_out(83 downto 76) <= std_logic_vector(to_unsigned(count, sig_out(83 downto 76)'length)); sig_out(91 downto 84) <= std_logic_vector(to_unsigned(cnt_array, 8)); sig_out(92) <= user_last_int; sig_out(93) <= last_synced200; --sig_out(110) <= reading_packet; sig_out(101 downto 94) <= user_data_in_int; sig_out(102) <= user_wr_en_int; sig_out(103) <= VMM_SCK_i;--user_conf_int; sig_out(104) <= cfg_bit_out_i;--reset_fifo_int; sig_out(112 downto 105) <= std_logic_vector(to_unsigned(packet_length_int, sig_out(112 downto 105)'length)); sig_out(113) <= conf_done_i;--configuring_int; sig_out(117 downto 114) <= status_int; sig_out(118) <= start_conf_process; sig_out(122 downto 119) <= MainFSMstate; sig_out(126 downto 123) <= ConfFSMstate; sig_out(134 downto 127) <= std_logic_vector(to_unsigned(i, sig_out(135 downto 128)'length)); sig_out(166 downto 135) <= test_data_int; sig_out(174 downto 167) <= std_logic_vector(to_unsigned(j, sig_out(175 downto 168)'length)); sig_out(190 downto 175) <= std_logic_vector(to_unsigned(counter, sig_out(190 downto 175)'length)); sig_out(198 downto 191) <= std_logic_vector(to_unsigned(k, sig_out(198 downto 191)'length)); sig_out(214 downto 199) <= dest_port; sig_out(246 downto 215) <= DAQ_START_STOP; sig_out(247) <= cs_int; sig_out(255 downto 248) <= std_logic_vector(to_unsigned(cnt_conf_18, sig_out(255 downto 248)'length)); sig_out(263 downto 256) <= std_logic_vector(to_unsigned(cnt_conf_96, sig_out(255 downto 248)'length)); sig_out(264) <= VMM_SDO_i; sig_out(265) <= ena_conf_i; --sig_out(262 downto 247) <= vmm_id_xadc_i; --sig_out(273 downto 263) <= xadc_sample_size_i; --sig_out(291 downto 274) <= xadc_delay_i; sig_out(292 downto 266) <= (others => '0'); end rtl;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/imports/arp_REQ.vhd
2
12101
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 12:00:04 05/31/2011 -- Design Name: -- Module Name: arp_REQ - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle requests for ARP resolution -- responds from single entry cache or searches external arp store, or asks to send a request -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created from arp.vhd 0.2 -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_req is generic ( no_default_gateway : boolean := true; -- set to false if communicating with devices accessed -- through a "default gateway or router" CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr ARP_TIMEOUT : integer := 60; -- ARP response timeout (s) ARP_MAX_PKT_TMO : integer := 5 -- # wrong nwk pkts received before set error ); port ( -- lookup request signals arp_req_req : in arp_req_req_type; -- request for a translation from IP to MAC arp_req_rslt : out arp_req_rslt_type; -- the result -- external arp store signals arp_store_req : out arp_store_rdrequest_t; -- requesting a lookup or store arp_store_result : in arp_store_result_t; -- the result -- network request signals arp_nwk_req : out arp_nwk_request_t; -- requesting resolution via the network arp_nwk_result : in arp_nwk_result_t; -- the result -- system signals clear_cache : in std_logic; -- clear the internal cache nwk_gateway : in std_logic_vector(31 downto 0); -- IP address of default gateway nwk_mask : in std_logic_vector(31 downto 0); -- Net mask clk : in std_logic; reset : in std_logic ); end arp_req; architecture Behavioral of arp_req is type req_state_t is (IDLE, LOOKUP, WAIT_REPLY, PAUSE1, PAUSE2, PAUSE3); type set_cntr_t is (HOLD, CLR, INCR); type set_clr_type is (SET, CLR, HOLD); -- state variables signal req_state : req_state_t; signal req_ip_addr : std_logic_vector (31 downto 0); -- IP address to lookup signal arp_entry_cache : arp_entry_t; -- single entry cache for fast response signal cache_valid : std_logic; -- single entry cache is valid signal nwk_rx_cntr : unsigned(7 downto 0); -- counts nwk rx pkts that dont satisfy signal freq_scaler : unsigned (31 downto 0); -- scales data_in_clk downto 1Hz signal timer : unsigned (7 downto 0); -- counts seconds timeout signal timeout_reg : std_logic; -- busses signal next_req_state : req_state_t; signal arp_entry_val : arp_entry_t; -- requester control signals signal set_req_state : std_logic; signal set_req_ip : std_logic; signal store_arp_cache : std_logic; signal set_nwk_rx_cntr : set_cntr_t; signal set_timer : set_cntr_t; -- timer reset, count, hold control signal timer_enable : std_logic; -- enable the timer counting signal set_timeout : set_clr_type; -- control the timeout register signal clear_cache_valid : std_logic; signal l_arp_req_req_ip : std_logic_vector(31 downto 0); -- local network IP address for resolution begin default_GW: if (not no_default_gateway) generate default_gw_comb_p: process (arp_req_req.ip, nwk_gateway, nwk_mask) is begin -- process default_gw_comb_p -- translate IP addresses to local IP address if necessary if ((nwk_mask and arp_req_req.ip) = (nwk_mask and nwk_gateway)) then -- on local network l_arp_req_req_ip <= arp_req_req.ip; else -- on remote network l_arp_req_req_ip <= nwk_gateway; end if; end process default_gw_comb_p; end generate default_GW; no_default_GW: if (no_default_gateway) generate no_default_gw_comb_p: process (arp_req_req.ip) is begin -- process no_default_gw_comb_p l_arp_req_req_ip <= arp_req_req.ip; end process no_default_gw_comb_p; end generate no_default_GW; req_combinatorial : process ( arp_entry_cache.ip, arp_entry_cache.mac, arp_nwk_result.entry, arp_nwk_result.entry.ip, arp_nwk_result.entry.mac, arp_nwk_result.status, arp_req_req.lookup_req, arp_store_result.entry, arp_store_result.entry.mac, arp_store_result.status, cache_valid, clear_cache, freq_scaler, l_arp_req_req_ip, nwk_rx_cntr, req_ip_addr, req_state, timeout_reg, timer) begin -- set output followers arp_req_rslt.got_mac <= '0'; -- set initial value of request result outputs arp_req_rslt.got_err <= '0'; arp_req_rslt.mac <= (others => '0'); arp_store_req.req <= '0'; arp_store_req.ip <= (others => '0'); arp_nwk_req.req <= '0'; arp_nwk_req.ip <= (others => '0'); -- zero time response to lookup request if already in cache if arp_req_req.lookup_req = '1' and l_arp_req_req_ip = arp_entry_cache.ip and cache_valid = '1' then arp_req_rslt.got_mac <= '1'; arp_req_rslt.mac <= arp_entry_cache.mac; elsif arp_req_req.lookup_req = '1' then -- hold off got_mac while req is there as arp_entry will not be correct yet arp_req_rslt.got_mac <= '0'; arp_req_rslt.mac <= arp_entry_cache.mac; else arp_req_rslt.got_mac <= cache_valid; arp_req_rslt.mac <= arp_entry_cache.mac; end if; if arp_req_req.lookup_req = '1' then -- ensure any existing error report is killed at the start of a request arp_req_rslt.got_err <= '0'; else arp_req_rslt.got_err <= timeout_reg; end if; -- set signal defaults next_req_state <= IDLE; set_req_state <= '0'; set_req_ip <= '0'; store_arp_cache <= '0'; arp_entry_val.ip <= (others => '0'); arp_entry_val.mac <= (others => '0'); set_nwk_rx_cntr <= HOLD; set_timer <= INCR; -- default is timer running, unless we hold or reset it set_timeout <= HOLD; timer_enable <= '0'; clear_cache_valid <= clear_cache; -- combinatorial logic if freq_scaler = x"00000000" then timer_enable <= '1'; end if; -- REQ FSM case req_state is when IDLE => set_timer <= CLR; if arp_req_req.lookup_req = '1' then -- check if we already have the info in cache if l_arp_req_req_ip = arp_entry_cache.ip and cache_valid = '1' then -- already have this IP - feed output back arp_req_rslt.got_mac <= '1'; arp_req_rslt.mac <= arp_entry_cache.mac; else clear_cache_valid <= '1'; -- remove cache entry set_timeout <= CLR; next_req_state <= LOOKUP; set_req_state <= '1'; set_req_ip <= '1'; end if; end if; when LOOKUP => -- put request on the store arp_store_req.ip <= req_ip_addr; arp_store_req.req <= '1'; case arp_store_result.status is when FOUND => -- update the cache arp_entry_val <= arp_store_result.entry; store_arp_cache <= '1'; -- and feed output back arp_req_rslt.got_mac <= '1'; arp_req_rslt.mac <= arp_store_result.entry.mac; next_req_state <= IDLE; set_req_state <= '1'; when NOT_FOUND => -- need to request from the network set_timer <= CLR; set_nwk_rx_cntr <= CLR; arp_nwk_req.req <= '1'; arp_nwk_req.ip <= req_ip_addr; next_req_state <= WAIT_REPLY; set_req_state <= '1'; when others => -- just keep waiting - no timeout (assumes lookup with either succeed or fail) end case; when WAIT_REPLY => case arp_nwk_result.status is when RECEIVED => if arp_nwk_result.entry.ip = req_ip_addr then -- store into cache arp_entry_val <= arp_nwk_result.entry; store_arp_cache <= '1'; -- and feed output back arp_req_rslt.got_mac <= '1'; arp_req_rslt.mac <= arp_nwk_result.entry.mac; next_req_state <= IDLE; set_req_state <= '1'; else if nwk_rx_cntr > ARP_MAX_PKT_TMO then set_timeout <= SET; next_req_state <= IDLE; set_req_state <= '1'; else set_nwk_rx_cntr <= INCR; end if; end if; when error => set_timeout <= SET; when others => if timer >= ARP_TIMEOUT then set_timeout <= SET; next_req_state <= PAUSE1; set_req_state <= '1'; end if; end case; when PAUSE1 => next_req_state <= PAUSE2; set_req_state <= '1'; when PAUSE2 => next_req_state <= PAUSE3; set_req_state <= '1'; when PAUSE3 => next_req_state <= IDLE; set_req_state <= '1'; end case; end process; req_sequential : process (clk) begin if rising_edge(clk) then if reset = '1' then -- reset state variables req_state <= IDLE; req_ip_addr <= (others => '0'); arp_entry_cache.ip <= (others => '0'); arp_entry_cache.mac <= (others => '0'); cache_valid <= '0'; nwk_rx_cntr <= (others => '0'); freq_scaler <= to_unsigned(CLOCK_FREQ, 32); timer <= (others => '0'); timeout_reg <= '0'; else -- Next req_state processing if set_req_state = '1' then req_state <= next_req_state; else req_state <= req_state; end if; -- Latch the requested IP address if set_req_ip = '1' then req_ip_addr <= l_arp_req_req_ip; else req_ip_addr <= req_ip_addr; end if; -- network received counter case set_nwk_rx_cntr is when CLR => nwk_rx_cntr <= (others => '0'); when INCR => nwk_rx_cntr <= nwk_rx_cntr + 1; when HOLD => nwk_rx_cntr <= nwk_rx_cntr; end case; -- set the arp_entry_cache if clear_cache_valid = '1' then arp_entry_cache <= arp_entry_cache; cache_valid <= '0'; elsif store_arp_cache = '1' then arp_entry_cache <= arp_entry_val; cache_valid <= '1'; else arp_entry_cache <= arp_entry_cache; cache_valid <= cache_valid; end if; -- freq scaling and 1-sec timer if freq_scaler = x"00000000" then freq_scaler <= to_unsigned(CLOCK_FREQ, 32); else freq_scaler <= freq_scaler - 1; end if; -- timer processing case set_timer is when CLR => timer <= x"00"; when INCR => if timer_enable = '1' then timer <= timer + 1; else timer <= timer; end if; when HOLD => timer <= timer; end case; -- timeout latching case set_timeout is when CLR => timeout_reg <= '0'; when SET => timeout_reg <= '1'; when HOLD => timeout_reg <= timeout_reg; end case; end if; end if; end process; end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/MMFE8_1VMM/sources_1/imports/tx_arbitrator.vhd
2
3035
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 08:03:30 06/04/2011 -- Design Name: -- Module Name: tx_arbitrator - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: arbitrate between two sources that want to transmit onto a bus -- handles arbitration and multiplexing -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - Made sticky on port M1 to optimise access on this port and allow immediate grant -- Revision 0.03 - Added first -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tx_arbitrator is port ( clk : in std_logic; reset : in std_logic; req_1 : in std_logic; grant_1 : out std_logic; data_1 : in std_logic_vector(7 downto 0); -- data byte to tx valid_1 : in std_logic; -- tdata is valid first_1 : in std_logic; -- indicates first byte of frame last_1 : in std_logic; -- indicates last byte of frame req_2 : in std_logic; grant_2 : out std_logic; data_2 : in std_logic_vector(7 downto 0); -- data byte to tx valid_2 : in std_logic; -- tdata is valid first_2 : in std_logic; -- indicates first byte of frame last_2 : in std_logic; -- indicates last byte of frame data : out std_logic_vector(7 downto 0); -- data byte to tx valid : out std_logic; -- tdata is valid first : out std_logic; -- indicates first byte of frame last : out std_logic -- indicates last byte of frame ); end tx_arbitrator; architecture Behavioral of tx_arbitrator is type grant_type is (M1,M2); signal grant : grant_type; begin combinatorial : process ( grant, data_1, valid_1, first_1, last_1, data_2, valid_2, first_2, last_2 ) begin -- grant outputs case grant is when M1 => grant_1 <= '1'; grant_2 <= '0'; when M2 => grant_1 <= '0'; grant_2 <= '1'; end case; -- multiplexer if grant = M1 then data <= data_1; valid <= valid_1; first <= first_1; last <= last_1; else data <= data_2; valid <= valid_2; first <= first_2; last <= last_2; end if; end process; sequential : process (clk, reset, req_1, req_2, grant) begin if rising_edge(clk) then if reset = '1' then grant <= M1; else case grant is when M1 => if req_1 = '1' then grant <= M1; elsif req_2 = '1' then grant <= M2; end if; when M2 => if req_2 = '1' then grant <= M2; else grant <= M1; end if; end case; end if; end if; end process; end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4371/EPROC_IN4_ALIGN_BLOCK.vhd
2
5112
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 06/22/2014 --! Module Name: EPROC_IN4_ALIGN_BLOCK --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee, work; use ieee.STD_LOGIC_1164.ALL; use ieee.STD_LOGIC_UNSIGNED.ALL; use work.all; use work.centralRouter_package.all; --! continuously aligns 4bit bit-stream to two commas entity EPROC_IN4_ALIGN_BLOCK is Port ( bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; bytes : in word10b_2array_type; -- 8b10b encoded bytes_rdy : in std_logic; ------------ dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic; ------------ busyOut : out std_logic ); end EPROC_IN4_ALIGN_BLOCK; architecture Behavioral of EPROC_IN4_ALIGN_BLOCK is signal bytes_rdy_enabled : std_logic; signal bytes_r, bytes_c3 : word10b_2array_type := ((others=>'0'),(others=>'0')); signal bytes_rdy_r, send_state : std_logic := '0'; signal dataOUT_s : std_logic_vector(9 downto 0) := (others => '0'); signal dataOUTrdy_s, dataOUTrdy_c3, dataOUTrdy_s1, bytes_rdy_s : std_logic := '0'; signal byte_count, byte_count_c3 : std_logic_vector(0 downto 0) := "0"; signal dataOUT_s_fe : std_logic_vector(9 downto 0); begin ------------------------------------------------------------------------------------------- -- clock1 -- input register ------------------------------------------------------------------------------------------- bytes_rdy_enabled <= bytes_rdy; -- process(bitCLKx2, rst) begin if rst = '1' then bytes_rdy_s <= '0'; elsif bitCLKx2'event and bitCLKx2 = '1' then if bytes_rdy_enabled = '1' then bytes_rdy_s <= not bytes_rdy_s; else bytes_rdy_s <= '0'; end if; end if; end process; -- input_latch: process(bitCLKx2, rst) begin if rst = '1' then bytes_r <= ((others=>'0'),(others=>'0')); elsif bitCLKx2'event and bitCLKx2 = '1' then if bytes_rdy_enabled = '1' then bytes_r <= bytes; end if; end if; end process; -- bytes_rdy_r <= bytes_rdy_s and bytes_rdy_enabled; -- process(bitCLKx2) begin if bitCLKx2'event and bitCLKx2 = '1' then if bytes_rdy_r = '1' then byte_count <= "0"; else if send_state = '1' then byte_count <= byte_count + 1; else byte_count <= "0"; end if; end if; end if; end process; -- -- process(bitCLKx2, rst) begin if rst = '1' then send_state <= '0'; elsif bitCLKx2'event and bitCLKx2 = '1' then if bytes_rdy_r = '1' then send_state <= '1'; else if byte_count = "1" then send_state <= '0'; end if; end if; end if; end process; -- ------------------------------------------------------------------------------------------- -- clock2 -- ------------------------------------------------------------------------------------------- process(bitCLKx4) begin if bitCLKx4'event and bitCLKx4 = '1' then if send_state = '1' then dataOUTrdy_s <= not dataOUTrdy_s; else dataOUTrdy_s <= '0'; end if; end if; end process; -- ------------------------------------------------------------------------------------------- -- clock3* -- bitCLKx2 -> bitCLKx4 ------------------------------------------------------------------------------------------- process(bitCLKx4) begin if bitCLKx4'event and bitCLKx4 = '1' then bytes_c3 <= bytes_r; dataOUTrdy_c3 <= dataOUTrdy_s; byte_count_c3 <= byte_count; end if; end process; -- out_select_proc: process(byte_count_c3, bytes_c3) begin case (byte_count_c3) is when "0" => dataOUT_s <= bytes_c3(0); when "1" => dataOUT_s <= bytes_c3(1); when others => end case; end process; -- ------------------------------------------------------------------------------------------- -- clock4* -- ------------------------------------------------------------------------------------------- process(bitCLKx4) begin if bitCLKx4'event and bitCLKx4 = '1' then dataOUTrdy_s1 <= dataOUTrdy_c3; end if; end process; -- dec_8b10: entity work.dec_8b10_wrap port map( RESET => rst, RBYTECLK => bitCLKx4, ABCDEIFGHJ_IN => dataOUT_s, HGFEDCBA => dataOUT_s_fe(7 downto 0), ISK => dataOUT_s_fe(9 downto 8), BUSY => busyOut ); -- process(bitCLKx4) begin if bitCLKx4'event and bitCLKx4 = '1' then dataOUT <= dataOUT_s_fe; --dataOUTrdy <= dataOUTrdy_s1; end if; end process; -- dataOUTrdy <= dataOUTrdy_s1; -- end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/MMFE8_1VMM/sources_1/imports/sgmii_10_100_1000/ipcore_dir/temac_10_100_1000/example_design/fifo/temac_10_100_1000_rx_client_fifo.vhd
2
37025
-------------------------------------------------------------------------------- -- Title : Receiver FIFO with AxiStream interfaces -- Version : 1.3 -- Project : Tri-Mode Ethernet MAC -------------------------------------------------------------------------------- -- File : temac_10_100_1000_rx_client_fifo.vhd -- Author : Xilinx Inc. -- ----------------------------------------------------------------------------- -- (c) Copyright 2004-2008 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ----------------------------------------------------------------------------- -- Description: This is the receiver side FIFO for the design example -- of the Tri-Mode Ethernet MAC core. AxiStream interfaces are used. -- -- The FIFO is created from 2 Block RAMs of size 2048 -- words of 8-bits per word, giving a total frame memory capacity -- of 4096 bytes. -- -- Frame data received from the MAC receiver is written into the -- FIFO on the rx_mac_aclk. An end-of-frame marker is written to -- the BRAM parity bit on the last byte of data stored for a frame. -- This acts as frame deliniation. -- -- The rx_axis_mac_tvalid, rx_axis_mac_tlast, and rx_axis_mac_tuser signals -- qualify the frame. A frame which ends with rx_axis_mac_tuser asserted -- indicates a bad frame and will cause the FIFO write address -- pointer to be reset to the base address of that frame. In this -- way the bad frame will be overwritten with the next received -- frame and is therefore dropped from the FIFO. -- -- Frames will also be dropped from the FIFO if an overflow occurs. -- If there is not enough memory capacity in the FIFO to store the -- whole of an incoming frame, the write address pointer will be -- reset and the overflow signal asserted. -- -- When there is at least one complete frame in the FIFO, -- the 8-bit AxiStream read interface's rx_axis_fifo_tvalid signal will -- be enabled allowing data to be read from the FIFO. -- -- The FIFO has been designed to operate with different clocks -- on the write and read sides. The read clock (user side) should -- always operate at an equal or faster frequency than the write -- clock (MAC side). -- -- The FIFO is designed to work with a minimum frame length of 8 -- bytes. -- -- The FIFO memory size can be increased by expanding the rd_addr -- and wr_addr signal widths, to address further BRAMs. -- -- Requirements : -- * Minimum frame size of 8 bytes -- * Spacing between good/bad frame signaling (encoded by -- rx_axis_mac_tvalid, rx_axis_mac_tlast, rx_axis_mac_tuser), is at least 64 -- clock cycles -- * Write AxiStream clock is 125MHz downto 1.25MHz -- * Read AxiStream clock equal to or faster than write clock, -- and downto 20MHz -- -------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library unimacro; use unimacro.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -------------------------------------------------------------------------------- -- The entity declaration for the Receiver FIFO -------------------------------------------------------------------------------- entity temac_10_100_1000_rx_client_fifo is port ( -- User-side (read-side) AxiStream interface rx_fifo_aclk : in std_logic; rx_fifo_resetn : in std_logic; rx_axis_fifo_tdata : out std_logic_vector(7 downto 0) := (others => '0'); rx_axis_fifo_tvalid : out std_logic; rx_axis_fifo_tlast : out std_logic; rx_axis_fifo_tready : in std_logic; -- MAC-side (write-side) AxiStream interface rx_mac_aclk : in std_logic; rx_mac_resetn : in std_logic; rx_axis_mac_tdata : in std_logic_vector(7 downto 0); rx_axis_mac_tvalid : in std_logic; rx_axis_mac_tlast : in std_logic; rx_axis_mac_tready : out std_logic; rx_axis_mac_tuser : in std_logic; -- FIFO status and overflow indication, -- synchronous to write-side (rx_mac_aclk) interface fifo_status : out std_logic_vector(3 downto 0); fifo_overflow : out std_logic ); end temac_10_100_1000_rx_client_fifo; architecture RTL of temac_10_100_1000_rx_client_fifo is ------------------------------------------------------------------------------ -- Component declaration for the synchronisation flip-flop pair ------------------------------------------------------------------------------ component temac_10_100_1000_sync_block port ( clk : in std_logic; data_in : in std_logic; data_out : out std_logic ); end component; ------------------------------------------------------------------------------ -- Define internal signals ------------------------------------------------------------------------------ signal VCC : std_logic; signal GND_BUS : std_logic_vector(8 downto 0); signal GND : std_logic_vector(0 downto 0); -- Encoded read state machine states type rd_state_typ is (WAIT_s, QUEUE1_s, QUEUE2_s, QUEUE3_s, QUEUE_SOF_s, SOF_s, DATA_s, EOF_s); signal rd_state : rd_state_typ; signal rd_nxt_state : rd_state_typ; -- Encoded write state machine states type wr_state_typ is (IDLE_s, FRAME_s, GF_s, BF_s, OVFLOW_s); signal wr_state : wr_state_typ; signal wr_nxt_state : wr_state_typ; type data_pipe is array (0 to 1) of std_logic_vector(7 downto 0); type cntl_pipe_long is array(0 to 2) of std_logic; type cntl_pipe_short is array(0 to 1) of std_logic; signal wr_en : std_logic; signal wr_en_u : std_logic; signal wr_en_u_bram : std_logic_vector(0 downto 0); signal wr_en_l : std_logic; signal wr_en_l_bram : std_logic_vector(0 downto 0); signal wr_addr : unsigned(11 downto 0); signal wr_addr_inc : std_logic; signal wr_start_addr_load : std_logic; signal wr_addr_reload : std_logic; signal wr_start_addr : unsigned(11 downto 0); signal wr_eof_data_bram : std_logic_vector(8 downto 0); signal wr_data_bram : std_logic_vector(7 downto 0); signal wr_data_pipe : data_pipe; signal wr_dv_pipe : cntl_pipe_long; signal wr_gfbf_pipe : cntl_pipe_short; signal wr_gf : std_logic; signal wr_bf : std_logic; signal wr_eof_bram_pipe : cntl_pipe_short; signal wr_eof_bram : std_logic; signal frame_in_fifo : std_logic; signal rd_addr : unsigned(11 downto 0); signal rd_addr_inc : std_logic; signal rd_addr_reload : std_logic; signal rd_eof_data_bram_u : std_logic_vector(8 downto 0); signal rd_eof_data_bram_l : std_logic_vector(8 downto 0); signal rd_data_bram_u : std_logic_vector(7 downto 0); signal rd_data_bram_l : std_logic_vector(7 downto 0); signal rd_data_pipe_u : std_logic_vector(7 downto 0) := (others => '0'); signal rd_data_pipe_l : std_logic_vector(7 downto 0) := (others => '0'); signal rd_data_pipe : std_logic_vector(7 downto 0) := (others => '0'); signal rd_valid_pipe : std_logic_vector(1 downto 0); signal rd_eof_bram_u : std_logic_vector(0 downto 0); signal rd_eof_bram_l : std_logic_vector(0 downto 0); signal rd_en : std_logic; signal rd_bram_u : std_logic; signal rd_bram_u_reg : std_logic; signal rd_pull_frame : std_logic; signal rd_eof : std_logic; signal rd_addr_slv : std_logic_vector(10 downto 0); signal wr_addr_slv : std_logic_vector(10 downto 0); signal wr_store_frame_tog : std_logic := '0'; signal rd_store_frame_sync : std_logic; signal rd_store_frame_delay : std_logic := '0'; signal rd_store_frame : std_logic; signal rd_frames : unsigned(8 downto 0); signal wr_fifo_full : std_logic; signal old_rd_addr : std_logic_vector(1 downto 0); signal update_addr_tog : std_logic; signal update_addr_tog_sync : std_logic; signal update_addr_tog_sync_reg : std_logic; signal wr_rd_addr : unsigned(11 downto 0); signal wr_addr_diff_in : unsigned(12 downto 0); signal wr_addr_diff : unsigned(11 downto 0); signal wr_fifo_status : unsigned(3 downto 0); signal rx_axis_fifo_tlast_int : std_logic; signal doa_l_unused : std_logic_vector(8 downto 0); signal doa_u_unused : std_logic_vector(8 downto 0); signal rx_fifo_reset : std_logic; signal rx_mac_reset : std_logic; -------------------------------------------------------------------------------- -- Begin FIFO architecture -------------------------------------------------------------------------------- begin VCC <= '1'; GND_BUS <= (others => '0'); GND(0) <= GND_BUS(0); -- invert reset sense as architecture is optimised for active high resets rx_fifo_reset <= not rx_fifo_resetn; rx_mac_reset <= not rx_mac_resetn; ------------------------------------------------------------------------------ -- Read state machines and control ------------------------------------------------------------------------------ -- Read state machine. -- States are WAIT, QUEUE1, QUEUE2, QUEUE3, QUEUE_SOF, SOF, DATA, EOF. -- Clock state to next state. clock_rds_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if rx_fifo_reset = '1' then rd_state <= WAIT_s; else rd_state <= rd_nxt_state; end if; end if; end process clock_rds_p; rx_axis_fifo_tlast <= rx_axis_fifo_tlast_int; -- Decode next state, combinatorial. next_rds_p : process(rd_state, frame_in_fifo, rd_eof, rx_axis_fifo_tready, rx_axis_fifo_tlast_int, rd_valid_pipe) begin case rd_state is when WAIT_s => -- Wait until there is a full frame in the FIFO, then -- start to load the pipeline. if frame_in_fifo = '1' and rx_axis_fifo_tlast_int = '0' then rd_nxt_state <= QUEUE1_s; else rd_nxt_state <= WAIT_s; end if; -- Load the output pipeline, which takes three clock cycles. when QUEUE1_s => rd_nxt_state <= QUEUE2_s; when QUEUE2_s => rd_nxt_state <= QUEUE3_s; when QUEUE3_s => rd_nxt_state <= QUEUE_SOF_s; when QUEUE_SOF_s => -- The pipeline is full and the frame output starts now. rd_nxt_state <= DATA_s; when SOF_s => -- A new frame begins immediately following end of last frame. if rx_axis_fifo_tready = '1' then rd_nxt_state <= DATA_s; else rd_nxt_state <= SOF_s; end if; when DATA_s => -- Read data from the FIFO. When the EOF marker is detected from -- the BRAM output, move to the EOF state. if rx_axis_fifo_tready = '1' and rd_eof = '1' then rd_nxt_state <= EOF_s; else rd_nxt_state <= DATA_s; end if; when EOF_s => -- Hold in this state until tready is asserted and the EOF -- marker (tlast) is accepted on interface. -- If there is another frame in the FIFO, then it will already be -- queued into the pipeline so so move straight to SOF state. if rx_axis_fifo_tready = '1' then if rd_valid_pipe(1) = '1' then rd_nxt_state <= SOF_s; else rd_nxt_state <= WAIT_s; end if; else rd_nxt_state <= EOF_s; end if; when others => rd_nxt_state <= WAIT_s; end case; end process next_rds_p; -- Detect if frame_in_fifo was high 3 reads ago. -- This is used to ensure we only treat data in the pipeline as valid if -- frame_in_fifo goes high at or before the EOF marker of the current frame. -- It may be that there is valid data (i.e a partial frame has been written) -- but until the end of that frame we do not know if it is a good frame. rd_valid_pipe_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if (rx_axis_fifo_tready = '1') then rd_valid_pipe <= rd_valid_pipe(0) & frame_in_fifo; end if; end if; end process rd_valid_pipe_p; -- Decode tlast signal from EOF marker. rd_ll_decode_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if rx_fifo_reset = '1' then rx_axis_fifo_tlast_int <= '0'; elsif rx_axis_fifo_tready = '1' then -- Assert tlast signal when the EOF marker has been detected, and -- continue to drive it until it has been accepted on the interface. case rd_state is when EOF_s => rx_axis_fifo_tlast_int <= '1'; when others => rx_axis_fifo_tlast_int <= '0'; end case; end if; end if; end process rd_ll_decode_p; -- Decode the tvalid output based on state. rd_ll_src_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if rx_fifo_reset = '1' then rx_axis_fifo_tvalid <= '0'; else case rd_state is when QUEUE_SOF_s => rx_axis_fifo_tvalid <= '1'; when SOF_s => rx_axis_fifo_tvalid <= '1'; when DATA_s => rx_axis_fifo_tvalid <= '1'; when EOF_s => rx_axis_fifo_tvalid <= '1'; when others => if rx_axis_fifo_tready = '1' then rx_axis_fifo_tvalid <= '0'; end if; end case; end if; end if; end process rd_ll_src_p; -- Decode internal control signals. -- rd_en is used to enable the BRAM read and load the output pipeline. rd_en_p : process(rd_state, rx_axis_fifo_tready) begin case rd_state is when WAIT_s => rd_en <= '0'; when QUEUE1_s => rd_en <= '1'; when QUEUE2_s => rd_en <= '1'; when QUEUE3_s => rd_en <= '1'; when QUEUE_SOF_s => rd_en <= '1'; when others => rd_en <= rx_axis_fifo_tready; end case; end process rd_en_p; -- When the BRAM is being read, enable the read address to be incremented. rd_addr_inc <= rd_en; -- When the current frame is done, and if there is no frame in the FIFO, then -- the FIFO must wait until a new frame is written in. This requires the read -- address to be moved back to where the new frame will be written. The -- pipeline is then reloaded using the QUEUE states. p_rd_addr_reload : process (rx_fifo_aclk) begin if rx_fifo_aclk'event and rx_fifo_aclk = '1' then if rx_fifo_reset = '1' then rd_addr_reload <= '0'; else if rd_state = EOF_s and rd_nxt_state = WAIT_s then rd_addr_reload <= '1'; else rd_addr_reload <= '0'; end if; end if; end if; end process p_rd_addr_reload; -- Data is available if there is at least one frame stored in the FIFO. p_rd_avail : process (rx_fifo_aclk) begin if rx_fifo_aclk'event and rx_fifo_aclk = '1' then if rx_fifo_reset = '1' then frame_in_fifo <= '0'; else if rd_frames /= (rd_frames'range => '0') then frame_in_fifo <= '1'; else frame_in_fifo <= '0'; end if; end if; end if; end process p_rd_avail; -- When a frame has been stored we need to synchronize that event to the -- read clock domain for frame count store. resync_wr_store_frame_tog : temac_10_100_1000_sync_block port map ( clk => rx_fifo_aclk, data_in => wr_store_frame_tog, data_out => rd_store_frame_sync ); p_delay_rd_store : process (rx_fifo_aclk) begin if rx_fifo_aclk'event and rx_fifo_aclk = '1' then rd_store_frame_delay <= rd_store_frame_sync; end if; end process p_delay_rd_store; -- Edge detect of the resynchronized frame count. This creates a pulse -- when a new frame has been stored. p_sync_rd_store : process (rx_fifo_aclk) begin if rx_fifo_aclk'event and rx_fifo_aclk = '1' then if rx_fifo_reset = '1' then rd_store_frame <= '0'; else -- Edge detector if (rd_store_frame_delay xor rd_store_frame_sync) = '1' then rd_store_frame <= '1'; else rd_store_frame <= '0'; end if; end if; end if; end process p_sync_rd_store; -- This creates a pulse when a new frame has begun to be output. p_rd_pull_frame : process (rx_fifo_aclk) begin if rx_fifo_aclk'event and rx_fifo_aclk = '1' then if rx_fifo_reset = '1' then rd_pull_frame <= '0'; else if rd_state = SOF_s and rd_nxt_state /= SOF_s then rd_pull_frame <= '1'; elsif rd_state = QUEUE_SOF_s and rd_nxt_state /= QUEUE_SOF_s then rd_pull_frame <= '1'; else rd_pull_frame <= '0'; end if; end if; end if; end process p_rd_pull_frame; -- Up/down counter to monitor the number of frames stored within the FIFO. -- Note: -- * increments at the end of a frame write cycle -- * decrements at the beginning of a frame read cycle p_rd_frames : process (rx_fifo_aclk) begin if rx_fifo_aclk'event and rx_fifo_aclk = '1' then if rx_fifo_reset = '1' then rd_frames <= (others => '0'); else -- A frame is written to the FIFO in this cycle, and no frame is being -- read out on the same cycle. if rd_store_frame = '1' and rd_pull_frame = '0' then rd_frames <= rd_frames + 1; -- A frame is being read out on this cycle and no frame is being -- written on the same cycle. elsif rd_store_frame = '0' and rd_pull_frame = '1' then rd_frames <= rd_frames - 1; end if; end if; end if; end process p_rd_frames; ------------------------------------------------------------------------------ -- Write state machines and control ------------------------------------------------------------------------------ -- Write state machine. -- States are IDLE, FRAME, GF, BF, OVFLOW. -- Clock state to next state. clock_wrs_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then if rx_mac_reset = '1' then wr_state <= IDLE_s; else wr_state <= wr_nxt_state; end if; end if; end process clock_wrs_p; -- Decode next state, combinatorial. next_wrs_p : process(wr_state, wr_dv_pipe(1), wr_gf, wr_bf, wr_fifo_full) begin case wr_state is when IDLE_s => -- There is data in incoming pipeline when dv_pipe(1) goes high. if wr_dv_pipe(1) = '1' then wr_nxt_state <= FRAME_s; else wr_nxt_state <= IDLE_s; end if; when FRAME_s => -- If FIFO is full then go to overflow state. -- If the good or bad flag is detected, then the end of the frame -- has been reached and the gf or bf state is visited before idle. -- Otherwise remain in frame state while data is written to FIFO. if wr_fifo_full = '1' then wr_nxt_state <= OVFLOW_s; elsif wr_gf = '1' then wr_nxt_state <= GF_s; elsif wr_bf = '1' then wr_nxt_state <= BF_s; else wr_nxt_state <= FRAME_s; end if; when GF_s => -- Return to idle and wait for next frame. wr_nxt_state <= IDLE_s; when BF_s => -- Return to idle and wait for next frame. wr_nxt_state <= IDLE_s; when OVFLOW_s => -- Wait until the good or bad flag received. if wr_gf = '1' or wr_bf = '1' then wr_nxt_state <= IDLE_s; else wr_nxt_state <= OVFLOW_s; end if; when others => wr_nxt_state <= IDLE_s; end case; end process next_wrs_p; -- Decode control signals, combinatorial. -- wr_en is used to enable the BRAM write and loading of the input pipeline. wr_en <= wr_dv_pipe(2) when wr_state = FRAME_s else '0'; -- The upper and lower signals are used to distinguish between the upper and -- lower BRAMs. wr_en_l <= wr_en and not(wr_addr(11)); wr_en_u <= wr_en and wr_addr(11); wr_en_l_bram(0) <= wr_en_l; wr_en_u_bram(0) <= wr_en_u; -- Increment the write address when we are receiving valid frame data. wr_addr_inc <= wr_dv_pipe(2) when wr_state = FRAME_s else '0'; -- If the FIFO overflows or a frame is to be dropped, we need to move the -- write address back to the start of the frame. This allows the data to be -- overwritten. wr_addr_reload <= '1' when wr_state = BF_s or wr_state = OVFLOW_s else '0'; -- The start address is saved when in the idle state. wr_start_addr_load <= '1' when wr_state = IDLE_s else '0'; -- We need to know when a frame is stored, in order to increment the count of -- frames stored in the FIFO. p_wr_store_tog : process (rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then if wr_state = GF_s then wr_store_frame_tog <= not wr_store_frame_tog; end if; end if; end process; ------------------------------------------------------------------------------ -- Address counters ------------------------------------------------------------------------------ -- Write address is incremented when data is being written into the FIFO. wr_addr_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then if rx_mac_reset = '1' then wr_addr <= (others => '0'); else if wr_addr_reload = '1' then wr_addr <= wr_start_addr; elsif wr_addr_inc = '1' then wr_addr <= wr_addr + 1; end if; end if; end if; end process wr_addr_p; -- Store the start address. wr_staddr_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then if rx_mac_reset = '1' then wr_start_addr <= (others => '0'); else if wr_start_addr_load = '1' then wr_start_addr <= wr_addr; end if; end if; end if; end process wr_staddr_p; -- Read address is incremented when data is being read from the FIFO. rd_addr_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if rx_fifo_reset = '1' then rd_addr <= (others => '0'); else if rd_addr_reload = '1' then rd_addr <= rd_addr - 3; elsif rd_addr_inc = '1' then rd_addr <= rd_addr + 1; end if; end if; end if; end process rd_addr_p; -- Which BRAM is read from is dependant on the upper bit of the address -- space. This needs to be registered to give the correct timing. rd_bram_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if rx_fifo_reset = '1' then rd_bram_u <= '0'; rd_bram_u_reg <= '0'; elsif rd_addr_inc = '1' then rd_bram_u <= rd_addr(11); rd_bram_u_reg <= rd_bram_u; end if; end if; end process rd_bram_p; ------------------------------------------------------------------------------ -- Data pipelines ------------------------------------------------------------------------------ -- Register data inputs to BRAM. -- No resets to allow for SRL16 target. reg_din_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then wr_data_pipe(0) <= rx_axis_mac_tdata; wr_data_pipe(1) <= wr_data_pipe(0); wr_data_bram <= wr_data_pipe(1); end if; end process reg_din_p; -- The valid input enables BRAM write and is a condition for other signals. reg_dv_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then wr_dv_pipe(0) <= rx_axis_mac_tvalid; wr_dv_pipe(1) <= wr_dv_pipe(0); wr_dv_pipe(2) <= wr_dv_pipe(1); end if; end process reg_dv_p; -- End of frame flag set when tlast and tvalid are asserted together. reg_eof_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then wr_eof_bram_pipe(0) <= rx_axis_mac_tlast; wr_eof_bram_pipe(1) <= wr_eof_bram_pipe(0); wr_eof_bram <= wr_eof_bram_pipe(1) and wr_dv_pipe(1); end if; end process reg_eof_p; -- Upon arrival of EOF flag, the frame is good if tuser signal -- is low, and bad if tuser signal is high. reg_gf_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then wr_gfbf_pipe(0) <= rx_axis_mac_tuser; wr_gfbf_pipe(1) <= wr_gfbf_pipe(0); wr_gf <= (not wr_gfbf_pipe(1)) and wr_eof_bram_pipe(1) and wr_dv_pipe(1); wr_bf <= wr_gfbf_pipe(1) and wr_eof_bram_pipe(1) and wr_dv_pipe(1); end if; end process reg_gf_p; -- The MAC's RX path cannot be helpd off, so the tready signal is always high. reg_ready_p : process(rx_mac_aclk) begin if (rx_mac_aclk'event and rx_mac_aclk = '1') then if (rx_mac_reset = '1') then rx_axis_mac_tready <= '0'; else rx_axis_mac_tready <= '1'; end if; end if; end process reg_ready_p; -- Register data outputs from BRAM. -- No resets to allow for SRL16 target. reg_dout_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if rd_en = '1' then rd_data_pipe_u <= rd_data_bram_u; rd_data_pipe_l <= rd_data_bram_l; if rd_bram_u_reg = '1' then rd_data_pipe <= rd_data_pipe_u; else rd_data_pipe <= rd_data_pipe_l; end if; rx_axis_fifo_tdata <= rd_data_pipe; end if; end if; end process reg_dout_p; reg_eofout_p : process(rx_fifo_aclk) begin if (rx_fifo_aclk'event and rx_fifo_aclk = '1') then if rd_en = '1' then if rd_bram_u = '1' then rd_eof <= rd_eof_bram_u(0); else rd_eof <= rd_eof_bram_l(0); end if; end if; end if; end process reg_eofout_p; ------------------------------------------------------------------------------ -- Overflow functionality ------------------------------------------------------------------------------ -- to minimise the number of read address updates the bottom 6 bits of the -- read address are not passed across and the write domain will only sample -- them when bits 5 and 4 of the read address transition from 01 to 10. -- Since this is for full detection this just means that if the read stops -- the write will hit full up to 64 locations early -- need to use two bits and look for an increment transition as reload can cause -- a decrement on this boundary (decrement is only by 3 so above bit 2 should be safe) p_rd_addr_tog : process (rx_fifo_aclk) begin if rx_fifo_aclk'event and rx_fifo_aclk = '1' then if rx_fifo_reset = '1' then old_rd_addr <= (others => '0'); update_addr_tog <= '0'; else old_rd_addr <= std_logic_vector(rd_addr(5 downto 4)); if rd_addr(5 downto 4) = "10" and old_rd_addr = "01" then update_addr_tog <= not update_addr_tog; end if; end if; end if; end process p_rd_addr_tog; sync_rd_addr_tog: temac_10_100_1000_sync_block port map ( clk => rx_mac_aclk, data_in => update_addr_tog, data_out => update_addr_tog_sync ); -- Obtain the difference between write and read pointers. p_sample_addr : process (rx_mac_aclk) begin if rx_mac_aclk'event and rx_mac_aclk = '1' then if rx_mac_reset = '1' then update_addr_tog_sync_reg <= '0'; wr_rd_addr <= (others => '0'); else update_addr_tog_sync_reg <= update_addr_tog_sync; if update_addr_tog_sync_reg /= update_addr_tog_sync then wr_rd_addr <= rd_addr(11 downto 6) & "000000"; end if; end if; end if; end process p_sample_addr; wr_addr_diff_in <= ('0' & wr_rd_addr) - ('0' & wr_addr); -- Obtain the difference between write and read pointers. p_addr_diff : process (rx_mac_aclk) begin if rx_mac_aclk'event and rx_mac_aclk = '1' then if rx_mac_reset = '1' then wr_addr_diff <= (others => '0'); else wr_addr_diff <= wr_addr_diff_in(11 downto 0); end if; end if; end process p_addr_diff; -- Detect when the FIFO is full. -- The FIFO is considered to be full if the write address pointer is -- within 0 to 3 of the read address pointer. p_wr_full : process (rx_mac_aclk) begin if rx_mac_aclk'event and rx_mac_aclk = '1' then if rx_mac_reset = '1' then wr_fifo_full <= '0'; else if wr_addr_diff(11 downto 4) = 0 and wr_addr_diff(3 downto 2) /= "00" then wr_fifo_full <= '1'; else wr_fifo_full <= '0'; end if; end if; end if; end process p_wr_full; -- Decode the overflow indicator output. fifo_overflow <= '1' when wr_state = OVFLOW_s else '0'; ------------------------------------------------------------------------------ -- FIFO status signals ------------------------------------------------------------------------------ -- The FIFO status is four bits which represents the occupancy of the FIFO -- in sixteenths. To generate this signal we therefore only need to compare -- the 4 most significant bits of the write address pointer with the 4 most -- significant bits of the read address pointer. p_wr_fifo_status : process (rx_mac_aclk) begin if rx_mac_aclk'event and rx_mac_aclk = '1' then if rx_mac_reset = '1' then wr_fifo_status <= "0000"; else if wr_addr_diff = (wr_addr_diff'range => '0') then wr_fifo_status <= "0000"; else wr_fifo_status(3) <= not wr_addr_diff(11); wr_fifo_status(2) <= not wr_addr_diff(10); wr_fifo_status(1) <= not wr_addr_diff(9); wr_fifo_status(0) <= not wr_addr_diff(8); end if; end if; end if; end process p_wr_fifo_status; fifo_status <= std_logic_vector(wr_fifo_status); wr_addr_slv <= std_logic_vector(wr_addr(10 downto 0)); rd_addr_slv <= std_logic_vector(rd_addr(10 downto 0)); ------------------------------------------------------------------------------ -- Instantiate FIFO block memory ------------------------------------------------------------------------------ wr_eof_data_bram(8) <= wr_eof_bram; wr_eof_data_bram(7 downto 0) <= wr_data_bram; -- Block RAM for lower address space (rx_addr(11) = '0') rd_eof_bram_l(0) <= rd_eof_data_bram_l(8); rd_data_bram_l <= rd_eof_data_bram_l(7 downto 0); ramgen_l : BRAM_TDP_MACRO generic map ( DEVICE => "7SERIES", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9, READ_WIDTH_A => 9, READ_WIDTH_B => 9) port map ( DOA => doa_l_unused, DOB => rd_eof_data_bram_l, ADDRA => wr_addr_slv, ADDRB => rd_addr_slv, CLKA => rx_mac_aclk, CLKB => rx_fifo_aclk, DIA => wr_eof_data_bram, DIB => GND_BUS(8 downto 0), ENA => VCC, ENB => rd_en, REGCEA => VCC, REGCEB => VCC, RSTA => rx_mac_reset, RSTB => rx_fifo_reset, WEA => wr_en_l_bram, WEB => GND ); -- Block RAM for lower address space (rx_addr(11) = '0') rd_eof_bram_u(0) <= rd_eof_data_bram_u(8); rd_data_bram_u <= rd_eof_data_bram_u(7 downto 0); ramgen_u : BRAM_TDP_MACRO generic map ( DEVICE => "7SERIES", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9, READ_WIDTH_A => 9, READ_WIDTH_B => 9) port map ( DOA => doa_u_unused, DOB => rd_eof_data_bram_u, ADDRA => wr_addr_slv, ADDRB => rd_addr_slv, CLKA => rx_mac_aclk, CLKB => rx_fifo_aclk, DIA => wr_eof_data_bram, DIB => GND_BUS(8 downto 0), ENA => VCC, ENB => rd_en, REGCEA => VCC, REGCEB => VCC, RSTA => rx_mac_reset, RSTB => rx_fifo_reset, WEA => wr_en_u_bram, WEB => GND ); end RTL;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4088/EPROC_IN2_DEC8b10b.vhd
2
6320
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 05/19/2014 --! Module Name: EPROC_IN2_DEC8b10b --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee, work; use ieee.std_logic_1164.ALL; use work.all; use work.centralRouter_package.all; --! 8b10b decoder for EPROC_IN2 module entity EPROC_IN2_DEC8b10b is port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; edataIN : in std_logic_vector (1 downto 0); dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic; busyOut : out std_logic ); end EPROC_IN2_DEC8b10b; architecture Behavioral of EPROC_IN2_DEC8b10b is signal EDATAbitstreamSREG : std_logic_vector (11 downto 0) := (others=>'0'); -- 12 bit (2 x 5 = 10, plus 2 more) signal word10b_align_array, word10b_align_array_r : word10b_2array_type; signal word10b, word10b_s : std_logic_vector (9 downto 0) := (others=>'0'); signal isk : std_logic_vector (1 downto 0) := (others=>'0'); signal comma_valid_bits_or, word10b_align_rdy_r, word10b_rdy, word10b_rdy_s, word10b_rdy_s1 : std_logic; signal align_select : std_logic := '0'; signal comma_valid_bits : std_logic_vector (1 downto 0); signal alignment_sreg : std_logic_vector (4 downto 0) := (others=>'0'); begin ------------------------------------------------------------------------------------------- --live bitstream -- input shift register ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then EDATAbitstreamSREG <= (others => '0'); elsif bitCLK'event and bitCLK = '1' then EDATAbitstreamSREG <= edataIN & EDATAbitstreamSREG(11 downto 2); end if; end process; ------------------------------------------------------------------------------------------- --clock0 -- input shift register mapping into 10 bit registers ------------------------------------------------------------------------------------------- input_map: for I in 0 to 1 generate -- 1 10bit-word per alignment, 2 possible alignments --word10b_align_array(I) <= EDATAbitstreamSREG((I+9) downto (I+0)); -- 10 bit word, alligned to bit I word10b_align_array(I) <= EDATAbitstreamSREG(I+0)&EDATAbitstreamSREG(I+1)&EDATAbitstreamSREG(I+2)&EDATAbitstreamSREG(I+3)&EDATAbitstreamSREG(I+4)& EDATAbitstreamSREG(I+5)&EDATAbitstreamSREG(I+6)&EDATAbitstreamSREG(I+7)&EDATAbitstreamSREG(I+8)&EDATAbitstreamSREG(I+9); -- 10 bit word, alligned to bit I end generate input_map; ------------------------------------------------------------------------------------------- --clock0 -- K28.5 comma test ------------------------------------------------------------------------------------------- comma_test: for I in 0 to 1 generate -- 1 10bit-word per alignment, comma is valid if two first words have comma... comma_valid_bits(I) <= '1' when (word10b_align_array(I) = COMMAp or word10b_align_array(I) = COMMAn) else '0'; end generate comma_test; -- comma_valid_bits_or <= comma_valid_bits(1) or comma_valid_bits(0); -- ------------------------------------------------------------------------------------------- --clock1 -- alignment selector state ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then alignment_sreg <= "00000"; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then alignment_sreg <= "10000"; else alignment_sreg <= alignment_sreg(0) & alignment_sreg(4 downto 1); end if; end if; end process; -- input_reg1: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_align_array_r <= word10b_align_array; end if; end process; -- word10b_align_rdy_r <= alignment_sreg(4); -- process(bitCLK, rst) begin if rst = '1' then align_select <= '0'; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then align_select <= (not comma_valid_bits(0)) and comma_valid_bits(1); end if; end if; end process; -- ------------------------------------------------------------------------------------------- --clock2 -- alignment selected ------------------------------------------------------------------------------------------- -- input_reg2: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_rdy <= word10b_align_rdy_r; end if; end process; -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then case (align_select) is when '0' => -- bit0 word got comma => align to bit0 word10b <= word10b_align_array_r(0); when '1' => -- bit1 word got comma => align to bit1 word10b <= word10b_align_array_r(1); when others => end case; end if; end process; -- ------------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_s <= word10b; word10b_rdy_s <= word10b_rdy; end if; end process; -- word10b_rdy_s1 <= word10b_rdy_s; ---- ------------------------------------------------------------------------------------------- -- 1 8b word get aligned and ready as 10 bit word (data and data code) ------------------------------------------------------------------------------------------- EPROC_IN2_ALIGN_BLOCK_inst: entity work.EPROC_IN2_ALIGN_BLOCK port map( bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst, bytes => word10b_s, bytes_rdy => word10b_rdy_s1, dataOUT => dataOUT, dataOUTrdy => dataOUTrdy, busyOut => busyOut ); end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4371/EPROC_IN2_DEC8b10b.vhd
2
6320
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 05/19/2014 --! Module Name: EPROC_IN2_DEC8b10b --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee, work; use ieee.std_logic_1164.ALL; use work.all; use work.centralRouter_package.all; --! 8b10b decoder for EPROC_IN2 module entity EPROC_IN2_DEC8b10b is port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; edataIN : in std_logic_vector (1 downto 0); dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic; busyOut : out std_logic ); end EPROC_IN2_DEC8b10b; architecture Behavioral of EPROC_IN2_DEC8b10b is signal EDATAbitstreamSREG : std_logic_vector (11 downto 0) := (others=>'0'); -- 12 bit (2 x 5 = 10, plus 2 more) signal word10b_align_array, word10b_align_array_r : word10b_2array_type; signal word10b, word10b_s : std_logic_vector (9 downto 0) := (others=>'0'); signal isk : std_logic_vector (1 downto 0) := (others=>'0'); signal comma_valid_bits_or, word10b_align_rdy_r, word10b_rdy, word10b_rdy_s, word10b_rdy_s1 : std_logic; signal align_select : std_logic := '0'; signal comma_valid_bits : std_logic_vector (1 downto 0); signal alignment_sreg : std_logic_vector (4 downto 0) := (others=>'0'); begin ------------------------------------------------------------------------------------------- --live bitstream -- input shift register ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then EDATAbitstreamSREG <= (others => '0'); elsif bitCLK'event and bitCLK = '1' then EDATAbitstreamSREG <= edataIN & EDATAbitstreamSREG(11 downto 2); end if; end process; ------------------------------------------------------------------------------------------- --clock0 -- input shift register mapping into 10 bit registers ------------------------------------------------------------------------------------------- input_map: for I in 0 to 1 generate -- 1 10bit-word per alignment, 2 possible alignments --word10b_align_array(I) <= EDATAbitstreamSREG((I+9) downto (I+0)); -- 10 bit word, alligned to bit I word10b_align_array(I) <= EDATAbitstreamSREG(I+0)&EDATAbitstreamSREG(I+1)&EDATAbitstreamSREG(I+2)&EDATAbitstreamSREG(I+3)&EDATAbitstreamSREG(I+4)& EDATAbitstreamSREG(I+5)&EDATAbitstreamSREG(I+6)&EDATAbitstreamSREG(I+7)&EDATAbitstreamSREG(I+8)&EDATAbitstreamSREG(I+9); -- 10 bit word, alligned to bit I end generate input_map; ------------------------------------------------------------------------------------------- --clock0 -- K28.5 comma test ------------------------------------------------------------------------------------------- comma_test: for I in 0 to 1 generate -- 1 10bit-word per alignment, comma is valid if two first words have comma... comma_valid_bits(I) <= '1' when (word10b_align_array(I) = COMMAp or word10b_align_array(I) = COMMAn) else '0'; end generate comma_test; -- comma_valid_bits_or <= comma_valid_bits(1) or comma_valid_bits(0); -- ------------------------------------------------------------------------------------------- --clock1 -- alignment selector state ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then alignment_sreg <= "00000"; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then alignment_sreg <= "10000"; else alignment_sreg <= alignment_sreg(0) & alignment_sreg(4 downto 1); end if; end if; end process; -- input_reg1: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_align_array_r <= word10b_align_array; end if; end process; -- word10b_align_rdy_r <= alignment_sreg(4); -- process(bitCLK, rst) begin if rst = '1' then align_select <= '0'; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then align_select <= (not comma_valid_bits(0)) and comma_valid_bits(1); end if; end if; end process; -- ------------------------------------------------------------------------------------------- --clock2 -- alignment selected ------------------------------------------------------------------------------------------- -- input_reg2: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_rdy <= word10b_align_rdy_r; end if; end process; -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then case (align_select) is when '0' => -- bit0 word got comma => align to bit0 word10b <= word10b_align_array_r(0); when '1' => -- bit1 word got comma => align to bit1 word10b <= word10b_align_array_r(1); when others => end case; end if; end process; -- ------------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_s <= word10b; word10b_rdy_s <= word10b_rdy; end if; end process; -- word10b_rdy_s1 <= word10b_rdy_s; ---- ------------------------------------------------------------------------------------------- -- 1 8b word get aligned and ready as 10 bit word (data and data code) ------------------------------------------------------------------------------------------- EPROC_IN2_ALIGN_BLOCK_inst: entity work.EPROC_IN2_ALIGN_BLOCK port map( bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst, bytes => word10b_s, bytes_rdy => word10b_rdy_s1, dataOUT => dataOUT, dataOUTrdy => dataOUTrdy, busyOut => busyOut ); end Behavioral;
gpl-3.0
rkrajnc/minimig-mist
rtl/tg68k/TG68K.vhd
1
25186
------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- -- -- Copyright (c) 2009-2011 Tobias Gubener -- -- Subdesign fAMpIGA by TobiFlex -- -- -- -- This is the TOP-Level for TG68KdotC_Kernel to generate 68K Bus signals -- -- -- -- This source file is free software: you can redistribute it and/or modify -- -- it under the terms of the GNU General Public License as published -- -- by the Free Software Foundation, either version 3 of the License, or -- -- (at your option) any later version. -- -- -- -- This source file is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public License -- -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TG68K is port( clk : in std_logic; reset : in std_logic; clkena_in : in std_logic:='1'; IPL : in std_logic_vector(2 downto 0):="111"; dtack : in std_logic; vpa : in std_logic:='1'; ein : in std_logic:='1'; addr : buffer std_logic_vector(31 downto 0); data_read : in std_logic_vector(15 downto 0); data_write : buffer std_logic_vector(15 downto 0); as : out std_logic; uds : out std_logic; lds : out std_logic; rw : out std_logic; e : out std_logic; vma : buffer std_logic:='1'; wrd : out std_logic; ena7RDreg : in std_logic:='1'; ena7WRreg : in std_logic:='1'; enaWRreg : in std_logic:='1'; fromram : in std_logic_vector(15 downto 0); ramready : in std_logic:='0'; cpu : in std_logic_vector(1 downto 0); fastramcfg : in std_logic_vector(2 downto 0); eth_en : in std_logic:='0'; sel_eth : buffer std_logic; frometh : in std_logic_vector(15 downto 0); ethready : in std_logic; turbochipram : in std_logic; turbokick : in std_logic; cache_inhibit : out std_logic; ovr : in std_logic; ramaddr : out std_logic_vector(31 downto 0); cpustate : out std_logic_vector(5 downto 0); nResetOut : buffer std_logic; skipFetch : buffer std_logic; cpuDMA : buffer std_logic; ramlds : out std_logic; ramuds : out std_logic; CACR_out : buffer std_logic_vector(3 downto 0); VBR_out : buffer std_logic_vector(31 downto 0) ); end TG68K; ARCHITECTURE logic OF TG68K IS COMPONENT TG68KdotC_Kernel generic( SR_Read : integer := 2; --0=>user, 1=>privileged, 2=>switchable with CPU(0) VBR_Stackframe : integer := 2; --0=>no, 1=>yes/extended, 2=>switchable with CPU(0) extAddr_Mode : integer := 2; --0=>no, 1=>yes, 2=>switchable with CPU(1) MUL_Mode : integer := 2; --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no MUL, DIV_Mode : integer := 2; --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no DIV, BitField : integer := 2 --0=>no, 1=>yes, 2=>switchable with CPU(1) ); port( clk : in std_logic; nReset : in std_logic; --low active clkena_in : in std_logic:='1'; data_in : in std_logic_vector(15 downto 0); IPL : in std_logic_vector(2 downto 0):="111"; IPL_autovector : in std_logic:='0'; CPU : in std_logic_vector(1 downto 0):="00"; -- 00->68000 01->68010 11->68020(only same parts - yet) addr_out : buffer std_logic_vector(31 downto 0); data_write : buffer std_logic_vector(15 downto 0); nWr : out std_logic; nUDS, nLDS : out std_logic; nResetOut : out std_logic; FC : out std_logic_vector(2 downto 0); busstate : out std_logic_vector(1 downto 0); -- 00-> fetch code 10->read data 11->write data 01->no memaccess skipFetch : out std_logic; regin_out : buffer std_logic_vector(31 downto 0); CACR_out : buffer std_logic_vector(3 downto 0); VBR_out : buffer std_logic_vector(31 downto 0) ); END COMPONENT; SIGNAL cpuaddr : std_logic_vector(31 downto 0); SIGNAL r_data : std_logic_vector(15 downto 0); SIGNAL cpuIPL : std_logic_vector(2 downto 0); SIGNAL as_s : std_logic; SIGNAL as_e : std_logic; SIGNAL uds_s : std_logic; SIGNAL uds_e : std_logic; SIGNAL lds_s : std_logic; SIGNAL lds_e : std_logic; SIGNAL rw_s : std_logic; SIGNAL rw_e : std_logic; SIGNAL vpad : std_logic; SIGNAL waitm : std_logic; SIGNAL clkena_e : std_logic; SIGNAL S_state : std_logic_vector(1 downto 0); SIGNAL decode : std_logic; SIGNAL wr : std_logic; SIGNAL uds_in : std_logic; SIGNAL lds_in : std_logic; SIGNAL state : std_logic_vector(1 downto 0); SIGNAL clkena : std_logic; SIGNAL vmaena : std_logic; SIGNAL state_ena : std_logic; SIGNAL eind : std_logic; SIGNAL eindd : std_logic; SIGNAL sel_autoconfig : std_logic; SIGNAL autoconfig_out : std_logic_vector(1 downto 0); -- We use this as a counter since we have two cards to configure SIGNAL autoconfig_data : std_logic_vector(3 downto 0); -- Zorro II RAM SIGNAL autoconfig_data2 : std_logic_vector(3 downto 0); -- Zorro III RAM SIGNAL autoconfig_data3 : std_logic_vector(3 downto 0); -- Zorro III ethernet SIGNAL sel_fast : std_logic; SIGNAL sel_chipram : std_logic; SIGNAL turbochip_ena : std_logic := '0'; SIGNAL turbochip_d : std_logic := '0'; SIGNAL turbokick_d : std_logic := '0'; SIGNAL slower : std_logic_vector(3 downto 0); TYPE sync_states IS (sync0, sync1, sync2, sync3, sync4, sync5, sync6, sync7, sync8, sync9); SIGNAL sync_state : sync_states; SIGNAL datatg68 : std_logic_vector(15 downto 0); SIGNAL ramcs : std_logic; SIGNAL z2ram_ena : std_logic; SIGNAL z3ram_base : std_logic_vector(7 downto 0); SIGNAL z3ram_ena : std_logic; SIGNAL eth_base : std_logic_vector(7 downto 0); SIGNAL eth_cfgd : std_logic; SIGNAL sel_z2ram : std_logic; SIGNAL sel_z3ram : std_logic; SIGNAL sel_kickram : std_logic; --SIGNAL sel_eth : std_logic; SIGNAL NMI_vector : std_logic_vector(15 downto 0); SIGNAL NMI_addr : std_logic_vector(31 downto 0); SIGNAL NMI_active : std_logic; SIGNAL sel_interrupt : std_logic; SIGNAL cpuaddr_w : std_logic_vector(31 downto 0); SIGNAL data_write_w : std_logic_vector(15 downto 0); SIGNAL state_w : std_logic_vector(1 downto 0); SIGNAL wr_w : std_logic; SIGNAL uds_in_w : std_logic; SIGNAL lds_in_w : std_logic; SIGNAL nResetOut_w : std_logic; SIGNAL skipFetch_w : std_logic; SIGNAL CACR_out_w : std_logic_vector(3 downto 0); SIGNAL VBR_out_w : std_logic_vector(31 downto 0); BEGIN -- NMI PROCESS(clk) BEGIN IF rising_edge(clk) THEN IF reset='0' THEN NMI_addr <= X"0000007c"; NMI_active <= '0'; ELSE NMI_addr <= VBR_out + X"0000007c"; IF (IPL="000") THEN NMI_active <= '1'; ELSIF (cpuaddr(23 downto 1) = "1111111111111111111111") THEN NMI_active <= '0'; END IF; END IF; END IF; END PROCESS; NMI_vector <= X"000c" WHEN cpuaddr(1)='1' ELSE X"00a0"; -- 16-bit bus! wrd <= wr; addr <= cpuaddr; datatg68 <= NMI_vector WHEN sel_interrupt='1' ELSE fromram WHEN sel_fast='1' --ELSE frometh WHEN sel_eth='1' ELSE autoconfig_data&r_data(11 downto 0) WHEN sel_autoconfig='1' AND autoconfig_out="01" -- Zorro II RAM autoconfig ELSE autoconfig_data2&r_data(11 downto 0) WHEN sel_autoconfig='1' AND autoconfig_out="10" -- Zorro III RAM autoconfig --ELSE autoconfig_data3&r_data(11 downto 0) WHEN sel_autoconfig='1' AND autoconfig_out="11" -- Zorro III ethernet autoconfig ELSE r_data; sel_autoconfig <= '1' WHEN fastramcfg(2 downto 0)/="000" AND cpuaddr(23 downto 19)="11101" AND autoconfig_out/="00" ELSE '0'; --$E80000 - $EFFFFF sel_z3ram <= '1' WHEN (cpuaddr(31 downto 24)=z3ram_base) AND z3ram_ena='1' ELSE '0'; sel_z2ram <= '1' WHEN (cpuaddr(31 downto 24) = "00000000") AND ((cpuaddr(23 downto 21) = "001") OR (cpuaddr(23 downto 21) = "010") OR (cpuaddr(23 downto 21) = "011") OR (cpuaddr(23 downto 21) = "100")) AND z2ram_ena='1' ELSE '0'; --sel_eth <= '1' WHEN (cpuaddr(31 downto 24) = eth_base) AND eth_cfgd='1' ELSE '0'; sel_chipram <= '1' WHEN (cpuaddr(31 downto 24) = "00000000") AND (cpuaddr(23 downto 21)="000") AND turbochip_ena='1' AND turbochip_d='1' ELSE '0'; --$000000 - $1FFFFF --sel_chipram <= '1' WHEN sel_z3ram/='1' AND turbochip_ena='1' AND turbochip_d='1' AND (cpuaddr(23 downto 21)="000") ELSE '0'; --$000000 - $1FFFFF sel_kickram <= '1' WHEN (cpuaddr(31 downto 24) = "00000000") AND ((cpuaddr(23 downto 19)="11111") OR (cpuaddr(23 downto 19)="11100")) AND turbochip_ena='1' AND turbokick_d='1' ELSE '0'; -- $f8xxxx, e0xxxx --sel_kickram <= '1' WHEN sel_z3ram/='1' AND turbochip_ena='1' AND turbokick_d='1' AND (cpuaddr(23 downto 19)="11111") ELSE '0'; -- $f8xxxx sel_interrupt <= '1' WHEN (cpuaddr(31 downto 2) = NMI_addr(31 downto 2)) AND wr='0' ELSE '0'; sel_fast <= '1' WHEN state/="01" AND ( sel_z2ram='1' OR sel_z3ram='1' OR sel_chipram='1' OR sel_kickram='1' ) ELSE '0'; --sel_fast <= '1' when state/="01" AND ( -- cpuaddr(23 downto 21)="001" -- OR cpuaddr(23 downto 21)="010" -- OR cpuaddr(23 downto 21)="011" -- OR cpuaddr(23 downto 21)="100" -- OR sel_z3ram='1' -- OR sel_chipram='1' -- OR sel_kickram='1' -- ) ELSE '0'; --$200000 - $9FFFFF cache_inhibit <= '1' WHEN sel_chipram='1' OR sel_kickram='1' ELSE '0'; --ramcs <= (NOT sel_fast AND NOT sel_eth) or slower(0);-- OR (state(0) AND NOT state(1)); ramcs <= (NOT sel_fast) or slower(0);-- OR (state(0) AND NOT state(1)); cpuDMA <= sel_fast; cpustate <= clkena&slower(1 downto 0)&ramcs&state; ramlds <= lds_in; ramuds <= uds_in; ramaddr(31 downto 25) <= "0000000"; ramaddr(24) <= sel_z3ram; -- Remap the Zorro III RAM to 0x1000000 ramaddr(23 downto 21) <= "100" WHEN sel_z2ram&cpuaddr(23 downto 21)="1001" -- 2 -> 8 ELSE "101" WHEN sel_z2ram&cpuaddr(23 downto 21)="1010" -- 4 -> A ELSE "110" WHEN sel_z2ram&cpuaddr(23 downto 21)="1011" -- 6 -> C ELSE "111" WHEN sel_z2ram&cpuaddr(23 downto 21)="1100" -- 8 -> E ELSE "001" WHEN sel_kickram='1' ELSE cpuaddr(23 downto 21); -- pass through others ramaddr(20 downto 19) <= "11" WHEN sel_kickram='1' AND cpuaddr(23 downto 19)="11111" ELSE "00" WHEN sel_kickram='1' AND cpuaddr(23 downto 19)="11100" ELSE cpuaddr(20 downto 19); ramaddr(18 downto 0) <= cpuaddr(18 downto 0); --ramaddr(23 downto 21) <= "100" when sel_z3ram&cpuaddr(23 downto 21)="0001" -- 2 -> 8 -- else "101" when sel_z3ram&cpuaddr(23 downto 21)="0010" -- 4 -> A -- else "110" when sel_z3ram&cpuaddr(23 downto 21)="0011" -- 6 -> C -- else "111" when sel_z3ram&cpuaddr(23 downto 21)="0100" -- 8 -> E -- else "001" when sel_kickram='1' -- else cpuaddr(23 downto 21); -- pass through others --ramaddr(20 downto 19) <= "11" when sel_kickram='1' -- else cpuaddr(20 downto 19); --ramaddr(18 downto 0) <= cpuaddr(18 downto 0); pf68K_Kernel_inst: TG68KdotC_Kernel generic map ( SR_Read => 2, -- 0=>user, 1=>privileged, 2=>switchable with CPU(0) VBR_Stackframe => 2, -- 0=>no, 1=>yes/extended, 2=>switchable with CPU(0) extAddr_Mode => 2, -- 0=>no, 1=>yes, 2=>switchable with CPU(1) MUL_Mode => 2, -- 0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no MUL, DIV_Mode => 2 -- 0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no DIV, ) PORT MAP ( clk => clk, -- : in std_logic; nReset => reset, -- : in std_logic:='1'; --low active clkena_in => clkena, -- : in std_logic:='1'; data_in => datatg68, -- : in std_logic_vector(15 downto 0); IPL => cpuIPL, -- : in std_logic_vector(2 downto 0):="111"; IPL_autovector => '1', -- : in std_logic:='0'; CPU => cpu, regin_out => open, -- : out std_logic_vector(31 downto 0); addr_out => cpuaddr, -- : buffer std_logic_vector(31 downto 0); data_write => data_write, -- : out std_logic_vector(15 downto 0); busstate => state, -- : buffer std_logic_vector(1 downto 0); nWr => wr, -- : out std_logic; nUDS => uds_in, nLDS => lds_in, -- : out std_logic; nResetOut => nResetOut, skipFetch => skipFetch, -- : out std_logic CACR_out => CACR_out, VBR_out => VBR_out --addr_out => cpuaddr_w, -- : buffer std_logic_vector(31 downto 0); --data_write => data_write_w, -- : out std_logic_vector(15 downto 0); --busstate => state_w, -- : buffer std_logic_vector(1 downto 0); --nWr => wr_w, -- : out std_logic; --nUDS => uds_in_w, --nLDS => lds_in_w, -- : out std_logic; --nResetOut => nResetOut_w, --skipFetch => skipFetch_w, -- : out std_logic --CACR_out => CACR_out_w, --VBR_out => VBR_out_w ); --PROCESS (clk) BEGIN -- IF rising_edge(clk) THEN -- IF reset='0' THEN -- cpuaddr <= X"00000000"; -- data_write <= X"0000"; -- state <= "01"; -- wr <= '1'; -- uds_in <= '1'; -- lds_in <= '1'; -- nResetOut <= '1'; -- skipFetch <= '0'; -- CACR_out <= "0000"; -- VBR_out <= X"00000000"; -- ELSE -- cpuaddr <= cpuaddr_w; -- data_write <= data_write_w; -- state <= state_w; -- wr <= wr_w; -- uds_in <= uds_in_w; -- lds_in <= lds_in_w; -- nResetOut <= nResetOut_w; -- skipFetch <= skipFetch_w; -- CACR_out <= CACR_out_w; -- VBR_out <= VBR_out_w; -- END IF; -- END IF; --END PROCESS; PROCESS(clk,turbochipram, turbokick) BEGIN IF rising_edge(clk) THEN IF reset='0' THEN turbochip_d <= '0'; turbokick_d <= '0'; ELSIF state="01" THEN -- No mem access, so safe to switch chipram access mode turbochip_d<=turbochipram; turbokick_d<=turbokick; END IF; END IF; END PROCESS; PROCESS (clk) BEGIN -- Zorro II RAM (Up to 8 meg at 0x200000) autoconfig_data <= "1111"; IF fastramcfg/="000" THEN CASE cpuaddr(6 downto 1) IS WHEN "000000" => autoconfig_data <= "1110"; -- Zorro-II card, add mem, no ROM WHEN "000001" => --autoconfig_data <= "0111"; -- 4MB CASE fastramcfg(1 downto 0) IS WHEN "01" => autoconfig_data <= "0110"; -- 2MB WHEN "10" => autoconfig_data <= "0111"; -- 4MB WHEN OTHERS => autoconfig_data <= "0000"; -- 8MB END CASE; WHEN "001000" => autoconfig_data <= "1110"; -- Manufacturer ID: 0x139c WHEN "001001" => autoconfig_data <= "1100"; WHEN "001010" => autoconfig_data <= "0110"; WHEN "001011" => autoconfig_data <= "0011"; WHEN "010011" => autoconfig_data <= "1110"; --serial=1 WHEN OTHERS => null; END CASE; END IF; -- Zorro III RAM (Up to 16 meg, address assigned by ROM) autoconfig_data2 <= "1111"; IF fastramcfg(2)='1' THEN -- Zorro III RAM CASE cpuaddr(6 downto 1) IS WHEN "000000" => autoconfig_data2 <= "1010"; -- Zorro-III card, add mem, no ROM WHEN "000001" => autoconfig_data2 <= "0000"; -- 8MB (extended to 16 in reg 08) WHEN "000010" => autoconfig_data2 <= "1110"; -- ProductID=0x10 (only setting upper nibble) WHEN "000100" => autoconfig_data2 <= "0000"; -- Memory card, not silenceable, Extended size (16 meg), reserved. WHEN "000101" => autoconfig_data2 <= "1111"; -- 0000 - logical size matches physical size TODO change this to 0001, so it is autosized by the OS, WHEN it will be 24MB. WHEN "001000" => autoconfig_data2 <= "1110"; -- Manufacturer ID: 0x139c WHEN "001001" => autoconfig_data2 <= "1100"; WHEN "001010" => autoconfig_data2 <= "0110"; WHEN "001011" => autoconfig_data2 <= "0011"; WHEN "010011" => autoconfig_data2 <= "1101"; -- serial=2 WHEN OTHERS => null; END CASE; END IF; -- Zorro III ethernet autoconfig_data3 <= "1111"; IF eth_en='1' THEN CASE cpuaddr(6 downto 1) IS WHEN "000000" => autoconfig_data3 <= "1000"; -- 00H: Zorro-III card, no link, no ROM WHEN "000001" => autoconfig_data3 <= "0001"; -- 00L: next board not related, size 64K WHEN "000010" => autoconfig_data3 <= "1101"; -- 04H: ProductID=0x20 (only setting upper nibble) WHEN "000100" => autoconfig_data3 <= "1110"; -- 08H: Not memory, silenceable, normal size, Zorro III WHEN "000101" => autoconfig_data3 <= "1101"; -- 08L: Logical size 64K WHEN "001000" => autoconfig_data3 <= "1110"; -- Manufacturer ID: 0x139c WHEN "001001" => autoconfig_data3 <= "1100"; WHEN "001010" => autoconfig_data3 <= "0110"; WHEN "001011" => autoconfig_data3 <= "0011"; WHEN "010011" => autoconfig_data3 <= "1100"; -- serial=2 WHEN OTHERS => null; END CASE; END IF; IF rising_edge(clk) THEN IF reset='0' THEN autoconfig_out <= "01"; --autoconfig on turbochip_ena <= '0'; -- disable turbo_chipram until we know kickstart's running... z2ram_ena <='0'; z3ram_ena <='0'; z3ram_base<=X"01"; --eth_cfgd <='0'; --eth_base<=X"02"; ELSIF enaWRreg='1' THEN IF sel_autoconfig='1' AND state="11"AND uds_in='0' AND clkena='1' THEN CASE cpuaddr(6 downto 1) IS WHEN "100100" => -- Register 0x48 - config IF autoconfig_out="01" THEN z2ram_ena <= '1'; autoconfig_out<=fastramcfg(2)&'0'; END IF; turbochip_ena <= '1'; -- enable turbo_chipram after autoconfig has been done... -- FIXME - this is a hack to allow ROM overlay to work. WHEN "100010" => -- Register 0x44, assign base address to ZIII RAM. -- We ought to take 16 bits here, but for now we take liberties and use a single byte. IF autoconfig_out="10" THEN z3ram_base<=data_write(15 downto 8); z3ram_ena <='1'; -- autoconfig_out<= eth_en & eth_en; -- ELSIF autoconfig_out="11" THEN -- eth_base <= data_write(15 downto 8); -- eth_cfgd <= '1'; autoconfig_out <= "00"; END IF; WHEN others => null; END CASE; END IF; END IF; END IF; END PROCESS; PROCESS (clk) BEGIN IF rising_edge(clk) THEN IF reset='0' THEN vmaena <= '0'; ELSIF ena7RDreg='1' THEN vmaena <= '0'; IF sync_state=sync5 THEN e <= '1'; END IF; IF sync_state=sync9 THEN e <= '0'; vmaena <= NOT vma; END IF; END IF; END IF; IF rising_edge(clk) THEN IF ena7WRreg='1' THEN eind <= ein; eindd <= eind; CASE sync_state IS WHEN sync0 => sync_state <= sync1; WHEN sync1 => sync_state <= sync2; WHEN sync2 => sync_state <= sync3; WHEN sync3 => sync_state <= sync4; vma <= vpa; WHEN sync4 => sync_state <= sync5; WHEN sync5 => sync_state <= sync6; WHEN sync6 => sync_state <= sync7; WHEN sync7 => sync_state <= sync8; WHEN sync8 => sync_state <= sync9; WHEN OTHERS => sync_state <= sync0; vma <= '1'; END CASE; IF eind='1' AND eindd='0' THEN sync_state <= sync7; END IF; END IF; END IF; END PROCESS; PROCESS (clk, clkena_in, enaWRreg, state, ena7RDreg, clkena_e, ramready) BEGIN state_ena <= '0'; -- IF clkena_in='1' AND enaWRreg='1' AND (state="01" OR (ena7RDreg='1' AND clkena_e='1') OR ramready='1' OR ethready='1') THEN IF clkena_in='1' AND enaWRreg='1' AND (state="01" OR (ena7RDreg='1' AND clkena_e='1') OR ramready='1') THEN clkena <= '1'; ELSE clkena <= '0'; END IF; IF state="01" THEN state_ena <= '1'; END IF; IF rising_edge(clk) THEN IF clkena='1' THEN slower <= "0111"; -- rokk -- slower <= "0111"; ELSE slower(3 downto 0) <= '0'&slower(3 downto 1); -- enaWRreg&slower(3 downto 1); -- slower(0) <= NOT slower(3) AND NOT slower(2); END IF; END IF; END PROCESS; PROCESS (clk, reset, state, as_s, as_e, rw_s, rw_e, uds_s, uds_e, lds_s, lds_e, sel_fast) BEGIN IF state="01" THEN as <= '1'; rw <= '1'; uds <= '1'; lds <= '1'; ELSE as <= (as_s AND as_e) OR sel_fast; rw <= rw_s AND rw_e; uds <= uds_s AND uds_e; lds <= lds_s AND lds_e; END IF; IF reset='0' THEN S_state <= "00"; as_s <= '1'; rw_s <= '1'; uds_s <= '1'; lds_s <= '1'; ELSIF rising_edge(clk) THEN IF ena7WRreg='1' THEN as_s <= '1'; rw_s <= '1'; uds_s <= '1'; lds_s <= '1'; CASE S_state IS WHEN "00" => IF state/="01" AND sel_fast='0' THEN uds_s <= uds_in; lds_s <= lds_in; S_state <= "01"; END IF; WHEN "01" => as_s <= '0'; rw_s <= wr; uds_s <= uds_in; lds_s <= lds_in; S_state <= "10"; WHEN "10" => r_data <= data_read; IF waitm='0' OR (vma='0' AND sync_state=sync9) THEN S_state <= "11"; ELSE as_s <= '0'; rw_s <= wr; uds_s <= uds_in; lds_s <= lds_in; END IF; WHEN "11" => S_state <= "00"; WHEN OTHERS => null; END CASE; END IF; END IF; IF reset='0' THEN as_e <= '1'; rw_e <= '1'; uds_e <= '1'; lds_e <= '1'; clkena_e <= '0'; ELSIF rising_edge(clk) THEN IF ena7RDreg='1' THEN as_e <= '1'; rw_e <= '1'; uds_e <= '1'; lds_e <= '1'; clkena_e <= '0'; CASE S_state IS WHEN "00" => cpuIPL <= IPL; IF sel_fast='0' THEN IF state/="01" THEN as_e <= '0'; END IF; rw_e <= wr; IF wr='1' THEN uds_e <= uds_in; lds_e <= lds_in; END IF; END IF; WHEN "01" => as_e <= '0'; rw_e <= wr; uds_e <= uds_in; lds_e <= lds_in; WHEN "10" => rw_e <= wr; cpuIPL <= IPL; waitm <= dtack; WHEN OTHERS => --null; clkena_e <= '1'; END CASE; END IF; END IF; END PROCESS; END;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/readout/FIFO2UDP.vhd
1
18337
---------------------------------------------------------------------------------- -- Company: NTU ATHNENS - BNL - Michigan -- Engineer: Panagiotis Gkountoumis & Reid Pinkham & Paris Moschovakos -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Panagiotis Gkountoumis & Reid Pinkham & Paris Moschovakos -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 18.04.2016 13:00:21 -- Design Name: -- Module Name: config_logic - Behavioral -- Project Name: MMFE8 -- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Changelog: -- 23.07.2016 Output signal "sending" to hold packet_formation from issuing new -- packets (Paris) -- 26.07.2016 Increased the size of the FIFO to 2048 in order to be able to handle -- jumbo UDP frames. (Paris) -- 22.08.2016 Re-wrote the main logic into a single state machine to fix the freezing -- bug. (Reid Pinkham) -- 26.02.2016 Moved to a global clock domain @125MHz (Paris) -- ---------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity FIFO2UDP is Port ( clk_125 : in std_logic; destinationIP : in std_logic_vector(31 downto 0); daq_data_in : in std_logic_vector(15 downto 0); fifo_data_out : out std_logic_vector (7 downto 0); udp_txi : out udp_tx_type; udp_tx_start : out std_logic; re_out : out std_logic; control : out std_logic; UDPDone : out std_logic; udp_tx_data_out_ready : in std_logic; wr_en : in std_logic; end_packet : in std_logic; global_reset : in std_logic; packet_length_in : in std_logic_vector(11 downto 0); reset_DAQ_FIFO : in std_logic; confReply_packet : in std_logic; vmmID : in std_logic_vector(2 downto 0); trigger_out : out std_logic; count_o : out std_logic_vector(3 downto 0); faifouki : out std_logic ); end FIFO2UDP; architecture Behavioral of FIFO2UDP is signal count : unsigned(3 downto 0) := x"0"; signal i : integer := 0; signal count_length : unsigned(15 downto 0) := x"0000"; signal daq_fifo_re : std_logic := '0'; signal fifo_empty_UDP : std_logic := '0'; signal fifo_full_UDP : std_logic := '0'; signal prog_fifo_empty : std_logic := '0'; signal daq_out : std_logic_vector(255 downto 0); signal data_out : std_logic_vector(7 downto 0) := x"00"; signal data_out_valid : std_logic := '0'; signal packet_length : unsigned(15 downto 0) := x"0000"; signal data_out_last : std_logic := '0'; signal end_packet_synced : std_logic := '0'; signal udp_tx_start_int : std_logic := '0'; signal wr_en_int : std_logic := '0'; signal fifo_len_wr_en : std_logic := '0'; signal fifo_len_rd_en : std_logic := '0'; signal packet_len_r : std_logic_vector(11 downto 0); signal fifo_empty_len : std_logic; signal state : std_logic := '0'; signal is_trailer : integer := 0; signal temp_buffer : std_logic_vector(63 downto 0) := (others=> '0'); signal daq_data_out : std_logic_vector(7 downto 0) := x"00"; signal vmmID_i : std_logic_vector(2 downto 0); signal trigger : std_logic; signal len_cnt : unsigned(7 downto 0) := "00000000"; signal rst_fifo : std_logic := '0'; -- attribute mark_debug : string; -- attribute mark_debug of prog_fifo_empty : signal is "true"; -- attribute mark_debug of fifo_empty_UDP : signal is "true"; -- attribute mark_debug of daq_fifo_re : signal is "true"; -- attribute mark_debug of data_out_last : signal is "true"; -- attribute mark_debug of data_out : signal is "true"; -- attribute mark_debug of data_out_valid : signal is "true"; -- attribute mark_debug of udp_tx_data_out_ready : signal is "true"; -- attribute mark_debug of daq_data_out : signal is "true"; -- attribute mark_debug of udp_tx_start : signal is "true"; -- attribute mark_debug of end_packet_synced : signal is "true"; -- attribute mark_debug of i : signal is "true"; -- attribute mark_debug of packet_length : signal is "true"; -- attribute mark_debug of count : signal is "true"; -- attribute mark_debug of count_length : signal is "true"; -- attribute mark_debug of wr_en_int : signal is "true"; -- attribute mark_debug of fifo_full_UDP : signal is "true"; -- attribute mark_debug of fifo_empty_len : signal is "true"; -- attribute mark_debug of wr_en : signal is "true"; -- attribute mark_debug of packet_length_in : signal is "true"; -- attribute mark_debug of vmmID_i : signal is "true"; -- attribute mark_debug of trigger : signal is "true"; -- attribute mark_debug of len_cnt : signal is "true"; -- attribute mark_debug of fifo_len_wr_en : signal is "true"; -- attribute mark_debug of fifo_len_rd_en : signal is "true"; -- attribute mark_debug of packet_len_r : signal is "true"; component readout_fifo is port( clk : in std_logic; srst : in std_logic; din : in std_logic_vector(15 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(7 downto 0); full : out std_logic; empty : out std_logic ); end component; component packet_len_fifo port ( clk : in std_logic; srst : in std_logic; din : in std_logic_vector(11 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(11 downto 0); full : out std_logic; empty : out std_logic ); end component; component ila_0 PORT ( clk : in std_logic; probe0 : in std_logic_vector(255 DOWNTO 0); probe1 : in std_logic); end component; begin -- process ot trigger ILAs trigger_proc: process (clk_125, vmmID_i, data_out_last) begin if rising_edge(clk_125) then if (vmmID_i = "000" and data_out_last = '1') then trigger <= '1'; else trigger <= '0'; end if; end if; end process; daq_FIFO_instance: readout_fifo port map( clk => clk_125, srst => rst_fifo, din => daq_data_in, wr_en => wr_en, rd_en => daq_fifo_re, dout => daq_data_out, full => fifo_full_UDP, empty => fifo_empty_UDP ); packet_len_fifo_instance: packet_len_fifo port map ( clk => clk_125, srst => rst_fifo, din => packet_length_in, wr_en => fifo_len_wr_en, rd_en => fifo_len_rd_en, dout => packet_len_r, full => open, empty => fifo_empty_len ); fill_packet_len: process (clk_125, state) -- small state machine to write packet_len to fifo begin if rising_edge(clk_125) then case state is when '0' => -- idle if (end_packet_synced = '1') then -- latch the packet_len into the fifo fifo_len_wr_en <= '1'; state <= '1'; else state <= '0'; end if; when '1' => -- st1 if (end_packet_synced = '0') then-- prevent a double latch state <= '0'; else state <= '1'; end if; fifo_len_wr_en <= '0'; when others => state <= '0'; end case; end if; end process; process (clk_125, fifo_len_rd_en) begin if rising_edge(clk_125) then if fifo_len_rd_en = '1' then len_cnt <= len_cnt + 1; end if; end if; end process; UDPDone_proc: process (clk_125) begin if rising_edge(clk_125) then if fifo_empty_UDP = '1' and fifo_empty_len = '1' then -- IF Statement to inidcate when packets have been sent UDPDone <= '1'; else UDPDone <= '0'; end if; end if; end process; process (clk_125, count, udp_tx_data_out_ready, fifo_empty_UDP, prog_fifo_empty, data_out_valid) begin if rising_edge(clk_125) then if global_reset = '1' then -- IF statement to read from length fifo and initiate a packet send data_out_last <= '0'; data_out_valid <= '0'; udp_tx_start_int <= '0'; fifo_len_rd_en <= '0'; daq_fifo_re <= '0'; count <= x"0"; else case count is when x"0" => if fifo_empty_len = '0' then -- Send packets until FIFO is empty fifo_len_rd_en <= '1'; count <= x"1"; end if; when x"1" => -- state to allow fifo time to respond count <= x"2"; fifo_len_rd_en <= '0'; when x"2" => packet_length <= resize(unsigned("0000" & packet_len_r) * 2 + 4, 16); count_length <= resize(unsigned("0000" & packet_len_r) * 2, 16); fifo_len_rd_en <= '0'; count <= x"3"; when x"3" => data_out_last <= '0'; data_out_valid <= '0'; data_out <= (others => '0'); udp_tx_start_int <= '0'; if(confReply_packet = '1')then udp_txi.hdr.dst_port <= x"E887"; else udp_txi.hdr.dst_port <= x"1778"; end if; count <= x"4"; when x"4" => udp_tx_start_int <= '1'; udp_txi.hdr.dst_ip_addr <= destinationIP; -- set a generic ip adrress (192.168.0.255) udp_txi.hdr.src_port <= x"19CB"; -- set src and dst ports udp_txi.hdr.data_length <= std_logic_vector(packet_length); -- defined to be 16 bits in UDP daq_fifo_re <= '0'; udp_txi.hdr.checksum <= x"0000"; count <= x"5"; when x"5" => if udp_tx_data_out_ready = '1' then udp_tx_start_int <= '0'; daq_fifo_re <= '1'; count <= x"6"; end if; when x"6" => if udp_tx_data_out_ready = '1' then count_length <= count_length - 1; udp_tx_start_int <= '0'; data_out <= daq_data_out; count <= x"7"; end if; when x"7" => if udp_tx_data_out_ready = '1' then if count_length = 1 then daq_fifo_re <= '0'; elsif count_length = 0 then count <= x"8"; daq_fifo_re <= '0'; else daq_fifo_re <= '1'; end if; count_length <= count_length - 1; udp_tx_start_int <= '0'; data_out_valid <= '1'; control <= '0'; data_out_last <= '0'; data_out <= daq_data_out; else daq_fifo_re <= '0'; end if; when x"8" => if udp_tx_data_out_ready = '1' then daq_fifo_re <= '0'; udp_tx_start_int <= '0'; data_out_last <= '0'; data_out <= x"ff"; count <= x"9"; end if; when x"9" => if udp_tx_data_out_ready = '1' then daq_fifo_re <= '0'; udp_tx_start_int <= '0'; data_out_last <= '0'; data_out <= x"ff"; count <= x"a"; end if; when x"a" => if udp_tx_data_out_ready = '1' then daq_fifo_re <= '0'; udp_tx_start_int <= '0'; data_out_last <= '0'; data_out <= x"ff"; count <= x"b"; end if; when x"b" => if udp_tx_data_out_ready = '1' then daq_fifo_re <= '0'; udp_tx_start_int <= '0'; data_out_last <= '1'; data_out <= x"ff"; count <= x"c"; end if; when x"c" => data_out_last <= '0'; data_out_valid <= '0'; data_out <= (others => '0'); udp_tx_start_int <= '0'; count <= x"d"; when x"d" => count <= x"0"; count_length <= x"0000"; data_out_last <= '0'; data_out_valid <= '0'; udp_tx_start_int <= '0'; when others => count <= x"0"; end case; end if; end if; end process; vmmID_i <= vmmID; -- assign external signal to internal udp_tx_start <= udp_tx_start_int; udp_txi.data.data_out_last <= data_out_last; udp_txi.data.data_out_valid <= data_out_valid ; udp_txi.data.data_out <= data_out; wr_en_int <= wr_en; end_packet_synced <= end_packet; rst_fifo <= reset_DAQ_FIFO or global_reset; trigger_out <= trigger; count_o <= std_logic_vector(count); faifouki <= fifo_empty_len; --ila_daq_send : ila_0 -- port map -- ( -- clk => clk_125, -- probe0 => daq_out, -- probe1 => udp_tx_data_out_ready -- ); daq_out(0) <= end_packet_synced; daq_out(1) <= fifo_empty_UDP; daq_out(2) <= daq_fifo_re; daq_out(3) <= data_out_valid; daq_out(4) <= data_out_last; daq_out(12 downto 5) <= data_out; daq_out(16 downto 13) <= std_logic_vector(count); daq_out(38 downto 17) <= (others => '0'); daq_out(39) <= udp_tx_start_int; daq_out(40) <= '0'; --udp_tx_data_out_ready; daq_out(48 downto 41) <= daq_data_out; daq_out(112 downto 49) <= (others => '0'); daq_out(113) <= '0'; daq_out(129 downto 114) <= std_logic_vector(packet_length); daq_out(145 downto 130) <= std_logic_vector(count_length); daq_out(157 downto 146) <= packet_len_r; daq_out(221 downto 158) <= (others => '0'); daq_out(222) <= wr_en_int; daq_out(223) <= wr_en; daq_out(235 downto 224) <= packet_length_in; daq_out(236) <= udp_tx_data_out_ready; daq_out(237) <= fifo_len_wr_en; daq_out(238) <= fifo_len_rd_en; daq_out(239) <= fifo_empty_len; daq_out(240) <= fifo_full_UDP; daq_out(243 downto 241) <= vmmID_i; daq_out(244) <= trigger; daq_out(252 downto 245) <= std_logic_vector(len_cnt); daq_out(255 downto 253) <= (others => '0'); end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/imports/sgmii_10_100_1000/ipcore_dir/temac_10_100_1000/example_design/temac_10_100_1000_block.vhd
2
16567
-------------------------------------------------------------------------------- -- File : temac_10_100_1000_block.vhd -- Author : Xilinx Inc. -- ----------------------------------------------------------------------------- -- (c) Copyright 2004-2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ----------------------------------------------------------------------------- -- Description: This is the block level VHDL design for the Tri-Mode -- Ethernet MAC Example Design. -- -- This block level: -- -- * instantiates all clock enable logic required to operate the -- TEMAC and its example design; -- -- * instantiates appropriate PHY interface module (GMII/MII/RGMII) -- as required based on the user configuration; -- -- Please refer to the Datasheet, Getting Started Guide, and -- the Tri-Mode Ethernet MAC User Gude for further information. -- -- -- -----------------------------------------| -- | BLOCK LEVEL WRAPPER | -- | | -- | --------------------- | -- | | ETHERNET MAC | | -- | | CORE | | -- | | | | -- --|--->| Tx Tx |-------------->| -- | | AXI PHY | | -- | | I/F I/F | | -- | | | | -- | | | | -- | | | | -- | | Rx Rx | | -- | | AXI PHY | | -- <-|----| I/F I/F |<--------------| -- | | | | -- | --------------------- | -- | | -- | clock enable logic | -- | | -- -----------------------------------------| -- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; -------------------------------------------------------------------------------- -- The entity declaration for the block level example design. -------------------------------------------------------------------------------- entity temac_10_100_1000_block is port( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Receiver Interface ---------------------------- rx_statistics_vector : out std_logic_vector(27 downto 0); rx_statistics_valid : out std_logic; rx_reset : out std_logic; rx_axis_mac_tdata : out std_logic_vector(7 downto 0); rx_axis_mac_tvalid : out std_logic; rx_axis_mac_tlast : out std_logic; rx_axis_mac_tuser : out std_logic; -- Transmitter Interface ------------------------------- tx_ifg_delay : in std_logic_vector(7 downto 0); tx_statistics_vector : out std_logic_vector(31 downto 0); tx_statistics_valid : out std_logic; tx_reset : out std_logic; tx_axis_mac_tdata : in std_logic_vector(7 downto 0); tx_axis_mac_tvalid : in std_logic; tx_axis_mac_tlast : in std_logic; tx_axis_mac_tuser : in std_logic; tx_axis_mac_tready : out std_logic; -- MAC Control Interface ------------------------ pause_req : in std_logic; pause_val : in std_logic_vector(15 downto 0); clk_enable : in std_logic; speedis100 : out std_logic; speedis10100 : out std_logic; -- GMII Interface ----------------- gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; -- Configuration Vector ----------------------- rx_configuration_vector : in std_logic_vector(79 downto 0); tx_configuration_vector : in std_logic_vector(79 downto 0) ); end temac_10_100_1000_block; architecture wrapper of temac_10_100_1000_block is ----------------------------------------------------------------------------- -- Component Declaration for TEMAC (the Tri-Mode EMAC core). ----------------------------------------------------------------------------- component temac_10_100_1000 port( glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; gtx_clk : in std_logic; clk_enable : in std_logic; -- Receiver Interface ---------------------------- -- rx_axi_clk : in std_logic; rx_reset : out std_logic; rx_axis_mac_tdata : out std_logic_vector(7 downto 0); rx_axis_mac_tvalid : out std_logic; rx_axis_mac_tlast : out std_logic; rx_axis_mac_tuser : out std_logic; -- rx_enable : in std_logic; rx_statistics_vector : out std_logic_vector(27 downto 0); rx_statistics_valid : out std_logic; -- Transmitter Interface ------------------------------- -- tx_axi_clk : in std_logic; tx_reset : out std_logic; tx_axis_mac_tdata : in std_logic_vector(7 downto 0); tx_axis_mac_tvalid : in std_logic; tx_axis_mac_tlast : in std_logic; tx_axis_mac_tuser : in std_logic_vector(0 downto 0); tx_axis_mac_tready : out std_logic; tx_ifg_delay : in std_logic_vector(7 downto 0); -- tx_enable : in std_logic; tx_statistics_vector : out std_logic_vector(31 downto 0); tx_statistics_valid : out std_logic; -- MAC Control Interface ------------------------ pause_req : in std_logic; pause_val : in std_logic_vector(15 downto 0); -- Current Speed Indication --------------------------- speedis100 : out std_logic; speedis10100 : out std_logic; -- Physical Interface of the core -------------------------------- gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; -- Configuration Vector ----------------------- rx_configuration_vector : in std_logic_vector(79 downto 0); tx_configuration_vector : in std_logic_vector(79 downto 0) ); end component; ------------------------------------------------------------------------------ -- Component declaration for the synchronisation flip-flop pair ------------------------------------------------------------------------------ component temac_10_100_1000_sync_block port ( clk : in std_logic; -- clock to be sync'ed to data_in : in std_logic; -- Data to be 'synced' data_out : out std_logic -- synced data ); end component; ------------------------------------------------------------------------------ -- Component declaration for the reset synchroniser ------------------------------------------------------------------------------ component temac_10_100_1000_reset_sync port ( reset_in : in std_logic; -- Active high asynchronous reset enable : in std_logic; clk : in std_logic; -- clock to be sync'ed to reset_out : out std_logic -- "Synchronised" reset signal ); end component; ------------------------------------------------------------------------------ -- internal signals used in this block level wrapper. ------------------------------------------------------------------------------ attribute keep : string; signal glbl_rst : std_logic; signal gmii_tx_en_int : std_logic; -- Internal gmii_tx_en signal. signal gmii_tx_er_int : std_logic; -- Internal gmii_tx_er signal. signal gmii_txd_int : std_logic_vector(7 downto 0); -- Internal gmii_txd signal. signal gmii_rx_dv_int : std_logic; -- gmii_rx_dv registered in IOBs. signal gmii_rx_er_int : std_logic; -- gmii_rx_er registered in IOBs. signal gmii_rxd_int : std_logic_vector(7 downto 0); -- gmii_rxd registered in IOBs. signal txspeedis10100 : std_logic; -- MAC speed setting resampled on the transmitter clock signal rxspeedis10100 : std_logic; -- MAC speed setting resampled on the receiver clock signal tx_reset_int : std_logic; -- Synchronous reset in the MAC and rgmii Tx domain signal rx_reset_int : std_logic; -- Synchronous reset in the MAC and rgmii Rx domain signal rx_statistics_vector_int : std_logic_vector(27 downto 0); signal rx_statistics_valid_int : std_logic; signal tx_statistics_vector_int : std_logic_vector(31 downto 0); signal tx_statistics_valid_int : std_logic; signal bus2ip_clk : std_logic; signal bus2ip_reset : std_logic; signal bus2ip_addr : std_logic_vector(31 downto 0); signal bus2ip_cs : std_logic; signal bus2ip_rdce : std_logic; signal bus2ip_wrce : std_logic; signal bus2ip_data : std_logic_vector(31 downto 0); signal ip2bus_data : std_logic_vector(31 downto 0); signal ip2bus_wrack : std_logic; signal ip2bus_rdack : std_logic; signal ip2bus_error : std_logic; signal tx_axis_mac_tuser_int : std_logic_vector(0 downto 0); begin -- assign outputs rx_reset <= rx_reset_int; tx_reset <= tx_reset_int; glbl_rst <= not glbl_rstn; rx_statistics_vector <= rx_statistics_vector_int; rx_statistics_valid <= rx_statistics_valid_int; tx_statistics_vector <= tx_statistics_vector_int; tx_statistics_valid <= tx_statistics_valid_int; gmii_tx_en <= gmii_tx_en_int; gmii_tx_er <= gmii_tx_er_int; gmii_txd <= gmii_txd_int; gmii_rx_dv_int <= gmii_rx_dv; gmii_rx_er_int <= gmii_rx_er; gmii_rxd_int <= gmii_rxd; ----------------------------------------------------------------------------- -- Instantiate the TEMAC core ----------------------------------------------------------------------------- trimac_core : temac_10_100_1000 port map ( -- asynchronous reset glbl_rstn => glbl_rstn, rx_axi_rstn => rx_axi_rstn, tx_axi_rstn => tx_axi_rstn, gtx_clk => gtx_clk, clk_enable => clk_enable, -- Receiver Interface -- rx_axi_clk => gtx_clk, rx_reset => rx_reset_int, rx_axis_mac_tdata => rx_axis_mac_tdata, rx_axis_mac_tvalid => rx_axis_mac_tvalid, rx_axis_mac_tlast => rx_axis_mac_tlast, rx_axis_mac_tuser => rx_axis_mac_tuser, -- Receiver Statistics rx_statistics_vector => rx_statistics_vector_int, rx_statistics_valid => rx_statistics_valid_int, -- Transmitter Interface -- tx_axi_clk => gtx_clk, tx_reset => tx_reset_int, tx_axis_mac_tdata => tx_axis_mac_tdata, tx_axis_mac_tvalid => tx_axis_mac_tvalid, tx_axis_mac_tlast => tx_axis_mac_tlast, tx_axis_mac_tuser => tx_axis_mac_tuser_int, tx_axis_mac_tready => tx_axis_mac_tready, tx_ifg_delay => tx_ifg_delay, -- tx_enable => clk_enable, -- Transmitter Statistics tx_statistics_vector => tx_statistics_vector_int, tx_statistics_valid => tx_statistics_valid_int, -- MAC Control Interface pause_req => pause_req, pause_val => pause_val, -- Current Speed Indication speedis100 => speedis100, speedis10100 => speedis10100, -- Physical Interface of the core gmii_txd => gmii_txd_int, gmii_tx_en => gmii_tx_en_int, gmii_tx_er => gmii_tx_er_int, gmii_rxd => gmii_rxd_int, gmii_rx_dv => gmii_rx_dv_int, gmii_rx_er => gmii_rx_er_int, -- Configuration Vectors rx_configuration_vector => rx_configuration_vector, tx_configuration_vector => tx_configuration_vector); tx_axis_mac_tuser_int(0) <= tx_axis_mac_tuser; end wrapper;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/imports/ICMP_RX.vhd
1
13307
---------------------------------------------------------------------------------- -- Company: NTU Athens - BNL -- Engineer: Christos Bakalis ([email protected]) -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Christos Bakalis -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 03.02.2017 -- Design Name: ICMP Receiver -- Module Name: ICMP_RX - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: Vivado 2016.2 -- Description: Handles simple ICMP RX -- -- Dependencies: -- -- Changelog: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.axi.all; use work.ipv4_types.all; entity ICMP_RX is port ( -- ICMP Layer signals icmp_rx_start : out std_logic; -- indicates receipt of icmp header icmp_rxo : out icmp_rx_type; -- system signals clk : in std_logic; reset : in std_logic; -- IP layer RX signals ip_rx_start : in std_logic; -- indicates receipt of ip header ip_rx : in ipv4_rx_type ); end ICMP_RX; architecture Behavioral of ICMP_RX is type rx_state_type is (IDLE, ICMP_HDR, ICMP_PAYLOAD, WAIT_END, ERR); type rx_event_type is (NO_EVENT, DATA); type count_mode_type is (RST, INCR, HOLD); type settable_count_mode_type is (RST, INCR, SET_VAL, HOLD); type set_clr_type is (SET, CLR, HOLD); -- state variables signal rx_state : rx_state_type; signal rx_count : unsigned (15 downto 0); signal icmp_type : std_logic_vector (7 downto 0); signal icmp_code : std_logic_vector (7 downto 0); signal icmp_chksum : std_logic_vector (15 downto 0); signal icmp_ident : std_logic_vector (15 downto 0); signal icmp_seqNum : std_logic_vector (15 downto 0); signal icmp_rx_start_reg : std_logic; -- indicates start of user data signal src_ip_addr : std_logic_vector (31 downto 0); -- captured from IP hdr -- rx control signals signal next_rx_state : rx_state_type; signal set_rx_state : std_logic; signal rx_event : rx_event_type; signal rx_count_mode : settable_count_mode_type; signal rx_count_val : unsigned (15 downto 0); signal set_type : std_logic; signal set_code : std_logic; signal set_chksum_h : std_logic; signal set_chksum_l : std_logic; signal set_ident_h : std_logic; signal set_ident_l : std_logic; signal set_seq_h : std_logic; signal set_seq_l : std_logic; signal set_icmp_rx_start : set_clr_type; signal dataval : std_logic_vector (7 downto 0); signal set_src_ip : std_logic; signal set_data_last : std_logic; -- ICMP datagram header format -- -- 0 8 16 31 -- -------------------------------------------------------------------------------------------- -- | Type | Code | Checksum | -- | | | | -- -------------------------------------------------------------------------------------------- -- | Identifier | Sequence Number | -- | | | -- -------------------------------------------------------------------------------------------- -- | Payload | -- | | -- -------------------------------------------------------------------------------------------- -- | .... | -- | | -- -------------------------------------------------------------------------------------------- -- -- Type = 8 and Code = 0 (echo request) -- Type = 0 and Code = 0 (echo reply) begin ----------------------------------------------------------------------- -- combinatorial process to implement FSM and determine control signals ----------------------------------------------------------------------- rx_combinatorial : process ( -- input signals ip_rx, ip_rx_start, -- state variables rx_state, rx_count, icmp_type, icmp_code, icmp_chksum, icmp_ident, icmp_seqNum, icmp_rx_start_reg, src_ip_addr, -- control signals next_rx_state, set_rx_state, rx_event, rx_count_mode, rx_count_val, set_type, set_code, set_chksum_h, set_chksum_l, set_ident_h, set_ident_l, set_seq_h, set_seq_l, set_data_last, set_icmp_rx_start, dataval, set_src_ip ) begin -- set output followers icmp_rx_start <= icmp_rx_start_reg; icmp_rxo.hdr.src_ip_addr <= src_ip_addr; icmp_rxo.hdr.icmp_type <= icmp_type; icmp_rxo.hdr.icmp_code <= icmp_code; icmp_rxo.hdr.icmp_chksum <= icmp_chksum; icmp_rxo.hdr.icmp_ident <= icmp_ident; icmp_rxo.hdr.icmp_seqNum <= icmp_seqNum; -- transfer data upstream if in user data phase if rx_state = ICMP_PAYLOAD then icmp_rxo.payload.data_in <= ip_rx.data.data_in; icmp_rxo.payload.data_in_valid <= ip_rx.data.data_in_valid; icmp_rxo.payload.data_in_last <= set_data_last; else icmp_rxo.payload.data_in <= (others => '0'); icmp_rxo.payload.data_in_valid <= '0'; icmp_rxo.payload.data_in_last <= '0'; end if; -- set signal defaults next_rx_state <= IDLE; set_rx_state <= '0'; rx_event <= NO_EVENT; rx_count_mode <= RST; set_type <= '0'; set_code <= '0'; set_chksum_h <= '0'; set_chksum_l <= '0'; set_ident_h <= '0'; set_ident_l <= '0'; set_seq_h <= '0'; set_seq_l <= '0'; set_icmp_rx_start <= CLR; dataval <= (others => '0'); set_src_ip <= '0'; rx_count_val <= (others => '0'); set_data_last <= '0'; -- determine event (if any) if ip_rx.data.data_in_valid = '1' then rx_event <= DATA; dataval <= ip_rx.data.data_in; end if; -- RX FSM case rx_state is when IDLE => rx_count_mode <= RST; case rx_event is when NO_EVENT => -- (nothing to do) when DATA => if ip_rx.hdr.protocol = x"01" then -- ICMP protocol rx_count_mode <= INCR; set_src_ip <= '1'; set_type <= '1'; next_rx_state <= ICMP_HDR; set_rx_state <= '1'; else -- non-ICMP protocol - ignore this pkt next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; end case; when ICMP_HDR => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => if rx_count = x"0007" then rx_count_mode <= SET_VAL; rx_count_val <= x"0001"; next_rx_state <= ICMP_PAYLOAD; set_rx_state <= '1'; else rx_count_mode <= INCR; end if; -- handle early frame termination if ip_rx.data.data_in_last = '1' then next_rx_state <= IDLE; set_rx_state <= '1'; else case rx_count is when x"0000" => set_type <= '1'; -- set ICMP type when x"0001" => set_code <= '1'; -- set ICMP code when x"0002" => set_chksum_h <= '1'; -- set checksum (1st byte) when x"0003" => set_chksum_l <= '1'; -- set checksum (2nd byte) when x"0004" => set_ident_h <= '1'; -- set identifier (1st byte) when x"0005" => set_ident_l <= '1'; -- set identifier (2nd byte) when x"0006" => set_seq_h <= '1'; -- set sequence number (1st byte) when x"0007" => set_seq_l <= '1'; -- set sequence number (2nd byte) set_icmp_rx_start <= SET; -- indicate frame received when others => -- ignore other bytes in icmp header end case; end if; end case; when ICMP_PAYLOAD => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => -- note: data/payload gets transfered upstream as part of "output followers" processing if ip_rx.data.data_in_last = '1' then -- no early frame termination check next_rx_state <= IDLE; set_icmp_rx_start <= CLR; rx_count_mode <= RST; set_rx_state <= '1'; set_data_last <= '1'; else rx_count_mode <= INCR; set_rx_state <= '0'; end if; end case; when ERR => if ip_rx.data.data_in_last = '0' then next_rx_state <= WAIT_END; set_rx_state <= '1'; else next_rx_state <= IDLE; set_rx_state <= '1'; end if; when WAIT_END => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => if ip_rx.data.data_in_last = '1' then next_rx_state <= IDLE; set_rx_state <= '1'; end if; end case; end case; end process; ----------------------------------------------------------------------------- -- sequential process to action control signals and change states and outputs ----------------------------------------------------------------------------- rx_sequential : process (clk, reset) begin if rising_edge(clk) then if reset = '1' then -- reset state variables rx_state <= IDLE; rx_count <= x"0000"; icmp_type <= (others => '0'); icmp_code <= (others => '0'); icmp_chksum <= (others => '0'); icmp_ident <= (others => '0'); icmp_seqNum <= (others => '0'); icmp_rx_start_reg <= '0'; src_ip_addr <= (others => '0'); else -- Next rx_state processing if set_rx_state = '1' then rx_state <= next_rx_state; else rx_state <= rx_state; end if; -- rx_count processing case rx_count_mode is when RST => rx_count <= x"0000"; when INCR => rx_count <= rx_count + 1; when SET_VAL => rx_count <= rx_count_val; when HOLD => rx_count <= rx_count; end case; -- ICMP datafields capture if (set_type = '1') then icmp_type(7 downto 0) <= dataval; end if; if (set_code = '1') then icmp_code(7 downto 0) <= dataval; end if; if (set_chksum_h = '1') then icmp_chksum(15 downto 8) <= dataval; end if; if (set_chksum_l = '1') then icmp_chksum(7 downto 0) <= dataval; end if; if (set_ident_h = '1') then icmp_ident(15 downto 8) <= dataval; end if; if (set_ident_l = '1') then icmp_ident(7 downto 0) <= dataval; end if; if (set_seq_h = '1') then icmp_seqNum(15 downto 8) <= dataval; end if; if (set_seq_l = '1') then icmp_seqNum(7 downto 0) <= dataval; end if; case set_icmp_rx_start is when SET => icmp_rx_start_reg <= '1'; when CLR => icmp_rx_start_reg <= '0'; when HOLD => icmp_rx_start_reg <= icmp_rx_start_reg; end case; -- capture src IP address if set_src_ip = '1' then src_ip_addr <= ip_rx.hdr.src_ip_addr; else src_ip_addr <= src_ip_addr; end if; end if; end if; end process; end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
sources/sources_1/readout/packet_formation.vhd
1
23094
---------------------------------------------------------------------------------- -- Company: NTU ATHENS - BNL -- Engineer: Paris Moschovakos -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Paris Moschovakos -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 25.06.2016 -- Design Name: -- Module Name: packet_formation.vhd - Behavioral -- Project Name: MMFE8 -- Target Devices: Artix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2017.1 -- -- Changelog: -- 22.08.2016 Changed readout trigger pulse from 125 to 100 ns long (Reid Pinkham) -- 09.09.2016 Added two signals for ETR interconnection (Christos Bakalis) -- 26.02.2016 Moved to a global clock domain @125MHz (Paris) -- 06.04.2017 Hard setting latency to 300ns as configurable latency was moved to trigger module (Paris) -- 25.04.2017 Added vmm_driver module. (Christos Bakalis) -- 06.06.2017 Added ART header a handling (Paris) -- ---------------------------------------------------------------------------------- library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; use IEEE.NUMERIC_STD.ALL; use UNISIM.VComponents.all; entity packet_formation is Generic(is_mmfe8 : std_logic; vmmReadoutMode : std_logic; artEnabled : std_logic); Port( clk : in std_logic; newCycle : in std_logic; trigVmmRo : out std_logic; vmmId : out std_logic_vector(2 downto 0); vmmWord : in std_logic_vector(15 downto 0); vmmWordReady : in std_logic; vmmEventDone : in std_logic; UDPDone : in std_logic; pfBusy : out std_logic; -- Control signal to ETR glBCID : in std_logic_vector(11 downto 0); -- glBCID counter from ETR packLen : out std_logic_vector(11 downto 0); dataout : out std_logic_vector(15 downto 0); wrenable : out std_logic; end_packet : out std_logic; rd_ena_buff : out std_logic; rst_l0 : out std_logic; tr_hold : out std_logic; reset : in std_logic; rst_vmm : out std_logic; linkHealth_bmsk : in std_logic_vector(8 downto 1); rst_FIFO : out std_logic; latency : in std_logic_vector(15 downto 0); dbg_st_o : out std_logic_vector(4 downto 0); trraw_synced125 : in std_logic; vmmArtData125 : in std_logic_vector(5 downto 0); vmmArtReady : in std_logic ); end packet_formation; architecture Behavioral of packet_formation is signal artHeader : std_logic_vector(15 downto 0) := ( others => '0' ); signal header : std_logic_vector(63 downto 0) := ( others => '0' ); signal header_l0 : std_logic_vector(47 downto 0) := ( others => '0' ); signal vmmId_i : std_logic_vector(2 downto 0) := b"000"; signal globBcid : std_logic_vector(15 downto 0) := x"FFFF"; --( others => '0' ); signal precCnt : std_logic_vector(7 downto 0) := x"00"; --( others => '0' ); signal globBcid_i : std_logic_vector(15 downto 0); signal globBCID_etr : std_logic_vector(11 downto 0) := (others => '0'); --globBCID counter as it is coming from ETR signal eventCounter_i : unsigned(31 downto 0) := to_unsigned(0, 32); signal wait_Cnt : integer range 0 to 31 := 0; signal vmmId_cnt : integer range 0 to 7 := 0; signal trigLatencyCnt : integer := 0; signal trigLatency : integer := 140; -- 700ns (140x5ns) signal pfBusy_i : std_logic := '0'; -- control signal to be sent to ETR signal daqFIFO_wr_en_hdr : std_logic := '0'; signal daqFIFO_wr_en_drv : std_logic := '0'; signal sel_wrenable : std_logic := '0'; signal drv_enable : std_logic := '0'; signal drv_done : std_logic := '0'; signal daqFIFO_din : std_logic_vector(15 downto 0) := ( others => '0' ); signal triggerVmmReadout_i : std_logic := '0'; signal selectDataInput : std_logic_vector(2 downto 0) := (others => '0'); signal sel_cnt : unsigned(2 downto 0) := (others => '0'); signal vmmWord_i : std_logic_vector(15 downto 0) := ( others => '0' ); signal packLen_i : unsigned(11 downto 0) := x"000"; signal packLen_drv2pf_unsg : unsigned(11 downto 0) := x"000"; signal packLen_drv2pf : std_logic_vector(11 downto 0) := x"000"; signal packLen_cnt : unsigned(11 downto 0) := x"000"; signal end_packet_int : std_logic := '0'; signal artValid : std_logic := '0'; signal trraw_synced125_prev : std_logic := '0'; signal clearValid : std_logic := '0'; type stateType is (waitingForNewCycle, increaseCounter, waitForLatency, captureEventID, setEventID, sendHeaderStep1, sendHeaderStep2, sendHeaderStep3, triggerVmmReadout, waitForData, sendVmmDataStep1, sendVmmDataStep2, formTrailer, sendTrailer, packetDone, isUDPDone, isTriggerOff, S2, eventDone); signal state : stateType; -------------------- Debugging ------------------------------ signal probe0_out : std_logic_vector(132 downto 0); signal probe1_out : std_logic_vector(200 downto 0); signal debug_state : std_logic_vector(4 downto 0); ----------------------------------------------------------------- ---------------------- Debugging ------------------------------ -- attribute mark_debug : string; -- attribute mark_debug of header : signal is "true"; -- attribute mark_debug of globBcid : signal is "true"; -- attribute mark_debug of globBcid_i : signal is "true"; -- attribute mark_debug of precCnt : signal is "true"; -- attribute mark_debug of vmmId_i : signal is "true"; -- attribute mark_debug of daqFIFO_din : signal is "true"; -- attribute mark_debug of vmmWord_i : signal is "true"; -- attribute mark_debug of packLen_i : signal is "true"; -- attribute mark_debug of packLen_cnt : signal is "true"; -- attribute mark_debug of end_packet_int : signal is "true"; -- attribute mark_debug of triggerVmmReadout_i : signal is "true"; -- attribute mark_debug of debug_state : signal is "true"; -- attribute mark_debug of artValid : signal is "true"; -- attribute mark_debug of trraw_synced125 : signal is "true"; -- attribute mark_debug of vmmArtReady : signal is "true"; component ila_pf port ( clk : in std_logic; probe0 : in std_logic_vector(132 downto 0); probe1 : in std_logic_vector(200 downto 0) ); end component; component vio_0 port ( clk : in std_logic; probe_out0 : out std_logic_vector ( 11 downto 0 ) ); end component; component vmm_driver port( ------------------------------------ ------ General/PF Interface -------- clk : in std_logic; drv_enable : in std_logic; drv_done : out std_logic; pack_len_drv : out std_logic_vector(11 downto 0); ------------------------------------ ----- VMM_RO/FIFO2UDP Interface ---- wr_en_fifo2udp : out std_logic; rd_en_buff : out std_logic; vmmWordReady : in std_logic ); end component; ----------------------------------------------------------------- begin packetCaptureProc: process(clk, newCycle, vmmEventDone, vmmWordReady, wait_Cnt, UDPDone) begin if rising_edge(clk) then if reset = '1' then debug_state <= "11111"; eventCounter_i <= to_unsigned(0, 32); tr_hold <= '0'; pfBusy_i <= '0'; triggerVmmReadout_i <= '0'; rst_l0 <= '1'; sel_wrenable <= '0'; rst_FIFO <= '1'; daqFIFO_wr_en_hdr <= '0'; packLen_cnt <= x"000"; wait_Cnt <= 0; sel_cnt <= (others => '0'); drv_enable <= '0'; triggerVmmReadout_i <= '0'; end_packet_int <= '0'; state <= waitingForNewCycle; else case state is when waitingForNewCycle => debug_state <= "00000"; pfBusy_i <= '0'; triggerVmmReadout_i <= '0'; rst_l0 <= '0'; sel_wrenable <= '0'; drv_enable <= '0'; trigLatencyCnt <= 0; sel_cnt <= (others => '0'); rst_FIFO <= '0'; if newCycle = '1' then pfBusy_i <= '1'; state <= increaseCounter; end if; when increaseCounter => debug_state <= "00001"; eventCounter_i <= eventCounter_i + 1; state <= waitForLatency; when waitForLatency => debug_state <= "00010"; tr_hold <= '1'; -- Prevent new triggers if(trigLatencyCnt > trigLatency and is_mmfe8 = '1')then state <= S2; elsif(trigLatencyCnt > trigLatency and is_mmfe8 = '0')then state <= captureEventID; else trigLatencyCnt <= trigLatencyCnt + 1; end if; when S2 => -- wait for the header elements to be formed debug_state <= "00010"; -- --tr_hold <= '1'; -- Prevent new triggers packLen_cnt <= x"000"; -- Reset length count sel_wrenable <= '0'; vmmId_i <= std_logic_vector(to_unsigned(vmmId_cnt, 3)); state <= captureEventID; when captureEventID => -- Form Header debug_state <= "00011"; packLen_cnt <= x"000"; state <= setEventID; when setEventID => debug_state <= "00100"; rst_FIFO <= '0'; daqFIFO_wr_en_hdr <= '0'; if(wait_Cnt < 3)then wait_Cnt <= wait_Cnt + 1; state <= setEventID; else wait_Cnt <= 0; state <= sendHeaderStep1; end if; when sendHeaderStep1 => debug_state <= "00101"; daqFIFO_wr_en_hdr <= '1'; packLen_cnt <= packLen_cnt + 1; state <= sendHeaderStep2; when sendHeaderStep2 => debug_state <= "00110"; daqFIFO_wr_en_hdr <= '0'; if(wait_Cnt < 3)then wait_Cnt <= wait_Cnt + 1; state <= sendHeaderStep2; else wait_Cnt <= 0; state <= sendHeaderStep3; end if; when sendHeaderStep3 => if(sel_cnt < 5 and vmmReadoutMode = '0')then -- incr the counter to select the other parts of the header sel_cnt <= sel_cnt + 1; state <= setEventID; elsif(sel_cnt < 4 and vmmReadoutMode = '1')then sel_cnt <= sel_cnt + 1; state <= setEventID; else -- the whole header has been sent state <= triggerVmmReadout; end if; when triggerVmmReadout => -- Creates an 136ns pulse to trigger the readout if not at level0 mode debug_state <= "00111"; sel_cnt <= "110"; -- fix the counter to 4 to select the VMM data for the next steps sel_wrenable <= '1'; -- grant control to driver if wait_Cnt < 30 and vmmReadoutMode = '0' then wait_Cnt <= wait_Cnt + 1; triggerVmmReadout_i <= '1'; else triggerVmmReadout_i <= '0'; wait_Cnt <= 0; state <= waitForData; end if; when waitForData => debug_state <= "01000"; if (vmmWordReady = '1') then state <= sendVmmDataStep1; elsif (vmmEventDone = '1') then state <= sendTrailer; end if; when sendVmmDataStep1 => debug_state <= "01001"; drv_enable <= '1'; state <= sendVmmDataStep2; when sendVmmDataStep2 => debug_state <= "01010"; if(drv_done = '1')then state <= formTrailer; else state <= sendVmmDataStep2; end if; when formTrailer => debug_state <= "01011"; if (vmmEventDone = '1') then state <= sendTrailer; elsif (vmmEventDone = '0' and vmmWordReady = '0') then state <= waitForData; else -- (vmmWordReady = '1') then state <= formTrailer; end if; when sendTrailer => debug_state <= "01100"; packLen_i <= packLen_cnt + packLen_drv2pf_unsg; state <= packetDone; when packetDone => debug_state <= "01101"; end_packet_int <= '1'; if(is_mmfe8 = '1')then state <= eventDone; else state <= isUDPDone; end if; when eventDone => debug_state <= "01110"; end_packet_int <= '0'; drv_enable <= '0'; if vmmId_cnt = 7 then vmmId_cnt <= 0; state <= isUDPDone; else vmmId_cnt <= vmmId_cnt + 1; sel_cnt <= "000"; sel_wrenable <= '0'; state <= S2; end if; -- when resetVMMs => -- debug_state <= "01111"; -- rst_vmm <= '1'; -- state <= resetDone; -- when resetDone => -- debug_state <= "10000"; -- if resetting = '0' then -- rst_vmm <= '0'; -- state <= isUDPDone; -- rst_vmm <= '0'; -- Prevent from continuously resetting while waiting for UDP Packet -- end if; when isUDPDone => debug_state <= "01110"; drv_enable <= '0'; end_packet_int <= '0'; rst_l0 <= '1'; -- reset the level0 buffers and the interface with packet_formation -- pfBusy_i <= '0'; if (UDPDone = '1') then -- Wait for the UDP packet to be sent state <= isTriggerOff; end if; when isTriggerOff => -- Wait for whatever ongoing trigger pulse to go to 0 debug_state <= "01111"; if trraw_synced125 /= '1' then tr_hold <= '0'; -- Allow new triggers state <= waitingForNewCycle; end if; when others => tr_hold <= '0'; state <= waitingForNewCycle; end case; end if; end if; end process; muxFIFOData: process( sel_cnt, header, header_l0, vmmWord, vmmArtData125 ) begin case sel_cnt is when "000" => daqFIFO_din <= b"1111000" & artValid & "01" & vmmArtData125(0) & vmmArtData125(1) & vmmArtData125(2) & vmmArtData125(3) & vmmArtData125(4) & vmmArtData125(5); when "001" => daqFIFO_din <= b"0000000" & artValid & "00" & vmmArtData125(0) & vmmArtData125(1) & vmmArtData125(2) & vmmArtData125(3) & vmmArtData125(4) & vmmArtData125(5); when "010" => if (vmmReadoutMode = '0') then daqFIFO_din <= header(63 downto 48); else daqFIFO_din <= header_l0(47 downto 32); end if; when "011" => if (vmmReadoutMode = '0') then daqFIFO_din <= header(47 downto 32); else daqFIFO_din <= header_l0(31 downto 16); end if; when "100" => if (vmmReadoutMode = '0') then daqFIFO_din <= header(31 downto 16); else daqFIFO_din <= header_l0(15 downto 0); end if; when "101" => daqFIFO_din <= header(15 downto 0); when "110" => daqFIFO_din <= vmmWord; when others => daqFIFO_din <= (others => '0'); end case; end process; muxWrEn: process( sel_wrenable, daqFIFO_wr_en_hdr, daqFIFO_wr_en_drv ) begin case sel_wrenable is when '0' => wrenable <= daqFIFO_wr_en_hdr; when '1' => wrenable <= daqFIFO_wr_en_drv; when others => wrenable <= '0'; end case; end process; vmm_driver_inst: vmm_driver port map( ------------------------------------ ------ General/PF Interface -------- clk => clk, drv_enable => drv_enable, drv_done => drv_done, pack_len_drv => packLen_drv2pf, ------------------------------------ ----- VMM_RO/FIFO2UDP Interface ---- wr_en_fifo2udp => daqFIFO_wr_en_drv, rd_en_buff => rd_ena_buff, vmmWordReady => vmmWordReady ); triggerEdgeDetection: process(clk) --125 begin if rising_edge(clk) then if trraw_synced125_prev = '0' and trraw_synced125 = '1' then clearValid <= '1'; trraw_synced125_prev <= trraw_synced125; else clearValid <= '0'; trraw_synced125_prev <= trraw_synced125; end if; end if; end process; LDCE_inst : LDCE generic map ( INIT => '0') port map ( Q => artValid, CLR => clearValid, D => '1', G => vmmArtReady, GE => artEnabled ); globBcid_i <= globBcid; vmmWord_i <= vmmWord; dataout <= daqFIFO_din; packLen <= std_logic_vector(packLen_i); end_packet <= end_packet_int; trigVmmRo <= triggerVmmReadout_i; vmmId <= vmmId_i; trigLatency <= 37 + to_integer(unsigned(latency)); --(hard set to 300ns )--to_integer(unsigned(latency)); pfBusy <= pfBusy_i; globBCID_etr <= glBCID; artHeader <= b"0000000000" & vmmArtData125; -- header of level 0 has three 16-bit words from FPGA + one 16-bit word from VMM header_l0(47 downto 16) <= std_logic_vector(eventCounter_i); header_l0(15 downto 0) <= b"00000" & vmmId_i & linkHealth_bmsk; -- 5 & 3 & 8 ; header(63 downto 32) <= std_logic_vector(eventCounter_i); header(31 downto 0) <= precCnt & globBcid & b"00000" & vmmId_i; -- 8 & 16 & 5 & 3 dbg_st_o <= debug_state; packLen_drv2pf_unsg <= unsigned(packLen_drv2pf); --ilaPacketFormation: ila_pf --port map( -- clk => clk, -- probe0 => probe0_out, -- probe1 => probe1_out --); probe0_out(9 downto 0) <= std_logic_vector(to_unsigned(trigLatencyCnt, 10)); probe0_out(19 downto 10) <= std_logic_vector(to_unsigned(trigLatency, 10)); probe0_out(20) <= '0'; probe0_out(21) <= artValid; probe0_out(22) <= trraw_synced125; probe0_out(23) <= vmmArtReady; probe0_out(29 downto 24) <= vmmArtData125; probe0_out(132 downto 30) <= (others => '0');--vmmId_i; probe1_out(63 downto 0) <= (others => '0');--daqFIFO_din; probe1_out(64) <= vmmWordReady; probe1_out(65) <= vmmEventDone; probe1_out(66) <= '0'; probe1_out(67) <= newCycle; probe1_out(79 downto 68) <= std_logic_vector(packLen_i); probe1_out(91 downto 80) <= std_logic_vector(packLen_cnt); probe1_out(92) <= end_packet_int; probe1_out(93) <= triggerVmmReadout_i; probe1_out(109 downto 94) <= latency; probe1_out(110) <= '0'; probe1_out(142 downto 111) <= std_logic_vector(eventCounter_i); probe1_out(147 downto 143) <= debug_state; probe1_out(200 downto 148) <= (others => '0'); end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/Elink_4_FELIX/elinkInterface_felix_svn4472/EPROC_OUT2.vhd
1
5653
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 18/03/2015 --! Module Name: EPROC_OUT2 --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee,work; use ieee.std_logic_1164.all; use work.all; --! E-link processor, 2bit output entity EPROC_OUT2 is generic ( do_generate : boolean := true; includeNoEncodingCase : boolean := true ); port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; ENA : in std_logic; swap_outbits : in std_logic; getDataTrig : out std_logic; -- @ bitCLKx4 ENCODING : in std_logic_vector (3 downto 0); EDATA_OUT : out std_logic_vector (1 downto 0); TTCin : in std_logic_vector (1 downto 0); DATA_IN : in std_logic_vector (9 downto 0); DATA_RDY : in std_logic ); end EPROC_OUT2; architecture Behavioral of EPROC_OUT2 is constant zeros2bit : std_logic_vector (1 downto 0) := (others=>'0'); signal EdataOUT_ENC8b10b_case, EdataOUT_direct_case, EdataOUT_HDLC_case, EdataOUT_TTC0_case : std_logic_vector (1 downto 0); signal rst_s, rst_case000, rst_case001, rst_case010, rst_case011 : std_logic; signal getDataTrig_ENC8b10b_case, getDataTrig_direct_case, getDataTrig_HDLC_case, getDataTrig_TTC_case : std_logic; signal edata_out_s : std_logic_vector (1 downto 0); begin gen_enabled: if do_generate = true generate rst_s <= rst or (not ENA); ------------------------------------------------------------------------------------------- -- case 0: direct data, no delimeter... ------------------------------------------------------------------------------------------- direct_data_enabled: if includeNoEncodingCase = true generate rst_case000 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "000")) else '1'; direct_case: entity work.EPROC_OUT2_direct port map( bitCLK => bitCLK, bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst_case000, getDataTrig => getDataTrig_direct_case, edataIN => DATA_IN, edataINrdy => DATA_RDY, EdataOUT => EdataOUT_direct_case ); end generate direct_data_enabled; -- direct_data_disabled: if includeNoEncodingCase = false generate EdataOUT_direct_case <= (others=>'0'); end generate direct_data_disabled; -- ------------------------------------------------------------------------------------------- -- case 1: DEC8b10b ------------------------------------------------------------------------------------------- rst_case001 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "001")) else '1'; -- ENC8b10b_case: entity work.EPROC_OUT2_ENC8b10b port map( bitCLK => bitCLK, bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst_case001, getDataTrig => getDataTrig_ENC8b10b_case, edataIN => DATA_IN, edataINrdy => DATA_RDY, EdataOUT => EdataOUT_ENC8b10b_case ); -- ------------------------------------------------------------------------------------------- -- case 2: HDLC ------------------------------------------------------------------------------------------- rst_case010 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "010")) else '1'; -- HDLC_case: entity work.EPROC_OUT2_HDLC port map( bitCLK => bitCLK, bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst_case010, getDataTrig => getDataTrig_HDLC_case, -- output, data request edataIN => DATA_IN, edataINrdy => DATA_RDY, EdataOUT => EdataOUT_HDLC_case ); -- ------------------------------------------------------------------------------------------- -- case 3: TTC-0 ------------------------------------------------------------------------------------------- rst_case011 <= '0' when ((rst_s = '0') and (ENCODING(2 downto 0) = "011")) else '1'; -- getDataTrig_TTC_case <= '0'; --'1' when (ENCODING(2 downto 0) = "011") else '0'; -- ttc_r: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then if rst_case011 = '1' then EdataOUT_TTC0_case <= zeros2bit; else EdataOUT_TTC0_case <= TTCin; end if; end if; end process; -- ------------------------------------------------------------------------------------------- -- output data and busy according to the encoding settings ------------------------------------------------------------------------------------------- dataOUTmux: entity work.MUX4_Nbit generic map (N=>2) port map( data0 => EdataOUT_direct_case, data1 => EdataOUT_ENC8b10b_case, data2 => EdataOUT_HDLC_case, data3 => EdataOUT_TTC0_case, sel => ENCODING(1 downto 0), data_out => edata_out_s ); -- getDataTrig <= ENA and (getDataTrig_TTC_case or getDataTrig_HDLC_case or getDataTrig_ENC8b10b_case or getDataTrig_direct_case); -- end generate gen_enabled; -- -- gen_disabled: if do_generate = false generate edata_out_s <= (others=>'0'); getDataTrig <= '0'; end generate gen_disabled; -- out_sel: process(swap_outbits,edata_out_s) begin if swap_outbits = '1' then EDATA_OUT <= edata_out_s(0) & edata_out_s(1); else EDATA_OUT <= edata_out_s; end if; end process; -- end Behavioral;
gpl-3.0
cbakalis/vmm_boards_firmware
miscellaneous/MMFE8_1VMM/sources_1/imports/UDP_TX.vhd
2
11282
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 5 June 2011 -- Design Name: -- Module Name: UDP_TX - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle simple UDP TX -- doesnt generate the checksum(supposedly optional) -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - Added abort of tx when receive last from upstream -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.axi.all; use work.ipv4_types.all; entity UDP_TX is Port ( -- UDP Layer signals udp_tx_start : in std_logic; -- indicates req to tx UDP udp_txi : in udp_tx_type; -- UDP tx cxns udp_tx_result : out std_logic_vector (1 downto 0);-- tx status (changes during transmission) udp_tx_data_out_ready : out std_logic; -- indicates udp_tx is ready to take data -- system signals clk : in STD_LOGIC; -- same clock used to clock mac data and ip data reset : in STD_LOGIC; -- IP layer TX signals ip_tx_start : out std_logic; ip_tx : out ipv4_tx_type; -- IP tx cxns ip_tx_result : in std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : in std_logic -- indicates IP TX is ready to take data ); end UDP_TX; architecture Behavioral of UDP_TX is type tx_state_type is (IDLE, PAUSE, SEND_UDP_HDR, SEND_USER_DATA); type count_mode_type is (RST, INCR, HOLD); type settable_cnt_type is (RST, SET, INCR, HOLD); type set_clr_type is (SET, CLR, HOLD); -- TX state variables signal udp_tx_state : tx_state_type; signal tx_count : unsigned (15 downto 0); signal tx_result_reg : std_logic_vector (1 downto 0); signal ip_tx_start_reg : std_logic; signal data_out_ready_reg : std_logic; -- tx control signals signal next_tx_state : tx_state_type; signal set_tx_state : std_logic; signal next_tx_result : std_logic_vector (1 downto 0); signal set_tx_result : std_logic; signal tx_count_val : unsigned (15 downto 0); signal tx_count_mode : settable_cnt_type; signal tx_data : std_logic_vector (7 downto 0); signal set_last : std_logic; signal set_ip_tx_start : set_clr_type; signal tx_data_valid : std_logic; -- indicates whether data is valid to tx or not -- tx temp signals signal total_length : std_logic_vector (15 downto 0); -- computed combinatorially from header size -- IP datagram header format -- -- 0 4 8 16 19 24 31 -- -------------------------------------------------------------------------------------------- -- | source port number | dest port number | -- | | | -- -------------------------------------------------------------------------------------------- -- | length (bytes) | checksum | -- | (header and data combined) | | -- -------------------------------------------------------------------------------------------- -- | Data | -- | | -- -------------------------------------------------------------------------------------------- -- | .... | -- | | -- -------------------------------------------------------------------------------------------- begin ----------------------------------------------------------------------- -- combinatorial process to implement FSM and determine control signals ----------------------------------------------------------------------- tx_combinatorial : process( -- input signals udp_tx_start, udp_txi, clk, ip_tx_result, ip_tx_data_out_ready, -- state variables udp_tx_state, tx_count, tx_result_reg, ip_tx_start_reg, data_out_ready_reg, -- control signals next_tx_state, set_tx_state, next_tx_result, set_tx_result, tx_count_mode, tx_count_val, tx_data, set_last, total_length, set_ip_tx_start, tx_data_valid ) begin -- set output followers ip_tx_start <= ip_tx_start_reg; ip_tx.hdr.protocol <= x"11"; -- UDP protocol ip_tx.hdr.data_length <= total_length; ip_tx.hdr.dst_ip_addr <= udp_txi.hdr.dst_ip_addr; if udp_tx_start = '1' and ip_tx_start_reg = '0' then udp_tx_result <= UDPTX_RESULT_NONE; -- kill the result until have started the IP layer else udp_tx_result <= tx_result_reg; end if; case udp_tx_state is when SEND_USER_DATA => ip_tx.data.data_out <= udp_txi.data.data_out; tx_data_valid <= udp_txi.data.data_out_valid; ip_tx.data.data_out_last <= udp_txi.data.data_out_last; when SEND_UDP_HDR => ip_tx.data.data_out <= tx_data; tx_data_valid <= ip_tx_data_out_ready; ip_tx.data.data_out_last <= set_last; when others => ip_tx.data.data_out <= (others => '0'); tx_data_valid <= '0'; ip_tx.data.data_out_last <= set_last; end case; ip_tx.data.data_out_valid <= tx_data_valid and ip_tx_data_out_ready; -- set signal defaults next_tx_state <= IDLE; set_tx_state <= '0'; tx_count_mode <= HOLD; tx_data <= x"00"; set_last <= '0'; next_tx_result <= UDPTX_RESULT_NONE; set_tx_result <= '0'; set_ip_tx_start <= HOLD; tx_count_val <= (others => '0'); udp_tx_data_out_ready <= '0'; -- set temp signals total_length <= std_logic_vector(unsigned(udp_txi.hdr.data_length) + 8); -- total length = user data length + header length (bytes) -- TX FSM case udp_tx_state is when IDLE => udp_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx tx_count_mode <= RST; if udp_tx_start = '1' then -- check header count for error if too high if unsigned(udp_txi.hdr.data_length) > 8966 then next_tx_result <= UDPTX_RESULT_ERR; -- 10 set_tx_result <= '1'; else -- start to send UDP header tx_count_mode <= RST; next_tx_result <= UDPTX_RESULT_SENDING; -- 01 set_ip_tx_start <= SET; set_tx_result <= '1'; next_tx_state <= PAUSE; set_tx_state <= '1'; end if; end if; when PAUSE => -- delay one clock for IP layer to respond to ip_tx_start and remove any tx error result next_tx_state <= SEND_UDP_HDR; set_tx_state <= '1'; when SEND_UDP_HDR => udp_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx if ip_tx_result = IPTX_RESULT_ERR then -- 10 set_ip_tx_start <= CLR; next_tx_result <= UDPTX_RESULT_ERR; -- 10 set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; elsif ip_tx_data_out_ready = '1' then if tx_count = x"0007" then tx_count_val <= x"0001"; tx_count_mode <= SET; next_tx_state <= SEND_USER_DATA; set_tx_state <= '1'; else tx_count_mode <= INCR; end if; case tx_count is when x"0000" => tx_data <= udp_txi.hdr.src_port (15 downto 8); -- src port when x"0001" => tx_data <= udp_txi.hdr.src_port (7 downto 0); when x"0002" => tx_data <= udp_txi.hdr.dst_port (15 downto 8); -- dst port when x"0003" => tx_data <= udp_txi.hdr.dst_port (7 downto 0); when x"0004" => tx_data <= total_length (15 downto 8); -- length when x"0005" => tx_data <= total_length (7 downto 0); when x"0006" => tx_data <= udp_txi.hdr.checksum (15 downto 8); -- checksum (set by upstream) when x"0007" => tx_data <= udp_txi.hdr.checksum (7 downto 0); when others => -- shouldnt get here - handle as error next_tx_result <= UDPTX_RESULT_ERR; set_tx_result <= '1'; end case; end if; when SEND_USER_DATA => udp_tx_data_out_ready <= ip_tx_data_out_ready; -- in this state, we can accept user data if IP TX rdy if ip_tx_data_out_ready = '1' then if udp_txi.data.data_out_valid = '1' or tx_count = x"000" then -- only increment if ready and valid has been subsequently established, otherwise data count moves on too fast if unsigned(tx_count) = unsigned(udp_txi.hdr.data_length) then -- TX terminated due to count - end normally set_last <= '1'; tx_data <= udp_txi.data.data_out; next_tx_result <= UDPTX_RESULT_SENT; --11 set_ip_tx_start <= CLR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; elsif udp_txi.data.data_out_last = '1' then -- terminate tx with error as got last from upstream before exhausting count set_last <= '1'; tx_data <= udp_txi.data.data_out; next_tx_result <= UDPTX_RESULT_ERR; --10 set_ip_tx_start <= CLR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; else -- TX continues tx_count_mode <= INCR; tx_data <= udp_txi.data.data_out; end if; end if; end if; end case; end process; ----------------------------------------------------------------------------- -- sequential process to action control signals and change states and outputs ----------------------------------------------------------------------------- tx_sequential : process (clk,reset,data_out_ready_reg) begin if rising_edge(clk) then data_out_ready_reg <= ip_tx_data_out_ready; else data_out_ready_reg <= data_out_ready_reg; end if; if rising_edge(clk) then if reset = '1' then -- reset state variables udp_tx_state <= IDLE; tx_count <= x"0000"; tx_result_reg <= IPTX_RESULT_NONE; ip_tx_start_reg <= '0'; else -- Next udp_tx_state processing if set_tx_state = '1' then udp_tx_state <= next_tx_state; else udp_tx_state <= udp_tx_state; end if; -- ip_tx_start_reg processing case set_ip_tx_start is when SET => ip_tx_start_reg <= '1'; when CLR => ip_tx_start_reg <= '0'; when HOLD => ip_tx_start_reg <= ip_tx_start_reg; end case; -- tx result processing if set_tx_result = '1' then tx_result_reg <= next_tx_result; else tx_result_reg <= tx_result_reg; end if; -- tx_count processing case tx_count_mode is when RST => tx_count <= x"0000"; when SET => tx_count <= tx_count_val; when INCR => tx_count <= tx_count + 1; when HOLD => tx_count <= tx_count; end case; end if; end if; end process; end Behavioral;
gpl-3.0
iti-luebeck/RTeasy2
RTeasy/src/vhdltmpl/cu_output_net_comp.vhd
3
329
COMPONENT %%COMPONENT_NAME_cu_output_net PORT( I : IN std_logic_vector(0 TO %%I_MAX); STATE : IN std_logic_vector(%%STATEWIDTH_M1 DOWNTO 0); C : OUT std_logic_vector(0 TO %%C_MAX) ); END COMPONENT; FOR ALL : %%COMPONENT_NAME_cu_output_net USE ENTITY WORK.%%COMPONENT_NAME_cu_output_net(behavioural);
gpl-3.0