repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
aospan/NetUP_Dual_Universal_CI-fpga
|
pcie_compiler_0_serdes.vhd
|
1
|
107048
|
-- NetUP Universal Dual DVB-CI FPGA firmware
-- http://www.netup.tv
--
-- Copyright (c) 2014 NetUP Inc, AVB Labs
-- License: GPLv3
-- megafunction wizard: %ALTGX%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: alt_c3gxb
-- ============================================================
-- File Name: pcie_compiler_0_serdes.vhd
-- Megafunction Name(s):
-- alt_c3gxb
--
-- Simulation Library Files(s):
--
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 11.1 Build 259 01/25/2012 SP 2 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2011 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
--alt_c3gxb CBX_AUTO_BLACKBOX="ALL" device_family="Cyclone IV GX" effective_data_rate="2500 Mbps" elec_idle_infer_enable="false" enable_0ppm="false" equalization_setting=1 equalizer_dcgain_setting=1 gxb_powerdown_width=1 hip_enable="true" loopback_mode="none" number_of_channels=1 number_of_quads=1 operation_mode="duplex" pll_bandwidth_type="auto" pll_control_width=1 pll_divide_by="2" pll_inclk_period=10000 pll_multiply_by="25" pll_pfd_fb_mode="internal" preemphasis_ctrl_1stposttap_setting=1 protocol="pcie" receiver_termination="OCT_100_OHMS" reconfig_calibration="true" reconfig_dprio_mode=0 reconfig_pll_control_width=1 rx_8b_10b_mode="normal" rx_align_pattern="0101111100" rx_align_pattern_length=10 rx_allow_align_polarity_inversion="false" rx_allow_pipe_polarity_inversion="true" rx_bitslip_enable="false" rx_byte_ordering_mode="none" rx_cdrctrl_enable="true" rx_channel_bonding="indv" rx_channel_width=8 rx_common_mode="0.82v" rx_datapath_protocol="pipe" rx_deskew_pattern="0" rx_digitalreset_port_width=1 rx_dwidth_factor=1 rx_enable_bit_reversal="false" rx_enable_lock_to_data_sig="false" rx_enable_lock_to_refclk_sig="false" rx_enable_second_order_loop="false" rx_enable_self_test_mode="false" rx_force_signal_detect="false" rx_loop_1_digital_filter=8 rx_ppmselect=8 rx_rate_match_fifo_mode="normal" rx_rate_match_pattern1="11010000111010000011" rx_rate_match_pattern2="00101111000101111100" rx_rate_match_pattern_size=20 rx_run_length=40 rx_run_length_enable="true" rx_signal_detect_loss_threshold=3 rx_signal_detect_threshold=4 rx_signal_detect_valid_threshold=14 rx_use_align_state_machine="true" rx_use_clkout="false" rx_use_coreclk="false" rx_use_deskew_fifo="false" rx_use_double_data_mode="false" rx_use_external_termination="false" rx_use_pipe8b10binvpolarity="true" rx_word_aligner_num_byte=1 starting_channel_number=0 top_module_name="pcie_compiler_0_serdes" transmitter_termination="OCT_100_OHMS" tx_8b_10b_mode="normal" tx_allow_polarity_inversion="false" tx_bitslip_enable="false" tx_channel_bonding="indv" tx_channel_width=8 tx_clkout_width=1 tx_common_mode="0.65v" tx_digitalreset_port_width=1 tx_dwidth_factor=1 tx_enable_bit_reversal="false" tx_enable_self_test_mode="false" tx_slew_rate="low" tx_transmit_protocol="pipe" tx_use_coreclk="false" tx_use_double_data_mode="false" tx_use_external_termination="false" use_calibration_block="true" vod_ctrl_setting=4 cal_blk_clk fixedclk gxb_powerdown hip_tx_clkout pipe8b10binvpolarity pipedatavalid pipeelecidle pipephydonestatus pipestatus pll_areset pll_inclk pll_locked powerdn reconfig_clk reconfig_fromgxb reconfig_togxb rx_analogreset rx_ctrldetect rx_datain rx_dataout rx_digitalreset rx_elecidleinfersel rx_freqlocked rx_patterndetect rx_syncstatus tx_clkout tx_ctrlenable tx_datain tx_dataout tx_detectrxloop tx_digitalreset tx_forcedispcompliance tx_forceelecidle intended_device_family="Cyclone IV GX"
--VERSION_BEGIN 11.1SP2 cbx_alt_c3gxb 2012:01:25:21:13:53:SJ cbx_altclkbuf 2012:01:25:21:13:53:SJ cbx_altiobuf_bidir 2012:01:25:21:13:53:SJ cbx_altiobuf_in 2012:01:25:21:13:53:SJ cbx_altiobuf_out 2012:01:25:21:13:53:SJ cbx_altpll 2012:01:25:21:13:53:SJ cbx_cycloneii 2012:01:25:21:13:53:SJ cbx_lpm_add_sub 2012:01:25:21:13:53:SJ cbx_lpm_compare 2012:01:25:21:13:53:SJ cbx_lpm_decode 2012:01:25:21:13:53:SJ cbx_lpm_mux 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ cbx_stingray 2012:01:25:21:13:52:SJ cbx_stratix 2012:01:25:21:13:53:SJ cbx_stratixii 2012:01:25:21:13:53:SJ cbx_stratixiii 2012:01:25:21:13:53:SJ cbx_stratixv 2012:01:25:21:13:53:SJ cbx_util_mgl 2012:01:25:21:13:53:SJ VERSION_END
LIBRARY altera_mf;
USE altera_mf.all;
LIBRARY cycloneiv_hssi;
USE cycloneiv_hssi.all;
--synthesis_resources = altpll 1 cycloneiv_hssi_calibration_block 1 cycloneiv_hssi_cmu 1 cycloneiv_hssi_rx_pcs 1 cycloneiv_hssi_rx_pma 1 cycloneiv_hssi_tx_pcs 1 cycloneiv_hssi_tx_pma 1 reg 3
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY pcie_compiler_0_serdes_alt_c3gxb_euf8 IS
GENERIC
(
starting_channel_number : NATURAL := 0
);
PORT
(
cal_blk_clk : IN STD_LOGIC := '0';
fixedclk : IN STD_LOGIC := '0';
gxb_powerdown : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
hip_tx_clkout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipe8b10binvpolarity : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
pipedatavalid : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipeelecidle : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipephydonestatus : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipestatus : OUT STD_LOGIC_VECTOR (2 DOWNTO 0);
pll_areset : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
pll_inclk : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
pll_locked : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
powerdn : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
reconfig_clk : IN STD_LOGIC := '0';
reconfig_fromgxb : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
reconfig_togxb : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => 'Z');
rx_analogreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
rx_ctrldetect : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_datain : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => 'Z');
rx_dataout : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
rx_digitalreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
rx_elecidleinfersel : IN STD_LOGIC_VECTOR (2 DOWNTO 0) := (OTHERS => '0');
rx_freqlocked : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_patterndetect : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_syncstatus : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_clkout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_ctrlenable : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
tx_datain : IN STD_LOGIC_VECTOR (7 DOWNTO 0) := (OTHERS => '0');
tx_dataout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_detectrxloop : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
tx_digitalreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
tx_forcedispcompliance : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
tx_forceelecidle : IN STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0')
);
END pcie_compiler_0_serdes_alt_c3gxb_euf8;
ARCHITECTURE RTL OF pcie_compiler_0_serdes_alt_c3gxb_euf8 IS
ATTRIBUTE synthesis_clearbox : natural;
ATTRIBUTE synthesis_clearbox OF RTL : ARCHITECTURE IS 2;
ATTRIBUTE ALTERA_ATTRIBUTE : string;
ATTRIBUTE ALTERA_ATTRIBUTE OF RTL : ARCHITECTURE IS "suppress_da_rule_internal=c104";
SIGNAL wire_pll0_areset : STD_LOGIC;
SIGNAL wire_w_lg_w_pll_areset_range32w33w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_pll0_clk : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL wire_pll0_fref : STD_LOGIC;
SIGNAL wire_pll0_icdrclk : STD_LOGIC;
SIGNAL wire_pll0_inclk : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_pll0_locked : STD_LOGIC;
SIGNAL wire_cal_blk0_nonusertocmu : STD_LOGIC;
SIGNAL wire_cent_unit0_adet : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_dpriodisableout : STD_LOGIC;
SIGNAL wire_cent_unit0_dprioout : STD_LOGIC;
SIGNAL wire_cent_unit0_fixedclk : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_quadresetout : STD_LOGIC;
SIGNAL wire_cent_unit0_rdalign : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_gnd : STD_LOGIC;
SIGNAL wire_cent_unit0_rxanalogreset : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxanalogresetout : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxcrupowerdown : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxctrl : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxdatain : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL wire_cent_unit0_rxdatavalid : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxdigitalreset : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxdigitalresetout : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxibpowerdown : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxpcsdprioin : STD_LOGIC_VECTOR (1599 DOWNTO 0);
SIGNAL wire_cent_unit0_rxpcsdprioout : STD_LOGIC_VECTOR (1599 DOWNTO 0);
SIGNAL wire_cent_unit0_rxpmadprioin : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL wire_cent_unit0_rxpmadprioout : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL wire_cent_unit0_rxpowerdown : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_rxrunningdisp : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_syncstatus : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txanalogresetout : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txctrl : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txdatain : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL wire_cent_unit0_txdetectrxpowerdown : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txdigitalreset : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txdigitalresetout : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txdividerpowerdown : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txobpowerdown : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_cent_unit0_txpcsdprioin : STD_LOGIC_VECTOR (599 DOWNTO 0);
SIGNAL wire_cent_unit0_txpcsdprioout : STD_LOGIC_VECTOR (599 DOWNTO 0);
SIGNAL wire_cent_unit0_txpmadprioin : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL wire_cent_unit0_txpmadprioout : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL wire_receive_pcs0_cdrctrlearlyeios : STD_LOGIC;
SIGNAL wire_receive_pcs0_cdrctrllocktorefclkout : STD_LOGIC;
SIGNAL wire_receive_pcs0_dprioout : STD_LOGIC_VECTOR (399 DOWNTO 0);
SIGNAL wire_receive_pcs0_hipdataout : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_receive_pcs0_hipdatavalid : STD_LOGIC;
SIGNAL wire_receive_pcs0_hipelecidle : STD_LOGIC;
SIGNAL wire_receive_pcs0_hipelecidleinfersel : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_receive_pcs0_hipphydonestatus : STD_LOGIC;
SIGNAL wire_receive_pcs0_hipstatus : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_receive_pcs0_parallelfdbk : STD_LOGIC_VECTOR (19 DOWNTO 0);
SIGNAL wire_receive_pcs0_revparallelfdbkdata : STD_LOGIC_VECTOR (19 DOWNTO 0);
SIGNAL wire_receive_pcs0_xgmdatain : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_receive_pma0_w_lg_freqlocked337w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_receive_pma0_analogtestbus : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_receive_pma0_clockout : STD_LOGIC;
SIGNAL wire_receive_pma0_diagnosticlpbkout : STD_LOGIC;
SIGNAL wire_receive_pma0_dprioout : STD_LOGIC_VECTOR (299 DOWNTO 0);
SIGNAL wire_receive_pma0_freqlocked : STD_LOGIC;
SIGNAL wire_receive_pma0_locktodata : STD_LOGIC;
SIGNAL wire_w_lg_w_lg_reconfig_togxb_busy267w326w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_receive_pma0_locktorefout : STD_LOGIC;
SIGNAL wire_receive_pma0_recoverdataout : STD_LOGIC_VECTOR (9 DOWNTO 0);
SIGNAL wire_receive_pma0_reverselpbkout : STD_LOGIC;
SIGNAL wire_receive_pma0_signaldetect : STD_LOGIC;
SIGNAL wire_receive_pma0_testbussel : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_transmit_pcs0_clkout : STD_LOGIC;
SIGNAL wire_transmit_pcs0_ctrlenable : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_transmit_pcs0_datainfull : STD_LOGIC_VECTOR (21 DOWNTO 0);
SIGNAL wire_transmit_pcs0_dataout : STD_LOGIC_VECTOR (9 DOWNTO 0);
SIGNAL wire_transmit_pcs0_dispval : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_transmit_pcs0_dprioout : STD_LOGIC_VECTOR (149 DOWNTO 0);
SIGNAL wire_transmit_pcs0_forcedisp : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_transmit_pcs0_forceelecidleout : STD_LOGIC;
SIGNAL wire_transmit_pcs0_grayelecidleinferselout : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_transmit_pcs0_hipdatain : STD_LOGIC_VECTOR (9 DOWNTO 0);
SIGNAL wire_transmit_pcs0_hiptxclkout : STD_LOGIC;
SIGNAL wire_vcc : STD_LOGIC;
SIGNAL wire_transmit_pcs0_pipeenrevparallellpbkout : STD_LOGIC;
SIGNAL wire_transmit_pcs0_pipepowerdownout : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_transmit_pcs0_pipepowerstateout : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_transmit_pcs0_txdetectrx : STD_LOGIC;
SIGNAL wire_transmit_pma0_clockout : STD_LOGIC;
SIGNAL wire_transmit_pma0_datain : STD_LOGIC_VECTOR (9 DOWNTO 0);
SIGNAL wire_transmit_pma0_dataout : STD_LOGIC;
SIGNAL wire_transmit_pma0_dprioout : STD_LOGIC_VECTOR (299 DOWNTO 0);
SIGNAL wire_transmit_pma0_rxdetectvalidout : STD_LOGIC;
SIGNAL wire_transmit_pma0_rxfoundout : STD_LOGIC;
SIGNAL wire_transmit_pma0_seriallpbkout : STD_LOGIC;
SIGNAL fixedclk_div : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL reconfig_togxb_busy_reg : STD_LOGIC_VECTOR(1 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL wire_w_lg_w_lg_w_lg_fixedclk_sel39w40w41w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_fixedclk_sel39w46w47w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_fixedclk_sel39w51w52w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_fixedclk_sel39w56w57w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_fixedclk_sel35w36w37w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_fixedclk_sel39w40w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_fixedclk_sel39w46w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_fixedclk_sel39w51w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_fixedclk_sel39w56w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_fixedclk_sel35w36w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_reconfig_togxb_busy267w268w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_fixedclk_sel39w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_fixedclk_enable34w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_fixedclk_sel35w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_reconfig_togxb_busy267w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_rx_analogreset_range266w336w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w40w41w42w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w46w47w48w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w51w52w53w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w56w57w58w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL cal_blk_powerdown : STD_LOGIC;
SIGNAL cent_unit_quadresetout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL cent_unit_rxcrupowerdn : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL cent_unit_rxibpowerdn : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL cent_unit_rxpcsdprioin : STD_LOGIC_VECTOR (1599 DOWNTO 0);
SIGNAL cent_unit_rxpcsdprioout : STD_LOGIC_VECTOR (1599 DOWNTO 0);
SIGNAL cent_unit_rxpmadprioin : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL cent_unit_rxpmadprioout : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL cent_unit_tx_dprioin : STD_LOGIC_VECTOR (599 DOWNTO 0);
SIGNAL cent_unit_txdetectrxpowerdn : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL cent_unit_txdividerpowerdown : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL cent_unit_txdprioout : STD_LOGIC_VECTOR (599 DOWNTO 0);
SIGNAL cent_unit_txobpowerdn : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL cent_unit_txpmadprioin : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL cent_unit_txpmadprioout : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL fixedclk_div_in : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL fixedclk_enable : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL fixedclk_fast : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL fixedclk_sel : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL fixedclk_to_cmu : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL int_pipeenrevparallellpbkfromtx : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL nonusertocmu_out : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL pipedatavalid_out : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL pipeelecidle_out : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL pll_powerdown : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL reconfig_togxb_busy : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL reconfig_togxb_disable : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL reconfig_togxb_in : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL reconfig_togxb_load : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL refclk_pma : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_analogreset_in : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL rx_analogreset_out : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL rx_deserclock_in : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_digitalreset_in : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL rx_digitalreset_out : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL rx_enapatternalign : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_locktodata : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_locktorefclk_wire : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_out_wire : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL rx_pcs_rxfound_wire : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL rx_pcsdprioin_wire : STD_LOGIC_VECTOR (1599 DOWNTO 0);
SIGNAL rx_pcsdprioout : STD_LOGIC_VECTOR (1599 DOWNTO 0);
SIGNAL rx_phfifordenable : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_phfiforeset : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_phfifowrdisable : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_pll_pfdrefclkout_wire : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_pma_analogtestbus : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rx_pma_clockout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_pma_recoverdataout_wire : STD_LOGIC_VECTOR (9 DOWNTO 0);
SIGNAL rx_pmadprioin_wire : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL rx_pmadprioout : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL rx_powerdown : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_powerdown_in : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL rx_prbscidenable : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_reverselpbkout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_revparallelfdbkdata : STD_LOGIC_VECTOR (19 DOWNTO 0);
SIGNAL rx_rmfiforeset : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL rx_signaldetect_wire : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_analogreset_out : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL tx_clkout_int_wire : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_core_clkout_wire : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_datain_wire : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL tx_dataout_pcs_to_pma : STD_LOGIC_VECTOR (9 DOWNTO 0);
SIGNAL tx_diagnosticlpbkin : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_digitalreset_in : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL tx_digitalreset_out : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL tx_dprioin_wire : STD_LOGIC_VECTOR (599 DOWNTO 0);
SIGNAL tx_invpolarity : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_localrefclk : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_pcs_forceelecidleout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_phfiforeset : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_pipepowerdownout : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL tx_pipepowerstateout : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL tx_pma_fastrefclk0in : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_pma_refclk0in : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_pma_refclk0inpulse : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_pmadprioin_wire : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL tx_pmadprioout : STD_LOGIC_VECTOR (1199 DOWNTO 0);
SIGNAL tx_revparallellpbken : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_rxdetectvalidout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_rxfoundout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_serialloopbackout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL tx_txdprioout : STD_LOGIC_VECTOR (599 DOWNTO 0);
SIGNAL txdataout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL txdetectrxout : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL w_cent_unit_dpriodisableout1w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_fixedclk_fast_range38w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_fixedclk_fast_range45w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_fixedclk_fast_range50w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_fixedclk_fast_range55w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_rx_analogreset_range266w : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll
GENERIC
(
bandwidth_type : STRING := "AUTO";
clk0_divide_by : NATURAL := 1;
clk0_multiply_by : NATURAL := 1;
clk1_divide_by : NATURAL := 1;
clk1_multiply_by : NATURAL := 1;
clk2_divide_by : NATURAL := 1;
clk2_duty_cycle : NATURAL := 50;
clk2_multiply_by : NATURAL := 1;
DPA_DIVIDE_BY : NATURAL := 1;
DPA_MULTIPLY_BY : NATURAL := 0;
inclk0_input_frequency : NATURAL := 0;
operation_mode : STRING := "normal";
INTENDED_DEVICE_FAMILY : STRING := "Cyclone IV GX"
);
PORT
(
areset : IN STD_LOGIC := '0';
clk : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
fref : OUT STD_LOGIC;
icdrclk : OUT STD_LOGIC;
inclk : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
locked : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT cycloneiv_hssi_calibration_block
GENERIC
(
cont_cal_mode : STRING := "false";
enable_rx_cal_tw : STRING := "false";
enable_tx_cal_tw : STRING := "false";
rtest : STRING := "false";
rx_cal_wt_value : NATURAL := 0;
send_rx_cal_status : STRING := "false";
tx_cal_wt_value : NATURAL := 1;
lpm_type : STRING := "cycloneiv_hssi_calibration_block"
);
PORT
(
calibrationstatus : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
clk : IN STD_LOGIC := '0';
nonusertocmu : OUT STD_LOGIC;
powerdn : IN STD_LOGIC := '0';
testctrl : IN STD_LOGIC := '0'
);
END COMPONENT;
COMPONENT cycloneiv_hssi_cmu
GENERIC
(
auto_spd_deassert_ph_fifo_rst_count : NATURAL := 0;
auto_spd_phystatus_notify_count : NATURAL := 0;
coreclk_out_gated_by_quad_reset : STRING := "false";
devaddr : NATURAL := 1;
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
in_xaui_mode : STRING := "false";
portaddr : NATURAL := 1;
rx0_channel_bonding : STRING := "none";
rx0_clk1_mux_select : STRING := "recovered clock";
rx0_clk2_mux_select : STRING := "recovered clock";
rx0_clk_pd_enable : STRING := "false";
rx0_logical_to_physical_mapping : NATURAL := 0;
rx0_ph_fifo_reg_mode : STRING := "false";
rx0_ph_fifo_reset_enable : STRING := "false";
rx0_ph_fifo_user_ctrl_enable : STRING := "false";
rx0_rd_clk_mux_select : STRING := "int clock";
rx0_recovered_clk_mux_select : STRING := "recovered clock";
rx0_reset_clock_output_during_digital_reset : STRING := "false";
rx0_use_double_data_mode : STRING := "false";
rx1_logical_to_physical_mapping : NATURAL := 1;
rx2_logical_to_physical_mapping : NATURAL := 2;
rx3_logical_to_physical_mapping : NATURAL := 3;
rx_xaui_sm_backward_compatible_enable : STRING := "false";
select_refclk_dig : STRING := "false";
tx0_channel_bonding : STRING := "none";
tx0_clk_pd_enable : STRING := "false";
tx0_logical_to_physical_mapping : NATURAL := 0;
tx0_ph_fifo_reset_enable : STRING := "false";
tx0_ph_fifo_user_ctrl_enable : STRING := "false";
tx0_rd_clk_mux_select : STRING := "local";
tx0_reset_clock_output_during_digital_reset : STRING := "false";
tx0_use_double_data_mode : STRING := "false";
tx0_wr_clk_mux_select : STRING := "int_clk";
tx1_logical_to_physical_mapping : NATURAL := 1;
tx2_logical_to_physical_mapping : NATURAL := 2;
tx3_logical_to_physical_mapping : NATURAL := 3;
tx_xaui_sm_backward_compatible_enable : STRING := "false";
use_coreclk_out_post_divider : STRING := "false";
use_deskew_fifo : STRING := "false";
lpm_type : STRING := "cycloneiv_hssi_cmu"
);
PORT
(
adet : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
alignstatus : OUT STD_LOGIC;
coreclkout : OUT STD_LOGIC;
digitaltestout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
dpclk : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '1';
dpriodisableout : OUT STD_LOGIC;
dprioin : IN STD_LOGIC := '0';
dprioload : IN STD_LOGIC := '0';
dpriooe : OUT STD_LOGIC;
dprioout : OUT STD_LOGIC;
enabledeskew : OUT STD_LOGIC;
fiforesetrd : OUT STD_LOGIC;
fixedclk : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
nonuserfromcal : IN STD_LOGIC := '0';
pmacramtest : IN STD_LOGIC := '0';
quadreset : IN STD_LOGIC := '0';
quadresetout : OUT STD_LOGIC;
rdalign : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
rdenablesync : IN STD_LOGIC := '1';
recovclk : IN STD_LOGIC := '0';
refclkdig : IN STD_LOGIC := '0';
refclkout : OUT STD_LOGIC;
rxanalogreset : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
rxanalogresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxcoreclk : IN STD_LOGIC := '0';
rxcrupowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxctrl : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
rxctrlout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxdatain : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
rxdataout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
rxdatavalid : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
rxdigitalreset : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
rxdigitalresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxibpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rxpcsdprioin : IN STD_LOGIC_VECTOR(1599 DOWNTO 0) := (OTHERS => '0');
rxpcsdprioout : OUT STD_LOGIC_VECTOR(1599 DOWNTO 0);
rxphfifordenable : IN STD_LOGIC := '1';
rxphfiforeset : IN STD_LOGIC := '0';
rxphfifowrdisable : IN STD_LOGIC := '0';
rxphfifox4byteselout : OUT STD_LOGIC;
rxphfifox4rdenableout : OUT STD_LOGIC;
rxphfifox4wrclkout : OUT STD_LOGIC;
rxphfifox4wrenableout : OUT STD_LOGIC;
rxpmadprioin : IN STD_LOGIC_VECTOR(1199 DOWNTO 0) := (OTHERS => '0');
rxpmadprioout : OUT STD_LOGIC_VECTOR(1199 DOWNTO 0);
rxpowerdown : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
rxrunningdisp : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
scanclk : IN STD_LOGIC := '0';
scanmode : IN STD_LOGIC := '0';
scanshift : IN STD_LOGIC := '0';
syncstatus : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
testin : IN STD_LOGIC_VECTOR(1999 DOWNTO 0) := (OTHERS => '0');
testout : OUT STD_LOGIC_VECTOR(2399 DOWNTO 0);
txanalogresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txclk : IN STD_LOGIC := '0';
txcoreclk : IN STD_LOGIC := '0';
txctrl : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
txctrlout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txdatain : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
txdataout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
txdetectrxpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txdigitalreset : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
txdigitalresetout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txdividerpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txobpowerdown : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
txpcsdprioin : IN STD_LOGIC_VECTOR(599 DOWNTO 0) := (OTHERS => '0');
txpcsdprioout : OUT STD_LOGIC_VECTOR(599 DOWNTO 0);
txphfiforddisable : IN STD_LOGIC := '0';
txphfiforeset : IN STD_LOGIC := '0';
txphfifowrenable : IN STD_LOGIC := '0';
txphfifox4byteselout : OUT STD_LOGIC;
txphfifox4rdclkout : OUT STD_LOGIC;
txphfifox4rdenableout : OUT STD_LOGIC;
txphfifox4wrenableout : OUT STD_LOGIC;
txpmadprioin : IN STD_LOGIC_VECTOR(1199 DOWNTO 0) := (OTHERS => '0');
txpmadprioout : OUT STD_LOGIC_VECTOR(1199 DOWNTO 0)
);
END COMPONENT;
COMPONENT cycloneiv_hssi_rx_pcs
GENERIC
(
align_ordered_set_based : STRING := "false";
align_pattern : STRING := "UNUSED";
align_pattern_length : NATURAL := 7;
align_to_deskew_pattern_pos_disp_only : STRING := "false";
allow_align_polarity_inversion : STRING := "false";
allow_pipe_polarity_inversion : STRING := "false";
auto_spd_deassert_ph_fifo_rst_count : NATURAL := 0;
auto_spd_phystatus_notify_count : NATURAL := 0;
bit_slip_enable : STRING := "false";
byte_order_back_compat_enable : STRING := "false";
byte_order_invalid_code_or_run_disp_error : STRING := "false";
byte_order_mode : STRING := "none";
byte_order_pad_pattern : STRING := "UNUSED";
byte_order_pattern : STRING := "UNUSED";
byte_order_pld_ctrl_enable : STRING := "false";
cdrctrl_bypass_ppm_detector_cycle : NATURAL := 0;
cdrctrl_cid_mode_enable : STRING := "false";
cdrctrl_enable : STRING := "false";
cdrctrl_mask_cycle : NATURAL := 0;
cdrctrl_min_lock_to_ref_cycle : NATURAL := 0;
cdrctrl_rxvalid_mask : STRING := "false";
channel_bonding : STRING := "none";
channel_number : NATURAL := 0;
channel_width : NATURAL := 8;
clk1_mux_select : STRING := "recovered clock";
clk2_mux_select : STRING := "recovered clock";
core_clock_0ppm : STRING := "false";
datapath_low_latency_mode : STRING := "false";
datapath_protocol : STRING := "basic";
dec_8b_10b_compatibility_mode : STRING := "false";
dec_8b_10b_mode : STRING := "none";
deskew_pattern : STRING := "UNUSED";
disable_auto_idle_insertion : STRING := "false";
disable_running_disp_in_word_align : STRING := "false";
disallow_kchar_after_pattern_ordered_set : STRING := "false";
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
elec_idle_eios_detect_priority_over_eidle_disable : STRING := "false";
elec_idle_gen1_sigdet_enable : STRING := "false";
elec_idle_infer_enable : STRING := "false";
elec_idle_num_com_detect : NATURAL := 0;
enable_bit_reversal : STRING := "false";
enable_self_test_mode : STRING := "false";
error_from_wa_or_8b_10b_select : STRING := "false";
force_signal_detect_dig : STRING := "false";
hip_enable : STRING := "false";
infiniband_invalid_code : NATURAL := 0;
insert_pad_on_underflow : STRING := "false";
logical_channel_address : NATURAL := 0;
num_align_code_groups_in_ordered_set : NATURAL := 0;
num_align_cons_good_data : NATURAL := 1;
num_align_cons_pat : NATURAL := 1;
num_align_loss_sync_error : NATURAL := 1;
ph_fifo_low_latency_enable : STRING := "false";
ph_fifo_reg_mode : STRING := "false";
ph_fifo_reset_enable : STRING := "false";
ph_fifo_user_ctrl_enable : STRING := "false";
phystatus_delay : NATURAL := 0;
phystatus_reset_toggle : STRING := "false";
pipe_auto_speed_nego_enable : STRING := "false";
prbs_all_one_detect : STRING := "false";
prbs_cid_pattern : STRING := "false";
prbs_cid_pattern_length : NATURAL := 0;
protocol_hint : STRING := "basic";
rate_match_back_to_back : STRING := "false";
rate_match_delete_threshold : NATURAL := 0;
rate_match_empty_threshold : NATURAL := 0;
rate_match_fifo_mode : STRING := "false";
rate_match_full_threshold : NATURAL := 0;
rate_match_insert_threshold : NATURAL := 0;
rate_match_ordered_set_based : STRING := "false";
rate_match_pattern1 : STRING := "UNUSED";
rate_match_pattern2 : STRING := "UNUSED";
rate_match_pattern_size : NATURAL := 10;
rate_match_pipe_enable : STRING := "false";
rate_match_reset_enable : STRING := "false";
rate_match_skip_set_based : STRING := "false";
rate_match_start_threshold : NATURAL := 0;
rd_clk_mux_select : STRING := "int clock";
recovered_clk_mux_select : STRING := "recovered clock";
reset_clock_output_during_digital_reset : STRING := "false";
run_length : NATURAL := 4;
run_length_enable : STRING := "false";
rx_detect_bypass : STRING := "false";
rx_phfifo_wait_cnt : NATURAL := 0;
rxstatus_error_report_mode : NATURAL := 0;
self_test_mode : STRING := "prbs7";
test_bus_sel : NATURAL := 0;
use_alignment_state_machine : STRING := "false";
use_deskew_fifo : STRING := "false";
use_double_data_mode : STRING := "false";
use_parallel_loopback : STRING := "false";
lpm_type : STRING := "cycloneiv_hssi_rx_pcs"
);
PORT
(
a1a2size : IN STD_LOGIC := '0';
a1a2sizeout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
a1detect : OUT STD_LOGIC;
a2detect : OUT STD_LOGIC;
adetectdeskew : OUT STD_LOGIC;
alignstatus : IN STD_LOGIC := '0';
alignstatussync : IN STD_LOGIC := '0';
alignstatussyncout : OUT STD_LOGIC;
bistdone : OUT STD_LOGIC;
bisterr : OUT STD_LOGIC;
bitslip : IN STD_LOGIC := '0';
bitslipboundaryselectout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
byteorderalignstatus : OUT STD_LOGIC;
cdrctrlearlyeios : OUT STD_LOGIC;
cdrctrllocktorefcl : IN STD_LOGIC := '0';
cdrctrllocktorefclkout : OUT STD_LOGIC;
clkout : OUT STD_LOGIC;
coreclk : IN STD_LOGIC := '0';
coreclkout : OUT STD_LOGIC;
ctrldetect : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
datain : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
dataout : OUT STD_LOGIC_VECTOR(19 DOWNTO 0);
dataoutfull : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
digitalreset : IN STD_LOGIC := '0';
disperr : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC_VECTOR(399 DOWNTO 0) := (OTHERS => '0');
dprioout : OUT STD_LOGIC_VECTOR(399 DOWNTO 0);
elecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
enabledeskew : IN STD_LOGIC := '0';
enabyteord : IN STD_LOGIC := '0';
enapatternalign : IN STD_LOGIC := '0';
errdetect : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
fifordin : IN STD_LOGIC := '0';
fifordout : OUT STD_LOGIC;
fiforesetrd : IN STD_LOGIC := '0';
grayelecidleinferselfromtx : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
hip8b10binvpolarity : IN STD_LOGIC := '0';
hipdataout : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
hipdatavalid : OUT STD_LOGIC;
hipelecidle : OUT STD_LOGIC;
hipelecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
hipphydonestatus : OUT STD_LOGIC;
hippowerdown : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
hipstatus : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
invpol : IN STD_LOGIC := '0';
k1detect : OUT STD_LOGIC;
k2detect : OUT STD_LOGIC;
localrefclk : IN STD_LOGIC := '0';
masterclk : IN STD_LOGIC := '0';
parallelfdbk : IN STD_LOGIC_VECTOR(19 DOWNTO 0) := (OTHERS => '0');
patterndetect : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
phfifooverflow : OUT STD_LOGIC;
phfifordenable : IN STD_LOGIC := '1';
phfifordenableout : OUT STD_LOGIC;
phfiforeset : IN STD_LOGIC := '0';
phfiforesetout : OUT STD_LOGIC;
phfifounderflow : OUT STD_LOGIC;
phfifowrdisable : IN STD_LOGIC := '0';
phfifowrdisableout : OUT STD_LOGIC;
phfifox4bytesel : IN STD_LOGIC := '0';
phfifox4rdenable : IN STD_LOGIC := '0';
phfifox4wrclk : IN STD_LOGIC := '0';
phfifox4wrenable : IN STD_LOGIC := '0';
pipe8b10binvpolarity : IN STD_LOGIC := '0';
pipebufferstat : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
pipedatavalid : OUT STD_LOGIC;
pipeelecidle : OUT STD_LOGIC;
pipeenrevparallellpbkfromtx : IN STD_LOGIC := '0';
pipephydonestatus : OUT STD_LOGIC;
pipepowerdown : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
pipepowerstate : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
pipestatetransdoneout : OUT STD_LOGIC;
pipestatus : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
pmatestbusin : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
powerdn : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
prbscidenable : IN STD_LOGIC := '0';
quadreset : IN STD_LOGIC := '0';
rdalign : OUT STD_LOGIC;
recoveredclk : IN STD_LOGIC := '0';
refclk : IN STD_LOGIC := '0';
revbitorderwa : IN STD_LOGIC := '0';
revbyteorderwa : IN STD_LOGIC := '0';
revparallelfdbkdata : OUT STD_LOGIC_VECTOR(19 DOWNTO 0);
rlv : OUT STD_LOGIC;
rmfifodatadeleted : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
rmfifodatainserted : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
rmfifoempty : OUT STD_LOGIC;
rmfifofull : OUT STD_LOGIC;
rmfifordena : IN STD_LOGIC := '1';
rmfiforeset : IN STD_LOGIC := '0';
rmfifowrena : IN STD_LOGIC := '1';
runningdisp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
rxdetectvalid : IN STD_LOGIC := '0';
rxfound : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
signaldetect : OUT STD_LOGIC;
signaldetected : IN STD_LOGIC := '0';
syncstatus : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
syncstatusdeskew : OUT STD_LOGIC;
wareset : IN STD_LOGIC := '0';
xauidelcondmet : IN STD_LOGIC := '0';
xauidelcondmetout : OUT STD_LOGIC;
xauififoovr : IN STD_LOGIC := '0';
xauififoovrout : OUT STD_LOGIC;
xauiinsertincomplete : IN STD_LOGIC := '0';
xauiinsertincompleteout : OUT STD_LOGIC;
xauilatencycomp : IN STD_LOGIC := '0';
xauilatencycompout : OUT STD_LOGIC;
xgmctrldet : OUT STD_LOGIC;
xgmctrlin : IN STD_LOGIC := '0';
xgmdatain : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
xgmdataout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
xgmdatavalid : OUT STD_LOGIC;
xgmrunningdisp : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT cycloneiv_hssi_rx_pma
GENERIC
(
allow_serial_loopback : STRING := "false";
channel_number : NATURAL := 0;
common_mode : STRING := "0.82V";
deserialization_factor : NATURAL := 8;
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
effective_data_rate : STRING := "UNUSED";
enable_dpa_shift : STRING := "false";
enable_initial_phase_selection : STRING := "true";
enable_local_divider : STRING := "false";
enable_ltd : STRING := "false";
enable_ltr : STRING := "false";
enable_pd_counter_accumulate_mode : STRING := "true";
enable_second_order_loop : STRING := "false";
eq_dc_gain : NATURAL := 0;
eq_setting : NATURAL := 1;
force_signal_detect : STRING := "false";
initial_phase_value : NATURAL := 0;
logical_channel_address : NATURAL := 0;
loop_1_digital_filter : NATURAL := 8;
offset_cancellation : NATURAL := 0;
pd1_counter_setting : NATURAL := 3;
pd2_counter_setting : NATURAL := 2;
pd_rising_edge_only : STRING := "false";
phase_step_add_setting : NATURAL := 2;
phase_step_sub_setting : NATURAL := 1;
pi_frequency_selector : NATURAL := 0;
ppm_gen1_2_xcnt_en : NATURAL := 0;
ppm_post_eidle : NATURAL := 0;
ppmselect : NATURAL := 0;
protocol_hint : STRING := "basic";
send_reverse_serial_loopback_data : STRING := "false";
send_reverse_serial_loopback_recovered_clk : STRING := "false";
signal_detect_hysteresis : NATURAL := 4;
signal_detect_hysteresis_valid_threshold : NATURAL := 14;
signal_detect_loss_threshold : NATURAL := 3;
termination : STRING := "OCT 100 Ohms";
use_external_termination : STRING := "false";
lpm_type : STRING := "cycloneiv_hssi_rx_pma"
);
PORT
(
analogtestbus : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
clockout : OUT STD_LOGIC;
crupowerdn : IN STD_LOGIC := '0';
datain : IN STD_LOGIC := '0';
datastrobeout : OUT STD_LOGIC;
deserclock : IN STD_LOGIC := '0';
diagnosticlpbkout : OUT STD_LOGIC;
dpashift : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC_VECTOR(299 DOWNTO 0) := (OTHERS => '0');
dprioout : OUT STD_LOGIC_VECTOR(299 DOWNTO 0);
freqlocked : OUT STD_LOGIC;
locktodata : IN STD_LOGIC := '0';
locktoref : IN STD_LOGIC := '0';
locktorefout : OUT STD_LOGIC;
powerdn : IN STD_LOGIC := '0';
ppmdetectrefclk : IN STD_LOGIC := '0';
recoverdataout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
reverselpbkout : OUT STD_LOGIC;
rxpmareset : IN STD_LOGIC := '0';
seriallpbkin : IN STD_LOGIC := '0';
signaldetect : OUT STD_LOGIC;
testbussel : IN STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT;
COMPONENT cycloneiv_hssi_tx_pcs
GENERIC
(
allow_polarity_inversion : STRING := "false";
bitslip_enable : STRING := "false";
channel_bonding : STRING := "none";
channel_number : NATURAL := 0;
channel_width : NATURAL := 8;
core_clock_0ppm : STRING := "false";
datapath_low_latency_mode : STRING := "false";
datapath_protocol : STRING := "basic";
disable_ph_low_latency_mode : STRING := "false";
disparity_mode : STRING := "none";
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
elec_idle_delay : NATURAL := 3;
enable_bit_reversal : STRING := "false";
enable_idle_selection : STRING := "false";
enable_phfifo_bypass : STRING := "false";
enable_reverse_parallel_loopback : STRING := "false";
enable_self_test_mode : STRING := "false";
enc_8b_10b_compatibility_mode : STRING := "false";
enc_8b_10b_mode : STRING := "none";
force_echar : STRING := "false";
force_kchar : STRING := "false";
hip_enable : STRING := "false";
logical_channel_address : NATURAL := 0;
ph_fifo_reg_mode : STRING := "false";
ph_fifo_reset_enable : STRING := "false";
ph_fifo_user_ctrl_enable : STRING := "false";
pipe_voltage_swing_control : STRING := "false";
prbs_cid_pattern : STRING := "false";
prbs_cid_pattern_length : NATURAL := 0;
protocol_hint : STRING := "basic";
refclk_select : STRING := "local";
reset_clock_output_during_digital_reset : STRING := "false";
self_test_mode : STRING := "crpat";
use_double_data_mode : STRING := "false";
wr_clk_mux_select : STRING := "int_clk";
lpm_type : STRING := "cycloneiv_hssi_tx_pcs"
);
PORT
(
bitslipboundaryselect : IN STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
clkout : OUT STD_LOGIC;
coreclk : IN STD_LOGIC := '0';
coreclkout : OUT STD_LOGIC;
ctrlenable : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
datain : IN STD_LOGIC_VECTOR(19 DOWNTO 0) := (OTHERS => '0');
datainfull : IN STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0');
dataout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
detectrxloop : IN STD_LOGIC := '0';
digitalreset : IN STD_LOGIC := '0';
dispval : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC_VECTOR(149 DOWNTO 0) := (OTHERS => '0');
dprioout : OUT STD_LOGIC_VECTOR(149 DOWNTO 0);
elecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
enrevparallellpbk : IN STD_LOGIC := '0';
forcedisp : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
forceelecidle : IN STD_LOGIC := '0';
forceelecidleout : OUT STD_LOGIC;
grayelecidleinferselout : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
hipdatain : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
hipdetectrxloop : IN STD_LOGIC := '0';
hipelecidleinfersel : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
hipforceelecidle : IN STD_LOGIC := '0';
hippowerdn : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
hiptxclkout : OUT STD_LOGIC;
invpol : IN STD_LOGIC := '0';
localrefclk : IN STD_LOGIC := '0';
parallelfdbkout : OUT STD_LOGIC_VECTOR(19 DOWNTO 0);
phfifooverflow : OUT STD_LOGIC;
phfiforddisable : IN STD_LOGIC := '0';
phfiforddisableout : OUT STD_LOGIC;
phfiforeset : IN STD_LOGIC := '0';
phfiforesetout : OUT STD_LOGIC;
phfifounderflow : OUT STD_LOGIC;
phfifowrenable : IN STD_LOGIC := '1';
phfifowrenableout : OUT STD_LOGIC;
phfifox4bytesel : IN STD_LOGIC := '0';
phfifox4rdclk : IN STD_LOGIC := '0';
phfifox4rdenable : IN STD_LOGIC := '0';
phfifox4wrenable : IN STD_LOGIC := '0';
pipeenrevparallellpbkout : OUT STD_LOGIC;
pipepowerdownout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
pipepowerstateout : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
pipestatetransdone : IN STD_LOGIC := '0';
pipetxswing : IN STD_LOGIC := '0';
powerdn : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
prbscidenable : IN STD_LOGIC := '0';
quadreset : IN STD_LOGIC := '0';
rdenablesync : OUT STD_LOGIC;
refclk : IN STD_LOGIC := '0';
revparallelfdbk : IN STD_LOGIC_VECTOR(19 DOWNTO 0) := (OTHERS => '0');
txdetectrx : OUT STD_LOGIC;
xgmctrl : IN STD_LOGIC := '0';
xgmctrlenable : OUT STD_LOGIC;
xgmdatain : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
xgmdataout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
COMPONENT cycloneiv_hssi_tx_pma
GENERIC
(
channel_number : NATURAL := 0;
common_mode : STRING := "0.65V";
dprio_config_mode : STD_LOGIC_VECTOR(5 DOWNTO 0) := "000000";
effective_data_rate : STRING := "UNUSED";
enable_diagnostic_loopback : STRING := "false";
enable_reverse_serial_loopback : STRING := "false";
enable_txclkout_loopback : STRING := "false";
logical_channel_address : NATURAL := 0;
preemp_tap_1 : NATURAL := 0;
protocol_hint : STRING := "basic";
rx_detect : NATURAL := 0;
serialization_factor : NATURAL := 8;
slew_rate : STRING := "low";
termination : STRING := "OCT 100 Ohms";
use_external_termination : STRING := "false";
use_rx_detect : STRING := "false";
vod_selection : NATURAL := 0;
lpm_type : STRING := "cycloneiv_hssi_tx_pma"
);
PORT
(
cgbpowerdn : IN STD_LOGIC := '0';
clockout : OUT STD_LOGIC;
datain : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
dataout : OUT STD_LOGIC;
detectrxpowerdown : IN STD_LOGIC := '0';
diagnosticlpbkin : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '0';
dprioin : IN STD_LOGIC_VECTOR(299 DOWNTO 0) := (OTHERS => '0');
dprioout : OUT STD_LOGIC_VECTOR(299 DOWNTO 0);
fastrefclk0in : IN STD_LOGIC := '0';
forceelecidle : IN STD_LOGIC := '0';
powerdn : IN STD_LOGIC := '0';
refclk0in : IN STD_LOGIC := '0';
refclk0inpulse : IN STD_LOGIC := '0';
reverselpbkin : IN STD_LOGIC := '0';
rxdetectclk : IN STD_LOGIC := '0';
rxdetecten : IN STD_LOGIC := '0';
rxdetectvalidout : OUT STD_LOGIC;
rxfoundout : OUT STD_LOGIC;
seriallpbkout : OUT STD_LOGIC;
txpmareset : IN STD_LOGIC := '0'
);
END COMPONENT;
BEGIN
wire_gnd <= '0';
wire_vcc <= '1';
wire_w_lg_w_lg_w_lg_fixedclk_sel39w40w41w(0) <= wire_w_lg_w_lg_fixedclk_sel39w40w(0) AND fixedclk_div_in(0);
wire_w_lg_w_lg_w_lg_fixedclk_sel39w46w47w(0) <= wire_w_lg_w_lg_fixedclk_sel39w46w(0) AND fixedclk_div_in(0);
wire_w_lg_w_lg_w_lg_fixedclk_sel39w51w52w(0) <= wire_w_lg_w_lg_fixedclk_sel39w51w(0) AND fixedclk_div_in(0);
wire_w_lg_w_lg_w_lg_fixedclk_sel39w56w57w(0) <= wire_w_lg_w_lg_fixedclk_sel39w56w(0) AND fixedclk_div_in(0);
wire_w_lg_w_lg_w_lg_fixedclk_sel35w36w37w(0) <= wire_w_lg_w_lg_fixedclk_sel35w36w(0) AND fixedclk;
wire_w_lg_w_lg_fixedclk_sel39w40w(0) <= wire_w_lg_fixedclk_sel39w(0) AND wire_w_fixedclk_fast_range38w(0);
wire_w_lg_w_lg_fixedclk_sel39w46w(0) <= wire_w_lg_fixedclk_sel39w(0) AND wire_w_fixedclk_fast_range45w(0);
wire_w_lg_w_lg_fixedclk_sel39w51w(0) <= wire_w_lg_fixedclk_sel39w(0) AND wire_w_fixedclk_fast_range50w(0);
wire_w_lg_w_lg_fixedclk_sel39w56w(0) <= wire_w_lg_fixedclk_sel39w(0) AND wire_w_fixedclk_fast_range55w(0);
wire_w_lg_w_lg_fixedclk_sel35w36w(0) <= wire_w_lg_fixedclk_sel35w(0) AND wire_w_lg_fixedclk_enable34w(0);
wire_w_lg_w_lg_reconfig_togxb_busy267w268w(0) <= wire_w_lg_reconfig_togxb_busy267w(0) AND wire_w_rx_analogreset_range266w(0);
wire_w_lg_fixedclk_sel39w(0) <= fixedclk_sel(0) AND fixedclk_enable(0);
wire_w_lg_fixedclk_enable34w(0) <= NOT fixedclk_enable(0);
wire_w_lg_fixedclk_sel35w(0) <= NOT fixedclk_sel(0);
wire_w_lg_reconfig_togxb_busy267w(0) <= NOT reconfig_togxb_busy(0);
wire_w_lg_w_rx_analogreset_range266w336w(0) <= NOT wire_w_rx_analogreset_range266w(0);
wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w40w41w42w(0) <= wire_w_lg_w_lg_w_lg_fixedclk_sel39w40w41w(0) OR wire_w_lg_w_lg_w_lg_fixedclk_sel35w36w37w(0);
wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w46w47w48w(0) <= wire_w_lg_w_lg_w_lg_fixedclk_sel39w46w47w(0) OR wire_w_lg_w_lg_w_lg_fixedclk_sel35w36w37w(0);
wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w51w52w53w(0) <= wire_w_lg_w_lg_w_lg_fixedclk_sel39w51w52w(0) OR wire_w_lg_w_lg_w_lg_fixedclk_sel35w36w37w(0);
wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w56w57w58w(0) <= wire_w_lg_w_lg_w_lg_fixedclk_sel39w56w57w(0) OR wire_w_lg_w_lg_w_lg_fixedclk_sel35w36w37w(0);
cal_blk_powerdown <= '0';
cent_unit_quadresetout(0) <= ( wire_cent_unit0_quadresetout);
cent_unit_rxcrupowerdn <= ( wire_cent_unit0_rxcrupowerdown(3 DOWNTO 0));
cent_unit_rxibpowerdn <= ( wire_cent_unit0_rxibpowerdown(3 DOWNTO 0));
cent_unit_rxpcsdprioin <= ( "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"
& "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"
& rx_pcsdprioout(399 DOWNTO 0));
cent_unit_rxpcsdprioout <= ( wire_cent_unit0_rxpcsdprioout(1599 DOWNTO 0));
cent_unit_rxpmadprioin <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & rx_pmadprioout(299 DOWNTO 0));
cent_unit_rxpmadprioout <= ( wire_cent_unit0_rxpmadprioout(1199 DOWNTO 0));
cent_unit_tx_dprioin <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & tx_txdprioout(149 DOWNTO 0));
cent_unit_txdetectrxpowerdn <= ( wire_cent_unit0_txdetectrxpowerdown(3 DOWNTO 0));
cent_unit_txdividerpowerdown <= ( wire_cent_unit0_txdividerpowerdown(3 DOWNTO 0));
cent_unit_txdprioout <= ( wire_cent_unit0_txpcsdprioout(599 DOWNTO 0));
cent_unit_txobpowerdn <= ( wire_cent_unit0_txobpowerdown(3 DOWNTO 0));
cent_unit_txpmadprioin <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & tx_pmadprioout(299 DOWNTO 0));
cent_unit_txpmadprioout <= ( wire_cent_unit0_txpmadprioout(1199 DOWNTO 0));
fixedclk_div_in <= fixedclk_div;
fixedclk_enable(0) <= reconfig_togxb_busy_reg(0);
fixedclk_fast <= (OTHERS => '1');
fixedclk_sel(0) <= reconfig_togxb_busy_reg(1);
fixedclk_to_cmu <= ( wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w56w57w58w & wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w51w52w53w & wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w46w47w48w & wire_w_lg_w_lg_w_lg_w_lg_fixedclk_sel39w40w41w42w);
hip_tx_clkout(0) <= ( wire_transmit_pcs0_hiptxclkout);
int_pipeenrevparallellpbkfromtx(0) <= ( wire_transmit_pcs0_pipeenrevparallellpbkout);
nonusertocmu_out(0) <= ( wire_cal_blk0_nonusertocmu);
pipedatavalid(0) <= ( pipedatavalid_out(0));
pipedatavalid_out(0) <= ( wire_receive_pcs0_hipdatavalid);
pipeelecidle(0) <= ( pipeelecidle_out(0));
pipeelecidle_out(0) <= ( wire_receive_pcs0_hipelecidle);
pipephydonestatus(0) <= ( wire_receive_pcs0_hipphydonestatus);
pipestatus <= ( wire_receive_pcs0_hipstatus);
pll_locked(0) <= ( wire_pll0_locked);
pll_powerdown <= (OTHERS => '0');
reconfig_fromgxb <= ( rx_pma_analogtestbus(4 DOWNTO 1) & wire_cent_unit0_dprioout);
reconfig_togxb_busy(0) <= reconfig_togxb(3);
reconfig_togxb_disable(0) <= reconfig_togxb(1);
reconfig_togxb_in(0) <= reconfig_togxb(0);
reconfig_togxb_load(0) <= reconfig_togxb(2);
rx_analogreset_in <= ( "000" & wire_w_lg_w_lg_reconfig_togxb_busy267w268w);
rx_analogreset_out <= ( wire_cent_unit0_rxanalogresetout(3 DOWNTO 0));
rx_ctrldetect(0) <= ( wire_receive_pcs0_hipdataout(8));
rx_dataout <= ( rx_out_wire(7 DOWNTO 0));
rx_deserclock_in(0) <= ( wire_pll0_icdrclk);
rx_digitalreset_in <= ( "000" & rx_digitalreset(0));
rx_digitalreset_out <= ( wire_cent_unit0_rxdigitalresetout(3 DOWNTO 0));
rx_enapatternalign <= (OTHERS => '0');
rx_freqlocked <= ( wire_receive_pma0_w_lg_freqlocked337w);
rx_locktodata <= (OTHERS => '0');
rx_locktorefclk_wire(0) <= ( wire_receive_pcs0_cdrctrllocktorefclkout);
rx_out_wire <= ( wire_receive_pcs0_hipdataout(7 DOWNTO 0));
rx_pcs_rxfound_wire <= ( txdetectrxout(0) & tx_rxfoundout(0));
rx_pcsdprioin_wire <= ( "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"
& "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"
& cent_unit_rxpcsdprioout(399 DOWNTO 0));
rx_pcsdprioout <= ( "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"
& "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"
& wire_receive_pcs0_dprioout);
rx_phfifordenable <= (OTHERS => '1');
rx_phfiforeset <= (OTHERS => '0');
rx_phfifowrdisable <= (OTHERS => '0');
rx_pll_pfdrefclkout_wire(0) <= ( wire_pll0_fref);
rx_pma_analogtestbus <= ( "0000" & wire_receive_pma0_analogtestbus(6));
rx_pma_clockout(0) <= ( wire_receive_pma0_clockout);
rx_pma_recoverdataout_wire <= ( wire_receive_pma0_recoverdataout(9 DOWNTO 0));
rx_pmadprioin_wire <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & cent_unit_rxpmadprioout(299 DOWNTO 0));
rx_pmadprioout <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & wire_receive_pma0_dprioout);
rx_powerdown <= (OTHERS => '0');
rx_powerdown_in <= ( "000" & rx_powerdown(0));
rx_prbscidenable <= (OTHERS => '0');
rx_reverselpbkout(0) <= ( wire_receive_pma0_reverselpbkout);
rx_revparallelfdbkdata <= ( wire_receive_pcs0_revparallelfdbkdata);
rx_rmfiforeset <= (OTHERS => '0');
rx_signaldetect_wire(0) <= ( wire_receive_pma0_signaldetect);
tx_analogreset_out <= ( wire_cent_unit0_txanalogresetout(3 DOWNTO 0));
tx_clkout(0) <= ( tx_core_clkout_wire(0));
tx_clkout_int_wire(0) <= ( wire_transmit_pcs0_clkout);
tx_core_clkout_wire(0) <= ( tx_clkout_int_wire(0));
tx_datain_wire <= ( tx_datain(7 DOWNTO 0));
tx_dataout(0) <= ( txdataout(0));
tx_dataout_pcs_to_pma <= ( wire_transmit_pcs0_dataout(9 DOWNTO 0));
tx_diagnosticlpbkin(0) <= ( wire_receive_pma0_diagnosticlpbkout);
tx_digitalreset_in <= ( "000" & tx_digitalreset(0));
tx_digitalreset_out <= ( wire_cent_unit0_txdigitalresetout(3 DOWNTO 0));
tx_dprioin_wire <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & cent_unit_txdprioout(149 DOWNTO 0));
tx_invpolarity <= (OTHERS => '0');
tx_localrefclk(0) <= ( wire_transmit_pma0_clockout);
tx_pcs_forceelecidleout(0) <= ( wire_transmit_pcs0_forceelecidleout);
tx_phfiforeset <= (OTHERS => '0');
tx_pipepowerdownout <= ( wire_transmit_pcs0_pipepowerdownout);
tx_pipepowerstateout <= ( wire_transmit_pcs0_pipepowerstateout);
tx_pma_fastrefclk0in(0) <= ( wire_pll0_clk(0));
tx_pma_refclk0in(0) <= ( wire_pll0_clk(1));
tx_pma_refclk0inpulse(0) <= ( wire_pll0_clk(2));
tx_pmadprioin_wire <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & cent_unit_txpmadprioout(299 DOWNTO 0));
tx_pmadprioout <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & wire_transmit_pma0_dprioout);
tx_revparallellpbken <= (OTHERS => '0');
tx_rxdetectvalidout(0) <= ( wire_transmit_pma0_rxdetectvalidout);
tx_rxfoundout(0) <= ( wire_transmit_pma0_rxfoundout);
tx_serialloopbackout(0) <= ( wire_transmit_pma0_seriallpbkout);
tx_txdprioout <= ( "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" & wire_transmit_pcs0_dprioout);
txdataout(0) <= ( wire_transmit_pma0_dataout);
txdetectrxout(0) <= ( wire_transmit_pcs0_txdetectrx);
w_cent_unit_dpriodisableout1w(0) <= ( wire_cent_unit0_dpriodisableout);
wire_w_fixedclk_fast_range38w(0) <= fixedclk_fast(0);
wire_w_fixedclk_fast_range45w(0) <= fixedclk_fast(1);
wire_w_fixedclk_fast_range50w(0) <= fixedclk_fast(2);
wire_w_fixedclk_fast_range55w(0) <= fixedclk_fast(3);
wire_w_rx_analogreset_range266w(0) <= rx_analogreset(0);
wire_pll0_areset <= wire_w_lg_w_pll_areset_range32w33w(0);
wire_w_lg_w_pll_areset_range32w33w(0) <= pll_areset(0) OR pll_powerdown(0);
wire_pll0_inclk <= ( "0" & pll_inclk(0));
pll0 : altpll
GENERIC MAP (
bandwidth_type => "AUTO",
clk0_divide_by => 2,
clk0_multiply_by => 25,
clk1_divide_by => 10,
clk1_multiply_by => 25,
clk2_divide_by => 10,
clk2_duty_cycle => 20,
clk2_multiply_by => 25,
DPA_DIVIDE_BY => 2,
DPA_MULTIPLY_BY => 25,
inclk0_input_frequency => 10000,
operation_mode => "no_compensation",
INTENDED_DEVICE_FAMILY => "Cyclone IV GX"
)
PORT MAP (
areset => wire_pll0_areset,
clk => wire_pll0_clk,
fref => wire_pll0_fref,
icdrclk => wire_pll0_icdrclk,
inclk => wire_pll0_inclk,
locked => wire_pll0_locked
);
cal_blk0 : cycloneiv_hssi_calibration_block
PORT MAP (
clk => cal_blk_clk,
nonusertocmu => wire_cal_blk0_nonusertocmu,
powerdn => cal_blk_powerdown
);
wire_cent_unit0_adet <= (OTHERS => '0');
wire_cent_unit0_fixedclk <= ( "000" & fixedclk_to_cmu(0));
wire_cent_unit0_rdalign <= (OTHERS => '0');
wire_cent_unit0_rxanalogreset <= ( rx_analogreset_in(3 DOWNTO 0));
wire_cent_unit0_rxctrl <= (OTHERS => '0');
wire_cent_unit0_rxdatain <= (OTHERS => '0');
wire_cent_unit0_rxdatavalid <= (OTHERS => '0');
wire_cent_unit0_rxdigitalreset <= ( rx_digitalreset_in(3 DOWNTO 0));
wire_cent_unit0_rxpcsdprioin <= ( cent_unit_rxpcsdprioin(1599 DOWNTO 0));
wire_cent_unit0_rxpmadprioin <= ( cent_unit_rxpmadprioin(1199 DOWNTO 0));
wire_cent_unit0_rxpowerdown <= ( rx_powerdown_in(3 DOWNTO 0));
wire_cent_unit0_rxrunningdisp <= (OTHERS => '0');
wire_cent_unit0_syncstatus <= (OTHERS => '0');
wire_cent_unit0_txctrl <= (OTHERS => '0');
wire_cent_unit0_txdatain <= (OTHERS => '0');
wire_cent_unit0_txdigitalreset <= ( tx_digitalreset_in(3 DOWNTO 0));
wire_cent_unit0_txpcsdprioin <= ( cent_unit_tx_dprioin(599 DOWNTO 0));
wire_cent_unit0_txpmadprioin <= ( cent_unit_txpmadprioin(1199 DOWNTO 0));
cent_unit0 : cycloneiv_hssi_cmu
GENERIC MAP (
auto_spd_deassert_ph_fifo_rst_count => 8,
auto_spd_phystatus_notify_count => 14,
devaddr => ((((starting_channel_number / 4) + 0) MOD 32) + 1),
dprio_config_mode => "000001",
in_xaui_mode => "false",
portaddr => (((starting_channel_number + 0) / 128) + 1),
rx0_channel_bonding => "none",
rx0_clk1_mux_select => "recovered clock",
rx0_clk2_mux_select => "local reference clock",
rx0_ph_fifo_reg_mode => "true",
rx0_rd_clk_mux_select => "int clock",
rx0_recovered_clk_mux_select => "recovered clock",
rx0_reset_clock_output_during_digital_reset => "false",
rx0_use_double_data_mode => "false",
tx0_channel_bonding => "none",
tx0_rd_clk_mux_select => "central",
tx0_reset_clock_output_during_digital_reset => "false",
tx0_use_double_data_mode => "false",
tx0_wr_clk_mux_select => "int_clk",
use_coreclk_out_post_divider => "false",
use_deskew_fifo => "false"
)
PORT MAP (
adet => wire_cent_unit0_adet,
dpclk => reconfig_clk,
dpriodisable => reconfig_togxb_disable(0),
dpriodisableout => wire_cent_unit0_dpriodisableout,
dprioin => reconfig_togxb_in(0),
dprioload => reconfig_togxb_load(0),
dprioout => wire_cent_unit0_dprioout,
fixedclk => wire_cent_unit0_fixedclk,
nonuserfromcal => nonusertocmu_out(0),
quadreset => gxb_powerdown(0),
quadresetout => wire_cent_unit0_quadresetout,
rdalign => wire_cent_unit0_rdalign,
rdenablesync => wire_gnd,
recovclk => wire_gnd,
rxanalogreset => wire_cent_unit0_rxanalogreset,
rxanalogresetout => wire_cent_unit0_rxanalogresetout,
rxcrupowerdown => wire_cent_unit0_rxcrupowerdown,
rxctrl => wire_cent_unit0_rxctrl,
rxdatain => wire_cent_unit0_rxdatain,
rxdatavalid => wire_cent_unit0_rxdatavalid,
rxdigitalreset => wire_cent_unit0_rxdigitalreset,
rxdigitalresetout => wire_cent_unit0_rxdigitalresetout,
rxibpowerdown => wire_cent_unit0_rxibpowerdown,
rxpcsdprioin => wire_cent_unit0_rxpcsdprioin,
rxpcsdprioout => wire_cent_unit0_rxpcsdprioout,
rxpmadprioin => wire_cent_unit0_rxpmadprioin,
rxpmadprioout => wire_cent_unit0_rxpmadprioout,
rxpowerdown => wire_cent_unit0_rxpowerdown,
rxrunningdisp => wire_cent_unit0_rxrunningdisp,
syncstatus => wire_cent_unit0_syncstatus,
txanalogresetout => wire_cent_unit0_txanalogresetout,
txctrl => wire_cent_unit0_txctrl,
txdatain => wire_cent_unit0_txdatain,
txdetectrxpowerdown => wire_cent_unit0_txdetectrxpowerdown,
txdigitalreset => wire_cent_unit0_txdigitalreset,
txdigitalresetout => wire_cent_unit0_txdigitalresetout,
txdividerpowerdown => wire_cent_unit0_txdividerpowerdown,
txobpowerdown => wire_cent_unit0_txobpowerdown,
txpcsdprioin => wire_cent_unit0_txpcsdprioin,
txpcsdprioout => wire_cent_unit0_txpcsdprioout,
txpmadprioin => wire_cent_unit0_txpmadprioin,
txpmadprioout => wire_cent_unit0_txpmadprioout
);
wire_receive_pcs0_hipelecidleinfersel <= (OTHERS => '0');
wire_receive_pcs0_parallelfdbk <= (OTHERS => '0');
wire_receive_pcs0_xgmdatain <= (OTHERS => '0');
receive_pcs0 : cycloneiv_hssi_rx_pcs
GENERIC MAP (
align_pattern => "0101111100",
align_pattern_length => 10,
allow_align_polarity_inversion => "false",
allow_pipe_polarity_inversion => "true",
auto_spd_deassert_ph_fifo_rst_count => 8,
auto_spd_phystatus_notify_count => 14,
bit_slip_enable => "false",
byte_order_invalid_code_or_run_disp_error => "true",
byte_order_mode => "none",
byte_order_pad_pattern => "0",
byte_order_pattern => "0",
byte_order_pld_ctrl_enable => "false",
cdrctrl_bypass_ppm_detector_cycle => 1000,
cdrctrl_cid_mode_enable => "true",
cdrctrl_enable => "true",
cdrctrl_mask_cycle => 800,
cdrctrl_min_lock_to_ref_cycle => 63,
cdrctrl_rxvalid_mask => "true",
channel_bonding => "none",
channel_number => ((starting_channel_number + 0) MOD 4),
channel_width => 8,
clk1_mux_select => "recovered clock",
clk2_mux_select => "local reference clock",
core_clock_0ppm => "false",
datapath_low_latency_mode => "false",
datapath_protocol => "pipe",
dec_8b_10b_compatibility_mode => "true",
dec_8b_10b_mode => "normal",
deskew_pattern => "0",
disable_auto_idle_insertion => "false",
disable_running_disp_in_word_align => "false",
disallow_kchar_after_pattern_ordered_set => "false",
dprio_config_mode => "000001",
elec_idle_gen1_sigdet_enable => "true",
elec_idle_infer_enable => "false",
elec_idle_num_com_detect => 3,
enable_bit_reversal => "false",
enable_self_test_mode => "false",
force_signal_detect_dig => "true",
hip_enable => "true",
infiniband_invalid_code => 0,
insert_pad_on_underflow => "false",
num_align_code_groups_in_ordered_set => 0,
num_align_cons_good_data => 16,
num_align_cons_pat => 4,
num_align_loss_sync_error => 17,
ph_fifo_low_latency_enable => "true",
ph_fifo_reg_mode => "true",
protocol_hint => "pcie",
rate_match_back_to_back => "false",
rate_match_delete_threshold => 13,
rate_match_empty_threshold => 5,
rate_match_fifo_mode => "true",
rate_match_full_threshold => 20,
rate_match_insert_threshold => 11,
rate_match_ordered_set_based => "false",
rate_match_pattern1 => "11010000111010000011",
rate_match_pattern2 => "00101111000101111100",
rate_match_pattern_size => 20,
rate_match_pipe_enable => "true",
rate_match_reset_enable => "false",
rate_match_skip_set_based => "true",
rate_match_start_threshold => 7,
rd_clk_mux_select => "int clock",
recovered_clk_mux_select => "recovered clock",
run_length => 40,
run_length_enable => "true",
rx_detect_bypass => "false",
rx_phfifo_wait_cnt => 32,
rxstatus_error_report_mode => 1,
self_test_mode => "incremental",
use_alignment_state_machine => "true",
use_deskew_fifo => "false",
use_double_data_mode => "false",
use_parallel_loopback => "false"
)
PORT MAP (
a1a2size => wire_gnd,
alignstatus => wire_gnd,
alignstatussync => wire_gnd,
cdrctrlearlyeios => wire_receive_pcs0_cdrctrlearlyeios,
cdrctrllocktorefclkout => wire_receive_pcs0_cdrctrllocktorefclkout,
datain => rx_pma_recoverdataout_wire(9 DOWNTO 0),
digitalreset => rx_digitalreset_out(0),
dpriodisable => w_cent_unit_dpriodisableout1w(0),
dprioin => rx_pcsdprioin_wire(399 DOWNTO 0),
dprioout => wire_receive_pcs0_dprioout,
enabledeskew => wire_gnd,
enabyteord => wire_gnd,
enapatternalign => rx_enapatternalign(0),
fifordin => wire_gnd,
fiforesetrd => wire_gnd,
hip8b10binvpolarity => pipe8b10binvpolarity(0),
hipdataout => wire_receive_pcs0_hipdataout,
hipdatavalid => wire_receive_pcs0_hipdatavalid,
hipelecidle => wire_receive_pcs0_hipelecidle,
hipelecidleinfersel => wire_receive_pcs0_hipelecidleinfersel,
hipphydonestatus => wire_receive_pcs0_hipphydonestatus,
hippowerdown => powerdn(1 DOWNTO 0),
hipstatus => wire_receive_pcs0_hipstatus,
invpol => wire_gnd,
localrefclk => tx_localrefclk(0),
masterclk => wire_gnd,
parallelfdbk => wire_receive_pcs0_parallelfdbk,
phfifordenable => rx_phfifordenable(0),
phfiforeset => rx_phfiforeset(0),
phfifowrdisable => rx_phfifowrdisable(0),
pipeenrevparallellpbkfromtx => int_pipeenrevparallellpbkfromtx(0),
pipepowerdown => tx_pipepowerdownout(1 DOWNTO 0),
pipepowerstate => tx_pipepowerstateout(3 DOWNTO 0),
prbscidenable => rx_prbscidenable(0),
quadreset => cent_unit_quadresetout(0),
recoveredclk => rx_pma_clockout(0),
refclk => refclk_pma(0),
revbitorderwa => wire_gnd,
revparallelfdbkdata => wire_receive_pcs0_revparallelfdbkdata,
rmfifordena => wire_gnd,
rmfiforeset => rx_rmfiforeset(0),
rmfifowrena => wire_gnd,
rxdetectvalid => tx_rxdetectvalidout(0),
rxfound => rx_pcs_rxfound_wire(1 DOWNTO 0),
signaldetected => rx_signaldetect_wire(0),
xgmctrlin => wire_gnd,
xgmdatain => wire_receive_pcs0_xgmdatain
);
wire_receive_pma0_w_lg_freqlocked337w(0) <= wire_receive_pma0_freqlocked AND wire_w_lg_w_rx_analogreset_range266w336w(0);
wire_receive_pma0_locktodata <= wire_w_lg_w_lg_reconfig_togxb_busy267w326w(0);
wire_w_lg_w_lg_reconfig_togxb_busy267w326w(0) <= wire_w_lg_reconfig_togxb_busy267w(0) AND rx_locktodata(0);
wire_receive_pma0_testbussel <= "0110";
receive_pma0 : cycloneiv_hssi_rx_pma
GENERIC MAP (
allow_serial_loopback => "false",
channel_number => ((starting_channel_number + 0) MOD 4),
common_mode => "0.82V",
deserialization_factor => 10,
dprio_config_mode => "000001",
effective_data_rate => "2500 Mbps",
enable_local_divider => "false",
enable_ltd => "false",
enable_ltr => "false",
enable_second_order_loop => "false",
eq_dc_gain => 3,
eq_setting => 1,
force_signal_detect => "false",
logical_channel_address => (starting_channel_number + 0),
loop_1_digital_filter => 8,
offset_cancellation => 1,
ppm_gen1_2_xcnt_en => 1,
ppm_post_eidle => 0,
ppmselect => 8,
protocol_hint => "pcie",
signal_detect_hysteresis => 4,
signal_detect_hysteresis_valid_threshold => 14,
signal_detect_loss_threshold => 3,
termination => "OCT 100 Ohms",
use_external_termination => "false"
)
PORT MAP (
analogtestbus => wire_receive_pma0_analogtestbus,
clockout => wire_receive_pma0_clockout,
crupowerdn => cent_unit_rxcrupowerdn(0),
datain => rx_datain(0),
deserclock => rx_deserclock_in(0),
diagnosticlpbkout => wire_receive_pma0_diagnosticlpbkout,
dpriodisable => w_cent_unit_dpriodisableout1w(0),
dprioin => rx_pmadprioin_wire(299 DOWNTO 0),
dprioout => wire_receive_pma0_dprioout,
freqlocked => wire_receive_pma0_freqlocked,
locktodata => wire_receive_pma0_locktodata,
locktoref => rx_locktorefclk_wire(0),
locktorefout => wire_receive_pma0_locktorefout,
powerdn => cent_unit_rxibpowerdn(0),
ppmdetectrefclk => rx_pll_pfdrefclkout_wire(0),
recoverdataout => wire_receive_pma0_recoverdataout,
reverselpbkout => wire_receive_pma0_reverselpbkout,
rxpmareset => rx_analogreset_out(0),
seriallpbkin => tx_serialloopbackout(0),
signaldetect => wire_receive_pma0_signaldetect,
testbussel => wire_receive_pma0_testbussel
);
wire_transmit_pcs0_ctrlenable <= ( "0" & "0");
wire_transmit_pcs0_datainfull <= (OTHERS => '0');
wire_transmit_pcs0_dispval <= ( "0" & "0");
wire_transmit_pcs0_forcedisp <= ( "0" & "0");
wire_transmit_pcs0_hipdatain <= ( tx_forcedispcompliance(0) & tx_ctrlenable(0) & tx_datain_wire(7 DOWNTO 0));
transmit_pcs0 : cycloneiv_hssi_tx_pcs
GENERIC MAP (
allow_polarity_inversion => "false",
bitslip_enable => "false",
channel_bonding => "none",
channel_number => ((starting_channel_number + 0) MOD 4),
channel_width => 8,
core_clock_0ppm => "false",
datapath_low_latency_mode => "false",
datapath_protocol => "pipe",
disable_ph_low_latency_mode => "false",
disparity_mode => "new",
dprio_config_mode => "000001",
elec_idle_delay => 4,
enable_bit_reversal => "false",
enable_idle_selection => "false",
enable_reverse_parallel_loopback => "true",
enable_self_test_mode => "false",
enc_8b_10b_compatibility_mode => "true",
enc_8b_10b_mode => "normal",
hip_enable => "true",
ph_fifo_reg_mode => "true",
prbs_cid_pattern => "false",
protocol_hint => "pcie",
refclk_select => "local",
self_test_mode => "incremental",
use_double_data_mode => "false",
wr_clk_mux_select => "int_clk"
)
PORT MAP (
clkout => wire_transmit_pcs0_clkout,
ctrlenable => wire_transmit_pcs0_ctrlenable,
datainfull => wire_transmit_pcs0_datainfull,
dataout => wire_transmit_pcs0_dataout,
digitalreset => tx_digitalreset_out(0),
dispval => wire_transmit_pcs0_dispval,
dpriodisable => w_cent_unit_dpriodisableout1w(0),
dprioin => tx_dprioin_wire(149 DOWNTO 0),
dprioout => wire_transmit_pcs0_dprioout,
enrevparallellpbk => tx_revparallellpbken(0),
forcedisp => wire_transmit_pcs0_forcedisp,
forceelecidleout => wire_transmit_pcs0_forceelecidleout,
grayelecidleinferselout => wire_transmit_pcs0_grayelecidleinferselout,
hipdatain => wire_transmit_pcs0_hipdatain,
hipdetectrxloop => tx_detectrxloop(0),
hipelecidleinfersel => rx_elecidleinfersel(2 DOWNTO 0),
hipforceelecidle => tx_forceelecidle(0),
hippowerdn => powerdn(1 DOWNTO 0),
hiptxclkout => wire_transmit_pcs0_hiptxclkout,
invpol => tx_invpolarity(0),
localrefclk => tx_localrefclk(0),
phfiforddisable => wire_gnd,
phfiforeset => tx_phfiforeset(0),
phfifowrenable => wire_vcc,
pipeenrevparallellpbkout => wire_transmit_pcs0_pipeenrevparallellpbkout,
pipepowerdownout => wire_transmit_pcs0_pipepowerdownout,
pipepowerstateout => wire_transmit_pcs0_pipepowerstateout,
pipestatetransdone => wire_gnd,
quadreset => cent_unit_quadresetout(0),
refclk => refclk_pma(0),
revparallelfdbk => rx_revparallelfdbkdata(19 DOWNTO 0),
txdetectrx => wire_transmit_pcs0_txdetectrx
);
wire_transmit_pma0_datain <= ( tx_dataout_pcs_to_pma(9 DOWNTO 0));
transmit_pma0 : cycloneiv_hssi_tx_pma
GENERIC MAP (
channel_number => ((starting_channel_number + 0) MOD 4),
common_mode => "0.65V",
dprio_config_mode => "000001",
effective_data_rate => "2500 Mbps",
enable_diagnostic_loopback => "false",
enable_reverse_serial_loopback => "false",
logical_channel_address => (starting_channel_number + 0),
preemp_tap_1 => 1,
protocol_hint => "pcie",
rx_detect => 0,
serialization_factor => 10,
slew_rate => "low",
termination => "OCT 100 Ohms",
use_external_termination => "false",
use_rx_detect => "true",
vod_selection => 4
)
PORT MAP (
cgbpowerdn => cent_unit_txdividerpowerdown(0),
clockout => wire_transmit_pma0_clockout,
datain => wire_transmit_pma0_datain,
dataout => wire_transmit_pma0_dataout,
detectrxpowerdown => cent_unit_txdetectrxpowerdn(0),
diagnosticlpbkin => tx_diagnosticlpbkin(0),
dpriodisable => w_cent_unit_dpriodisableout1w(0),
dprioin => tx_pmadprioin_wire(299 DOWNTO 0),
dprioout => wire_transmit_pma0_dprioout,
fastrefclk0in => tx_pma_fastrefclk0in(0),
forceelecidle => tx_pcs_forceelecidleout(0),
powerdn => cent_unit_txobpowerdn(0),
refclk0in => tx_pma_refclk0in(0),
refclk0inpulse => tx_pma_refclk0inpulse(0),
reverselpbkin => rx_reverselpbkout(0),
rxdetecten => txdetectrxout(0),
rxdetectvalidout => wire_transmit_pma0_rxdetectvalidout,
rxfoundout => wire_transmit_pma0_rxfoundout,
seriallpbkout => wire_transmit_pma0_seriallpbkout,
txpmareset => tx_analogreset_out(0)
);
PROCESS (fixedclk)
BEGIN
IF (fixedclk = '1' AND fixedclk'event) THEN fixedclk_div <= (NOT fixedclk_div_in);
END IF;
END PROCESS;
PROCESS (fixedclk)
BEGIN
IF (fixedclk = '0' AND fixedclk'event) THEN reconfig_togxb_busy_reg <= ( reconfig_togxb_busy_reg(0) & reconfig_togxb_busy);
END IF;
END PROCESS;
END RTL; --pcie_compiler_0_serdes_alt_c3gxb_euf8
--VALID FILE
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY pcie_compiler_0_serdes IS
GENERIC
(
starting_channel_number : NATURAL := 0
);
PORT
(
cal_blk_clk : IN STD_LOGIC ;
fixedclk : IN STD_LOGIC ;
gxb_powerdown : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
pipe8b10binvpolarity : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
pll_areset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
pll_inclk : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
powerdn : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
reconfig_clk : IN STD_LOGIC ;
reconfig_togxb : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
rx_analogreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_datain : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_digitalreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_elecidleinfersel : IN STD_LOGIC_VECTOR (2 DOWNTO 0);
tx_ctrlenable : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_datain : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
tx_detectrxloop : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_digitalreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_forcedispcompliance : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_forceelecidle : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
hip_tx_clkout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipedatavalid : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipeelecidle : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipephydonestatus : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipestatus : OUT STD_LOGIC_VECTOR (2 DOWNTO 0);
pll_locked : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
reconfig_fromgxb : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
rx_ctrldetect : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_dataout : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
rx_freqlocked : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_patterndetect : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_syncstatus : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_clkout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_dataout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END pcie_compiler_0_serdes;
ARCHITECTURE RTL OF pcie_compiler_0_serdes IS
ATTRIBUTE synthesis_clearbox: natural;
ATTRIBUTE synthesis_clearbox OF RTL: ARCHITECTURE IS 2;
ATTRIBUTE clearbox_macroname: string;
ATTRIBUTE clearbox_macroname OF RTL: ARCHITECTURE IS "alt_c3gxb";
ATTRIBUTE clearbox_defparam: string;
ATTRIBUTE clearbox_defparam OF RTL: ARCHITECTURE IS "effective_data_rate=2500 Mbps;enable_lc_tx_pll=false;enable_pll_inclk_alt_drive_rx_cru=true;enable_pll_inclk_drive_rx_cru=true;equalizer_dcgain_setting=1;gen_reconfig_pll=false;gx_channel_type=;input_clock_frequency=100.0 MHz;intended_device_family=Cyclone IV GX;intended_device_speed_grade=6;intended_device_variant=ANY;loopback_mode=none;lpm_type=alt_c3gxb;number_of_channels=1;operation_mode=duplex;pll_bandwidth_type=Auto;pll_control_width=1;pll_inclk_period=10000;pll_pfd_fb_mode=internal;preemphasis_ctrl_1stposttap_setting=1;protocol=pcie;receiver_termination=oct_100_ohms;reconfig_dprio_mode=0;rx_8b_10b_mode=normal;rx_align_pattern=0101111100;rx_align_pattern_length=10;rx_allow_align_polarity_inversion=false;rx_allow_pipe_polarity_inversion=true;rx_bitslip_enable=false;rx_byte_ordering_mode=NONE;rx_channel_bonding=indv;rx_channel_width=8;rx_common_mode=0.82v;rx_cru_inclock0_period=10000;rx_datapath_protocol=pipe;rx_data_rate=2500;rx_data_rate_remainder=0;rx_digitalreset_port_width=1;rx_enable_bit_reversal=false;rx_enable_lock_to_data_sig=false;rx_enable_lock_to_refclk_sig=false;rx_enable_self_test_mode=false;rx_force_signal_detect=false;rx_ppmselect=8;rx_rate_match_fifo_mode=normal;rx_rate_match_pattern1=11010000111010000011;rx_rate_match_pattern2=00101111000101111100;rx_rate_match_pattern_size=20;rx_run_length=40;rx_run_length_enable=true;rx_signal_detect_threshold=4;rx_use_align_state_machine=true;rx_use_clkout=false;rx_use_coreclk=false;" &
"rx_use_deserializer_double_data_mode=false;rx_use_deskew_fifo=false;rx_use_double_data_mode=false;rx_use_pipe8b10binvpolarity=true;rx_use_rate_match_pattern1_only=false;transmitter_termination=oct_100_ohms;tx_8b_10b_mode=normal;tx_allow_polarity_inversion=false;tx_channel_bonding=indv;tx_channel_width=8;tx_clkout_width=1;tx_common_mode=0.65v;tx_data_rate=2500;tx_data_rate_remainder=0;tx_digitalreset_port_width=1;tx_enable_bit_reversal=false;tx_enable_self_test_mode=false;tx_pll_bandwidth_type=Auto;tx_pll_inclk0_period=10000;tx_pll_type=CMU;tx_slew_rate=low;tx_transmit_protocol=pipe;tx_use_coreclk=false;tx_use_double_data_mode=false;tx_use_serializer_double_data_mode=false;use_calibration_block=true;vod_ctrl_setting=4;elec_idle_infer_enable=false;enable_0ppm=false;equalization_setting=1;gxb_powerdown_width=1;hip_enable=true;iqtxrxclk_allowed=;number_of_quads=1;pll_divide_by=2;pll_multiply_by=25;reconfig_calibration=true;reconfig_fromgxb_port_width=5;reconfig_pll_control_width=1;reconfig_togxb_port_width=4;rx_cdrctrl_enable=true;rx_deskew_pattern=0;rx_dwidth_factor=1;rx_enable_second_order_loop=false;rx_loop_1_digital_filter=8;rx_signal_detect_loss_threshold=3;rx_signal_detect_valid_threshold=14;rx_use_external_termination=false;rx_word_aligner_num_byte=1;top_module_name=pcie_compiler_0_serdes;tx_bitslip_enable=FALSE;tx_dwidth_factor=1;tx_use_external_termination=false;";
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire2 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire3 : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire5 : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL sub_wire6 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire7 : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL sub_wire8 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire9 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire10 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire11 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire12 : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire13 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT pcie_compiler_0_serdes_alt_c3gxb_euf8
GENERIC (
starting_channel_number : NATURAL
);
PORT (
reconfig_togxb : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
rx_patterndetect : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
cal_blk_clk : IN STD_LOGIC ;
pipephydonestatus : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pll_locked : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
reconfig_fromgxb : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
rx_freqlocked : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_forceelecidle : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
fixedclk : IN STD_LOGIC ;
pipestatus : OUT STD_LOGIC_VECTOR (2 DOWNTO 0);
rx_datain : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_digitalreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_syncstatus : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pll_areset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_dataout : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
pipe8b10binvpolarity : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_datain : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
tx_digitalreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
gxb_powerdown : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
hip_tx_clkout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pipeelecidle : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_clkout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_dataout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_forcedispcompliance : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
reconfig_clk : IN STD_LOGIC ;
rx_analogreset : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
powerdn : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
rx_ctrldetect : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
tx_ctrlenable : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
pipedatavalid : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
pll_inclk : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
rx_elecidleinfersel : IN STD_LOGIC_VECTOR (2 DOWNTO 0);
tx_detectrxloop : IN STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END COMPONENT;
BEGIN
rx_patterndetect <= sub_wire0(0 DOWNTO 0);
pipephydonestatus <= sub_wire1(0 DOWNTO 0);
pll_locked <= sub_wire2(0 DOWNTO 0);
reconfig_fromgxb <= sub_wire3(4 DOWNTO 0);
rx_freqlocked <= sub_wire4(0 DOWNTO 0);
pipestatus <= sub_wire5(2 DOWNTO 0);
rx_syncstatus <= sub_wire6(0 DOWNTO 0);
rx_dataout <= sub_wire7(7 DOWNTO 0);
hip_tx_clkout <= sub_wire8(0 DOWNTO 0);
pipeelecidle <= sub_wire9(0 DOWNTO 0);
tx_clkout <= sub_wire10(0 DOWNTO 0);
tx_dataout <= sub_wire11(0 DOWNTO 0);
rx_ctrldetect <= sub_wire12(0 DOWNTO 0);
pipedatavalid <= sub_wire13(0 DOWNTO 0);
pcie_compiler_0_serdes_alt_c3gxb_euf8_component : pcie_compiler_0_serdes_alt_c3gxb_euf8
GENERIC MAP (
starting_channel_number => starting_channel_number
)
PORT MAP (
reconfig_togxb => reconfig_togxb,
cal_blk_clk => cal_blk_clk,
tx_forceelecidle => tx_forceelecidle,
fixedclk => fixedclk,
rx_datain => rx_datain,
rx_digitalreset => rx_digitalreset,
pll_areset => pll_areset,
pipe8b10binvpolarity => pipe8b10binvpolarity,
tx_datain => tx_datain,
tx_digitalreset => tx_digitalreset,
gxb_powerdown => gxb_powerdown,
tx_forcedispcompliance => tx_forcedispcompliance,
reconfig_clk => reconfig_clk,
rx_analogreset => rx_analogreset,
powerdn => powerdn,
tx_ctrlenable => tx_ctrlenable,
pll_inclk => pll_inclk,
rx_elecidleinfersel => rx_elecidleinfersel,
tx_detectrxloop => tx_detectrxloop,
rx_patterndetect => sub_wire0,
pipephydonestatus => sub_wire1,
pll_locked => sub_wire2,
reconfig_fromgxb => sub_wire3,
rx_freqlocked => sub_wire4,
pipestatus => sub_wire5,
rx_syncstatus => sub_wire6,
rx_dataout => sub_wire7,
hip_tx_clkout => sub_wire8,
pipeelecidle => sub_wire9,
tx_clkout => sub_wire10,
tx_dataout => sub_wire11,
rx_ctrldetect => sub_wire12,
pipedatavalid => sub_wire13
);
END RTL;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
-- Retrieval info: PRIVATE: IP_MODE STRING "PCIE_HIP_8"
-- Retrieval info: PRIVATE: LOCKDOWN_EXCL STRING "PCIE"
-- Retrieval info: PRIVATE: NUM_KEYS NUMERIC "0"
-- Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
-- Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
-- Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE STRING "2500"
-- Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE_ENABLE STRING "0"
-- Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "2500"
-- Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100"
-- Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2000"
-- Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
-- Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "100"
-- Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
-- Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "100.0"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "PCI Express (PIPE)"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
-- Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
-- Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "1"
-- Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "5"
-- Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "0"
-- Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "100.0"
-- Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "100.0 125.0"
-- Retrieval info: PRIVATE: WIZ_INPUT_A STRING "2500"
-- Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
-- Retrieval info: PRIVATE: WIZ_INPUT_B STRING "100.0"
-- Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
-- Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
-- Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "PCI Express (PIPE)"
-- Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "Gen 1-x1"
-- Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
-- Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0"
-- Retrieval info: CONSTANT: EFFECTIVE_DATA_RATE STRING "2500 Mbps"
-- Retrieval info: CONSTANT: ENABLE_LC_TX_PLL STRING "false"
-- Retrieval info: CONSTANT: ENABLE_PLL_INCLK_ALT_DRIVE_RX_CRU STRING "true"
-- Retrieval info: CONSTANT: ENABLE_PLL_INCLK_DRIVE_RX_CRU STRING "true"
-- Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "1"
-- Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false"
-- Retrieval info: CONSTANT: GX_CHANNEL_TYPE STRING ""
-- Retrieval info: CONSTANT: INPUT_CLOCK_FREQUENCY STRING "100.0 MHz"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_SPEED_GRADE STRING "6"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_VARIANT STRING "ANY"
-- Retrieval info: CONSTANT: LOOPBACK_MODE STRING "none"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "alt_c3gxb"
-- Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
-- Retrieval info: CONSTANT: PLL_BANDWIDTH_TYPE STRING "Auto"
-- Retrieval info: CONSTANT: PLL_CONTROL_WIDTH NUMERIC "1"
-- Retrieval info: CONSTANT: PLL_INCLK_PERIOD NUMERIC "10000"
-- Retrieval info: CONSTANT: PLL_PFD_FB_MODE STRING "internal"
-- Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "1"
-- Retrieval info: CONSTANT: PROTOCOL STRING "pcie"
-- Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
-- Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "0"
-- Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal"
-- Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100"
-- Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10"
-- Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
-- Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "true"
-- Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
-- Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "NONE"
-- Retrieval info: CONSTANT: RX_CHANNEL_BONDING STRING "indv"
-- Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "8"
-- Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.82v"
-- Retrieval info: CONSTANT: RX_CRU_INCLOCK0_PERIOD NUMERIC "10000"
-- Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "pipe"
-- Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "2500"
-- Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
-- Retrieval info: CONSTANT: RX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
-- Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
-- Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
-- Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
-- Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
-- Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "false"
-- Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "8"
-- Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal"
-- Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "11010000111010000011"
-- Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "00101111000101111100"
-- Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "20"
-- Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "40"
-- Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true"
-- Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "4"
-- Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
-- Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "false"
-- Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
-- Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
-- Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
-- Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
-- Retrieval info: CONSTANT: RX_USE_PIPE8B10BINVPOLARITY STRING "true"
-- Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false"
-- Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
-- Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal"
-- Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
-- Retrieval info: CONSTANT: TX_CHANNEL_BONDING STRING "indv"
-- Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "8"
-- Retrieval info: CONSTANT: TX_CLKOUT_WIDTH NUMERIC "1"
-- Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.65v"
-- Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "2500"
-- Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
-- Retrieval info: CONSTANT: TX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
-- Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
-- Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
-- Retrieval info: CONSTANT: TX_PLL_BANDWIDTH_TYPE STRING "Auto"
-- Retrieval info: CONSTANT: TX_PLL_INCLK0_PERIOD NUMERIC "10000"
-- Retrieval info: CONSTANT: TX_PLL_TYPE STRING "CMU"
-- Retrieval info: CONSTANT: TX_SLEW_RATE STRING "low"
-- Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "pipe"
-- Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
-- Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
-- Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
-- Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
-- Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "4"
-- Retrieval info: CONSTANT: elec_idle_infer_enable STRING "false"
-- Retrieval info: CONSTANT: enable_0ppm STRING "false"
-- Retrieval info: CONSTANT: equalization_setting NUMERIC "1"
-- Retrieval info: CONSTANT: gxb_powerdown_width NUMERIC "1"
-- Retrieval info: CONSTANT: hip_enable STRING "true"
-- Retrieval info: CONSTANT: iqtxrxclk_allowed STRING ""
-- Retrieval info: CONSTANT: number_of_quads NUMERIC "1"
-- Retrieval info: CONSTANT: pll_divide_by STRING "2"
-- Retrieval info: CONSTANT: pll_multiply_by STRING "25"
-- Retrieval info: CONSTANT: reconfig_calibration STRING "true"
-- Retrieval info: CONSTANT: reconfig_fromgxb_port_width NUMERIC "5"
-- Retrieval info: CONSTANT: reconfig_pll_control_width NUMERIC "1"
-- Retrieval info: CONSTANT: reconfig_togxb_port_width NUMERIC "4"
-- Retrieval info: CONSTANT: rx_cdrctrl_enable STRING "true"
-- Retrieval info: CONSTANT: rx_deskew_pattern STRING "0"
-- Retrieval info: CONSTANT: rx_dwidth_factor NUMERIC "1"
-- Retrieval info: CONSTANT: rx_enable_second_order_loop STRING "false"
-- Retrieval info: CONSTANT: rx_loop_1_digital_filter NUMERIC "8"
-- Retrieval info: CONSTANT: rx_signal_detect_loss_threshold STRING "3"
-- Retrieval info: CONSTANT: rx_signal_detect_valid_threshold STRING "14"
-- Retrieval info: CONSTANT: rx_use_external_termination STRING "false"
-- Retrieval info: CONSTANT: rx_word_aligner_num_byte NUMERIC "1"
-- Retrieval info: CONSTANT: top_module_name STRING "pcie_compiler_0_serdes"
-- Retrieval info: CONSTANT: tx_bitslip_enable STRING "FALSE"
-- Retrieval info: CONSTANT: tx_dwidth_factor NUMERIC "1"
-- Retrieval info: CONSTANT: tx_use_external_termination STRING "false"
-- Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
-- Retrieval info: USED_PORT: fixedclk 0 0 0 0 INPUT NODEFVAL "fixedclk"
-- Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
-- Retrieval info: USED_PORT: hip_tx_clkout 0 0 1 0 OUTPUT NODEFVAL "hip_tx_clkout[0..0]"
-- Retrieval info: USED_PORT: pipe8b10binvpolarity 0 0 1 0 INPUT NODEFVAL "pipe8b10binvpolarity[0..0]"
-- Retrieval info: USED_PORT: pipedatavalid 0 0 1 0 OUTPUT NODEFVAL "pipedatavalid[0..0]"
-- Retrieval info: USED_PORT: pipeelecidle 0 0 1 0 OUTPUT NODEFVAL "pipeelecidle[0..0]"
-- Retrieval info: USED_PORT: pipephydonestatus 0 0 1 0 OUTPUT NODEFVAL "pipephydonestatus[0..0]"
-- Retrieval info: USED_PORT: pipestatus 0 0 3 0 OUTPUT NODEFVAL "pipestatus[2..0]"
-- Retrieval info: USED_PORT: pll_areset 0 0 1 0 INPUT NODEFVAL "pll_areset[0..0]"
-- Retrieval info: USED_PORT: pll_inclk 0 0 1 0 INPUT NODEFVAL "pll_inclk[0..0]"
-- Retrieval info: USED_PORT: pll_locked 0 0 1 0 OUTPUT NODEFVAL "pll_locked[0..0]"
-- Retrieval info: USED_PORT: powerdn 0 0 2 0 INPUT NODEFVAL "powerdn[1..0]"
-- Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk"
-- Retrieval info: USED_PORT: reconfig_fromgxb 0 0 5 0 OUTPUT NODEFVAL "reconfig_fromgxb[4..0]"
-- Retrieval info: USED_PORT: reconfig_togxb 0 0 4 0 INPUT NODEFVAL "reconfig_togxb[3..0]"
-- Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
-- Retrieval info: USED_PORT: rx_ctrldetect 0 0 1 0 OUTPUT NODEFVAL "rx_ctrldetect[0..0]"
-- Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
-- Retrieval info: USED_PORT: rx_dataout 0 0 8 0 OUTPUT NODEFVAL "rx_dataout[7..0]"
-- Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
-- Retrieval info: USED_PORT: rx_elecidleinfersel 0 0 3 0 INPUT NODEFVAL "rx_elecidleinfersel[2..0]"
-- Retrieval info: USED_PORT: rx_freqlocked 0 0 1 0 OUTPUT NODEFVAL "rx_freqlocked[0..0]"
-- Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
-- Retrieval info: USED_PORT: rx_syncstatus 0 0 1 0 OUTPUT NODEFVAL "rx_syncstatus[0..0]"
-- Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
-- Retrieval info: USED_PORT: tx_ctrlenable 0 0 1 0 INPUT NODEFVAL "tx_ctrlenable[0..0]"
-- Retrieval info: USED_PORT: tx_datain 0 0 8 0 INPUT NODEFVAL "tx_datain[7..0]"
-- Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
-- Retrieval info: USED_PORT: tx_detectrxloop 0 0 1 0 INPUT NODEFVAL "tx_detectrxloop[0..0]"
-- Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
-- Retrieval info: USED_PORT: tx_forcedispcompliance 0 0 1 0 INPUT NODEFVAL "tx_forcedispcompliance[0..0]"
-- Retrieval info: USED_PORT: tx_forceelecidle 0 0 1 0 INPUT NODEFVAL "tx_forceelecidle[0..0]"
-- Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
-- Retrieval info: CONNECT: @fixedclk 0 0 0 0 fixedclk 0 0 0 0
-- Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
-- Retrieval info: CONNECT: @pipe8b10binvpolarity 0 0 1 0 pipe8b10binvpolarity 0 0 1 0
-- Retrieval info: CONNECT: @pll_areset 0 0 1 0 pll_areset 0 0 1 0
-- Retrieval info: CONNECT: @pll_inclk 0 0 1 0 pll_inclk 0 0 1 0
-- Retrieval info: CONNECT: @powerdn 0 0 2 0 powerdn 0 0 2 0
-- Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0
-- Retrieval info: CONNECT: @reconfig_togxb 0 0 4 0 reconfig_togxb 0 0 4 0
-- Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
-- Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
-- Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
-- Retrieval info: CONNECT: @rx_elecidleinfersel 0 0 3 0 rx_elecidleinfersel 0 0 3 0
-- Retrieval info: CONNECT: @tx_ctrlenable 0 0 1 0 tx_ctrlenable 0 0 1 0
-- Retrieval info: CONNECT: @tx_datain 0 0 8 0 tx_datain 0 0 8 0
-- Retrieval info: CONNECT: @tx_detectrxloop 0 0 1 0 tx_detectrxloop 0 0 1 0
-- Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
-- Retrieval info: CONNECT: @tx_forcedispcompliance 0 0 1 0 tx_forcedispcompliance 0 0 1 0
-- Retrieval info: CONNECT: @tx_forceelecidle 0 0 1 0 tx_forceelecidle 0 0 1 0
-- Retrieval info: CONNECT: hip_tx_clkout 0 0 1 0 @hip_tx_clkout 0 0 1 0
-- Retrieval info: CONNECT: pipedatavalid 0 0 1 0 @pipedatavalid 0 0 1 0
-- Retrieval info: CONNECT: pipeelecidle 0 0 1 0 @pipeelecidle 0 0 1 0
-- Retrieval info: CONNECT: pipephydonestatus 0 0 1 0 @pipephydonestatus 0 0 1 0
-- Retrieval info: CONNECT: pipestatus 0 0 3 0 @pipestatus 0 0 3 0
-- Retrieval info: CONNECT: pll_locked 0 0 1 0 @pll_locked 0 0 1 0
-- Retrieval info: CONNECT: reconfig_fromgxb 0 0 5 0 @reconfig_fromgxb 0 0 5 0
-- Retrieval info: CONNECT: rx_ctrldetect 0 0 1 0 @rx_ctrldetect 0 0 1 0
-- Retrieval info: CONNECT: rx_dataout 0 0 8 0 @rx_dataout 0 0 8 0
-- Retrieval info: CONNECT: rx_freqlocked 0 0 1 0 @rx_freqlocked 0 0 1 0
-- Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
-- Retrieval info: CONNECT: rx_syncstatus 0 0 1 0 @rx_syncstatus 0 0 1 0
-- Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
-- Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL pcie_compiler_0_serdes.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pcie_compiler_0_serdes.ppf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pcie_compiler_0_serdes.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pcie_compiler_0_serdes.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pcie_compiler_0_serdes.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pcie_compiler_0_serdes_inst.vhd FALSE
|
gpl-3.0
|
arthurbenemann/fpga-bits
|
undocumented/DCM_clock/tb_7seg.vhd
|
2
|
1513
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY tb_7seg IS
END tb_7seg;
ARCHITECTURE behavior OF tb_7seg IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT seven_seg
PORT(
display1 : IN std_logic_vector(3 downto 0);
display2 : IN std_logic_vector(3 downto 0);
display3 : IN std_logic_vector(3 downto 0);
display4 : IN std_logic_vector(3 downto 0);
clk : IN std_logic;
anodes : INOUT std_logic_vector(4 downto 0);
sevenseg : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
--BiDirs
signal anodes : std_logic_vector(4 downto 0);
--Outputs
signal sevenseg : std_logic_vector(7 downto 0);
-- Clock period definitions
constant clk_period : time := 31.25 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: seven_seg PORT MAP (
display1 => x"1",
display2 => x"2",
display3 => x"3",
display4 => x"4",
clk => clk,
anodes => anodes,
sevenseg => sevenseg
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
-- insert stimulus here
wait;
end process;
END;
|
gpl-3.0
|
arthurbenemann/fpga-bits
|
undocumented/serial_out/tb_top.vhd
|
1
|
5183
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:59:29 02/23/2016
-- Design Name:
-- Module Name: C:/Users/Arthur/Documents/FPGA_temp/serial_out/tb_top.vhd
-- Project Name: serial_out
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: topModule
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_top IS
END tb_top;
ARCHITECTURE behavior OF tb_top IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT topModule
PORT(
CLK : IN std_logic;
GPIO0 : OUT std_logic;
GPIO1 : OUT std_logic;
RX : IN std_logic;
TX : OUT std_logic
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RX : std_logic := '1';
--Outputs
signal GPIO0 : std_logic;
signal GPIO1 : std_logic;
signal TX : std_logic;
-- Clock period definitions
constant clk_period : time := 31.25 ns;
constant bit_period : time := 8.68 us;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: topModule PORT MAP (
CLK => CLK,
GPIO0 => GPIO0,
GPIO1 => GPIO1,
RX => RX,
TX => TX
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for CLK_period*10;
-- insert stimulus here
wait for 10 us;
-- send '0000000'
rx <= '0'; -- start bit
wait for bit_period*1;
rx <= '0'; -- data
wait for bit_period*8;
rx <= '1'; -- stop bit
wait for bit_period*1;
wait for 50 us;
-- send '11111111'
rx <= '0'; -- start bit
wait for bit_period*1;
rx <= '1'; -- data
wait for bit_period*8;
rx <= '1'; -- stop bit
wait for bit_period*1;
wait for 50 us;
-- send '11110000'
rx <= '0'; -- start bit
wait for bit_period*1;
rx <= '0'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- stop bit
wait for bit_period*1;
wait for 50 us;
-- send '00001111'
rx <= '0'; -- start bit
wait for bit_period*1;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- stop bit
wait for bit_period*1;
wait for 50 us;
-- send '01010101'
rx <= '0'; -- start bit
wait for bit_period*1;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- stop bit
wait for bit_period*1;
-- send '10101010'
rx <= '0'; -- start bit
wait for bit_period*1;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '1'; -- stop bit
wait for bit_period*1;
-- send '01010101'
rx <= '0'; -- start bit
wait for bit_period*1;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- data
wait for bit_period;
rx <= '0'; -- data
wait for bit_period;
rx <= '1'; -- stop bit
wait for bit_period*1;
wait for 200 us;
end process;
END;
|
gpl-3.0
|
aospan/NetUP_Dual_Universal_CI-fpga
|
dvb_ts.vhd
|
1
|
5434
|
-- NetUP Universal Dual DVB-CI FPGA firmware
-- http://www.netup.tv
--
-- Copyright (c) 2014 NetUP Inc, AVB Labs
-- License: GPLv3
-- altera vhdl_input_version vhdl_2008
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.avblabs_common_pkg.all;
entity dvb_ts is
port (
rst : in std_logic;
clk : in std_logic;
-- control
address : in std_logic_vector(8 downto 0);
byteenable : in std_logic_vector(3 downto 0);
writedata : in std_logic_vector(31 downto 0);
write : in std_logic;
readdata : out std_logic_vector(31 downto 0);
read : in std_logic;
waitrequest : out std_logic;
--
interrupt : out std_logic;
cam_bypass : in std_logic;
-- input port 1
dvb_in0_dsop : in std_logic;
dvb_in0_data : in std_logic_vector(7 downto 0);
dvb_in0_dval : in std_logic;
-- input port 2
dvb_in1_dsop : in std_logic;
dvb_in1_data : in std_logic_vector(7 downto 0);
dvb_in1_dval : in std_logic;
-- input port 3
dvb_in2_dsop : in std_logic;
dvb_in2_data : in std_logic_vector(7 downto 0);
dvb_in2_dval : in std_logic;
-- CAM port
cam_baseclk : in std_logic;
cam_mclki : out std_logic;
cam_mdi : out std_logic_vector(7 downto 0);
cam_mival : out std_logic;
cam_mistrt : out std_logic;
cam_mclko : in std_logic;
cam_mdo : in std_logic_vector(7 downto 0);
cam_moval : in std_logic;
cam_mostrt : in std_logic;
-- output port (DMA)
dvb_out_dsop : out std_logic;
dvb_out_data : out std_logic_vector(7 downto 0);
dvb_out_dval : out std_logic
);
end entity;
architecture rtl of dvb_ts is
constant REG_CLKDIV : natural := 0;
constant REG_SRCSEL : natural := 1;
signal clkdiv : std_logic_vector(3 downto 0);
signal srcsel : std_logic_vector(1 downto 0);
signal cam_dsop : std_logic;
signal cam_data : std_logic_vector(7 downto 0);
signal cam_dval : std_logic;
signal swts_dsop : std_logic;
signal swts_data : std_logic_vector(7 downto 0);
signal swts_dval : std_logic;
signal mux_dsop : std_logic;
signal mux_data : std_logic_vector(7 downto 0);
signal mux_dval : std_logic;
signal filter_dsop : std_logic;
signal filter_data : std_logic_vector(7 downto 0);
signal filter_dval : std_logic;
signal pid_tbl_read : std_logic;
signal pid_tbl_write : std_logic;
signal pid_tbl_rddata : std_logic_vector(31 downto 0);
signal pid_tbl_waitreq : std_logic;
begin
-- control
pid_tbl_write <= write and address(8);
pid_tbl_read <= read and address(8);
waitrequest <= pid_tbl_waitreq;
readdata <= pid_tbl_rddata when address(8) else
X"0000000" & clkdiv when not address(0) else
X"0000000" & "00" & srcsel;
interrupt <= '0';
process (rst, clk)
begin
if rising_edge(clk) then
if write and byteenable(0) then
if not address(0) and not address(8) then
clkdiv <= writedata(clkdiv'range);
end if;
if address(0) and not address(8) then
srcsel <= writedata(srcsel'range);
end if;
end if;
end if;
if rst then
clkdiv <= (others => '0');
srcsel <= (others => '0');
end if;
end process;
-- input demux
process (rst, clk)
begin
if rising_edge(clk) then
case srcsel is
when "00" =>
mux_dsop <= dvb_in0_dsop;
mux_data <= dvb_in0_data;
mux_dval <= dvb_in0_dval;
when "01" =>
mux_dsop <= dvb_in1_dsop;
mux_data <= dvb_in1_data;
mux_dval <= dvb_in1_dval;
when "10" =>
mux_dsop <= dvb_in2_dsop;
mux_data <= dvb_in2_data;
mux_dval <= dvb_in2_dval;
when others =>
mux_dsop <= swts_dsop;
mux_data <= swts_data;
mux_dval <= swts_dval;
end case;
end if;
if rst then
mux_dsop <= '0';
mux_data <= (others => '0');
mux_dval <= '0';
end if;
end process;
FILTER_0 : entity work.dvb_ts_filter
port map (
rst => rst,
clk => clk,
--
pid_tbl_addr => address(7 downto 0),
pid_tbl_be => byteenable,
pid_tbl_wrdata => writedata,
pid_tbl_write => pid_tbl_write,
pid_tbl_rddata => pid_tbl_rddata,
pid_tbl_read => pid_tbl_read,
pid_tbl_waitreq => pid_tbl_waitreq,
--
dvb_in_dsop => mux_dsop,
dvb_in_data => mux_data,
dvb_in_dval => mux_dval,
--
dvb_out_dsop => filter_dsop,
dvb_out_data => filter_data,
dvb_out_dval => filter_dval
);
CAM_OUT_0 : entity work.dvb_ts_shaper
port map (
rst => rst,
clk => clk,
--
bypass_test => cam_bypass,
--
clkdiv => X"2",
--
dvb_indrdy => open,
dvb_indata => filter_data,
dvb_indsop => filter_dsop,
dvb_indval => filter_dval,
-- stream domain
dvb_clk => cam_baseclk,
--
dvb_out_clk => cam_mclki,
dvb_out_data => cam_mdi,
dvb_out_dval => cam_mival,
dvb_out_dsop => cam_mistrt
);
CAM_IN_0 : entity work.dvb_ts_sync
port map (
ts_clk => cam_mclko,
ts_strt => cam_mostrt,
ts_dval => cam_moval,
ts_data => cam_mdo,
--
rst => rst,
clk => clk,
--
strt => cam_dsop,
data => cam_data,
dval => cam_dval
);
-- CAM bypass cotrol
process (rst, clk)
begin
if rising_edge(clk) then
if cam_bypass then
dvb_out_dsop <= filter_dsop;
dvb_out_data <= filter_data;
dvb_out_dval <= filter_dval;
else
dvb_out_dsop <= cam_dsop;
dvb_out_data <= cam_data;
dvb_out_dval <= cam_dval;
end if;
end if;
if rst then
dvb_out_dsop <= '0';
dvb_out_data <= (others => '0');
dvb_out_dval <= '0';
end if;
end process;
end architecture;
|
gpl-3.0
|
jotego/jt12
|
doc/other/operator.vhd
|
2
|
17163
|
--------======== operator.vhd ========--------
-- YM2203 / YM2612 (OPN / OPN2) Operator Unit
-- Reverse engineered from YM2203 (and YM2612) die shots
-- Copyright (C) 2015 Sauraen
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- NOTICE:
-- This is an UNTESTED implementation!
--
-- I attempted to get the overall architecture of this unit correct,
-- especially the position and number of registers in the pipeline. I
-- am confident that this is correct at a large-scale level. I am not,
-- however, confident that this description is free from errors. In
-- particular, it is very likely that one or more bits are wrong in the
-- sine or exponential tables. Also, since the chip uses both positive
-- and negative logic throughout, it is easy to miss an inverter, and
-- so there may be an error where a particular signal or variable should
-- be inverted from how it is written here. I tried my best to trace
-- adders, etc. completely, but this is not error-proof.
--
-- The benefit of this situation is that if anyone does test an
-- implementation based on this code, the errors that may exist here are
-- likely to produce obviously wrong results. In previous implementations,
-- authors tried to get the right sound 99% of the time, but had no idea
-- of the pipelined architecture or certain other details here which became
-- important in the other cases. Thus it is much harder to make a perfect
-- implementation.
--------======== EXTERNALLY DEFINED ENTITIES ========--------
-- circular_buffer
-- A simple circular buffer, used for most of the YM2612's registers.
library ieee;
use ieee.std_logic_1164.all;
entity circular_buffer is
generic (
DATA_WIDTH: positive := 8; --arbitrary default value
BUFFER_DEPTH: positive := 3; --arbitrary default value
CLEAR_ON_RESET: boolean := false
);
port (
clk, rst_bar: in std_logic;
din: in std_logic_vector(DATA_WIDTH-1 downto 0);
dout: out std_logic_vector(DATA_WIDTH-1 downto 0);
load: in std_logic
);
end entity;
--------======== FILE BODY ========--------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity opn_operator is
generic (
NUM_VOICES : positive := 6
);
port (
-- Global control
clk, rst_bar: in std_logic;
-- Operator inputs, not all applying to the same operator at the same time
pg_phase: in unsigned(9 downto 0);
eg_atten: in unsigned(9 downto 0);
voice_fb: in unsigned(2 downto 0);
op_fb_enable: in std_logic;
op_algorithm_ctl: in std_logic_vector(5 downto 0);
test_214: in std_logic;
-- Operator output
op_result: out unsigned(13 downto 0)
);
end entity;
architecture arch of opn_operator is
type pipeline_delayer_t is array(natural range <>) of unsigned(9 downto 0);
signal prev1, prevprev1, prev2: unsigned(13 downto 0);
signal fm_preshift: unsigned(14 downto 0);
signal pipeline_delayer : pipeline_delayer_t(2*NUM_VOICES - 7 downto 0);
signal phaselo: unsigned(7 downto 0);
signal signbit, signbit_1, signbit_2: std_logic;
signal totalatten: unsigned(11 downto 0);
signal mantissa: unsigned(9 downto 0);
signal exponent: unsigned(3 downto 0);
signal op_result_pre: unsigned(13 downto 0);
signal op_result_internal: unsigned(13 downto 0);
begin
-- REGISTER/CYCLE 1
-- Creation of phase modulation (FM) feedback signal, before shifting
make_fm_preshift: process(clk) is
variable x, y: unsigned(13 downto 0);
variable xs, ys: unsigned(14 downto 0);
begin
if rising_edge(clk) then
x := (prevprev1 and op_algorithm_ctl(0))
or (prev2 and op_algorithm_ctl(1))
or (op_result_internal and op_algorithm_ctl(2));
y := (op_result_internal and op_algorithm_ctl(3))
or (prev1 and op_algorithm_ctl(4));
xs := x(13) & x(13 downto 0); -- sign-extend
ys := y(13) & y(13 downto 0); -- sign-extend
fm_preshift <= xs + ys; -- carry is discarded
end if;
end process;
-- REGISTER/CYCLE 2 (also YM2612 extra cycles 1-6)
-- Shifting of FM feedback signal, adding phase from PG to FM phase
-- In YM2203, fm_feedback is not registered at all, it is latched on the first edge
-- in add_pg_phase and the second edge is the output of add_pg_phase. In the YM2612, there
-- are 6 cycles worth of registers between the generated (non-registered) fm_feedback signal
-- and the input to add_pg_phase.
shift_fb_and_add_pg_phase: process(clk) is
variable fm_feedback: unsigned(9 downto 0);
variable fm_feedback_delayed: unsigned(9 downto 0);
variable phase: unsigned(9 downto 0);
begin
if rising_edge(clk) then
-- Shift FM feedback signal
if op_fb_enable = '1' then
fm_feedback := fm_preshift(10 downto 1); -- Bit 0 of fm_preshift is never used
else
case to_integer(voice_fb) is
when 1 => fm_feedback :=
(9 downto 6 => fm_preshift(14), 5 downto 0 => fm_preshift(14 downto 9));
when 2 => fm_feedback :=
(9 downto 7 => fm_preshift(14), 6 downto 0 => fm_preshift(14 downto 8));
when 3 => fm_feedback :=
(9 downto 8 => fm_preshift(14), 7 downto 0 => fm_preshift(14 downto 7));
when 4 => fm_feedback :=
(9 => fm_preshift(14), 8 downto 0 => fm_preshift(14 downto 6));
when 5 => fm_feedback := fm_preshift(14 downto 5);
when 6 => fm_feedback := fm_preshift(13 downto 4);
when 7 => fm_feedback := fm_preshift(12 downto 3);
when others => fm_feedback := (others => '0');
end case;
end if;
-- Delay pipeline by 6 cycles if this is a YM2612
if NUM_VOICES <= 3 then
fm_feedback_delayed := fm_feedback; -- Don't delay, don't register at all
else
pipeline_delayer((2*NUM_VOICES)-7) <= fm_feedback;
for i in (2*NUM_VOICES-8) downto 0 loop
pipeline_delayer(i) <= pipeline_delayer(i+1);
end loop;
fm_feedback_delayed := pipeline_delayer(0);
end if;
-- Add in PG phase
add_pg_phase:
phase := fm_feedback_delayed + pg_phase;
phaselo <= phase(7 downto 0) xor phase(8);
signbit <= phase(9);
end if;
end process;
-- REGISTER/CYCLE 3
-- Sine table
sine_table: process(clk) is
type sinetable_t is array(31 downto 0) of std_logic_vector(45 downto 0);
constant sinetable: sinetable_t := (
"0001100000100100010001000010101010101001010010",
"0001100000110100000100000010010001001101000001",
"0001100000110100000100110010001011001101100000",
"0001110000010000000000110010110001001101110010",
"0001110000010000001100000010111010001101101001",
"0001110000010100001001100010000000101101111010",
"0001110000010100001101100010010011001101011010",
"0001110000011100000101010010111000101111111100",
"0001110000111000000001110010101110001101110111",
"0001110000111000010100111000011101011010100110",
"0001110000111100011000011000111100001001111010",
"0001110000111100011100111001101011001001110111",
"0100100001010000010001011001001000111010110111",
"0100100001010100010001001001110001111100101010",
"0100100001010100010101101101111110100101000110",
"0100100011100000001000011001010110101101111001",
"0100100011100100001000101011100101001011101111",
"0100100011101100000111011010000001011010110001",
"0100110011001000000111101010000010111010111111",
"0100110011001100001011011110101110110110000001",
"0100110011101000011010111011001010001101110001",
"0100110011101101011010110101111001010100001111",
"0111000010000001010111000101010101010110010111",
"0111000010000101010111110111110101010010111011",
"0111000010110101101000101100001000010000011001",
"0111010010011001100100011110100100010010010010",
"0111010010111010100101100101000000110100100011",
"1010000010011010101101011101100001110010011010",
"1010000010111111111100100111010100010000111001",
"1010010111110100110010001100111001010110100000",
"1011010111010011111011011110000100110010100001",
"1110011011110001111011100111100001110110100111"
);
variable sta : std_logic_vector(45 downto 0);
variable stb : std_logic_vector(18 downto 0);
variable stf, stg : std_logic_vector(10 downto 0);
variable logsin : unsigned(11 downto 0);
variable subtresult : unsigned(10 downto 0);
variable atten_internal : unsigned(11 downto 0);
begin
if rising_edge(clk) then
-- Main sine table body
sta := sinetable(to_integer(phaselo(5 downto 1)));
-- 2-bit row chooser
case std_logic_vector(phaselo(7 downto 6)) is
when "00" => stb :=
"0000000000" & sta(29) & sta(25)
& "00" & sta(18) & sta(14)
& "0" & sta(7) & sta(3);
when "01" => stb :=
"000000" & sta(37) & sta(34)
& "00" & sta(28) & sta(24)
& "00" & sta(17) & sta(13)
& sta(10) & sta(6) & sta(2);
when "10" => stb :=
"00" & sta(43) & sta(41)
& "00" & sta(36) & sta(33)
& "00" & sta(27) & sta(23)
& "0" & sta(20) & sta(16)
& sta(12) & sta(9) & sta(5) & sta(1);
when others => stb :=
sta(45) & sta(44) & sta(42) & sta(40)
& sta(39) & sta(38) & sta(35) & sta(32)
& sta(31) & sta(30) & sta(26) & sta(22)
& sta(21) & sta(19) & sta(15) & sta(11)
& sta(8) & sta(4) & sta(0);
end case;
-- Fixed value to sum
stf := stb(18 downto 15) & stb(12 downto 11) & stb(8 downto 7) & stb(4 downto 3) & stb(0);
-- Gated value to sum; bit 14 is indeed used twice
stg := "00" & stb(14) & stb(14 downto 13) & stb(10 downto 9) & stb(6 downto 5) & stb(2 downto 1);
stg := stg and phaselo(0);
-- Sum to produce final logsin value
logsin := unsigned('0' & stf) + unsigned('0' & stg); -- Carry-out of 11-bit addition becomes 12th bit
-- Invert-subtract logsin value from EG attenuation value, with inverted carry
-- In the actual chip, the output of the above logsin sum is already inverted.
-- The two LSBs go through inverters (so they're non-inverted); the eg_atten signal goes through inverters.
-- The adder is normal except the carry-in is 1. It's a 10-bit adder.
-- The outputs are inverted outputs, including the carry bit.
--subtresult := not (('0' & not eg_atten) - ('1' & logsin(11 downto 2)));
-- After a little pencil-and-paper, turns out this is equivalent to a regular adder!
subtresult := ('0' & eg_atten) + ('0' & logsin(11 downto 2));
-- Place all but carry bit into result; also two LSBs of logsin
atten_internal := subtresult(9 downto 0) & logsin(1 downto 0);
-- If addition overflowed, make it the largest value (saturate)
atten_internal := atten_internal or subtresult(10);
totalatten <= atten_internal;
signbit_1 <= signbit;
end if;
end process;
-- REGISTER/CYCLE 4
-- Exponential table
exp_table: process(clk) is
type exptable_t is array(31 downto 0) of std_logic_vector(44 downto 0);
constant exptable: exptable_t := (
"101110011001000000110100010111111000111111011",
"110011011100001100000011111001011000111111011",
"010110111001011101110101101111000000111111011",
"011010101010000001110110000111000000111111011",
"110110101010000001010001100001000000111111011",
"101110111001111000110110111010101010010111011",
"000000110000110100111001011110111011010011011",
"011110111001100100010110100100111011010011011",
"010110111000101000110101100010110011010011011",
"001010111001010011110011001110000011010011011",
"101010011001011011010100111101000111000011011",
"110110011000011111110011110011001111100001011",
"101111011101100111100100000011001111100001011",
"100010101010101011010111101101111100100001011",
"110010011001100011010000001101111100100001011",
"101010111000011100110101011010110100100001011",
"111011011101010100100010110000110100100001011",
"100011011100111000000001010100100100100001011",
"110011011101110000000110101110001100000001011",
"101011111100001110100001101000001100000001011",
"101010011001000110110110010001001000000001011",
"101011011100101010000101110111010001000101110",
"110011111101100010000010011111110011001100110",
"100011011100001100100111001001110011001100110",
"010101011100000000100100101011111011101110100",
"000111011101101000000011000111101011101110100",
"010110011000100100010100110100101011101110100",
"000010011001000110010011011000001011101110100",
"100011011100101010100000011010000011101110100",
"110111011101100010100111100100010010101110100",
"000000001001000100110000000100010010101110100",
"000011011100101000000001100010011010001110100"
);
variable eta : std_logic_vector(44 downto 0);
variable etb : std_logic_vector(12 downto 0);
variable etf, etg : std_logic_vector(9 downto 0);
begin
if rising_edge(clk) then
-- Main sine table body
eta := exptable(to_integer(totalatten(5 downto 1)));
-- 2-bit row chooser
case std_logic_vector(totalatten(7 downto 6)) is
when "00" => etb := "1" & eta(43) & eta(40) & eta(36) & eta(32) & eta(28)
& eta(24) & "1" & eta(18) & eta(14) & eta(10) & eta(7) & eta(3);
when "01" => etb := eta(44) & eta(42) & eta(39) & eta(35) & eta(31) & eta(27)
& eta(23) & "1" & eta(17) & eta(13) & "0" & eta(6) & eta(2);
when "10" => etb := "0" & eta(41) & eta(38) & eta(34) & eta(30) & eta(26)
& eta(22) & eta(19) & eta(16) & eta(12) & eta(9) & eta(5) & eta(1);
when others => etb := "00" & eta(37) & eta(33) & eta(29) & eta(25)
& eta(21) & eta(20) & eta(15) & eta(11) & eta(8) & eta(4) & eta(0);
end case;
-- Fixed value to sum
etf := etb(12 downto 6) & etb(4) & etb(3) & etb(0);
-- Gated value to sum
etg := "0000000" & etb(5) & etb(2) & etb(1);
etg := etg and not totalatten(0);
--RESULT
mantissa <= unsigned(etf) + unsigned(etg); --carry-out discarded
exponent <= totalatten(11 downto 8);
signbit_2 <= signbit_1;
end if;
end process;
-- REGISTER/CYCLE 5
-- Floating-point to integer, and incorporating sign bit
shift_and_flip: process(clk) is
variable shifter : unsigned(12 downto 0);
variable result : unsigned(13 downto 0);
begin
if rising_edge(clk) then
-- Two-stage shifting of mantissa by exponent
shifter := "001" & mantissa;
case std_logic_vector(exponent(1 downto 0)) is
when "00" => shifter := '0' & shifter(12 downto 1); -- LSB discarded
-- when "01" => shifter := shifter; -- no change
when "10" => shifter := shifter(11 downto 0) & '0';
when "11" => shifter := shifter(10 downto 0) & "00";
when others => null;
end case;
case std_logic_vector(exponent(3 downto 2)) is
when "00" => shifter := "000000000000" & shifter(12);
when "01" => shifter := "00000000" & shifter(12 downto 8);
when "10" => shifter := "0000" & shifter(12 downto 4);
-- when "11" => shifter := shifter; -- no change
when others => null;
end case;
result := test_214 & shifter; -- Introduce test bit as MSB
-- 2's complement
result := result xor signbit_2;
result := result + signbit_2; -- Carry-out discarded
op_result_pre <= result;
end if;
end process;
-- REGISTER/CYCLE 6
-- Extra register, take output after here
register_output: process(clk) is begin
if rising_edge(clk) then
op_result_internal <= op_result_pre;
end if;
end process;
op_result <= op_result_internal;
-- Circular buffers for old operator output values
-- These latch op_result_internal on the clock after
-- it is generated in register_output, and they provide
-- their output (prev1, etc.) on the same cycle as
-- op_result_internal is available.
prev1_buffer: entity circular_buffer
generic map(
DATA_WIDTH => 14,
BUFFER_DEPTH => NUM_VOICES,
CLEAR_ON_RESET => false)
port map(
clk => clk,
rst_bar => rst_bar,
din => std_logic_vector(op_result_internal),
std_logic_vector(dout) => prev1,
load => op_algorithm_ctl(5)
);
prevprev1_buffer: entity circular_buffer
generic map(
DATA_WIDTH => 14,
BUFFER_DEPTH => NUM_VOICES,
CLEAR_ON_RESET => false)
port map(
clk => clk,
rst_bar => rst_bar,
din => std_logic_vector(prev1),
std_logic_vector(dout) => prevprev1,
load => op_algorithm_ctl(5)
);
prev2_buffer: entity circular_buffer
generic map(
DATA_WIDTH => 14,
BUFFER_DEPTH => NUM_VOICES,
CLEAR_ON_RESET => false)
port map(
clk => clk,
rst_bar => rst_bar,
din => std_logic_vector(op_result_internal),
std_logic_vector(dout) => prev2,
load => op_algorithm_ctl(0)
);
end architecture;
|
gpl-3.0
|
Dragonturtle/SHERPA
|
HDL/I2C/i2c_master.vhd
|
1
|
14466
|
--------------------------------------------------------------------------------
--
-- FileName: i2c_master.vhd
-- Dependencies: none
-- Design Software: Quartus II 64-bit Version 13.1 Build 162 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 11/01/2012 Scott Larson
-- Initial Public Release
-- Version 2.0 06/20/2014 Scott Larson
-- Added ability to interface with different slaves in the same transaction
-- Corrected ack_error bug where ack_error went 'Z' instead of '1' on error
-- Corrected timing of when ack_error signal clears
-- Version 2.1 10/21/2014 Scott Larson
-- Replaced gated clock with clock enable
-- Adjusted timing of SCL during start and stop conditions
-- Version 2.2 02/05/2015 Scott Larson
-- Corrected small SDA glitch introduced in version 2.1
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY i2c_master IS
GENERIC(
input_clk : INTEGER := 50_000_000; --input clock speed from user logic in Hz
bus_clk : INTEGER := 400_000); --speed the i2c bus (scl) will run at in Hz
PORT(
clk : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --active low reset
ena : IN STD_LOGIC; --latch in command
addr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); --address of target slave
rw : IN STD_LOGIC; --'0' is write, '1' is read
data_wr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --data to write to slave
busy : OUT STD_LOGIC; --indicates transaction in progress
data_rd : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --data read from slave
ack_error : BUFFER STD_LOGIC; --flag if improper acknowledge from slave
sda : INOUT STD_LOGIC; --serial data output of i2c bus
scl : INOUT STD_LOGIC); --serial clock output of i2c bus
END i2c_master;
ARCHITECTURE logic OF i2c_master IS
CONSTANT divider : INTEGER := (input_clk/bus_clk)/4; --number of clocks in 1/4 cycle of scl
TYPE machine IS(ready, start, command, slv_ack1, wr, rd, slv_ack2, mstr_ack, stop); --needed states
SIGNAL state : machine; --state machine
SIGNAL data_clk : STD_LOGIC; --data clock for sda
SIGNAL data_clk_prev : STD_LOGIC; --data clock during previous system clock
SIGNAL scl_clk : STD_LOGIC; --constantly running internal scl
SIGNAL scl_ena : STD_LOGIC := '0'; --enables internal scl to output
SIGNAL sda_int : STD_LOGIC := '1'; --internal sda
SIGNAL sda_ena_n : STD_LOGIC; --enables internal sda to output
SIGNAL addr_rw : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in address and read/write
SIGNAL data_tx : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in data to write to slave
SIGNAL data_rx : STD_LOGIC_VECTOR(7 DOWNTO 0); --data received from slave
SIGNAL bit_cnt : INTEGER RANGE 0 TO 7 := 7; --tracks bit number in transaction
SIGNAL stretch : STD_LOGIC := '0'; --identifies if slave is stretching scl
BEGIN
--generate the timing for the bus clock (scl_clk) and the data clock (data_clk)
PROCESS(clk, reset_n)
VARIABLE count : INTEGER RANGE 0 TO divider*4; --timing for clock generation
BEGIN
IF(reset_n = '0') THEN --reset asserted
stretch <= '0';
count := 0;
ELSIF(clk'EVENT AND clk = '1') THEN
data_clk_prev <= data_clk; --store previous value of data clock
IF(count = divider*4-1) THEN --end of timing cycle
count := 0; --reset timer
ELSIF(stretch = '0') THEN --clock stretching from slave not detected
count := count + 1; --continue clock generation timing
END IF;
CASE count IS
WHEN 0 TO divider-1 => --first 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '0';
WHEN divider TO divider*2-1 => --second 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '1';
WHEN divider*2 TO divider*3-1 => --third 1/4 cycle of clocking
scl_clk <= '1'; --release scl
IF(scl = '0') THEN --detect if slave is stretching clock
stretch <= '1';
ELSE
stretch <= '0';
END IF;
data_clk <= '1';
WHEN OTHERS => --last 1/4 cycle of clocking
scl_clk <= '1';
data_clk <= '0';
END CASE;
END IF;
END PROCESS;
--state machine and writing to sda during scl low (data_clk rising edge)
PROCESS(clk, reset_n)
BEGIN
IF(reset_n = '0') THEN --reset asserted
state <= ready; --return to initial state
busy <= '1'; --indicate not available
scl_ena <= '0'; --sets scl high impedance
sda_int <= '1'; --sets sda high impedance
ack_error <= '0'; --clear acknowledge error flag
bit_cnt <= 7; --restarts data bit counter
data_rd <= "00000000"; --clear data read port
ELSIF(clk'EVENT AND clk = '1') THEN
IF(data_clk = '1' AND data_clk_prev = '0') THEN --data clock rising edge
CASE state IS
WHEN ready => --idle state
IF(ena = '1') THEN --transaction requested
busy <= '1'; --flag busy
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
state <= start; --go to start bit
ELSE --remain idle
busy <= '0'; --unflag busy
state <= ready; --remain idle
END IF;
WHEN start => --start bit of transaction
busy <= '1'; --resume busy if continuous mode
sda_int <= addr_rw(bit_cnt); --set first address bit to bus
state <= command; --go to command
WHEN command => --address and command byte of transaction
IF(bit_cnt = 0) THEN --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
ELSE --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= addr_rw(bit_cnt-1); --write address/command bit to bus
state <= command; --continue with command
END IF;
WHEN slv_ack1 => --slave acknowledge bit (command)
IF(addr_rw(0) = '0') THEN --write command
sda_int <= data_tx(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --read command
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
END IF;
WHEN wr => --write byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --write byte transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack2; --go to slave acknowledge (write)
ELSE --next clock cycle of write state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= data_tx(bit_cnt-1); --write next bit to bus
state <= wr; --continue writing
END IF;
WHEN rd => --read byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --read byte receive finished
IF(ena = '1' AND addr_rw = addr & rw) THEN --continuing with another read at same address
sda_int <= '0'; --acknowledge the byte has been received
ELSE --stopping or continuing with a write
sda_int <= '1'; --send a no-acknowledge (before stop or repeated start)
END IF;
bit_cnt <= 7; --reset bit counter for "byte" states
data_rd <= data_rx; --output received data
state <= mstr_ack; --go to master acknowledge
ELSE --next clock cycle of read state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= rd; --continue reading
END IF;
WHEN slv_ack2 => --slave acknowledge bit (write)
IF(ena = '1') THEN --continue transaction
busy <= '0'; --continue is accepted
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another write
sda_int <= data_wr(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --continue transaction with a read or new slave
state <= start; --go to repeated start
END IF;
ELSE --complete transaction
state <= stop; --go to stop bit
END IF;
WHEN mstr_ack => --master acknowledge bit after a read
IF(ena = '1') THEN --continue transaction
busy <= '0'; --continue is accepted and data received is available on bus
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another read
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
ELSE --continue transaction with a write or new slave
state <= start; --repeated start
END IF;
ELSE --complete transaction
state <= stop; --go to stop bit
END IF;
WHEN stop => --stop bit of transaction
busy <= '0'; --unflag busy
state <= ready; --go to idle state
END CASE;
ELSIF(data_clk = '0' AND data_clk_prev = '1') THEN --data clock falling edge
CASE state IS
WHEN start =>
IF(scl_ena = '0') THEN --starting new transaction
scl_ena <= '1'; --enable scl output
ack_error <= '0'; --reset acknowledge error output
END IF;
WHEN slv_ack1 => --receiving slave acknowledge (command)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN rd => --receiving slave data
data_rx(bit_cnt) <= sda; --receive current slave data bit
WHEN slv_ack2 => --receiving slave acknowledge (write)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN stop =>
scl_ena <= '0'; --disable scl
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END IF;
END PROCESS;
--set sda output
WITH state SELECT
sda_ena_n <= data_clk_prev WHEN start, --generate start condition
NOT data_clk_prev WHEN stop, --generate stop condition
sda_int WHEN OTHERS; --set to internal sda signal
--set scl and sda outputs
scl <= '0' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE 'Z';
sda <= '0' WHEN sda_ena_n = '0' ELSE 'Z';
END logic;
|
gpl-3.0
|
Dragonturtle/SHERPA
|
HDL/SHERPA/max2830_handler.vhd
|
1
|
4717
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity max2830_handler is
port (
clock_in : in std_logic;
reset_in : in std_logic;
sw_in : in std_logic_vector(7 downto 0);
din_out : out std_logic;
sclk_out : out std_logic;
cs_out : out std_logic
);
end max2830_handler;
architecture Behavioral of max2830_handler is
type state_type is (idle,fetching,sending,finishing,updating);
type spi_type is (starting, ending);
signal state : state_type := fetching;
signal spi_state : spi_type := starting;
signal max2830_config_addra : std_logic_vector(3 downto 0) := "0000";
signal max2830_config_data : std_logic_vector(17 downto 0);
signal spi_ena : std_logic := '0';
signal spi_valid : std_logic;
signal delay_counter : integer range 0 to 101 := 0;
signal swState_current : std_logic_vector(7 downto 0) := "00111111";
signal swState_old : std_logic_vector(7 downto 0) := "00111111";
alias clk : std_logic is clock_in;
alias rst : std_logic is reset_in;
alias din : std_logic is din_out;
alias sclk : std_logic is sclk_out;
alias cs : std_logic is cs_out;
begin
spi_interface : entity work.spi_master
generic map ( N => 18 )
port map (
sclk_i => clk,
pclk_i => clk,
rst_i => rst,
spi_ssel_o => cs,
spi_sck_o => sclk,
spi_mosi_o => din,
spi_miso_i => open,
di_req_o => open,
di_i => max2830_config_data,
wren_i => spi_ena,
wr_ack_o => open,
do_valid_o => spi_valid,
do_o => open
);
state_machine : process(clk, rst, max2830_config_addra)
constant delay_threshold : integer := 100;
type max2839_config_data is array (15 downto 0) of std_logic_vector(17 downto 0);
variable max2839_config : max2839_config_data :=
("010" & "1" & "1101000000" & "0000",
"0" & "1" & "000110011010" & "0001",
"01000000000011" & "0010",
"10011" & "001111010" & "0011",
"01100110011001" & "0100",
"0000" & "0" & "010" & "0" & "00" & "1" & "00" & "0101",
"0" & "00" & "0000" & "0" & "1000" & "0" & "0" & "0110",
"01" & "000000" & "010" & "010" & "0111",
"1" & "1" & "0" & "0" & "00" & "001000" & "00" & "1000",
"000" & "1" & "1110110101" & "1001",
"0111" & "011" & "0100" & "100" & "1010",
"0000000" & "00" & "00000" & "1011",
"00000101" & "000000" & "1100",
"0011" & "1010" & "010010" & "1101",
"000" & "0" & "0" & "10" & "0111011" & "1110",
"00" & "01" & "0101000101" & "1111");
begin
if (rst = '1') then
max2830_config_addra <= "0000";
delay_counter <= 0;
state <= fetching;
elsif (rising_edge(clk)) then
case state is
when fetching =>
delay_counter <= delay_counter + 1;
spi_ena <= '0';
if (delay_counter = delay_threshold) then
max2830_config_data <= max2839_config(to_integer(15-unsigned(max2830_config_addra)));
elsif (delay_counter = delay_threshold + 1) then
delay_counter <= 0;
state <= sending;
spi_state <= starting;
end if;
when sending =>
case spi_state is
when starting =>
spi_ena <= '1';
spi_state <= ending;
when ending =>
spi_ena <= '0';
if (spi_valid = '1') then
if (to_integer(unsigned(max2830_config_addra)) = 15) then
state <= finishing;
else
state <= fetching;
max2830_config_addra <= std_logic_vector(unsigned(max2830_config_addra) + 1);
end if;
end if;
when others => null;
end case;
when finishing =>
delay_counter <= delay_counter + 1;
spi_ena <= '0';
if (delay_counter = delay_threshold) then
delay_counter <= 0;
state <= idle;
end if;
when idle =>
spi_ena <= '0';
swState_current <= sw_in;
swState_old <= swState_current;
if (swState_current /= swState_old) then
state <= updating;
spi_state <= starting;
if (swState_current(7) = '1') then
max2830_config_data <= "00000101" & swState_current(5 downto 0) & "1100";
else
max2830_config_data <= "0000000" & swState_current(6 downto 0) & "1011";
end if;
end if;
when updating =>
case spi_state is
when starting =>
spi_ena <= '1';
spi_state <= ending;
when ending =>
spi_ena <= '0';
if (spi_valid = '1') then
state <= idle;
end if;
end case;
when others => null;
end case;
end if;
end process;
end Behavioral;
|
gpl-3.0
|
Dragonturtle/SHERPA
|
HDL/SHERPA/Memory/si5351c_config_rom.vhd
|
1
|
5494
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2017 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file si5351c_config_rom.vhd when simulating
-- the core, si5351c_config_rom. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY si5351c_config_rom IS
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END si5351c_config_rom;
ARCHITECTURE si5351c_config_rom_a OF si5351c_config_rom IS
-- synthesis translate_off
COMPONENT wrapped_si5351c_config_rom
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_si5351c_config_rom USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 7,
c_addrb_width => 7,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "si5351c_config_rom.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 3,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 128,
c_read_depth_b => 128,
c_read_width_a => 16,
c_read_width_b => 16,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 1,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 128,
c_write_depth_b => 128,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 16,
c_write_width_b => 16,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_si5351c_config_rom
PORT MAP (
clka => clka,
addra => addra,
douta => douta
);
-- synthesis translate_on
END si5351c_config_rom_a;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/arch_defs.vhdl
|
1
|
10347
|
library ieee;
use ieee.std_logic_1164.all;
package arch_defs is
subtype byte_t is std_logic_vector( 7 downto 0);
subtype half_t is std_logic_vector(15 downto 0);
subtype word_t is std_logic_vector(31 downto 0);
subtype addr_t is std_logic_vector(31 downto 0);
subtype intaddr_t is std_logic_vector(31 downto 0);
subtype addrdiff_t is std_logic_vector(31 downto 0);
subtype ctrl_t is std_logic;
subtype ctrl_memwidth_t is std_logic_vector(1 downto 0);
subtype instruction_t is word_t;
subtype mask_t is word_t;
subtype reg_t is std_logic_vector(4 downto 0);
subtype opcode_t is std_logic_vector(5 downto 0);
subtype func_t is std_logic_vector(5 downto 0);
function is_type_r(instr: instruction_t) return boolean;
function is_type_j(instr: instruction_t) return boolean;
function is_type_I(instr: instruction_t) return boolean;
function J(op : std_logic_vector) return std_logic_vector;
function I(op : std_logic_vector; rs : std_logic_vector := "-----"; rt :std_logic_vector := "-----") return std_logic_vector;
function R(op : std_logic_vector := "000000"; rs : std_logic_vector := "-----"; rt : std_logic_vector := "-----"; rd : std_logic_vector := "-----";shift : std_logic_vector := "00000"; func : std_logic_vector(5 downto 0)) return std_logic_vector;
function word(w : word_t) return word_t;
function half(w : word_t) return half_t;
function byte(w : word_t) return byte_t;
constant WIDTH_NONE : ctrl_memwidth_t := "00";
constant WIDTH_BYTE : ctrl_memwidth_t := "01";
constant WIDTH_HALF : ctrl_memwidth_t := "10";
constant WIDTH_WORD : ctrl_memwidth_t := "11";
type alu_op_t is (
ALU_ADD, ALU_ADDU, ALU_SUB, ALU_SUBU,
ALU_AND, ALU_OR, ALU_NOR, ALU_XOR, ALU_LU,
ALU_SLL, ALU_SRL, ALU_SRA,
ALU_MULT, ALU_MULTU, ALU_DIV, ALU_DIVU,
ALU_MFHI, ALU_MFLO, ALU_MTHI, ALU_MTLO,
ALU_SLT, ALU_SLTU, -- TODO zero extend or sign extent?
ALU_EQ, ALU_NE, ALU_LEZ, ALU_LTZ, ALU_GTZ, ALU_GEZ
);
subtype traps_t is std_logic_vector(7 downto 0);
constant TRAP_NONE : traps_t := X"00";
constant TRAP_DIVERROR : traps_t := X"01";
constant TRAP_OVERFLOW : traps_t := X"02";
constant TRAP_SEGFAULT : traps_t := X"04";
constant TRAP_BREAKPOINT : traps_t := X"08";
constant TRAP_SYSCALL : traps_t := X"10";
constant TRAP_EPE : traps_t := X"20";
constant TRAP_UNIMPLEMENTED : traps_t := X"40";
type exception_config_t is (
EXCEPTIONS_IGNORE -- Bad idea!
--EXCEPTIONS_HALT,-- e.g. light a red LED and stop fetching new instructions
--EXCEPTIONS_RESET-- reboot
--EXCEPTIONS_TRAP -- invoke user-programmable exception handlers
);
-- Taken from https://opencores.org/project,plasma,opcodes
-- And http://web.cse.ohio-state.edu/~crawfis.3/cse675-02/Slides/MIPS%20Instruction%20Set.pdf
-- 32 bit defines
constant ZERO : word_t := X"00000000";
constant HI_Z : word_t := (others => 'Z');
constant NEG_ONE : word_t := not ZERO;
constant INT_MIN : word_t := X"8000_0000";
constant INT_MAX : word_t := X"7fff_ffff";
constant DONT_CARE : word_t := (others => 'X');
-- Register file
constant R0 : reg_t := B"0_0000"; -- $zero
constant R1 : reg_t := B"0_0001"; alias AT is R1;
constant R2 : reg_t := B"0_0010"; alias v0 is R2;
constant R3 : reg_t := B"0_0011"; alias v1 is R3;
constant R4 : reg_t := B"0_0100"; alias a0 is R4;
constant R5 : reg_t := B"0_0101"; alias a1 is R5;
constant R6 : reg_t := B"0_0110"; alias a2 is R6;
constant R7 : reg_t := B"0_0111"; alias a3 is R7;
constant R8 : reg_t := B"0_1000"; alias t0 is R8;
constant R9 : reg_t := B"0_1001"; alias t1 is R9;
constant R10 : reg_t := B"0_1010"; alias t2 is R10;
constant R11 : reg_t := B"0_1011"; alias t3 is R11;
constant R12 : reg_t := B"0_1100"; alias t4 is R12;
constant R13 : reg_t := B"0_1101"; alias t5 is R13;
constant R14 : reg_t := B"0_1110"; alias t6 is R14;
constant R15 : reg_t := B"0_1111"; alias t7 is R15;
constant R16 : reg_t := B"1_0000"; alias s0 is R16;
constant R17 : reg_t := B"1_0001"; alias s1 is R17;
constant R18 : reg_t := B"1_0010"; alias s2 is R18;
constant R19 : reg_t := B"1_0011"; alias s3 is R19;
constant R20 : reg_t := B"1_0100"; alias s4 is R20;
constant R21 : reg_t := B"1_0101"; alias s5 is R21;
constant R22 : reg_t := B"1_0110"; alias s6 is R22;
constant R23 : reg_t := B"1_0111"; alias s7 is R23;
constant R24 : reg_t := B"1_1000"; alias t8 is R24;
constant R25 : reg_t := B"1_1001"; alias t9 is R25;
constant R26 : reg_t := B"1_1010"; alias k0 is R26;
constant R27 : reg_t := B"1_1011"; alias k1 is R27;
constant R28 : reg_t := B"1_1100"; alias gp is R28;
constant R29 : reg_t := B"1_1101"; alias sp is R29;
constant R30 : reg_t := B"1_1110"; alias fp is R30;
constant R31 : reg_t := B"1_1111"; alias ra is R31;
constant VGA_PIXELFREQ : natural := 25175*1000;
end arch_defs;
package body arch_defs is
function is_type_r(instr: instruction_t) return boolean is
begin
return instr(31 downto 26) = "000000";
end is_type_r;
function is_type_j(instr: instruction_t) return boolean is
begin
return instr(31 downto 26) = "000010"
or instr(31 downto 26) = "000011";
end is_type_j;
function is_type_i(instr: instruction_t) return boolean is
begin
return not is_type_j(instr) and not is_type_r(instr);
end is_type_i;
function J(op : std_logic_vector) return std_logic_vector is
begin return op & (31-6 downto 0 => '-');
end J;
function I(op : std_logic_vector; rs : std_logic_vector := "-----"; rt :std_logic_vector := "-----") return std_logic_vector is
begin return op & rs & rt & (15 downto 0 => '-');
end I;
function R(op : std_logic_vector := "000000"; rs : std_logic_vector := "-----"; rt : std_logic_vector := "-----"; rd : std_logic_vector := "-----";shift : std_logic_vector := "00000"; func : std_logic_vector(5 downto 0)) return std_logic_vector is
begin return op & (14 downto 0 => '-') & shift & func;
end R;
function word(w : word_t) return word_t is
begin
return w(31 downto 0);
end function;
function half(w : word_t) return half_t is
begin
return w(15 downto 0);
end function;
function byte(w : word_t) return byte_t is
begin
return w( 7 downto 0);
end function;
-- ALU
constant OP_ADD : mask_t := R(func => "100000");
constant OP_ADDU : mask_t := R(func => "100001");
constant OP_AND : mask_t := R(func => "100100");
constant OP_NOR : mask_t := R(func => "100111");
constant OP_OR : mask_t := R(func => "100101");
constant OP_SLT : mask_t := R(func => "101010");
constant OP_SLTU : mask_t := R(func => "101011");
constant OP_SUB : mask_t := R(func => "100010");
constant OP_SUBU : mask_t := R(func => "100011");
constant OP_XOR : mask_t := R(func => "100110");
constant OP_ADDI : mask_t := I(op => "001000");
constant OP_ADDIU : mask_t := I(op => "001001");
constant OP_ANDI : mask_t := I(op => "001100");
constant OP_LUI : mask_t := I(op => "001111");
constant OP_ORI : mask_t := I(op => "001101");
constant OP_SLTI : mask_t := I(op => "001010");
constant OP_SLTIU : mask_t := I(op => "001011");
constant OP_XORI : mask_t := I(op => "001110");
-- Shifter
constant OP_SLL : mask_t := R(shift => "-----", func => "000000");
constant OP_SLLV : mask_t := R(shift => "00000", func => "000100");
constant OP_SRA : mask_t := R(shift => "-----", func => "000011");
constant OP_SRAV : mask_t := R(shift => "00000", func => "000111");
constant OP_SRL : mask_t := R(shift => "-----", func => "000010");
constant OP_SRLV : mask_t := R(shift => "00000", func => "000110");
-- Multiply and Divide
constant OP_DIV : mask_t := R(rd => "00000", func => "011010");
constant OP_DIVU : mask_t := R(rd => "00000", func => "011011");
constant OP_MFHI : mask_t := R(rs => "00000", rt => "00000", func => "010000");
constant OP_MFLO : mask_t := R(rs => "00000", rt => "00000", func => "010010");
constant OP_MTHI : mask_t := R(rt => "00000", rd => "00000", func => "010001");
constant OP_MTLO : mask_t := R(rt => "00000", rd => "00000", func => "010011");
constant OP_MULT : mask_t := R(rd => "00000", func => "011000");
constant OP_MULTU : mask_t := R(rd => "00000", func => "011001");
-- Branch
constant OP_BEQ : mask_t := I(op => "000100");
constant OP_BGEZ : mask_t := I(op => "000001", rt => "00001");
constant OP_BGEZAL: mask_t := I(op => "000001", rt => "10001");
constant OP_BGTZ : mask_t := I(op => "000111", rt => "00000");
constant OP_BLEZ : mask_t := I(op => "000110", rt => "00000");
constant OP_BLTZ : mask_t := I(op => "000001", rt => "00000");
constant OP_BLTZAL: mask_t := I(op => "000001", rt => "10000");
constant OP_BNE : mask_t := I(op => "000101");
constant OP_J : mask_t := J(op => "000010");
constant OP_JAL : mask_t := J(op => "000011");
constant OP_JR : mask_t := R(rt => R0, func => "001000", rd => R0);
constant OP_JALR : mask_t := R(rt => R0, func => "100010");
constant OP_BREAK : mask_t := "000000"&(19 downto 0 => '-')&"001101";
constant OP_MFC0 : mask_t := "010000"&"00000"&(9 downto 0 => '-')&(10 downto 0 => '0');
constant OP_MTC0 : mask_t := "010000"&"00100"&(9 downto 0 => '-')&(10 downto 0 => '0');
constant OP_SYSCALL : mask_t := "000000"&(19 downto 0 => '-')&"001100";
-- Memory Access
constant OP_LB : mask_t := I(op => "100000");
constant OP_LBU : mask_t := I(op => "100100");
constant OP_LH : mask_t := I(op => "100001");
constant OP_LHU : mask_t := I(op => "100101");
constant OP_LW : mask_t := I(op => "100011");
constant OP_SB : mask_t := I(op => "101000");
constant OP_SH : mask_t := I(op => "101001");
constant OP_SW : mask_t := I(op => "101011");
end arch_defs;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/txt_utils.vhdl
|
1
|
14808
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use STD.textio.all; --defines line, output
package txt_utils is
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
function TO_BSTRING (value : STD_LOGIC_VECTOR) return STRING;
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
-- can't resolve overload for function call, slice or indexed name, otherwise
--alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
--alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
--function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
--alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
--function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
--alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
--function TO_HSTRING (VALUE : UNSIGNED) return STRING;
--alias TO_HEX_STRING is TO_HSTRING [UNSIGNED return STRING];
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
--pragma synthesis_off
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
--pragma synthesis_on
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- File: debugio_h.vhd
-- Version: 3.0 (June 6, 2004)
-- Source: http://bear.ces.cwru.edu/vhdl
-- Date: June 6, 2004 (Copyright)
-- Author: Francis G. Wolff Email: [email protected]
-- Author: Michael J. Knieser Email: [email protected]
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 1, or (at your option)
-- any later version: http://www.gnu.org/licenses/gpl.html
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--
function sprintf(fmt: string; s0, s1, s2, s3: string; i0: integer) return string;
procedure printf(fmt: string; s0, s1, s2, s3: string; i0: integer);
procedure printf(fmt: string);
procedure printf(fmt: string; s1: string);
procedure printf(fmt: string; s1, s2: string);
procedure printf(fmt: string; i1: integer);
procedure printf(fmt: string; i1: integer; s2: string);
procedure printf(fmt: string; i1: integer; s2, s3: string);
procedure printf(fmt: string; v0: std_logic_vector);
procedure printf(fmt: string; v0, v1: std_logic_vector);
procedure printf(fmt: string; v0, v1, v2: std_logic_vector);
procedure printf(fmt: string; v0, v1, v2, v3: std_logic_vector);
procedure printf(fmt: string; s0 : string; v0: std_logic_vector);
procedure printf(fmt: string; v0 : std_logic_vector; s0: string);
function pf(arg1: in boolean) return string;
constant ANSI_NONE : string := ESC & "[m";
constant ANSI_RED : string := ESC & "[31m";
constant ANSI_GREEN : string := ESC & "[32m";
constant ANSI_BLUE : string := ESC & "[34m";
end txt_utils;
package body txt_utils is
--synth
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1) := "!";
begin
--pragma synthesis_off
result (1) := MVL9_to_char (value);
--pragma synthesis_on
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
--pragma synthesis_off
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
--pragma synthesis_on
return NUS;
end function to_string;
-- ISE chokes on function aliases, so duplicating the code here
function to_bstring (value : STD_LOGIC_VECTOR) return STRING is
alias ivalue : STD_LOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
--pragma synthesis_off
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
--pragma synthesis_on
return NUS;
end function to_bstring;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
--pragma synthesis_off
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
--pragma synthesis_on
return NUS;
end function to_hstring;
function to_hstring (VALUE : UNSIGNED) return STRING is
begin
return TO_HSTRING(std_logic_vector(VALUE));
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
--pragma synthesis_off
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
--pragma synthesis_on
return NUS;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
function sprintf(fmt: string; s0, s1, s2, s3: string; i0: integer) return string is
variable W: line; variable i, fi, di: integer:=0;
begin
--pragma synthesis_off
loop
--write(W, string'("n=")); write(W, s0'length);
--write(W, string'(" L=")); write(W, s0'left);
--write(W, string'(" R=")); write(W, s0'right);
--writeline(output, W);
fi:=fi+1; if fi>fmt'length then exit; end if;
if fmt(fi)='%' then
fi:=fi+1; if fi>fmt'length then exit; end if;
if fmt(fi)='s' then
case di is
when 0 => i:=s0'left;
while i<=s0'right loop
if s0(i)=NUL then exit; end if;
write(W, s0(i)); i:=i+1;
end loop;
when 1 => i:=s1'left;
while i<=s1'right loop
if s1(i)=NUL then exit; end if;
write(W, s1(i)); i:=i+1;
end loop;
when 2 => i:=s2'left;
while i<=s2'length loop
if s2(i)=NUL then exit; end if;
write(W, s2(i)); i:=i+1;
end loop;
when 3 => i:=s3'left;
while i<=s3'length loop
if s3(i)=NUL then exit; end if;
write(W, s3(i)); i:=i+1;
end loop;
when others =>
end case;
di:=di+1;
elsif fmt(fi)='d' or fmt(fi)='i' then
case di is
when 0 => write(W, i0); when others => end case;
di:=di+1;
end if;
elsif fmt(fi)='\' then
fi:=fi+1; if fi>fmt'length then exit; end if;
case fmt(fi) is
when 'n' => write(W, LF);
when others => write(W, fmt(fi));
end case;
else write(W, fmt(fi));
end if;
end loop;
return W.all;
--pragma synthesis_on
return "";
end sprintf;
procedure printf(fmt: string; s0, s1, s2, s3: string; i0: integer) is
variable W: line;
variable lastch : string(1 to 2) := fmt(fmt'high-1 to fmt'high);
begin
--pragma synthesis_off
Write(W, ANSI_BLUE);
Write(W, sprintf(fmt(fmt'low to fmt'high-1), s0, s1, s2, s3, i0));
if not (fmt(fmt'high) = 'n' and fmt(fmt'high -1) = '\') then
Write(W, fmt(fmt'high-1 to fmt'high-2));
end if;
Write(W, ANSI_NONE);
writeline(output, W);
--pragma synthesis_on
end printf;
procedure printf(fmt: string) is
begin printf(fmt, "", "", "", "", 0); end printf;
procedure printf(fmt: string; s1: string) is
begin printf(fmt, s1, "", "", "", 0); end printf;
procedure printf(fmt: string; s1, s2: string) is
begin printf(fmt, s1, s2, "", "", 0); end printf;
procedure printf(fmt: string; i1: integer) is
begin printf(fmt, "", "", "", "", i1); end printf;
procedure printf(fmt: string; i1: integer; s2: string) is
begin printf(fmt, "", s2, "", "", i1); end printf;
procedure printf(fmt: string; i1: integer; s2, s3: string) is
begin printf(fmt, "", s2, s3, "", i1); end printf;
procedure printf(fmt: string; v0, v1, v2, v3: std_logic_vector) is
begin printf(fmt, to_hstring(v0), to_hstring(v1), to_hstring(v2), to_hstring(v3), 0); end printf;
procedure printf(fmt: string; v0, v1, v2: std_logic_vector) is
begin printf(fmt, v0, v1, v2, ""); end printf;
procedure printf(fmt: string; v0: std_logic_vector) is
begin printf(fmt, to_hstring(v0), "", "", "", 0); end printf;
procedure printf(fmt: string; v0, v1: std_logic_vector) is
begin printf(fmt, to_hstring(v0), to_hstring(v1), "", "", 0); end printf;
procedure printf(fmt: string; s0 : string; v0: std_logic_vector) is
begin printf(fmt, s0, to_hstring(v0), "", "", 0); end printf;
procedure printf(fmt: string; v0 : std_logic_vector; s0: string) is
begin printf(fmt, to_hstring(v0), s0, "", "", 0); end printf;
function pf(arg1: in boolean) return string is
begin
if arg1 then return "true"; else return "false"; end if;
end pf;
end txt_utils;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/arch/cpu.vhdl
|
1
|
14194
|
-- The CPU, only the stateless parts
library ieee;
use ieee.std_logic_1164.all;
use work.arch_defs.all;
use work.memory_map.all;
-- We keep keep all state (registers, memory) out of the CPU
-- This allows for testbenches that can instantiate them internally
-- and check whether everything works as expected
entity cpu is
generic(PC_ADD : natural := 4;
SINGLE_ADDRESS_SPACE : boolean := true);
port(
clk : in std_logic;
rst : in std_logic;
-- Register File
readreg1, readreg2 : out reg_t;
writereg: out reg_t;
regWriteData: out word_t;
regReadData1, regReadData2 : in word_t;
regwrite : out std_logic;
-- Memory
top_addr : out addr_t;
top_dout : in word_t;
top_din : out word_t;
top_size : out ctrl_memwidth_t;
top_wr : out ctrl_t;
-- Debug info
instruction : out instruction_t
);
end;
architecture struct of cpu is
component Pipeliner is
port(
clk, rst : in std_logic;
ID_en, IF_en, EX_en, MEM_en, MEM_read, WB_en : out std_logic;
Instruction_done : out std_logic
);
end component;
component PipeReg is
generic (BITS : natural := 32);
port(
data : in std_logic_vector(BITS-1 downto 0);
enable : in std_logic; -- load/enable.
clr : in std_logic; -- async. clear.
clk : in std_logic; -- clock.
output : out std_logic_vector(BITS-1 downto 0) -- output.
);
end component;
component CtrlReg is port(
data : in std_logic;
enable : in std_logic; -- load/enable.
clr : in std_logic; -- async. clear.
clk : in std_logic; -- clock.
output : out std_logic
);
end component;
component AluOpReg is port(
data : in alu_op_t;
enable : in std_logic; -- load/enable.
clr : in std_logic; -- async. clear.
clk : in std_logic; -- clock.
output : out alu_op_t
);
end component;
component MUX is
generic (BITS : natural := 32);
port (
sel: in ctrl_t;
input0 : in std_logic_vector(BITS-1 downto 0);
input1 : in std_logic_vector(BITS-1 downto 0);
output : out std_logic_vector(BITS-1 downto 0)
);
end component;
component MUX1bit is
port (
sel: in ctrl_t;
input0 : in std_logic;
input1 : in std_logic;
output : out std_logic
);
end component;
component InstructionFetch is
generic(PC_ADD : natural := PC_ADD;
SINGLE_ADDRESS_SPACE : boolean := SINGLE_ADDRESS_SPACE);
port (
clk : in std_logic;
rst : in std_logic;
new_pc : in addr_t;
pc_plus_4 : out addr_t;
instr : out instruction_t;
-- outbound to top level module
top_addr : out addr_t;
top_dout : in word_t;
top_din : out word_t;
top_size : out ctrl_memwidth_t;
top_wr : out ctrl_t
);
end component;
component InstructionDecode is
port(
instr : in instruction_t;
pc_plus_4 : in addr_t;
jump_addr : out addr_t;
regwrite, link, jumpreg, jumpdirect, branch : out ctrl_t;
memread, memwrite : out ctrl_memwidth_t;
memtoreg, memsex : out ctrl_t;
shift, alusrc : out ctrl_t;
aluop : out alu_op_t;
readreg1, readreg2, writereg : out reg_t;
zeroxed, sexed : out word_t;
clk : in std_logic;
rst : in std_logic);
end component;
component Execute is
port (
pc_plus_4 : in addr_t;
regReadData1, regReadData2 : in word_t;
branch_addr : out addr_t;
branch_in : in ctrl_t;
shift_in, alusrc_in : in ctrl_t;
aluop_in : in alu_op_t;
zeroxed, sexed : in word_t;
takeBranch : out ctrl_t;
AluResult : out word_t;
clk : in std_logic;
rst : in std_logic
);
end component;
component MemoryAccess is
port(
-- inbound
Address_in : in addr_t;
WriteData_in : in word_t;
ReadData_in : out word_t;
MemRead_in, MemWrite_in : in ctrl_memwidth_t;
MemSex_in : in std_logic;
clk : in std_logic;
-- outbound to top level module
top_addr : out addr_t;
top_dout : in word_t;
top_din : out word_t;
top_size : out ctrl_memwidth_t;
top_wr : out ctrl_t);
end component;
component WriteBack is
port(
Link, JumpReg, JumpDir, MemToReg, TakeBranch : in ctrl_t;
pc_plus_4, branch_addr, jump_addr: in addr_t;
aluResult, memReadData, regReadData1 : in word_t;
regWriteData : out word_t;
new_pc : out addr_t);
end component;
signal IF_en : std_logic := '0';
signal ID_en : std_logic := '0';
signal EX_en : std_logic := '0';
signal MEM_en : std_logic := '0';
signal MEM_read : std_logic := '0';
signal WB_en : std_logic := '0';
signal Instruction_done : std_logic := '0';
signal regwrite_no_wb : std_logic := '0';
signal regwrite_pre_reg : ctrl_t;
signal Link, JumpReg, JumpDir, Branch, MemToReg, Shift, ALUSrc, MemSex : ctrl_t;
signal Link_pre_reg, JumpReg_pre_reg, JumpDir_pre_reg, Branch_pre_reg, MemToReg_pre_reg, Shift_pre_reg, ALUSrc_pre_reg, MemSex_pre_reg : ctrl_t;
signal TakeBranch, TakeBranch_pre_reg : ctrl_t;
signal MemRead, MemWrite : ctrl_memwidth_t;
signal MemRead_pre_reg, MemWrite_pre_reg : ctrl_memwidth_t;
signal memReadData, memReadData_pre_reg : word_t;
signal new_pc, new_pc_pre_reg : addr_t := BOOT_ADDR;
signal pc_plus_4, pc_plus_4_pre_reg, jump_addr, jump_addr_pre_reg, branch_addr : addr_t;
signal instr, instr_pre_reg : instruction_t;
signal zeroxed, sexed, zeroxed_pre_reg, sexed_pre_reg, aluResult, aluResult_pre_reg: word_t;
signal readreg1_pre_reg, readreg2_pre_reg, writereg_pre_reg : reg_t;
signal regwritedata_pre_reg : word_t;
signal aluop : alu_op_t;
signal aluop_slv : natural; -- debug only;
signal aluop_pre_reg : alu_op_t;
signal selMEM : ctrl_t := '0';
signal top_addr_mem, top_addr_if : addr_t;
signal top_din_mem, top_din_if : word_t;
signal top_size_mem, top_size_if : ctrl_memwidth_t;
signal top_wr_mem, top_wr_if : ctrl_t;
begin
aluop_slv <= alu_op_t'pos(aluop);
if1: InstructionFetch
generic map (PC_ADD => PC_ADD)
port map(
clk => clk,
rst => rst,
new_pc => new_pc,
pc_plus_4 => pc_plus_4_pre_reg,
instr => instr_pre_reg,
top_addr => top_addr_if,
top_dout => top_dout,
top_din => top_din_if,
top_size => top_size_if,
top_wr => top_wr_if
);
regwrite <= WB_en and regwrite_no_wb;
new_pc_reg: PipeReg
generic map(32)
port map (
data => new_pc_pre_reg,
enable => WB_en,
clr => rst,
clk => clk,
output => new_pc
);
pc_plus_4_reg: PipeReg
generic map(32)
port map (
data => pc_plus_4_pre_reg,
enable => IF_en,
clr => rst,
clk => clk,
output => pc_plus_4
);
instr_reg: PipeReg
generic map(32)
port map (
data => instr_pre_reg,
enable => IF_en,
clr => rst,
clk => clk,
output => instr
);
instruction <= instr;
id1: InstructionDecode
port map(
instr => instr,
pc_plus_4 => pc_plus_4,
jump_addr => jump_addr_pre_reg,
regwrite => regwrite_pre_reg, link => link_pre_reg, jumpreg => jumpreg_pre_reg, jumpdirect => jumpDir_pre_reg, branch => Branch_pre_reg,
memread => memRead_pre_reg, memwrite => memWrite_pre_reg,
memtoreg => memToReg_pre_reg, memsex => memSex_pre_reg,
shift => shift_pre_reg, alusrc => aluSrc_pre_reg,
aluop => aluOp_pre_reg,
readreg1 => readReg1_pre_reg, readreg2 => readReg2_pre_reg, writeReg => writeReg_pre_reg,
zeroxed => zeroxed_pre_reg, sexed => sexed_pre_reg,
clk => clk,
rst => rst
);
readreg1_reg: PipeReg
generic map(5)
port map (
data => readreg1_pre_reg,
enable => ID_en,
clr => rst,
clk => clk,
output => readreg1
);
readreg2_reg: PipeReg
generic map(5)
port map (
data => readreg2_pre_reg,
enable => ID_en,
clr => rst,
clk => clk,
output => readreg2
);
writereg_reg: PipeReg
generic map(5)
port map (
data => writereg_pre_reg,
enable => ID_en,
clr => rst,
clk => clk,
output => writereg
);
pipeliner1: pipeliner port map (
clk => clk,
rst => rst,
IF_en => IF_en,
ID_en => ID_en,
EX_en => EX_en,
MEM_en => MEM_en,
MEM_read => MEM_read,
WB_en => WB_en,
Instruction_done => Instruction_done
);
ctrlvec_regwrite_reg : CtrlReg port map (data => regwrite_pre_reg, enable => ID_en, clr => rst, clk => clk, output => regwrite_no_wb);
--ctrlvec_regdst_reg : CtrlReg port map (data => regdst_pre_reg, enable => ID_en, clr => rst, clk => clk, output => regdst);
ctrlvec_link_reg : CtrlReg port map (data => link_pre_reg, enable => ID_en, clr => rst, clk => clk, output => link);
ctrlvec_jumpreg_reg : CtrlReg port map (data => jumpreg_pre_reg, enable => ID_en, clr => rst, clk => clk, output => jumpreg);
ctrlvec_jumpdirect_reg : CtrlReg port map (data => jumpdir_pre_reg, enable => ID_en, clr => rst, clk => clk, output => jumpdir);
ctrlvec_branch_reg : CtrlReg port map (data => branch_pre_reg, enable => ID_en, clr => rst, clk => clk, output => branch);
ctrlvec_memread_reg : PipeReg generic map(2) port map (data => memread_pre_reg, enable => ID_en, clr => rst, clk => clk, output => memread);
ctrlvec_memtoreg_reg : CtrlReg port map (data => memtoreg_pre_reg, enable => ID_en, clr => rst, clk => clk, output => memtoreg);
ctrlvec_memsex_reg : CtrlReg port map (data => memsex_pre_reg, enable => ID_en, clr => rst, clk => clk, output => memsex);
ctrlvec_memwrite_reg : PipeReg generic map (2) port map (data => memwrite_pre_reg, enable => ID_en, clr => rst, clk => clk, output => memwrite);
ctrlvec_shift_reg : CtrlReg port map (data => shift_pre_reg, enable => ID_en, clr => rst, clk => clk, output => shift);
ctrlvec_alusrc_reg : CtrlReg port map (data => alusrc_pre_reg, enable => ID_en, clr => rst, clk => clk, output => alusrc);
ctrlvec_aluop_reg : AluOpReg port map (data => aluop_pre_reg, enable => ID_en, clr => rst, clk => clk, output => aluop);
jump_addr_reg: PipeReg
generic map(32)
port map (
data => jump_addr_pre_reg,
enable => ID_en,
clr => rst,
clk => clk,
output => jump_addr
);
zeroxed_reg: PipeReg
generic map(32)
port map (
data => zeroxed_pre_reg,
enable => ID_en,
clr => rst,
clk => clk,
output => zeroxed
);
sexed_reg: PipeReg
generic map(32)
port map (
data => sexed_pre_reg,
enable => ID_en,
clr => rst,
clk => clk,
output => sexed
);
ex1: Execute
port map(
pc_plus_4 => pc_plus_4,
regReadData1 => regReadData1, regReadData2 => regReadData2,
branch_addr => branch_addr,
branch_in => Branch,
shift_in => shift, alusrc_in => ALUSrc,
aluop_in => ALUOp,
zeroxed => zeroxed, sexed => sexed,
takeBranch => takeBranch_pre_reg,
ALUResult => ALUResult_pre_reg,
clk => clk,
rst => rst
);
takeBranch_reg: CtrlReg
port map (
data =>takeBranch_pre_reg,
enable => EX_en,
clr => rst,
clk => clk,
output => takeBranch
);
aluResult_reg: PipeReg
generic map(32)
port map (
data => aluResult_pre_reg,
enable => EX_en,
clr => rst,
clk => clk,
output => aluResult
);
process (clk)
begin
if rising_edge(clk) and (EX_en = '1' or WB_en = '1') then
selMEM <= not selMEM;
end if;
end process;
addrMux: MUX port map(sel=>selMEM,input0=>top_addr_if,input1=>top_addr_mem,output=>top_addr);
dinMux: MUX port map(sel=>selMEM,input0=>top_din_if, input1=>top_din_mem,output=>top_din);
sizeMux: MUX generic map(2) port map(sel=>selMEM,input0=>top_size_if,input1=>top_size_mem,output=>top_size);
wrMux: MUX1bit port map(sel=>selMEM,input0=>top_wr_if, input1=>top_wr_mem,output=>top_wr);
ma1: memoryAccess
port map(
-- inbound
Address_in => AluResult,
WriteData_in => regReadData2,
ReadData_in => memReadData_pre_reg,
MemRead_in => memRead,
MemWrite_in => memWrite,
MemSex_in => MemSex,
clk => clk,
-- outbound to top level module
top_addr => top_addr_mem,
top_dout => top_dout,
top_din => top_din_mem,
top_size => top_size_mem,
top_wr => top_wr_mem);
memReadData_reg: PipeReg
generic map (32)
port map (
data => memReadData_pre_reg,
enable => MEM_en,
clr => rst,
clk => clk,
output => memReadData
);
-- TODO: Remove this?
regWrite_data_reg: PipeReg
generic map(32)
port map (
data => regwritedata_pre_reg,
enable => '1',
clr => rst,
clk => clk,
output => regwritedata
);
wb1: WriteBack
port map(
Link => Link,
JumpReg => JumpReg,
JumpDir => JumpDir,
MemToReg => MemToReg,
TakeBranch => TakeBranch,
pc_plus_4 => pc_plus_4,
branch_addr => branch_addr,
jump_addr => jump_addr,
aluResult => aluResult,
memReadData => memReadData,
regReadData1 => regReadData1,
regWriteData => regWriteData_pre_reg,
new_pc => new_pc_pre_reg);
end struct;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/arch/PC.vhdl
|
1
|
736
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.arch_defs.all;
use work.memory_map.all;
-- Remove for synthesize?
use work.txt_utils.all;
entity PC is
port (
next_addr : in addr_t;
clk : in std_logic;
rst : in std_logic;
addr : out addr_t
);
end PC;
architecture behav of PC is
constant bootaddr : addr_t := BOOT_ADDR; -- X"bfc0_0000";
begin process(next_addr, clk, rst)
begin
if rst = '1' then
addr <= bootaddr;
printf("[RESET] Next PC: %s\n", bootaddr);
elsif rising_edge(clk) then
addr <= next_addr;
printf(ANSI_RED & "Next PC: %s\n", next_addr);
end if;
end process;
end behav;
|
gpl-3.0
|
makestuff/dvr-connectors
|
conv-72to8/vhdl/conv_72to8.vhdl
|
1
|
4307
|
--
-- Copyright (C) 2014 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity conv_72to8 is
port(
-- System clock & reset
clk_in : in std_logic;
reset_in : in std_logic;
-- 72-bit data coming in
data72_in : in std_logic_vector(71 downto 0);
valid72_in : in std_logic;
ready72_out : out std_logic;
-- 8-bit data going out
data8_out : out std_logic_vector(7 downto 0);
valid8_out : out std_logic;
ready8_in : in std_logic
);
end entity;
architecture rtl of conv_72to8 is
type StateType is (
S_WRITE0,
S_WRITE1,
S_WRITE2,
S_WRITE3,
S_WRITE4,
S_WRITE5,
S_WRITE6,
S_WRITE7,
S_WRITE8
);
signal state : StateType := S_WRITE0;
signal state_next : StateType;
signal wip : std_logic_vector(63 downto 0) := (others => '0');
signal wip_next : std_logic_vector(63 downto 0);
begin
-- Infer registers
process(clk_in)
begin
if ( rising_edge(clk_in) ) then
if ( reset_in = '1' ) then
state <= S_WRITE0;
wip <= (others => '0');
else
state <= state_next;
wip <= wip_next;
end if;
end if;
end process;
-- Next state logic
process(state, wip, data72_in, valid72_in, ready8_in)
begin
state_next <= state;
valid8_out <= '0';
wip_next <= wip;
case state is
-- Write byte 1
when S_WRITE1 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(63 downto 56);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE2;
end if;
-- Write byte 2
when S_WRITE2 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(55 downto 48);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE3;
end if;
-- Write byte 3
when S_WRITE3 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(47 downto 40);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE4;
end if;
-- Write byte 4
when S_WRITE4 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(39 downto 32);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE5;
end if;
-- Write byte 5
when S_WRITE5 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(31 downto 24);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE6;
end if;
-- Write byte 6
when S_WRITE6 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(23 downto 16);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE7;
end if;
-- Write byte 7
when S_WRITE7 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(15 downto 8);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE8;
end if;
-- Write byte 8 (LSB)
when S_WRITE8 =>
ready72_out <= '0'; -- not ready for data from 72-bit side
data8_out <= wip(7 downto 0);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE0;
end if;
-- When a word arrives, write byte 0 (MSB)
when others =>
ready72_out <= ready8_in; -- ready for data from 72-bit side
data8_out <= data72_in(71 downto 64);
valid8_out <= valid72_in;
if ( valid72_in = '1' and ready8_in = '1' ) then
wip_next <= data72_in(63 downto 0);
state_next <= S_WRITE1;
end if;
end case;
end process;
end architecture;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/tb/cpu_tb.vhdl
|
1
|
8806
|
-- SKIP because I still have to get it working
library ieee;
use ieee.std_logic_1164.all;
use work.arch_defs.all;
use work.txt_utils.all;
use work.memory_map.all;
entity cpu_tb is
end;
architecture struct of cpu_tb is
component regFile is
port (
readreg1, readreg2 : in reg_t;
writereg: in reg_t;
writedata: in word_t;
readData1, readData2 : out word_t;
clk : in std_logic;
rst : in std_logic;
regWrite : in std_logic
);
end component;
signal readreg1, readreg2 : reg_t := R0;
signal writereg: reg_t := R0;
signal regReadData1, regReadData2, regWriteData : word_t := ZERO;
signal regWrite : ctrl_t := '0';
component mem is
port (
addr : in addr_t;
din : in word_t;
dout : out word_t;
size : in ctrl_memwidth_t;
wr : in std_logic;
clk : in std_logic
);
end component;
component InstructionFetch is
generic(PC_ADD, CPI : natural; SINGLE_ADDRESS_SPACE : boolean);
port (
clk : in std_logic;
rst : in std_logic;
new_pc : in addr_t;
pc_plus_4 : out addr_t;
instr : out instruction_t;
-- outbound to top level module
top_addr : out addr_t;
top_dout : in word_t;
top_din : out word_t;
top_size : out ctrl_memwidth_t;
top_wr : out ctrl_t
);
end component;
component InstructionDecode is
port(
instr : in instruction_t;
pc_plus_4 : in addr_t;
jump_addr : out addr_t;
regwrite, link, jumpreg, jumpdirect, branch : out ctrl_t;
memread, memwrite : out ctrl_memwidth_t;
memtoreg, memsex : out ctrl_t;
shift, alusrc : out ctrl_t;
aluop : out alu_op_t;
readreg1, readreg2, writereg : out reg_t;
zeroxed, sexed : out word_t;
clk : in std_logic;
rst : in std_logic);
end component;
component Execute is
port (
pc_plus_4 : in addr_t;
regReadData1, regReadData2 : in word_t;
branch_addr : out addr_t;
branch_in : in ctrl_t;
shift_in, alusrc_in : in ctrl_t;
aluop_in : in alu_op_t;
zeroxed, sexed : in word_t;
takeBranch : out ctrl_t;
AluResult : out word_t;
clk : in std_logic;
rst : in std_logic
);
end component;
component MemoryAccess is
port(
-- inbound
Address_in : in addr_t;
WriteData_in : in word_t;
ReadData_in : out word_t;
MemRead_in, MemWrite_in : in ctrl_memwidth_t;
MemSex_in : in std_logic;
clk : in std_logic;
-- outbound to top level module
top_addr : out addr_t;
top_dout : in word_t;
top_din : out word_t;
top_size : out ctrl_memwidth_t;
top_wr : out ctrl_t);
end component;
component WriteBack is
port(
Link, JumpReg, JumpDir, MemToReg, TakeBranch : in ctrl_t;
pc_plus_4, branch_addr, jump_addr: in addr_t;
aluResult, memReadData, regReadData1 : in word_t;
regWriteData : out word_t;
new_pc : out addr_t);
end component;
-- control signals
signal Link, Branch, JumpReg, JumpDir, memToreg, TakeBranch, Shift, ALUSrc, MemSex : ctrl_t;
signal MemRead, MemWrite : ctrl_memwidth_t;
signal memReadData : word_t;
signal new_pc : addr_t;
signal pc_plus_4, jump_addr, branch_addr : addr_t;
signal instr : instruction_t;
signal zeroxed, sexed, aluResult: word_t;
signal aluop : alu_op_t;
signal cpuclk : std_logic := '0';
signal regclk : std_logic := '0';
signal halt_cpu : boolean := false;
signal cpurst : std_logic := '0';
signal regrst : std_logic := '0';
signal done : boolean := false;
constant ESC : Character := Character'val(27);
signal addr : addr_t;
signal din : word_t;
signal dout : word_t;
signal size : ctrl_memwidth_t;
signal wr : std_logic;
begin
regFile1: regFile
port map(
readreg1 => readreg1, readreg2 => readreg2,
writereg => writereg, writedata => regWriteData,
readData1 => regReadData1, readData2 => regReadData2,
clk => regclk, rst => regrst,
regWrite => regWrite
);
if1: InstructionFetch
generic map (PC_ADD => 4, CPI => 4, SINGLE_ADDRESS_SPACE => true)
port map(
clk => cpuclk,
rst => cpurst,
new_pc => new_pc,
pc_plus_4 => pc_plus_4,
instr => instr,
top_addr => addr,
top_dout => dout,
top_din => din,
top_size => size,
top_wr => wr
);
mem_bus: mem port map (
addr => addr,
din => din,
dout => dout,
size => size,
wr => wr,
clk => cpuclk
);
id1: InstructionDecode
port map(instr => instr,
pc_plus_4 => pc_plus_4,
jump_addr => jump_addr,
regwrite => regwrite, link => link, jumpreg => jumpreg, jumpdirect => jumpdir, branch => Branch,
memread => memread, memwrite => memwrite,
memtoreg => memtoreg, memsex => memsex,
shift => shift, alusrc => aluSrc,
aluop => aluOp,
readreg1 => readReg1, readreg2 => readReg2, writeReg => writeReg,
zeroxed => zeroxed, sexed => sexed,
clk => cpuclk,
rst => cpurst
);
ex1: Execute
port map(
pc_plus_4 => pc_plus_4,
regReadData1 => regReadData1, regReadData2 => regReadData2,
branch_addr => branch_addr,
branch_in => Branch,
shift_in => shift, alusrc_in => ALUSrc,
aluop_in => ALUOp,
zeroxed => zeroxed, sexed => sexed,
takeBranch => takeBranch,
ALUResult => ALUResult,
clk => cpuclk,
rst => cpurst
);
ma1: memoryAccess
port map(
-- inbound
Address_in => AluResult,
WriteData_in => regReadData2,
ReadData_in => memReadData,
MemRead_in => memRead, MemWrite_in => memWrite,
MemSex_in => memSex,
clk => cpuclk,
-- outbound to top level module
top_addr => addr,
top_dout => dout,
top_din => din,
top_size => size,
top_wr => wr);
wb1: WriteBack
port map(
Link => Link,
JumpReg => JumpReg,
JumpDir => JumpDir,
MemToReg => MemToReg,
TakeBranch => TakeBranch,
pc_plus_4 => pc_plus_4,
branch_addr => branch_addr,
jump_addr => jump_addr,
aluResult => aluResult,
memReadData => memReadData,
regReadData1 => regReadData1,
regWriteData => regWriteData,
new_pc => new_pc);
test : process
begin
-- This halt_cpu thing doesn't work yet
--halt_cpu <= true;
--regrst <= '0';
--wait for 2 ns;
--regrst <= '1';
--wait for 2 ns;
--regrst <= '0';
--wait for 20 ns;
--readreg1 <= R1;
--wait for 2 ns;
--assert regReadData1 = ZERO report
-- ANSI_RED "Failed to reset. 0 /= " & to_hstring(regReadData1) & ANSI_NONE
--severity error;
--halt_cpu <= false;
cpurst <= '0';
wait for 2 ns;
cpurst <= '1';
wait for 2 ns;
cpurst <= '0';
wait for 55 ns;
readreg1 <= R1;
wait for 2 ns;
assert regReadData1 = X"0000_F000" report
ANSI_RED & "Failed to ori. 0xF000 /= " & to_hstring(regReadData1) & ANSI_NONE
severity error;
readreg1 <= R1;
readreg2 <= R2;
wait for 2 ns;
assert regReadData2 = X"0000_FBAD" report
ANSI_RED & "Failed to ori. 0xFBAD /= " & to_hstring(regReadData2) & ANSI_NONE
severity error;
assert regReadData1 = X"0000_F000" report
ANSI_RED & "Failed to ori. 0xF000 /= " & to_hstring(regReadData2) & ANSI_NONE
severity error;
done <= true;
wait;
end process;
clkproc: process
begin
regclk <= not regclk;
if not halt_cpu then
cpuclk <= not cpuclk;
end if;
wait for 1 ns;
if done then wait; end if;
end process;
end struct;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/io/addrdec.vhdl
|
1
|
1203
|
-- Address decoder
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.arch_defs.all;
use work.memory_map.all;
entity addrdec is
port(A : in addr_t;
cs : out memchipsel_t);
end addrdec;
architecture behav of addrdec is
begin
-- FIXME use a loop over the mmap array instead
cs <= mmap(0).chip_select when inside(A, mmap(0).base, mmap(0).size) else -- RAM
mmap(1).chip_select when inside(A, mmap(1).base, mmap(1).size) else -- ROM
mmap(2).chip_select when inside(A, mmap(2).base, mmap(2).size) else -- LEDs
mmap(3).chip_select when inside(A, mmap(3).base, mmap(3).size) else -- DIP-Switch
mmap(4).chip_select when inside(A, mmap(4).base, mmap(4).size) else -- Pushbuttons
mmap(5).chip_select when inside(A, mmap(5).base, mmap(5).size) else -- UART
mmap(6).chip_select when inside(A, mmap(6).base, mmap(6).size) else -- VRAM
mmap(7).chip_select when inside(A, mmap(7).base, mmap(7).size); -- Video configuration
-- We need dual-ported RAM for the framebuffer,
-- lest we've to deal with bus arbitration.
-- That's why the VRAM is separate from the RAM
end behav;
|
gpl-3.0
|
makestuff/dvr-connectors
|
fifo/vhdl/fifo_rtl.vhdl
|
1
|
4248
|
--
-- Copyright (C) 2012 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture rtl of fifo is
-- Register file for storing FIFO contents
constant DEPTH_UBOUND : natural := 2**DEPTH-1;
constant WIDTH_UBOUND : natural := WIDTH-1;
type RegFileType is array(DEPTH_UBOUND downto 0) of std_logic_vector(WIDTH_UBOUND downto 0);
signal fifoData : RegFileType; -- := (others => (others => '0'));
signal fifoData_next : RegFileType;
-- Read & write pointers, with auto-wrap incremented versions
signal rdPtr : unsigned(DEPTH-1 downto 0) := (others => '0');
signal rdPtr_next : unsigned(DEPTH-1 downto 0);
signal rdPtr_inc : unsigned(DEPTH-1 downto 0);
signal wrPtr : unsigned(DEPTH-1 downto 0) := (others => '0');
signal wrPtr_next : unsigned(DEPTH-1 downto 0);
signal wrPtr_inc : unsigned(DEPTH-1 downto 0);
-- Full flag
signal isFull : std_logic := '0';
signal isFull_next : std_logic;
-- Signals to drive inputReady_out & outputValid_out
signal inputReady : std_logic;
signal outputValid : std_logic;
-- Signals that are asserted during the cycle before a write or read, respectively
signal isWriting : std_logic;
signal isReading : std_logic;
-- FIFO depth stuff
constant DEPTH_ZEROS : std_logic_vector(DEPTH-1 downto 0) := (others => '0');
constant FULL_DEPTH : std_logic_vector(DEPTH downto 0) := '1' & DEPTH_ZEROS;
constant EMPTY_DEPTH : std_logic_vector(DEPTH downto 0) := '0' & DEPTH_ZEROS;
begin
-- Infer registers
process(clk_in)
begin
if ( rising_edge(clk_in) ) then
if ( reset_in = '1' ) then
fifoData <= (others => (others => '0'));
rdPtr <= (others => '0');
wrPtr <= (others => '0');
isFull <= '0';
else
fifoData <= fifoData_next;
rdPtr <= rdPtr_next;
wrPtr <= wrPtr_next;
isFull <= isFull_next;
end if;
end if;
end process;
-- Update reg file, write pointer & isFull flag
process(fifoData, wrPtr, inputData_in, isWriting)
begin
fifoData_next <= fifoData;
if ( isWriting = '1' ) then
fifoData_next(to_integer(wrPtr)) <= inputData_in;
end if;
end process;
-- The FIFO only has three outputs, inputReady_out, outputData_out and outputValid_out:
inputReady_out <= inputReady;
inputReady <=
'0' when isFull = '1' else
'1';
outputData_out <=
fifoData(to_integer(rdPtr)) when outputValid = '1'
else (others => 'X');
outputValid_out <= outputValid;
outputValid <=
'0' when rdPtr = wrPtr and isFull = '0' else
'1';
-- The isReading and isWriting signals make it easier to check whether we're in a cycle that
-- ends in a read and/or a write, respectively
isReading <=
'1' when outputValid = '1' and outputReady_in = '1' else
'0';
isWriting <=
'1' when inputValid_in = '1' and inputReady = '1' else
'0';
-- Infer pointer-increment adders:
rdPtr_inc <= rdPtr + 1;
wrPtr_inc <= wrPtr + 1;
-- Full when a write makes the two pointers coincide, without a read to balance it
isFull_next <=
'0' when isReading = '1' and rdPtr_inc /= wrPtr else
'1' when isWriting = '1' and wrPtr_inc = rdPtr else
isFull;
-- Pointer increments
rdPtr_next <=
rdPtr_inc when isReading = '1'
else rdPtr;
wrPtr_next <=
wrPtr_inc when isWriting = '1'
else wrPtr;
-- FIFO depth
depth_out <=
EMPTY_DEPTH when wrPtr = rdPtr and isFull = '0' else
FULL_DEPTH when wrPtr = rdPtr and isFull = '1' else
'0' & std_logic_vector(wrPtr - rdPtr) when wrPtr > rdPtr else
std_logic_vector(('1' & wrPtr) - ('0' & rdPtr));
end architecture;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/arch/regDstMux.vhdl
|
1
|
398
|
library ieee;
use ieee.std_logic_1164.all;
use work.arch_defs.all;
entity regDstMux is
port (
RegDst: in ctrl_t;
rt : in reg_t; --Instruction 20-16
rd : in reg_t; --Instruction 15-11
output : out reg_t
);
end regDstMux;
architecture behav of regDstMux is
begin
output <= rd when RegDst = '1' else rt;
end architecture behav;
|
gpl-3.0
|
makestuff/dvr-connectors
|
conv-40to8/vhdl/conv_40to8.vhdl
|
1
|
3295
|
--
-- Copyright (C) 2014 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity conv_40to8 is
port(
-- System clock & reset
clk_in : in std_logic;
reset_in : in std_logic;
-- 40-bit data coming in
data40_in : in std_logic_vector(39 downto 0);
valid40_in : in std_logic;
ready40_out : out std_logic;
-- 8-bit data going out
data8_out : out std_logic_vector(7 downto 0);
valid8_out : out std_logic;
ready8_in : in std_logic
);
end entity;
architecture rtl of conv_40to8 is
type StateType is (
S_WRITE0,
S_WRITE1,
S_WRITE2,
S_WRITE3,
S_WRITE4
);
signal state : StateType := S_WRITE0;
signal state_next : StateType;
signal wip : std_logic_vector(31 downto 0) := (others => '0');
signal wip_next : std_logic_vector(31 downto 0);
begin
-- Infer registers
process(clk_in)
begin
if ( rising_edge(clk_in) ) then
if ( reset_in = '1' ) then
state <= S_WRITE0;
wip <= (others => '0');
else
state <= state_next;
wip <= wip_next;
end if;
end if;
end process;
-- Next state logic
process(state, wip, data40_in, valid40_in, ready8_in)
begin
state_next <= state;
valid8_out <= '0';
wip_next <= wip;
case state is
-- Write byte 1
when S_WRITE1 =>
ready40_out <= '0'; -- not ready for data from 40-bit side
data8_out <= wip(31 downto 24);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE2;
end if;
-- Write byte 2
when S_WRITE2 =>
ready40_out <= '0'; -- not ready for data from 40-bit side
data8_out <= wip(23 downto 16);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE3;
end if;
-- Write byte 3
when S_WRITE3 =>
ready40_out <= '0'; -- not ready for data from 40-bit side
data8_out <= wip(15 downto 8);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE4;
end if;
-- Write byte 4 (LSB)
when S_WRITE4 =>
ready40_out <= '0'; -- not ready for data from 40-bit side
data8_out <= wip(7 downto 0);
if ( ready8_in = '1' ) then
valid8_out <= '1';
state_next <= S_WRITE0;
end if;
-- When a word arrives, write byte 0 (MSB)
when others =>
ready40_out <= ready8_in; -- ready for data from 40-bit side
data8_out <= data40_in(39 downto 32);
valid8_out <= valid40_in;
if ( valid40_in = '1' and ready8_in = '1' ) then
wip_next <= data40_in(31 downto 0);
state_next <= S_WRITE1;
end if;
end case;
end process;
end architecture;
|
gpl-3.0
|
a3f/r3k.vhdl
|
vhdl/io/dipswitch.vhdl
|
1
|
1073
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.arch_defs.all;
use work.utils.all;
entity mmio_dipswitch is
port (
-- static
addr : in addr_t;
din: in word_t;
dout: out word_t;
size : in std_logic_vector(1 downto 0); -- is also enable when = "00"
wr : in std_logic;
en : in std_logic;
clk : in std_logic;
trap : out traps_t := TRAP_NONE;
-- dip switch
switch : in std_logic_vector(7 downto 0)
);
end mmio_dipswitch;
architecture mmio of mmio_dipswitch is
constant reading : std_logic := '0';
signal data_out : word_t;
begin
dout <= data_out when en = '1' and wr = '0' else HI_Z;
process(clk)
begin
if rising_edge(clk) and en = '1' and size /= "00" then
case wr is
when reading => zeroextend(data_out, switch);
when others => trap <= TRAP_SEGFAULT;
end case;
end if;
end process;
end;
|
gpl-3.0
|
makestuff/dvr-connectors
|
conv-8to16/vhdl/tb_unit/conv_8to16_tb.vhdl
|
1
|
3212
|
--
-- Copyright (C) 2012 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.hex_util.all;
entity conv_8to16_tb is
end entity;
architecture behavioural of conv_8to16_tb is
-- Clocks
signal sysClk : std_logic; -- main system clock
signal dispClk : std_logic; -- display version of sysClk, which transitions 4ns before it
-- 8-bit interface signals
signal data8 : std_logic_vector(7 downto 0);
signal valid8 : std_logic;
signal ready8 : std_logic;
-- 16-bit interface signals
signal data16 : std_logic_vector(15 downto 0);
signal valid16 : std_logic;
signal ready16 : std_logic;
begin
-- Instantiate the memory controller for testing
uut: entity work.conv_8to16
port map(
clk_in => sysClk,
reset_in => '0',
data8_in => data8,
valid8_in => valid8,
ready8_out => ready8,
data16_out => data16,
valid16_out => valid16,
ready16_in => ready16
);
-- Drive the clocks. In simulation, sysClk lags 4ns behind dispClk, to give a visual hold time
-- for signals in GTKWave.
process
begin
sysClk <= '0';
dispClk <= '0';
wait for 16 ns;
loop
dispClk <= not(dispClk); -- first dispClk transitions
wait for 4 ns;
sysClk <= not(sysClk); -- then sysClk transitions, 4ns later
wait for 6 ns;
end loop;
end process;
-- Drive the unit under test. Read stimulus from stimulus.sim and write results to results.sim
process
variable inLine : line;
variable outLine : line;
file inFile : text open read_mode is "stimulus.sim";
file outFile : text open write_mode is "results.sim";
begin
data8 <= (others => 'Z');
valid8 <= '0';
ready16 <= '0';
wait until rising_edge(sysClk);
while ( not endfile(inFile) ) loop
readline(inFile, inLine);
while ( inLine.all'length = 0 or inLine.all(1) = '#' or inLine.all(1) = ht or inLine.all(1) = ' ' ) loop
readline(inFile, inLine);
end loop;
data8 <= to_4(inLine.all(1)) & to_4(inLine.all(2));
valid8 <= to_1(inLine.all(4));
ready16 <= to_1(inLine.all(6));
wait for 10 ns;
write(outLine, from_4(data16(15 downto 12)) & from_4(data16(11 downto 8)) & from_4(data16(7 downto 4)) & from_4(data16(3 downto 0)));
write(outLine, ' ');
write(outLine, valid16);
write(outLine, ' ');
write(outLine, ready8);
writeline(outFile, outLine);
wait for 10 ns;
end loop;
data8 <= (others => 'Z');
valid8 <= '0';
ready16 <= '0';
wait;
end process;
end architecture;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/coprocessor/RESOURCE_CUSTOM_A.vhd
|
1
|
9995
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library grlib;
use grlib.stdlib.all;
library ims;
use ims.coprocessor.all;
use ims.conversion.all;
--type sequential32_in_type is record
-- op1 : std_logic_vector(32 downto 0); -- operand 1
-- op2 : std_logic_vector(32 downto 0); -- operand 2
-- flush : std_logic;
-- signed : std_logic;
-- start : std_logic;
--end record;
--type sequential32_out_type is record
-- ready : std_logic;
-- nready : std_logic;
-- icc : std_logic_vector(3 downto 0);
-- result : std_logic_vector(31 downto 0);
--end record;
entity RESOURCE_CUSTOM_A is
port (
rst : in std_ulogic;
clk : in std_ulogic;
holdn : in std_ulogic;
inp : in sequential32_in_type;
outp : out sequential32_out_type
);
end;
architecture rtl of RESOURCE_CUSTOM_A is
signal A : std_logic_vector(31 downto 0);
signal B : std_logic_vector(31 downto 0);
signal state : std_logic_vector(2 downto 0);
signal gated_clock : std_logic;
signal nIdle : std_logic;
begin
-------------------------------------------------------------------------
-- synthesis translate_off
process
begin
wait for 1 ns;
printmsg("(IMS) RESOURCE_CUSTOM_1 : ALLOCATION OK !");
wait;
end process;
-- synthesis translate_on
-------------------------------------------------------------------------
-------------------------------------------------------------------------
process(clk)
variable gated : std_logic;
begin
if clk'event and clk = '1' then
gated := '0';
--IF ( (inp.dInstr(31 downto 30) & inp.dInstr(24 downto 19)) = "10101111" ) THEN
-- REPORT "(PGDC) PGDC IS IN THE REGISTER SELECTION PIPELINE STAGE";
--gated := '1';
--END IF;
IF ( (inp.aInstr(31 downto 30) & inp.aInstr(24 downto 19)) = "10101111" ) THEN
-- REPORT "(PGDC) PGDC IS IN THE REGISTER SELECTION PIPELINE STAGE";
gated := '1';
END IF;
IF ( (inp.eInstr(31 downto 30) & inp.eInstr(24 downto 19)) = "10101111" ) THEN
-- REPORT "(PGDC) PGDC IS IN THE EXECUTION PIPELINE STAGE";
gated := '1';
END IF;
--IF ( (inp.mInstr(31 downto 30) & inp.mInstr(24 downto 19)) = "10101111" ) THEN
-- REPORT "(PGDC) PGDC IS IN THE MEMORY PIPELINE STAGE";
-- gated := '1';
--END IF;
--IF ( (inp.xInstr(31 downto 30) & inp.xInstr(24 downto 19)) = "10101111" ) THEN
-- REPORT "(PGDC) PGDC IS IN THE EXCEPTION PIPELINE STAGE";
--gated := '1';
--END IF;
-- synthesis translate_off
IF ( (nIdle = '0') AND (gated = '1') ) THEN
--printmsg( "(PGDC) ENABLING THE PGDC CLOCK GENERATION" );
ELSIF( (nIdle = '1') AND (gated = '0') ) THEN
--printmsg( "(PGDC) DISABLING THE PGDC CLOCK GENERATION" );
END IF;
-- synthesis translate_on
nIdle <= gated;
end if;
end process;
-------------------------------------------------------------------------
-------------------------------------------------------------------------
gated_clock <= clk AND nIdle;
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--process(inp.op1, inp.op2)
--begin
--At <= inp.op1(31 downto 0);
--Bt <= inp.op2(31 downto 0);
--if( nIdle = '1' )then
-- REPORT "(PGDC) (At, Bt) MEMORISATION PROCESS";
-- if ( (At(30) /= '-') AND (At(30) /= 'X') AND (At(30) /= 'U')) then
-- printmsg("(PGDC) =====> (At) MEMORISATION PROCESS (" & to_int_str(inp.op1(31 downto 0),6) & ")");
-- end if;
-- if ( (Bt(30) /= '-') AND (Bt(30) /= 'X') AND (Bt(30) /= 'U')) then
-- printmsg("(PGDC) =====>(Bt) MEMORISATION PROCESS (" & to_int_str(inp.op2(31 downto 0),6) & ")");
-- end if;
-- --printmsg("(PGDC) (At, Bt) MEMORISATION PROCESS (" & to_int_str(inp.op1(31 downto 0),6) & " & " & to_int_str(inp.op1(31 downto 0),6) & ")");
--end if;
--end process;
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--process(inp.start)
--begin
-- if (inp.start = '1') then
-- REPORT "(PGDC) (START) THE START SIGNAL BECOME UP";
-- else
-- REPORT "(PGDC) (START) THE START SIGNAL BECOME DOWN";
-- end if;
--end process;
-------------------------------------------------------------------------
-------------------------------------------------------------------------
-- DESCRIPTION ORIGINALE QUI FONCTIONNE TRES TRES BIEN
-- reg : process(clk)
-- variable vready, vnready : std_logic;
-- begin
-- vready := '0';
-- vnready := '0';
-- if clk'event and clk = '1' then
-- if (rst = '0') then
-- state <= "000";
-- elsif (inp.flush = '1') then
-- state <= "000";
-- elsif (holdn = '0') then
-- state <= state;
-- else
-- case state is
--ON ATTEND LA COMMANDE DE START
-- when "000" =>
-- if (inp.start = '1') then
-- A <= inp.op1(31 downto 0);
-- B <= inp.op2(31 downto 0);
-- state <= "001";
-- else
-- state <= "000";
-- A <= A;
-- B <= B;
-- end if;
--ON COMMENCE LE CALCUL
-- when "001" =>
-- A <= inp.op1(31 downto 0);
-- B <= inp.op2(31 downto 0);
-- state <= "011";
--ON COMMENCE LE CALCUL
-- when "010" =>
-- if( SIGNED(A) > SIGNED(B) ) then
-- A <= STD_LOGIC_VECTOR(SIGNED(A) - SIGNED(B));
-- else
-- B <= STD_LOGIC_VECTOR(SIGNED(B) - SIGNED(A));
-- end if;
-- state <= "011";
--ON TEST LES DONNEES (FIN D'ITERATION)
-- when "011" =>
-- if(SIGNED(A) = SIGNED(B)) then
-- state <= "100";
-- vnready := '1';
-- elsif( SIGNED(A) = TO_SIGNED(0,32) ) then
-- state <= "100";
-- vnready := '1';
-- elsif( SIGNED(B) = TO_SIGNED(0,32) ) then
-- A <= STD_LOGIC_VECTOR(TO_SIGNED(0,32));
-- state <= "100";
-- vnready := '1';
-- else
-- state <= "010";
-- end if;
-- when "100" =>
--ON INDIQUE QUE LE RESULTAT EST PRET
-- vready := '1';
--ON RETOURNE DANS L'ETAT INTIAL
-- state <= "000";
-- when others =>
-- state <= "000";
-- end case;
-- outp.ready <= vready;
-- outp.nready <= vnready;
-- end if; -- if reset
-- end if; -- if clock
-- end process;
-------------------------------------------------------------------------
-------------------------------------------------------------------------
reg : process(clk, rst)
variable vready, vnready : std_logic;
begin
vready := '0';
vnready := '0';
if (rst = '0') then
state <= "000";
outp.ready <= vready;
outp.nready <= vnready;
elsif clk'event and clk = '1' then
if (inp.flush = '1') then
state <= "000";
elsif (holdn = '0') then
state <= state;
else
case state is
-- ON ATTEND LA COMMANDE DE START
when "000" =>
if (inp.start = '1') then
A <= inp.op1(31 downto 0);
B <= inp.op2(31 downto 0);
state <= "001";
--printmsg("(PGDC) THE START SIGNAL IS UP");
--if ( (inp.op1(30) /= '-') AND (inp.op1(30) /= 'X') AND (inp.op1(30) /= 'U')) then
-- printmsg("(PGDC) ===> (OP1) MEMORISATION PROCESS (" & to_int_str(inp.op1(31 downto 0),6) & ")");
--end if;
--if ( (inp.op2(30) /= '-') AND (inp.op2(30) /= 'X') AND (inp.op2(30) /= 'U')) then
-- printmsg("(PGDC) ===> (OP2) MEMORISATION PROCESS (" & to_int_str(inp.op2(31 downto 0),6) & ")");
--end if;
else
state <= "000";
A <= A;
B <= B;
end if;
-- ON COMMENCE LE CALCUL
when "001" =>
--printmsg("(PGDC) INPUT DATA READING");
--if ( (inp.op1(30) /= '-') AND (inp.op1(30) /= 'X') AND (inp.op1(30) /= 'U')) then
-- printmsg("(PGDC) ===> (OP1) MEMORISATION PROCESS (" & to_int_str(inp.op1(31 downto 0),6) & ")");
--end if;
--if ( (inp.op2(30) /= '-') AND (inp.op2(30) /= 'X') AND (inp.op2(30) /= 'U')) then
-- printmsg("(PGDC) ===> (OP2) MEMORISATION PROCESS (" & to_int_str(inp.op2(31 downto 0),6) & ")");
--end if;
A <= inp.op1(31 downto 0);
B <= inp.op2(31 downto 0);
state <= "011";
-- ON COMMENCE LE CALCUL
when "010" =>
if( SIGNED(A) > SIGNED(B) ) then
A <= STD_LOGIC_VECTOR(SIGNED(A) - SIGNED(B));
else
B <= STD_LOGIC_VECTOR(SIGNED(B) - SIGNED(A));
end if;
state <= "011";
-- ON TEST LES DONNEES (FIN D'ITERATION)
when "011" =>
if(SIGNED(A) = SIGNED(B)) then
state <= "100";
vnready := '1';
elsif( SIGNED(A) = TO_SIGNED(0,32) ) then
state <= "100";
vnready := '1';
elsif( SIGNED(B) = TO_SIGNED(0,32) ) then
A <= STD_LOGIC_VECTOR(TO_SIGNED(0,32));
state <= "100";
vnready := '1';
else
state <= "010";
end if;
when "100" =>
--printmsg("(PGDC) ===> COMPUTATION IS NOW FINISHED (" & to_int_str(A,6) & ")");
-- ON INDIQUE QUE LE RESULTAT EST PRET
vready := '1';
-- ON RETOURNE DANS L'ETAT INTIAL
state <= "000";
when others =>
state <= "000";
end case;
outp.ready <= vready;
outp.nready <= vnready;
end if; -- if reset
end if; -- if clock
end process;
-------------------------------------------------------------------------
outp.result <= A;
outp.icc <= "0000";
end;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/LDPC/Q16_8_SUB.vhd
|
1
|
1667
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------
-- synthesis translate_off
library ims;
use ims.coprocessor.all;
-- synthesis translate_on
-------------------------------------------------------------------------
entity Q16_8_SUB is
port (
INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0);
INPUT_2 : in STD_LOGIC_VECTOR(31 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0)
);
end;
architecture rtl of Q16_8_SUB is
begin
-------------------------------------------------------------------------
-- synthesis translate_off
PROCESS
BEGIN
WAIT FOR 1 ns;
printmsg("(IMS) Q16_8_SUB : ALLOCATION OK !");
WAIT;
END PROCESS;
-- synthesis translate_on
-------------------------------------------------------------------------
-------------------------------------------------------------------------
PROCESS (INPUT_1, INPUT_2)
VARIABLE OP1 : SIGNED(16 downto 0);
VARIABLE OP2 : SIGNED(16 downto 0);
VARIABLE OP3 : SIGNED(16 downto 0);
begin
OP1 := SIGNED( INPUT_1(15) & INPUT_1(15 downto 0) );
OP2 := SIGNED( INPUT_2(15) & INPUT_2(15 downto 0) );
OP3 := OP1 - OP2;
if( OP3 > TO_SIGNED(32767, 17) ) THEN
OUTPUT_1 <= "0000000000000000" & STD_LOGIC_VECTOR(TO_SIGNED( 32767, 16));
elsif( OP3 < TO_SIGNED(-32768, 17) ) THEN
OUTPUT_1 <= "0000000000000000" & STD_LOGIC_VECTOR(TO_SIGNED(-32768, 16));
else
OUTPUT_1 <= "0000000000000000" & STD_LOGIC_VECTOR( OP3(15 downto 0) );
end if;
END PROCESS;
-------------------------------------------------------------------------
end;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/simulation/txt_util.vhd
|
1
|
12748
|
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package txt_util is
-- prints a message to the screen
procedure print(text: string);
-- prints the message when active
-- useful for debug switches
procedure print(active: boolean; text: string);
-- converts std_logic into a character
function chr(sl: std_logic) return character;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string;
-- converts std_logic_vector into a string (binary base)
function str(slv: std_logic_vector) return string;
-- converts boolean into a string
function str(b: boolean) return string;
-- converts an integer into a single character
-- (can also be used for hex conversion and other bases)
function chr(int: integer) return character;
-- converts integer into string using specified base
function str(int: integer; base: integer) return string;
-- converts integer to string, using base 10
function str(int: integer) return string;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character;
-- convert a character to lower case
function to_lower(c: character) return character;
-- convert a string to upper case
function to_upper(s: string) return string;
-- convert a string to lower case
function to_lower(s: string) return string;
-- functions to convert strings into other formats
--------------------------------------------------
-- converts a character into std_logic
function to_std_logic(c: character) return std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector;
-- file I/O
-----------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string);
-- print string to a file and start new line
procedure print(file out_file: TEXT;
new_string: in string);
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character);
end txt_util;
package body txt_util is
-- prints text to the screen
procedure print(text: string) is
variable msg_line: line;
begin
write(msg_line, text);
writeline(output, msg_line);
end print;
-- prints text to the screen when active
procedure print(active: boolean; text: string) is
begin
if active then
print(text);
end if;
end print;
-- converts std_logic into a character
function chr(sl: std_logic) return character is
variable c: character;
begin
case sl is
when 'U' => c:= 'U';
when 'X' => c:= 'X';
when '0' => c:= '0';
when '1' => c:= '1';
when 'Z' => c:= 'Z';
when 'W' => c:= 'W';
when 'L' => c:= 'L';
when 'H' => c:= 'H';
when '-' => c:= '-';
end case;
return c;
end chr;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string is
variable s: string(1 to 1);
begin
s(1) := chr(sl);
return s;
end str;
-- converts std_logic_vector into a string (binary base)
-- (this also takes care of the fact that the range of
-- a string is natural while a std_logic_vector may
-- have an integer range)
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := chr(slv(i));
r := r + 1;
end loop;
return result;
end str;
function str(b: boolean) return string is
begin
if b then
return "true";
else
return "false";
end if;
end str;
-- converts an integer into a character
-- for 0 to 9 the obvious mapping is used, higher
-- values are mapped to the characters A-Z
-- (this is usefull for systems with base > 10)
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function chr(int: integer) return character is
variable c: character;
begin
case int is
when 0 => c := '0';
when 1 => c := '1';
when 2 => c := '2';
when 3 => c := '3';
when 4 => c := '4';
when 5 => c := '5';
when 6 => c := '6';
when 7 => c := '7';
when 8 => c := '8';
when 9 => c := '9';
when 10 => c := 'A';
when 11 => c := 'B';
when 12 => c := 'C';
when 13 => c := 'D';
when 14 => c := 'E';
when 15 => c := 'F';
when 16 => c := 'G';
when 17 => c := 'H';
when 18 => c := 'I';
when 19 => c := 'J';
when 20 => c := 'K';
when 21 => c := 'L';
when 22 => c := 'M';
when 23 => c := 'N';
when 24 => c := 'O';
when 25 => c := 'P';
when 26 => c := 'Q';
when 27 => c := 'R';
when 28 => c := 'S';
when 29 => c := 'T';
when 30 => c := 'U';
when 31 => c := 'V';
when 32 => c := 'W';
when 33 => c := 'X';
when 34 => c := 'Y';
when 35 => c := 'Z';
when others => c := '?';
end case;
return c;
end chr;
-- convert integer to string using specified base
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function str(int: integer; base: integer) return string is
variable temp: string(1 to 10);
variable num: integer;
variable abs_int: integer;
variable len: integer := 1;
variable power: integer := 1;
begin
-- bug fix for negative numbers
abs_int := abs(int);
num := abs_int;
while num >= base loop -- Determine how many
len := len + 1; -- characters required
num := num / base; -- to represent the
end loop ; -- number.
for i in len downto 1 loop -- Convert the number to
temp(i) := chr(abs_int/power mod base); -- a string starting
power := power * base; -- with the right hand
end loop ; -- side.
-- return result and add sign if required
if int < 0 then
return '-'& temp(1 to len);
else
return temp(1 to len);
end if;
end str;
-- convert integer to string, using base 10
function str(int: integer) return string is
begin
return str(int, 10) ;
end str;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character is
variable u: character;
begin
case c is
when 'a' => u := 'A';
when 'b' => u := 'B';
when 'c' => u := 'C';
when 'd' => u := 'D';
when 'e' => u := 'E';
when 'f' => u := 'F';
when 'g' => u := 'G';
when 'h' => u := 'H';
when 'i' => u := 'I';
when 'j' => u := 'J';
when 'k' => u := 'K';
when 'l' => u := 'L';
when 'm' => u := 'M';
when 'n' => u := 'N';
when 'o' => u := 'O';
when 'p' => u := 'P';
when 'q' => u := 'Q';
when 'r' => u := 'R';
when 's' => u := 'S';
when 't' => u := 'T';
when 'u' => u := 'U';
when 'v' => u := 'V';
when 'w' => u := 'W';
when 'x' => u := 'X';
when 'y' => u := 'Y';
when 'z' => u := 'Z';
when others => u := c;
end case;
return u;
end to_upper;
-- convert a character to lower case
function to_lower(c: character) return character is
variable l: character;
begin
case c is
when 'A' => l := 'a';
when 'B' => l := 'b';
when 'C' => l := 'c';
when 'D' => l := 'd';
when 'E' => l := 'e';
when 'F' => l := 'f';
when 'G' => l := 'g';
when 'H' => l := 'h';
when 'I' => l := 'i';
when 'J' => l := 'j';
when 'K' => l := 'k';
when 'L' => l := 'l';
when 'M' => l := 'm';
when 'N' => l := 'n';
when 'O' => l := 'o';
when 'P' => l := 'p';
when 'Q' => l := 'q';
when 'R' => l := 'r';
when 'S' => l := 's';
when 'T' => l := 't';
when 'U' => l := 'u';
when 'V' => l := 'v';
when 'W' => l := 'w';
when 'X' => l := 'x';
when 'Y' => l := 'y';
when 'Z' => l := 'z';
when others => l := c;
end case;
return l;
end to_lower;
-- convert a string to upper case
function to_upper(s: string) return string is
variable uppercase: string (s'range);
begin
for i in s'range loop
uppercase(i):= to_upper(s(i));
end loop;
return uppercase;
end to_upper;
-- convert a string to lower case
function to_lower(s: string) return string is
variable lowercase: string (s'range);
begin
for i in s'range loop
lowercase(i):= to_lower(s(i));
end loop;
return lowercase;
end to_lower;
-- functions to convert strings into other types
-- converts a character into a std_logic
function to_std_logic(c: character) return std_logic is
variable sl: std_logic;
begin
case c is
when 'U' =>
sl := 'U';
when 'X' =>
sl := 'X';
when '0' =>
sl := '0';
when '1' =>
sl := '1';
when 'Z' =>
sl := 'Z';
when 'W' =>
sl := 'W';
when 'L' =>
sl := 'L';
when 'H' =>
sl := 'H';
when '-' =>
sl := '-';
when others =>
sl := 'X';
end case;
return sl;
end to_std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector is
variable slv: std_logic_vector(s'high-s'low downto 0);
variable k: integer;
begin
k := s'high-s'low;
for i in s'range loop
slv(k) := to_std_logic(s(i));
k := k - 1;
end loop;
return slv;
end to_std_logic_vector;
----------------
-- file I/O --
----------------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string) is
variable l: line;
variable c: character;
variable is_string: boolean;
begin
readline(in_file, l);
-- clear the contents of the result string
for i in res_string'range loop
res_string(i) := ' ';
end loop;
-- read all characters of the line, up to the length
-- of the results string
for i in res_string'range loop
read(l, c, is_string);
res_string(i) := c;
if not is_string then -- found end of line
exit;
end if;
end loop;
end str_read;
-- print string to a file
procedure print(file out_file: TEXT;
new_string: in string) is
variable l: line;
begin
write(l, new_string);
writeline(out_file, l);
end print;
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character) is
variable l: line;
begin
write(l, char);
writeline(out_file, l);
end print;
-- appends contents of a string to a file until line feed occurs
-- (LF is considered to be the end of the string)
--procedure str_write(file out_file: TEXT;
-- new_string: in string) is
-- begin
--
-- for i in new_string'range loop
-- print(out_file, new_string(i));
-- if new_string(i) = LF then -- end of string
-- exit;
-- end if;
-- end loop;
--
--end str_write;
end txt_util;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/mem_ctrl.vhd
|
1
|
8120
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector( 3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
-- BEGIN ENABLE_(LHU)
when MEM_READ16 =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
--if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
--else
-- data_read_var(31 downto 16) := ONES(31 downto 16);
--end if;
-- END ENABLE_(LHU)
-- BEGIN ENABLE_(LH)
when MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
--if mem_source = MEM_READ16 or data_read_var(15) = '0' then
if data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
-- END ENABLE_(LH)
-- BEGIN ENABLE_(LBU)
when MEM_READ8 =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
--if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
--else
-- data_read_var(31 downto 8) := ONES(31 downto 8);
--end if;
-- END ENABLE_(LBU)
-- BEGIN ENABLE_(LB)
when MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
--if mem_source = MEM_READ8 or data_read_var(7) = '0' then
if data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
-- END ENABLE_(LB)
-- BEGIN ENABLE_(SW,SWL)
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
-- END ENABLE_(SW,SWL)
-- BEGIN ENABLE_(SH)
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
-- END ENABLE_(SH)
-- BEGIN ENABLE_(SB)
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
-- END ENABLE_(SB)
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/filtre/function_6.vhd
|
5
|
1045
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_6 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_6 is
begin
-------------------------------------------------------------------------
OUTPUT_1 <= std_logic_vector(signed(INPUT_1)+signed(INPUT_2));
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/tuto_plasma/function_2.vhd
|
1
|
1137
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_2 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_2 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
begin
if(INPUT_1 < INPUT_2) then
OUTPUT_1 <= INPUT_1;
else
OUTPUT_1 <= INPUT_2;
end if;
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter6/exercise6_8_dir/exercise6_8.vhd
|
1
|
1472
|
--!
--! @file: exercise6_8.vhd
--! @brief: Signal Generator
--! @author: Antonio Gutierrez
--! @date: 2013-10-27
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity signal_generator is
--generic declarations
port (
clk: in std_logic; -- clk
x, y: out std_logic); --output
end entity signal_generator;
--------------------------------------
architecture circuit of signal_generator is
signal even: std_logic := '0';
begin
proc: process (clk)
begin
if (clk'event and clk = '1') then
if (even = '0') then
x <= clk;
y <= '0';
else
x <= '0';
y <= clk;
end if;
if (even = '0') then
even <= '1';
else
even <= '0';
end if;
end if;
end process proc;
end architecture circuit;
--------------------------------------
architecture arch of signal_generator is
--signals and declarations
begin
proc: process (clk)
variable a, b: std_logic;
begin
if (clk'event and clk = '1') then
a <= not a;
else if (clk'event and clk = '0') then
b <= not a;
end if;
x <= a and b;
y <= a nor b;
end process proc;
end architecture arch;
--------------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/coproc_4.vhd
|
2
|
1408
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity coproc_4 is
port(
clock : in std_logic;
reset : in std_logic;
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_1_valid : in std_logic;
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of coproc_4 is
SIGNAL mem : UNSIGNED(31 downto 0);
begin
-------------------------------------------------------------------------
process (clock, reset)
begin
IF clock'event AND clock = '1' THEN
IF reset = '1' THEN
mem <= TO_UNSIGNED( 0, 32);
ELSE
IF INPUT_1_valid = '1' THEN
mem <= UNSIGNED(INPUT_1) + TO_UNSIGNED( 4, 32);
ELSE
mem <= mem;
END IF;
END IF;
END IF;
end process;
-------------------------------------------------------------------------
OUTPUT_1 <= STD_LOGIC_VECTOR( mem );
end; --architecture logic
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter3/circuit_dontcares_dir/circuit_dontcares.vhd
|
1
|
524
|
------------------------------
library ieee;
use ieee.std_logic_1164.all;
------------------------------
entity circuit is
--generic declarations
port (
x: in std_logic_vector(1 downto 0) ;
y: out std_logic_vector(1 downto 0) );
end entity;
------------------------------
architecture circuit of circuit is
--signals and declarations
begin
y <= "00" when x = "00" else
"10" when x = "01" else
"01" when x = "10" else
"--";
end architecture;
------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/mandelbrot/function_3.vhd
|
1
|
1401
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
use work.constants.all;
entity function_3 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_3 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : SIGNED(63 downto 0);
variable rTemp2 : SIGNED(63 downto 0);
variable rTemp3 : SIGNED(63 downto 0);
begin
rTemp1 := (signed(INPUT_1) * signed(INPUT_1));
rTemp2 := (signed(INPUT_2) * signed(INPUT_2));
rTemp3 := rTemp1+rTemp2;
OUTPUT_1 <= std_logic_vector(rTemp3(32+(FIXED-1) downto FIXED)); --x1²+y1²
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
src_vhd/Colorgen.vhd
|
2
|
74636
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library WORK;
use WORK.CONSTANTS.ALL;
use WORK.FUNCTIONS.ALL;
entity Colorgen is
Port ( iters : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0);
itermax : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0);
color : out STD_LOGIC_VECTOR (bit_per_pixel-1 downto 0));
end Colorgen;
architecture Behavioral of Colorgen is -- TODO : Améliorer colorgen (comparaison OpenGL)
type rom_type is array (0 to ITER_MAX-1) of std_logic_vector (bit_per_pixel-1 downto 0);
constant color_scheme : rom_type := (
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000001",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000010",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000011",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000100",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000101",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000110",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000000111",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001000",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001001",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001010",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001011",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001100",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001101",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001110",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000001111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000011111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000101111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000000111111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001001111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001011111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001101111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000001111111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010001111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010011111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010101111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000010111111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011001111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011011111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011101111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111111",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111110",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111101",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111100",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111011",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111010",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111001",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011111000",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110111",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110110",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110101",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110100",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110011",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110010",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110001",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000011110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"000111110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001011110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"001111110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010011110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"010111110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011011110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"011111110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100011110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"100111110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101011110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"101111110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110011110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"110111110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111011110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"111111110000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000",
"000000000000"
);
begin
process(iters, itermax)
begin
--color <= not iters;
if (iters = itermax) then
color<= (others=>'0');
else
color <= not color_scheme(to_integer(unsigned(iters)));
end if;
end process;end Behavioral;
--Cut and paste following lines into Shared.vhd.
-- constant ITER_MAX : integer := 4095;
-- constant ITER_RANGE : integer := 12;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/tuto_plasma/function_10.vhd
|
5
|
1336
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_10 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_10 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : UNSIGNED(31 downto 0);
variable rTemp2 : UNSIGNED(31 downto 0);
variable rTemp3 : UNSIGNED(31 downto 0);
begin
rTemp1 := UNSIGNED( INPUT_1 );
rTemp2 := UNSIGNED( INPUT_2 );
rTemp3 := rTemp1 + rTemp2 + TO_UNSIGNED(10, 32);
OUTPUT_1 <= STD_LOGIC_VECTOR( rTemp3 );
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/ray_tracer_v3/function_10.vhd
|
5
|
1336
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_10 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_10 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : UNSIGNED(31 downto 0);
variable rTemp2 : UNSIGNED(31 downto 0);
variable rTemp3 : UNSIGNED(31 downto 0);
begin
rTemp1 := UNSIGNED( INPUT_1 );
rTemp2 := UNSIGNED( INPUT_2 );
rTemp3 := rTemp1 + rTemp2 + TO_UNSIGNED(10, 32);
OUTPUT_1 <= STD_LOGIC_VECTOR( rTemp3 );
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/tuto_plasma/function_7.vhd
|
5
|
1334
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_7 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_7 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : UNSIGNED(31 downto 0);
variable rTemp2 : UNSIGNED(31 downto 0);
variable rTemp3 : UNSIGNED(31 downto 0);
begin
rTemp1 := UNSIGNED( INPUT_1 );
rTemp2 := UNSIGNED( INPUT_2 );
rTemp3 := rTemp1 + rTemp2 + TO_UNSIGNED( 7, 32);
OUTPUT_1 <= STD_LOGIC_VECTOR( rTemp3 );
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/plasma.vhd
|
1
|
24383
|
---------------------------------------------------------------------
-- TITLE: Plasma (CPU core with memory)
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 6/4/02
-- FILENAME: plasma.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- This entity combines the CPU core with memory and a UART.
--
-- Memory Map:
-- 0x00000000 - 0x0000ffff Internal RAM (8KB) - 0000 0000 0000
-- 0x10000000 - 0x100fffff External RAM (1MB) - 0001 0000 0000
-- Access all Misc registers with 32-bit accesses
-- 0x20000000 Uart Write (will pause CPU if busy)
-- 0x20000000 Uart Read
-- 0x20000010 IRQ Mask
-- 0x20000020 IRQ Status
-- 0x20000030 GPIO0 Out Set bits
-- 0x20000040 GPIO0 Out Clear bits
-- 0x20000050 GPIOA In
-- 0x20000060 Counter
-- 0x20000070 Ethernet transmit count
-- IRQ bits:
-- 7 GPIO31
-- 6 ^GPIO31
-- 5 EthernetSendDone
-- 4 EthernetReceive
-- 3 Counter(18)
-- 2 ^Counter(18)
-- 1 ^UartWriteBusy
-- 0 UartDataAvailable
-- 0x30000000 FIFO IN EMPTY
-- 0x30000010 FIFO OUT EMPTY
-- 0x30000020 FIFO IN VALID
-- 0x30000030 FIFO OUT VALID
-- 0x30000040 FIFO IN FULL
-- 0x30000050 FIFO IN FULL
-- 0x30000060 FIFO IN COUNTER
-- 0x30000070 FIFO OUT COUNTER
-- 0x30000080 FIFO IN READ DATA
-- 0x30000090 FIFO OUT WRITE DATA
-- 0x40000000 COPROCESSOR 1 (reset)
-- 0x40000010 COPROCESSOR 1 (input/output)
-- 0x40000030 COPROCESSOR 2 (reset)
-- 0x40000040 COPROCESSOR 2 (input/output)
-- 0x40000060 COPROCESSOR 3 (reset)
-- 0x40000070 COPROCESSOR 3 (input/output)
-- 0x40000090 COPROCESSOR 4 (reset)
-- 0x400000A0 COPROCESSOR 4 (input/output)
-- 0x80000000 DMA ENGINE (NOT WORKING YET)
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity plasma is
generic(memory_type : string := "XILINX_16X"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED";
ethernet : std_logic := '0';
eUart : std_logic := '0';
use_cache : std_logic := '0';
plasma_code : string
);
port(clk : in std_logic;
--clk_VGA : in std_logic;
reset : in std_logic;
uart_write : out std_logic;
uart_read : in std_logic;
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
--data_write : out std_logic_vector(31 downto 0);
--data_read : in std_logic_vector(31 downto 0);
---mem_pause_in : in std_logic;
no_ddr_start : out std_logic;
no_ddr_stop : out std_logic;
-- BLG START
fifo_1_out_data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
fifo_1_read_en : OUT STD_LOGIC;
fifo_1_empty : IN STD_LOGIC;
fifo_2_in_data : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
fifo_1_write_en : OUT STD_LOGIC;
fifo_2_full : IN STD_LOGIC;
fifo_1_full : IN STD_LOGIC;
fifo_1_valid : IN STD_LOGIC;
fifo_2_empty : IN STD_LOGIC;
fifo_2_valid : IN STD_LOGIC;
fifo_1_compteur : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
fifo_2_compteur : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
-- BLG END
--VGA_hs : out std_logic; -- horisontal vga syncr.
-- VGA_vs : out std_logic; -- vertical vga syncr.
data_enable :out std_logic;
ADDR : out std_logic_vector(16 downto 0);
data_out : out std_logic_vector(11 downto 0);
--VGA_green : out std_logic_vector(3 downto 0); -- green output
--VGA_blue : out std_logic_vector(3 downto 0); -- blue output
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0));
end; --entity plasma
architecture logic of plasma is
signal address_next : std_logic_vector(31 downto 2);
signal byte_we_next : std_logic_vector(3 downto 0);
signal cpu_address : std_logic_vector(31 downto 0);
signal cpu_byte_we : std_logic_vector(3 downto 0);
signal cpu_data_w : std_logic_vector(31 downto 0);
signal cpu_data_r : std_logic_vector(31 downto 0);
signal cpu_pause : std_logic;
signal ppcie_rdata : std_logic_vector(31 downto 0);
signal data_read_uart : std_logic_vector(7 downto 0);
signal write_enable : std_logic;
signal eth_pause_in : std_logic;
signal eth_pause : std_logic;
signal mem_busy : std_logic;
signal enable_misc : std_logic;
signal enable_uart : std_logic;
signal enable_uart_read : std_logic;
signal enable_uart_write : std_logic;
signal enable_eth : std_logic;
signal enable_local_mem : std_logic;
signal gpio0_reg : std_logic_vector(31 downto 0);
signal uart_write_busy : std_logic;
signal uart_data_avail : std_logic;
signal irq_mask_reg : std_logic_vector(7 downto 0);
signal irq_status : std_logic_vector(7 downto 0);
signal irq : std_logic;
signal irq_eth_rec : std_logic;
signal irq_eth_send : std_logic;
signal counter_reg : std_logic_vector(31 downto 0);
signal ram_boot_enable : std_logic;
signal ram_enable : std_logic;
signal ram_byte_we : std_logic_vector( 3 downto 0);
signal ram_address : std_logic_vector(31 downto 2);
signal ram_data_w : std_logic_vector(31 downto 0);
signal ram_data_r : std_logic_vector(31 downto 0);
signal ram_data_lm : std_logic_vector(31 downto 0);
signal dma_address : std_logic_vector(31 downto 0);
signal dma_byte_we : std_logic_vector( 3 downto 0);
signal dma_data_write : std_logic_vector(31 downto 0);
signal dma_data_read : std_logic_vector(31 downto 0);
signal dma_start : std_logic;
signal cop_1_reset : std_logic;
signal cop_1_valid : std_logic;
signal cop_1_output : std_logic_vector(31 downto 0);
signal cop_2_reset : std_logic;
signal cop_2_valid : std_logic;
signal cop_2_output : std_logic_vector(31 downto 0);
signal cop_3_reset : std_logic;
signal cop_3_valid : std_logic;
signal cop_3_output : std_logic_vector(31 downto 0);
signal cop_4_reset : std_logic;
signal cop_4_valid : std_logic;
signal cop_4_output : std_logic_vector(31 downto 0);
signal cache_access : std_logic;
signal cache_checking : std_logic;
signal cache_miss : std_logic;
signal cache_hit : std_logic;
COMPONENT memory_64k
Port ( clk : in STD_LOGIC;
addr_in : in STD_LOGIC_VECTOR (31 downto 2);
data_in : in STD_LOGIC_VECTOR (31 downto 0);
enable : in STD_LOGIC;
we_select : in STD_LOGIC_VECTOR (3 downto 0);
data_out : out STD_LOGIC_VECTOR (31 downto 0));
end COMPONENT;
begin --architecture
write_enable <= '1' when cpu_byte_we /= "0000" else '0';
mem_busy <= eth_pause;-- or mem_pause_in;
cache_hit <= cache_checking and not cache_miss;
cpu_pause <= (uart_write_busy and enable_uart and write_enable) --UART busy
-- or cache_miss --Cache wait
-- or (cpu_address(31) and not cache_hit and mem_busy); --DDR or flash
or (eth_pause); -- DMA ENGINE FREEZE ALL (BLG)
irq_status <= gpioA_in(31) & not gpioA_in(31) &
irq_eth_send & irq_eth_rec &
counter_reg(18) & not counter_reg(18) &
not uart_write_busy & uart_data_avail;
irq <= '1' when (irq_status and irq_mask_reg) /= ZERO(7 downto 0) else '0';
gpio0_out(31 downto 29) <= gpio0_reg(31 downto 29);
gpio0_out(23 downto 0) <= gpio0_reg(23 downto 0);
enable_misc <= '1' when cpu_address(30 downto 28) = "010" else '0';
enable_uart <= '1' when enable_misc = '1' and cpu_address(7 downto 4) = "0000" else '0';
enable_uart_read <= enable_uart and not write_enable;
enable_uart_write <= enable_uart and write_enable;
enable_eth <= '1' when enable_misc = '1' and cpu_address(7 downto 4) = "0111" else '0';
cpu_address(1 downto 0) <= "00";
--
-- ON GENERE LES SIGNAUX DE COMMANDE EN DIRECTION DU PORT PCIe
--
--fifo_1_read_en <= '1' when ((cpu_address(31 downto 28) = "0011") AND (cpu_address(7 downto 4) = "1000") ) else '0';
--fifo_1_write_en <= '1' when ((cpu_address(31 downto 28) = "0011") AND (cpu_address(7 downto 4) = "1001") AND (write_enable = '1')) else '0';
fifo_1_read_en <= '1' when (cpu_address = x"30000080") AND (cpu_pause = '0') else '0';
fifo_1_write_en <= '1' when (cpu_address = x"30000090") AND (cpu_pause = '0') AND(write_enable = '1') else '0';
cop_1_reset <= '1' when (cpu_address = x"40000000") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
cop_1_valid <= '1' when (cpu_address = x"40000004") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
cop_2_reset <= '1' when (cpu_address = x"40000030") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
cop_2_valid <= '1' when (cpu_address = x"40000034") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
cop_3_reset <= '1' when (cpu_address = x"40000060") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
cop_3_valid <= '1' when (cpu_address = x"40000064") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
cop_4_reset <= '1' when (cpu_address = x"40000090") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
cop_4_valid <= '1' when (cpu_address = x"40000094") AND (cpu_pause = '0') AND (write_enable = '1') else '0';
-- assert cop_4_valid /= '1' severity failure;
--
-- ON LIT/ECRIT DANS LA MEMOIRE LOCALE UNIQUEMENT LORSQUE LE BUS
-- D'ADRESSE (MSB) = "001". SINON ON ADRESSE UN AUTRE PERIPHERIQUE
--
--dram_procr: process(clk)
--begin
-- if rising_edge(clk) then
-- ppcie_rdata <= pcie_rdata;
-- end if;
--end process;
--
-- INTERNAL RAM MEMORY (64ko)
--
-- enable_local_mem <= '1' when (cpu_address(31 downto 28) = "0001") else '0';
-- enable_local_mem <= '1' when (ram_address(31 downto 28) = "0001") else '0';
local_memory: memory_64k
port map (
clk => clk,
addr_in => ram_address, --cpu_data_r,
data_in => ram_data_w,
enable => enable_local_mem,
we_select => ram_byte_we,
data_out => ram_data_lm
);
--
--
--
u1_cpu: mlite_cpu
generic map (memory_type => memory_type)
PORT MAP (
clk => clk,
reset_in => reset,
intr_in => irq,
address_next => address_next, --before rising_edge(clk)
byte_we_next => byte_we_next,
address => cpu_address(31 downto 2), --after rising_edge(clk)
byte_we => cpu_byte_we,
data_w => cpu_data_w,
data_r => cpu_data_r,
mem_pause => cpu_pause);
--
--
--
opt_cache: if use_cache = '0' generate
cache_access <= '0';
cache_checking <= '0';
cache_miss <= '0';
end generate;
--
--
--
opt_cache2: if use_cache = '1' generate
--Control 4KB unified cache that uses the upper 4KB of the 8KB
--internal RAM. Only lowest 2MB of DDR is cached.
u_cache: cache
generic map (memory_type => memory_type)
PORT MAP (
clk => clk,
reset => reset,
address_next => address_next,
byte_we_next => byte_we_next,
cpu_address => cpu_address(31 downto 2),
mem_busy => mem_busy,
cache_access => cache_access, --access 4KB cache
cache_checking => cache_checking, --checking if cache hit
cache_miss => cache_miss); --cache miss
end generate; --opt_cache2
no_ddr_start <= not eth_pause and cache_checking;
no_ddr_stop <= not eth_pause and cache_miss;
eth_pause_in <= (not eth_pause and cache_miss and not cache_checking);
--
--
--
misc_proc: process(clk, reset, cpu_address, enable_misc,
ram_data_r, data_read_uart, cpu_pause,
irq_mask_reg, irq_status, gpio0_reg, write_enable,
cache_checking,
gpioA_in, counter_reg, cpu_data_w, ram_data_lm,
fifo_1_empty, fifo_2_empty, fifo_1_full, fifo_2_full,
fifo_1_valid, fifo_2_valid, fifo_1_compteur, fifo_2_compteur, fifo_1_out_data, cop_1_output)
begin
case cpu_address(30 downto 28) is
-- ON LIT LES DONNEES DE LA MEMOIRE INTERNE
when "000" => --internal ROM
cpu_data_r <= ram_data_r;
-- ON LIT LES DONNEES DE LA MEMOIRE EXTERNE (LOCAL RAM)
when "001" => --external (local) RAM
--if cache_checking = '1' then
--cpu_data_r <= ram_data_r; --cache
--else
--cpu_data_r <= data_read; --DDR
--end if;
cpu_data_r <= ram_data_lm;
-- ON LIT LES DONNEES DES PERIPHERIQUES MISC.
when "010" => --misc
case cpu_address(6 downto 4) is
when "000" => --uart
cpu_data_r <= ZERO(31 downto 8) & data_read_uart;
when "001" => --irq_mask
cpu_data_r <= ZERO(31 downto 8) & irq_mask_reg;
when "010" => --irq_status
cpu_data_r <= ZERO(31 downto 8) & irq_status;
when "011" => --gpio0
cpu_data_r <= gpio0_reg;
when "101" => --gpioA
cpu_data_r <= gpioA_in;
when "110" => --counter
cpu_data_r <= counter_reg;
when others => -- ce n'est pas pr\E9vu...
cpu_data_r <= x"FFFFFFFF";
end case;
-- ON LIT LES DONNEES EN PROVENANCE DU PCIe 0x3....XX
when "011" =>
case cpu_address(7 downto 4) is
when "0000" => cpu_data_r <= ZERO(31 downto 1) & fifo_1_empty;
when "0001" => cpu_data_r <= ZERO(31 downto 1) & fifo_2_empty;
when "0010" => cpu_data_r <= ZERO(31 downto 1) & fifo_1_full;
when "0011" => cpu_data_r <= ZERO(31 downto 1) & fifo_2_full;
when "0100" => cpu_data_r <= ZERO(31 downto 1) & fifo_1_valid;
when "0101" => cpu_data_r <= ZERO(31 downto 1) & fifo_2_valid;
when "0110" => cpu_data_r <= fifo_1_compteur;
when "0111" => cpu_data_r <= fifo_2_compteur;
when "1000" => cpu_data_r <= fifo_1_out_data;
when others => -- ce n'est pas pr\E9vu...
cpu_data_r <= x"FFFFFFFF";
end case;
--
-- LECTURE DES RESULTATS DES COPROCESSEURS
--
when "100" =>
case cpu_address(7 downto 0) is
when "00000100" => cpu_data_r <= cop_1_output; -- COPROCESSOR 1 (OUTPUT)
when "00110100" => cpu_data_r <= cop_2_output; -- COPROCESSOR 2 (OUTPUT)
when "01100100" => cpu_data_r <= cop_3_output; -- COPROCESSOR 3 (OUTPUT)
when "10010100" => cpu_data_r <= cop_4_output; -- COPROCESSOR 4 (OUTPUT)
when others => cpu_data_r <= x"FFFFFFFF";
end case;
--when "011" => --flash
-- cpu_data_r <= data_read;
when others =>
cpu_data_r <= ZERO(31 downto 8) & x"FF";
end case;
if reset = '1' then
irq_mask_reg <= ZERO(7 downto 0);
gpio0_reg <= ZERO;
counter_reg <= ZERO;
elsif rising_edge(clk) then
if cpu_pause = '0' then
if enable_misc = '1' and write_enable = '1' then
if cpu_address(6 downto 4) = "001" then
irq_mask_reg <= cpu_data_w(7 downto 0);
elsif cpu_address(6 downto 4) = "011" then
gpio0_reg <= gpio0_reg or cpu_data_w;
elsif cpu_address(6 downto 4) = "100" then
gpio0_reg <= gpio0_reg and not cpu_data_w;
end if;
end if;
end if;
counter_reg <= bv_inc(counter_reg);
end if;
end process;
ram_proc: process(cache_access, cache_miss,
address_next, cpu_address,
byte_we_next, cpu_data_w,
dma_address,
dma_byte_we, eth_pause,
dma_data_write,
dma_start, eth_pause)
begin
if eth_pause = '1' then --Check if cache hit or write through
if dma_address(31 downto 28) = "0000" then
ram_boot_enable <= '1';
else
ram_boot_enable <= '0';
end if;
if dma_address(31 downto 28) = "0001" then
enable_local_mem <= '1';
else
enable_local_mem <= '0';
end if;
ram_address <= dma_address(31 downto 2); -- adr from ram
ram_byte_we <= dma_byte_we;
ram_data_w <= dma_data_write;
else --Normal non-cache access
if address_next(31 downto 28) = "0000" then
ram_boot_enable <= '1';
else
ram_boot_enable <= '0';
end if;
if address_next(31 downto 28) = "0001" then
enable_local_mem <= '1';
else
enable_local_mem <= '0';
end if;
ram_byte_we <= byte_we_next;
ram_address(31 downto 2) <= address_next(31 downto 2);
ram_data_w <= cpu_data_w;
end if;
end process;
--
-- RAM DATA CONTROLLER
--
--ram_boot_enable <= '1' WHEN (ram_enable = '1') AND eth_pause = '0' ELSE '0';
u2_boot: ram
generic map (memory_type => memory_type,
plasma_code => plasma_code)
port map (
clk => clk,
enable => ram_boot_enable,
write_byte_enable => ram_byte_we,
address => ram_address,
data_write => ram_data_w,
data_read => ram_data_r);
-- ON RELIT L'ENTREE DU PCIe (port de sortie) AU BUS DE DONNEE DU PROCESSEUR
-- PLASMA
fifo_2_in_data <= cpu_data_w;
--
-- UART CONTROLLER CAN BE REMOVED (FOR ASIC DESIGN)
--
uart_gen: if eUart = '1' generate
u3_uart: uart
generic map (log_file => log_file)
port map(
clk => clk,
reset => reset,
enable_read => enable_uart_read,
enable_write => enable_uart_write,
data_in => cpu_data_w(7 downto 0),
data_out => data_read_uart,
uart_read => uart_read,
uart_write => uart_write,
busy_write => uart_write_busy,
data_avail => uart_data_avail
);
end generate;
uart_gen2: if eUart = '0' generate
data_read_uart <= "00000000";
uart_write_busy <= '0';
uart_data_avail <= '0';
end generate;
--
-- ETHERNET CONTROLLER CAN BE REMOVED (FOR ASIC DESIGN)
--
-- dma_gen: if ethernet = '2' generate
-- address <= cpu_address(31 downto 2);
-- byte_we <= cpu_byte_we;
-- data_write <= cpu_data_w;
-- eth_pause <= '0';
-- irq_eth_rec <= '0';
-- irq_eth_send <= '0';
-- gpio0_out(28 downto 24) <= ZERO(28 downto 24);
-- end generate;
-- dma_gen2: if ethernet = '1' generate
-- u4_eth: eth_dma
-- port map(
-- clk => clk,
-- reset => reset,
-- enable_eth => gpio0_reg(24),
-- select_eth => enable_eth,
-- rec_isr => irq_eth_rec,
-- send_isr => irq_eth_send,
--
-- address => address, --to DDR
-- byte_we => byte_we,
-- data_write => data_write,
-- data_read => data_read,
-- pause_in => eth_pause_in,
--
-- mem_address => cpu_address(31 downto 2), --from CPU
-- mem_byte_we => cpu_byte_we,
-- data_w => cpu_data_w,
-- pause_out => eth_pause,
--
-- E_RX_CLK => gpioA_in(20),
-- E_RX_DV => gpioA_in(19),
-- E_RXD => gpioA_in(18 downto 15),
-- E_TX_CLK => gpioA_in(14),
-- E_TX_EN => gpio0_out(28),
-- E_TXD => gpio0_out(27 downto 24));
-- end generate;
dma_start <= '1' when ((cpu_address(31 downto 28) = "1000") and (cpu_byte_we = "1111")) else '0';
------------------------------------------------------------------------------------------------------
--
--
--
--
--
------------------------------------------------------------------------------------------------------
dma_input_mux_proc: process(clk, reset, dma_address, enable_misc,
ram_data_r, data_read_uart, cpu_pause,
irq_mask_reg, irq_status, gpio0_reg, write_enable,
cache_checking,
gpioA_in, counter_reg, cpu_data_w, ram_data_lm,
fifo_1_empty, fifo_2_empty, fifo_1_full, fifo_2_full,
fifo_1_valid, fifo_2_valid, fifo_1_compteur, fifo_2_compteur, fifo_1_out_data)
begin
case dma_address(30 downto 28) is
when "000" => --internal ROM
dma_data_read <= ram_data_r;
when "001" => --external (local) RAM
dma_data_read <= ram_data_lm;
when "010" => --misc
case dma_address(6 downto 4) is
when "000" => dma_data_read <= ZERO(31 downto 8) & data_read_uart;
when "001" => dma_data_read <= ZERO(31 downto 8) & irq_mask_reg;
when "010" => dma_data_read <= ZERO(31 downto 8) & irq_status;
when "011" => dma_data_read <= gpio0_reg;
when "101" => dma_data_read <= gpioA_in;
when "110" => dma_data_read <= counter_reg;
when others => dma_data_read <= x"FFFFFFFF";
end case;
when "011" =>
case dma_address(7 downto 4) is
when "0000" => dma_data_read <= ZERO(31 downto 1) & fifo_1_empty;
when "0001" => dma_data_read <= ZERO(31 downto 1) & fifo_2_empty;
when "0010" => dma_data_read <= ZERO(31 downto 1) & fifo_1_full;
when "0011" => dma_data_read <= ZERO(31 downto 1) & fifo_2_full;
when "0100" => dma_data_read <= ZERO(31 downto 1) & fifo_1_valid;
when "0101" => dma_data_read <= ZERO(31 downto 1) & fifo_2_valid;
when "0110" => dma_data_read <= fifo_1_compteur;
when "0111" => dma_data_read <= fifo_2_compteur;
when "1000" => dma_data_read <= fifo_1_out_data;
when others => dma_data_read <= x"FFFFFFFF";
end case;
when others =>
dma_data_read <= ZERO(31 downto 8) & x"FF";
end case;
end process;
u4_dma: entity WORK.dma_engine
port map(
clk => clk,
reset => reset,
start_dma => dma_start,
--
address => dma_address, -- adr from ram
byte_we => dma_byte_we,
data_write => dma_data_write,
data_read => dma_data_read,
--
mem_address => cpu_address, -- adr from cpu
mem_byte_we => cpu_byte_we,
data_w => cpu_data_w,
pause_out => eth_pause
);
------------------------------------------------------------------------------------------------------
--
--
--
--
--
------------------------------------------------------------------------------------------------------
u5a_coproc: entity WORK.coproc_1 port map(
clock => clk,
reset => cop_1_reset,
INPUT_1 => cpu_data_w,
INPUT_1_valid => cop_1_valid,
OUTPUT_1 => cop_1_output
);
u5b_coproc: entity WORK.coproc_2 port map(
clock => clk,
reset => cop_2_reset,
INPUT_1 => cpu_data_w,
INPUT_1_valid => cop_2_valid,
OUTPUT_1 => cop_2_output
);
u5c_coproc: entity WORK.coproc_3 port map(
clock => clk,
reset => cop_3_reset,
INPUT_1 => cpu_data_w,
INPUT_1_valid => cop_3_valid,
OUTPUT_1 => cop_3_output
);
u5d_coproc: entity WORK.coproc_4
port map(
clock => clk,
--clock_VGA => clk_VGA,
reset => cop_4_reset,
INPUT_1 => cpu_data_w,
INPUT_1_valid => cop_4_valid,
OUTPUT_1 => cop_4_output,
data_out => data_out,
data_write => data_enable,
ADDR => ADDR
-- VGA_hs => VGA_hs,
-- VGA_vs => VGA_vs,
--iter => iter
--VGA_green => VGA_green,
--VGA_blue => VGA_blue
);
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/mandelbrot/function_18.vhd
|
5
|
3027
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_18 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_18 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : SIGNED(8 downto 0);
variable rTemp2 : SIGNED(8 downto 0);
variable rTemp3 : SIGNED(8 downto 0);
variable rTemp4 : SIGNED(8 downto 0);
variable vTemp1 : std_logic_vector(7 downto 0);
variable vTemp2 : std_logic_vector(7 downto 0);
variable vTemp3 : std_logic_vector(7 downto 0);
variable vTemp4 : std_logic_vector(7 downto 0);
begin
rTemp1 := RESIZE( SIGNED(INPUT_1( 7 downto 0)), 9) + RESIZE( SIGNED(INPUT_2( 7 downto 0)), 9);
rTemp2 := RESIZE( SIGNED(INPUT_1(15 downto 8)), 9) + RESIZE( SIGNED(INPUT_2(15 downto 8)), 9);
rTemp3 := RESIZE( SIGNED(INPUT_1(23 downto 16)), 9) + RESIZE( SIGNED(INPUT_2(23 downto 16)), 9);
rTemp4 := RESIZE( SIGNED(INPUT_1(31 downto 24)), 9) + RESIZE( SIGNED(INPUT_2(31 downto 24)), 9);
if ( rTemp1 > TO_SIGNED(+127, 8) ) then vTemp1 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp1 < TO_SIGNED(-127, 8) ) then vTemp1 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp1 := STD_LOGIC_VECTOR(rTemp1(7 downto 0));
end if;
if ( rTemp2 > TO_SIGNED(+127, 8) ) then vTemp2 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp2 < TO_SIGNED(-127, 8) ) then vTemp2 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp2 := STD_LOGIC_VECTOR(rTemp2(7 downto 0));
end if;
if ( rTemp3 > TO_SIGNED(+127, 8) ) then vTemp3 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp3 < TO_SIGNED(-127, 8) ) then vTemp3 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp3 := STD_LOGIC_VECTOR(rTemp3(7 downto 0));
end if;
if ( rTemp3 > TO_SIGNED(+127, 8) ) then vTemp4 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp3 < TO_SIGNED(-127, 8) ) then vTemp4 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp4 := STD_LOGIC_VECTOR(rTemp4(7 downto 0));
end if;
OUTPUT_1 <= (vTemp4 & vTemp3 & vTemp2 & vTemp1);
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/tuto_plasma/function_18.vhd
|
5
|
3027
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_18 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_18 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : SIGNED(8 downto 0);
variable rTemp2 : SIGNED(8 downto 0);
variable rTemp3 : SIGNED(8 downto 0);
variable rTemp4 : SIGNED(8 downto 0);
variable vTemp1 : std_logic_vector(7 downto 0);
variable vTemp2 : std_logic_vector(7 downto 0);
variable vTemp3 : std_logic_vector(7 downto 0);
variable vTemp4 : std_logic_vector(7 downto 0);
begin
rTemp1 := RESIZE( SIGNED(INPUT_1( 7 downto 0)), 9) + RESIZE( SIGNED(INPUT_2( 7 downto 0)), 9);
rTemp2 := RESIZE( SIGNED(INPUT_1(15 downto 8)), 9) + RESIZE( SIGNED(INPUT_2(15 downto 8)), 9);
rTemp3 := RESIZE( SIGNED(INPUT_1(23 downto 16)), 9) + RESIZE( SIGNED(INPUT_2(23 downto 16)), 9);
rTemp4 := RESIZE( SIGNED(INPUT_1(31 downto 24)), 9) + RESIZE( SIGNED(INPUT_2(31 downto 24)), 9);
if ( rTemp1 > TO_SIGNED(+127, 8) ) then vTemp1 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp1 < TO_SIGNED(-127, 8) ) then vTemp1 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp1 := STD_LOGIC_VECTOR(rTemp1(7 downto 0));
end if;
if ( rTemp2 > TO_SIGNED(+127, 8) ) then vTemp2 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp2 < TO_SIGNED(-127, 8) ) then vTemp2 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp2 := STD_LOGIC_VECTOR(rTemp2(7 downto 0));
end if;
if ( rTemp3 > TO_SIGNED(+127, 8) ) then vTemp3 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp3 < TO_SIGNED(-127, 8) ) then vTemp3 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp3 := STD_LOGIC_VECTOR(rTemp3(7 downto 0));
end if;
if ( rTemp3 > TO_SIGNED(+127, 8) ) then vTemp4 := STD_LOGIC_VECTOR( TO_SIGNED(+127, 8) );
elsif ( rTemp3 < TO_SIGNED(-127, 8) ) then vTemp4 := STD_LOGIC_VECTOR( TO_SIGNED(-127, 8) );
else vTemp4 := STD_LOGIC_VECTOR(rTemp4(7 downto 0));
end if;
OUTPUT_1 <= (vTemp4 & vTemp3 & vTemp2 & vTemp1);
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter11/example3_dir/testbench/counter_tb.vhd
|
1
|
2135
|
--!
--! Copyright (C) 2010 - 2013 Creonic GmbH
--!
--! @file: counter_tb.vhd
--! @brief: tb of counter
--! @author: Antonio Gutierrez
--! @date: 2014-05-23
--!
--!
--------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--------------------------------------------------------
entity counter_tb is
generic (PERIOD: time := 40 ns;
PD: time := 3 ns);
end entity counter_tb;
--------------------------------------------------------
architecture circuit of counter_tb is
--------------------------------------------------------
-- component declaration
--------------------------------------------------------
component counter is
port (
clk, rst: in std_logic;
output: out natural range 0 to 9);
end component counter;
--------------------------------------------------------
-- signal declaration
--------------------------------------------------------
signal clk_tb: std_logic := '0';
signal rst_tb: std_logic := '1';
signal output_tb: natural range 0 to 9 := 0;
begin
--------------------------------------------------------
-- component instantiation
--------------------------------------------------------
dut: counter port map (
clk => clk_tb,
rst => rst_tb,
output => output_tb
);
--------------------------------------------------------
-- stimuli generation
--------------------------------------------------------
-- rst
process
begin
rst_tb <= '1';
wait for PERIOD;
rst_tb <= '0';
wait;
end process;
-- clk
clk_tb <= not clk_tb after PERIOD / 2;
--------------------------------------------------------------------------------------
-- stop simulation
--------------------------------------------------------------------------------------
process
begin
wait for PERIOD * 10;
assert false
report "simulation end"
severity failure;
end process;
end architecture circuit;
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter8/example8_4_dir/example8_4.vhd
|
1
|
1616
|
--!
--! @file: example8_4.vhd
--! @brief: Shift register with Component and generate
--! @author: Antonio Gutierrez
--! @date: 2013-11-26
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.my_components.all;
--------------------------------------
entity shift_register is
generic (M: positive := 4; -- num. of stages
N: positive := 8); -- bits per stage
port (
clk, load: in std_logic;
x: in std_logic_vector(N-1 downto 0);
d: in twoD(0 to M-1, N-1 downto 0);
y: out std_logic_vector(N-1 downto 0));
end entity shift_register;
--------------------------------------
architecture circuit of shift_register is
signal u: twoD(0 to M, N-1 downto 0);
signal v: twoD(0 to M-1, N-1 downto 0);
begin
-- transfer of x -> u and u -> y
gen1: for i in N-1 downto 0 generate
u(0,i) <= x(i); -- mapping input of entity to input of first stage
y(i) <= u(M, i); -- mapping the output of the last stage to the output of entity
end generate gen1;
-- update internal stages
gen2: for i in 0 to M-1 generate
gen3: for j in N-1 downto 0 generate
mux1: mux port map (
a => u(i, j),
b => d(i, j),
sel => load,
x => v(i, j)
);
dff1: flipflop port map (
d => v(i,j),
clk => clk,
q => u(i + 1, j)
);
end generate gen3;
end generate gen2;
end architecture circuit;
|
gpl-3.0
|
lugnitdgp/codecracker
|
assets/js/ace-builds/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter3/multiplexer_1dx1d_port_dir/multiplexer_1dx1d_port.vhd
|
1
|
386
|
use work.my_data_types.all;
------------------------------
entity mux is
--generic declarations
port (
x: in oneDoneD ;
sel: in integer range 0 to 3;
y: out bit_vector(7 downto 0) ;);
end entity;
------------------------------
architecture circuit of mux is
--signals and declarations
begin
y <= x(sel);
end architecture;
------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/txt_util.vhd
|
1
|
14512
|
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package txt_util is
-- prints a message to the screen
procedure print(text: string);
-- prints the message when active
-- useful for debug switches
procedure print(active: boolean; text: string);
-- converts std_logic into a character
function chr(sl: std_logic) return character;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string;
-- converts std_logic_vector into a string (binary base)
function str(slv: std_logic_vector) return string;
-- converts boolean into a string
function str(b: boolean) return string;
-- converts an integer into a single character
-- (can also be used for hex conversion and other bases)
function chr(int: integer) return character;
-- converts integer into string using specified base
function str(int: integer; base: integer) return string;
-- converts integer to string, using base 10
function str(int: integer) return string;
-- convert std_logic_vector into a string in hex format
function hstr(slv: std_logic_vector) return string;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character;
-- convert a character to lower case
function to_lower(c: character) return character;
-- convert a string to upper case
function to_upper(s: string) return string;
-- convert a string to lower case
function to_lower(s: string) return string;
-- functions to convert strings into other formats
--------------------------------------------------
-- converts a character into std_logic
function to_std_logic(c: character) return std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector;
-- file I/O
-----------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string);
-- print string to a file and start new line
procedure print(file out_file: TEXT;
new_string: in string);
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character);
end txt_util;
package body txt_util is
-- prints text to the screen
procedure print(text: string) is
variable msg_line: line;
begin
write(msg_line, text);
writeline(output, msg_line);
end print;
-- prints text to the screen when active
procedure print(active: boolean; text: string) is
begin
if active then
print(text);
end if;
end print;
-- converts std_logic into a character
function chr(sl: std_logic) return character is
variable c: character;
begin
case sl is
when 'U' => c:= 'U';
when 'X' => c:= 'X';
when '0' => c:= '0';
when '1' => c:= '1';
when 'Z' => c:= 'Z';
when 'W' => c:= 'W';
when 'L' => c:= 'L';
when 'H' => c:= 'H';
when '-' => c:= '-';
end case;
return c;
end chr;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string is
variable s: string(1 to 1);
begin
s(1) := chr(sl);
return s;
end str;
-- converts std_logic_vector into a string (binary base)
-- (this also takes care of the fact that the range of
-- a string is natural while a std_logic_vector may
-- have an integer range)
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := chr(slv(i));
r := r + 1;
end loop;
return result;
end str;
function str(b: boolean) return string is
begin
if b then
return "true";
else
return "false";
end if;
end str;
-- converts an integer into a character
-- for 0 to 9 the obvious mapping is used, higher
-- values are mapped to the characters A-Z
-- (this is usefull for systems with base > 10)
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function chr(int: integer) return character is
variable c: character;
begin
case int is
when 0 => c := '0';
when 1 => c := '1';
when 2 => c := '2';
when 3 => c := '3';
when 4 => c := '4';
when 5 => c := '5';
when 6 => c := '6';
when 7 => c := '7';
when 8 => c := '8';
when 9 => c := '9';
when 10 => c := 'A';
when 11 => c := 'B';
when 12 => c := 'C';
when 13 => c := 'D';
when 14 => c := 'E';
when 15 => c := 'F';
when 16 => c := 'G';
when 17 => c := 'H';
when 18 => c := 'I';
when 19 => c := 'J';
when 20 => c := 'K';
when 21 => c := 'L';
when 22 => c := 'M';
when 23 => c := 'N';
when 24 => c := 'O';
when 25 => c := 'P';
when 26 => c := 'Q';
when 27 => c := 'R';
when 28 => c := 'S';
when 29 => c := 'T';
when 30 => c := 'U';
when 31 => c := 'V';
when 32 => c := 'W';
when 33 => c := 'X';
when 34 => c := 'Y';
when 35 => c := 'Z';
when others => c := '?';
end case;
return c;
end chr;
-- convert integer to string using specified base
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function str(int: integer; base: integer) return string is
variable temp: string(1 to 10);
variable num: integer;
variable abs_int: integer;
variable len: integer := 1;
variable power: integer := 1;
begin
-- bug fix for negative numbers
abs_int := abs(int);
num := abs_int;
while num >= base loop -- Determine how many
len := len + 1; -- characters required
num := num / base; -- to represent the
end loop ; -- number.
for i in len downto 1 loop -- Convert the number to
temp(i) := chr(abs_int/power mod base); -- a string starting
power := power * base; -- with the right hand
end loop ; -- side.
-- return result and add sign if required
if int < 0 then
return '-'& temp(1 to len);
else
return temp(1 to len);
end if;
end str;
-- convert integer to string, using base 10
function str(int: integer) return string is
begin
return str(int, 10) ;
end str;
-- converts a std_logic_vector into a hex string.
function hstr(slv: std_logic_vector) return string is
variable hexlen: integer;
variable longslv : std_logic_vector(67 downto 0) := (others => '0');
variable hex : string(1 to 16);
variable fourbit : std_logic_vector(3 downto 0);
begin
hexlen := (slv'left+1)/4;
if (slv'left+1) mod 4 /= 0 then
hexlen := hexlen + 1;
end if;
longslv(slv'left downto 0) := slv;
for i in (hexlen -1) downto 0 loop
fourbit := longslv(((i*4)+3) downto (i*4));
case fourbit is
when "0000" => hex(hexlen -I) := '0';
when "0001" => hex(hexlen -I) := '1';
when "0010" => hex(hexlen -I) := '2';
when "0011" => hex(hexlen -I) := '3';
when "0100" => hex(hexlen -I) := '4';
when "0101" => hex(hexlen -I) := '5';
when "0110" => hex(hexlen -I) := '6';
when "0111" => hex(hexlen -I) := '7';
when "1000" => hex(hexlen -I) := '8';
when "1001" => hex(hexlen -I) := '9';
when "1010" => hex(hexlen -I) := 'A';
when "1011" => hex(hexlen -I) := 'B';
when "1100" => hex(hexlen -I) := 'C';
when "1101" => hex(hexlen -I) := 'D';
when "1110" => hex(hexlen -I) := 'E';
when "1111" => hex(hexlen -I) := 'F';
when "ZZZZ" => hex(hexlen -I) := 'z';
when "UUUU" => hex(hexlen -I) := 'u';
when "XXXX" => hex(hexlen -I) := 'x';
when others => hex(hexlen -I) := '?';
end case;
end loop;
return hex(1 to hexlen);
end hstr;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character is
variable u: character;
begin
case c is
when 'a' => u := 'A';
when 'b' => u := 'B';
when 'c' => u := 'C';
when 'd' => u := 'D';
when 'e' => u := 'E';
when 'f' => u := 'F';
when 'g' => u := 'G';
when 'h' => u := 'H';
when 'i' => u := 'I';
when 'j' => u := 'J';
when 'k' => u := 'K';
when 'l' => u := 'L';
when 'm' => u := 'M';
when 'n' => u := 'N';
when 'o' => u := 'O';
when 'p' => u := 'P';
when 'q' => u := 'Q';
when 'r' => u := 'R';
when 's' => u := 'S';
when 't' => u := 'T';
when 'u' => u := 'U';
when 'v' => u := 'V';
when 'w' => u := 'W';
when 'x' => u := 'X';
when 'y' => u := 'Y';
when 'z' => u := 'Z';
when others => u := c;
end case;
return u;
end to_upper;
-- convert a character to lower case
function to_lower(c: character) return character is
variable l: character;
begin
case c is
when 'A' => l := 'a';
when 'B' => l := 'b';
when 'C' => l := 'c';
when 'D' => l := 'd';
when 'E' => l := 'e';
when 'F' => l := 'f';
when 'G' => l := 'g';
when 'H' => l := 'h';
when 'I' => l := 'i';
when 'J' => l := 'j';
when 'K' => l := 'k';
when 'L' => l := 'l';
when 'M' => l := 'm';
when 'N' => l := 'n';
when 'O' => l := 'o';
when 'P' => l := 'p';
when 'Q' => l := 'q';
when 'R' => l := 'r';
when 'S' => l := 's';
when 'T' => l := 't';
when 'U' => l := 'u';
when 'V' => l := 'v';
when 'W' => l := 'w';
when 'X' => l := 'x';
when 'Y' => l := 'y';
when 'Z' => l := 'z';
when others => l := c;
end case;
return l;
end to_lower;
-- convert a string to upper case
function to_upper(s: string) return string is
variable uppercase: string (s'range);
begin
for i in s'range loop
uppercase(i):= to_upper(s(i));
end loop;
return uppercase;
end to_upper;
-- convert a string to lower case
function to_lower(s: string) return string is
variable lowercase: string (s'range);
begin
for i in s'range loop
lowercase(i):= to_lower(s(i));
end loop;
return lowercase;
end to_lower;
-- functions to convert strings into other types
-- converts a character into a std_logic
function to_std_logic(c: character) return std_logic is
variable sl: std_logic;
begin
case c is
when 'U' =>
sl := 'U';
when 'X' =>
sl := 'X';
when '0' =>
sl := '0';
when '1' =>
sl := '1';
when 'Z' =>
sl := 'Z';
when 'W' =>
sl := 'W';
when 'L' =>
sl := 'L';
when 'H' =>
sl := 'H';
when '-' =>
sl := '-';
when others =>
sl := 'X';
end case;
return sl;
end to_std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector is
variable slv: std_logic_vector(s'high-s'low downto 0);
variable k: integer;
begin
k := s'high-s'low;
for i in s'range loop
slv(k) := to_std_logic(s(i));
k := k - 1;
end loop;
return slv;
end to_std_logic_vector;
----------------
-- file I/O --
----------------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string) is
variable l: line;
variable c: character;
variable is_string: boolean;
begin
readline(in_file, l);
-- clear the contents of the result string
for i in res_string'range loop
res_string(i) := ' ';
end loop;
-- read all characters of the line, up to the length
-- of the results string
for i in res_string'range loop
read(l, c, is_string);
res_string(i) := c;
if not is_string then -- found end of line
exit;
end if;
end loop;
end str_read;
-- print string to a file
procedure print(file out_file: TEXT;
new_string: in string) is
variable l: line;
begin
write(l, new_string);
writeline(out_file, l);
end print;
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character) is
variable l: line;
begin
write(l, char);
writeline(out_file, l);
end print;
-- appends contents of a string to a file until line feed occurs
-- (LF is considered to be the end of the string)
procedure str_write(file out_file: TEXT;
new_string: in string) is
begin
for i in new_string'range loop
print(out_file, new_string(i));
if new_string(i) = LF then -- end of string
exit;
end if;
end loop;
end str_write;
end txt_util;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/TRIGO/COSINUS_SINUS_32b.vhd
|
1
|
30141
|
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Cordic Top --
-- --
-- Simple SIN/COS Cordic example --
-- 32 bits fixed format Sign,2^0, 2^-1,2^-2 etc. --
-- angle input +/-0.5phi --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity COSINUS_SINUS_32b is
port(clk : in std_logic;
reset : in std_logic; -- Active low reset
angle : in std_logic_vector(31 downto 0); -- input radian
sin : out std_logic_vector(31 downto 0); -- THIS OUTPUT ¨PROVIDES THE SINUS RESULT
cos : out std_logic_vector(31 downto 0);
start : in std_logic;
done : out std_logic);
end COSINUS_SINUS_32b;
architecture synthesis of COSINUS_SINUS_32b is
constant xinit_c : std_logic_vector(31 downto 0):=X"26dd3b44";
constant yinit_c : std_logic_vector(31 downto 0):=X"00000000";
component addsub is
port(abus : in std_logic_vector(31 downto 0);
bbus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
as : in std_logic); --add=1, subtract=0
end component;
component shiftn is
port(ibus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
n : in std_logic_vector(4 downto 0)); --shift by n
end component;
component atan32 is --ARCTAN(x) lut
port (ZA : in Std_Logic_Vector(4 downto 0);
ZData : out Std_Logic_Vector(31 downto 0));
end component;
component fsm is
port(clk : in std_logic;
reset : in std_logic; -- Active low reset
start : in std_logic;
cnt : in std_logic_vector(4 downto 0);
init : out std_logic;
load : out std_logic;
done : out std_logic);
end component;
signal cnt_s : std_logic_vector(4 downto 0); -- bit counter, 2^5
signal newx_s : std_logic_vector(31 downto 0);
signal newy_s : std_logic_vector(31 downto 0);
signal newz_s : std_logic_vector(31 downto 0);
signal xreg_s : std_logic_vector(31 downto 0);
signal yreg_s : std_logic_vector(31 downto 0);
signal zreg_s : std_logic_vector(31 downto 0);
signal sxreg_s: std_logic_vector(31 downto 0);
signal syreg_s: std_logic_vector(31 downto 0);
signal atan_s : std_logic_vector(31 downto 0); -- arctan LUT
signal init_s : std_logic;
signal load_s : std_logic;
signal as_s : std_logic;
signal nas_s : std_logic;
begin
SHIFT1: shiftn port map (xreg_s,sxreg_s,cnt_s);
SHIFT2: shiftn port map (yreg_s,syreg_s,cnt_s);
nas_s <= not as_s;
ADD1 : addsub port map (xreg_s,syreg_s,newx_s,as_s); -- xreg
ADD2 : addsub port map (yreg_s,sxreg_s,newy_s,nas_s); -- yreg
LUT : atan32 port map(cnt_s,atan_s);
ADD3 : addsub port map (zreg_s,atan_s(31 downto 0),newz_s,as_s); -- zreg
FSM1 : fsm port map (clk,reset,start,cnt_s,init_s,load_s,done);
-- COS(X) Register
process (clk,newx_s)
begin
if (rising_edge(clk)) then
if init_s='1' then xreg_s(31 downto 0) <= xinit_c; -- fails in vh2sc xinit_c(31 downto 0); -- 0.607
elsif load_s='1' then xreg_s <= newx_s;
end if;
end if;
end process;
-- SIN(Y) Register
process (clk,newy_s)
begin
if (rising_edge(clk)) then
if init_s='1' then yreg_s <= yinit_c; -- 0.0000 fails in vh2sc yinit_c(31 downto 0)
elsif load_s='1' then yreg_s <= newy_s;
end if;
end if;
end process;
-- Z Register
process (clk,newz_s,angle)
begin
if (rising_edge(clk)) then
if init_s='1' then zreg_s <= angle; -- x
elsif load_s='1' then zreg_s <= newz_s;
end if;
end if;
end process;
as_s <= zreg_s(31); -- MSB=Sign bit
process (clk,load_s,init_s) -- bit counter
begin
if (rising_edge(clk)) then
if init_s='1' then cnt_s<=(others=> '0');
elsif (load_s='1') then cnt_s <= cnt_s + '1';
end if;
end if;
end process;
sin <= yreg_s;
cos <= xreg_s;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Adder/Subtracter --
-- no overflow. --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity addsub is
port (abus : in std_logic_vector(31 downto 0);
bbus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
as : in std_logic); --add=1, subtract=0
end addsub;
architecture synthesis of addsub is
begin
process(as,abus,bbus)
begin
if as='1' then
obus <= abus + bbus;
else
obus <= abus - bbus;
end if;
end process;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity atan32 is
port ( za : in std_logic_vector(4 downto 0);
zdata : out std_logic_vector(31 downto 0));
end atan32;
Architecture synthesis of atan32 Is
Begin
process(ZA)
begin
Case ZA is
when "00000" => ZData <= X"3243f6a8";
when "00001" => ZData <= X"1dac6705";
when "00010" => ZData <= X"0fadbafc";
when "00011" => ZData <= X"07f56ea6";
when "00100" => ZData <= X"03feab76";
when "00101" => ZData <= X"01ffd55b";
when "00110" => ZData <= X"00fffaaa";
when "00111" => ZData <= X"007fff55";
when "01000" => ZData <= X"003fffea";
when "01001" => ZData <= X"001ffffd";
when "01010" => ZData <= X"000fffff";
when "01011" => ZData <= X"0007ffff";
when "01100" => ZData <= X"0003ffff";
when "01101" => ZData <= X"0001ffff";
when "01110" => ZData <= X"0000ffff";
when "01111" => ZData <= X"00007fff";
when "10000" => ZData <= X"00003fff";
when "10001" => ZData <= X"00001fff";
when "10010" => ZData <= X"00000fff";
when "10011" => ZData <= X"000007ff";
when "10100" => ZData <= X"000003ff";
when "10101" => ZData <= X"000001ff";
when "10110" => ZData <= X"000000ff";
when "10111" => ZData <= X"0000007f";
when "11000" => ZData <= X"0000003f";
when "11001" => ZData <= X"0000001f";
when "11010" => ZData <= X"0000000f";
when "11011" => ZData <= X"00000007";
when "11100" => ZData <= X"00000003";
when "11101" => ZData <= X"00000001";
when "11110" => ZData <= X"00000000";
when "11111" => ZData <= X"00000000";
When others => ZData <= "--------------------------------";
end case;
end process;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY fsm IS
PORT(
clk : IN std_logic;
reset : IN std_logic; -- Active low reset
start : IN std_logic;
cnt : IN std_logic_vector (4 DOWNTO 0);
init : OUT std_logic;
load : OUT std_logic;
done : OUT std_logic);
END fsm ;
architecture synthesis of fsm is
type states is (s0,s1,s2,s3);
signal state,nextstate : states;
begin
Process (clk,reset) -- Process to create current state variables
begin
if (reset='0') then -- Reset State
state <= s0;
elsif (rising_edge(clk)) then
state <= nextstate; -- Set Current state
end if;
end process;
process(state,start,cnt)
begin
case state is
when s0 => -- Step 1 load regs
if start='1' then nextstate <= s1;
else nextstate <= s0; -- Wait for start signal
end if;
when s1 => -- latch result register
if cnt="11111" then nextstate <= s2; -- done
else nextstate <= s1; -- wait
end if;
when s2 =>
if start='0' then nextstate <= s0;
else nextstate <= s2; -- Wait for start signal
end if;
when others => nextstate <= s0;
end case;
end process;
process(state)
begin
case state is
when s0 =>done <= '0'; init <= '1'; load <= '0';
when s1 =>done <= '0'; init <= '0'; load <= '1';
when s2 =>done <= '1'; init <= '0'; load <= '0';
when others => done <= '-'; init <= '-'; load <= '-';
end case;
end process;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Shift Right preserving sign bit --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shiftn is
port (ibus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
n : in std_logic_vector(4 downto 0)); --shift by n
end shiftn;
architecture synthesis of shiftn is
begin
process(n,ibus)
begin
case n is
when "00000" => obus <= ibus(31)&ibus(30 downto 0); -- ibus
when "00001" => obus <= ibus(31)&ibus(31)&ibus(30 downto 1);
when "00010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 2);
when "00011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 3);
when "00100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 4);
when "00101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 5);
when "00110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 6);
when "00111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(30 downto 7);
when "01000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(30 downto 8);
when "01001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 9);
when "01010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 10);
when "01011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 11);
when "01100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 12);
when "01101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 13);
when "01110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 14);
when "01111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 15);
when "10000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(30 downto 16);
when "10001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(30 downto 17);
when "10010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(30 downto 18);
when "10011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 19);
when "10100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 20);
when "10101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 21);
when "10110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 22);
when "10111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 23);
when "11000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 24);
when "11001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 25);
when "11010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(30 downto 26);
when "11011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(30 downto 27);
when "11100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(30 downto 28);
when "11101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 29);
when "11110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30);
when others => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31);
end case;
end process;
end synthesis;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/OTHERS/MAXIMUM_MINIMUM_32b.vhd
|
1
|
1788
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 23:19:12 02/17/2011
-- Design Name:
-- Module Name: MINIMUM_MAXIMUM_32b - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity MINIMUM_MAXIMUM_32b is
port (
INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0);
INPUT_2 : in STD_LOGIC_VECTOR(31 downto 0);
FONCTION : in STD_LOGIC_VECTOR( 1 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0)
);
end MINIMUM_MAXIMUM_32b;
architecture rtl of MINIMUM_MAXIMUM_32b is
begin
-------------------------------------------------------------------------
-- synthesis translate_off
PROCESS
BEGIN
wait for 1 ns;
REPORT "(IMS) MINIMUM RESOURCE : ALLOCATION OK !";
wait;
END PROCESS;
-- synthesis translate_on
-------------------------------------------------------------------------
-------------------------------------------------------------------------
PROCESS (INPUT_1, INPUT_2, FONCTION)
variable decision : std_logic;
begin
if( SIGNED(INPUT_1) < SIGNED(INPUT_2) ) then
decision := '1';
else
decision := '0';
end if;
-- SI LE BIT DE POIDS FAIBLE = 1 CE SIGNIFIE QUE L'ON
-- VEUT CALCULER LE MINIMUM DES 2 NOMBRES
decision := decision xor FONCTION(1);
if( decision = '1' ) then
OUTPUT_1 <= INPUT_1;
else
OUTPUT_1 <= INPUT_2;
end if;
end process;
-------------------------------------------------------------------------
end;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/filtre/function_12.vhd
|
5
|
1336
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_12 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_12 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : UNSIGNED(31 downto 0);
variable rTemp2 : UNSIGNED(31 downto 0);
variable rTemp3 : UNSIGNED(31 downto 0);
begin
rTemp1 := UNSIGNED( INPUT_1 );
rTemp2 := UNSIGNED( INPUT_2 );
rTemp3 := rTemp1 + rTemp2 + TO_UNSIGNED(12, 32);
OUTPUT_1 <= STD_LOGIC_VECTOR( rTemp3 );
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter7/exercise7_13_dir/exercise7_13.vhd
|
1
|
806
|
--!
--! @file: exercise7_12.vhd
--! @brief: generic nand with concurrent code
--! @author: Antonio Gutierrez
--! @date: 2013-10-29
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity generic_and is
generic (N: integer := 5);
port (
x: in std_logic_vector(N-1 downto 0);
y: out std_logic);
end entity generic_and;
--------------------------------------
architecture circuit of generic_and is
type matrix is array (0 to N-1) of std_logic;
signal temp: matrix;
begin
temp(0) <= x(0);
gen: for i in 1 to N-1 generate
temp(i) <= temp(i-1) and x(i);
end generate gen;
y <= not temp(N-1);
end architecture circuit;
--------------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/LDPC/Q16_8_IndexLUT.vhd
|
1
|
45086
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------
-- synthesis translate_off
library ims;
use ims.coprocessor.all;
-- synthesis translate_on
-------------------------------------------------------------------------
ENTITY Q16_8_IndexLUT is
PORT (
INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0)
);
END;
architecture ROM of Q16_8_IndexLUT is
type rom_type is array (0 to 1824-1) of UNSIGNED (11 downto 0);
signal ROM : rom_type:= (
TO_UNSIGNED(75, 12), TO_UNSIGNED(618, 12),
TO_UNSIGNED(732, 12), TO_UNSIGNED(1425, 12),
TO_UNSIGNED(1500, 12), TO_UNSIGNED(1683, 12),
TO_UNSIGNED(84, 12), TO_UNSIGNED(621, 12),
TO_UNSIGNED(738, 12), TO_UNSIGNED(1428, 12),
TO_UNSIGNED(1506, 12), TO_UNSIGNED(1614, 12),
TO_UNSIGNED(86, 12), TO_UNSIGNED(624, 12),
TO_UNSIGNED(744, 12), TO_UNSIGNED(1437, 12),
TO_UNSIGNED(1488, 12), TO_UNSIGNED(1819, 12),
TO_UNSIGNED(12, 12), TO_UNSIGNED(88, 12),
TO_UNSIGNED(627, 12), TO_UNSIGNED(750, 12),
TO_UNSIGNED(1440, 12), TO_UNSIGNED(1512, 12),
TO_UNSIGNED(15, 12), TO_UNSIGNED(90, 12),
TO_UNSIGNED(630, 12), TO_UNSIGNED(756, 12),
TO_UNSIGNED(1443, 12), TO_UNSIGNED(1524, 12),
TO_UNSIGNED(18, 12), TO_UNSIGNED(92, 12),
TO_UNSIGNED(633, 12), TO_UNSIGNED(762, 12),
TO_UNSIGNED(1446, 12), TO_UNSIGNED(1518, 12),
TO_UNSIGNED(21, 12), TO_UNSIGNED(94, 12),
TO_UNSIGNED(636, 12), TO_UNSIGNED(768, 12),
TO_UNSIGNED(1449, 12), TO_UNSIGNED(1542, 12),
TO_UNSIGNED(24, 12), TO_UNSIGNED(96, 12),
TO_UNSIGNED(639, 12), TO_UNSIGNED(774, 12),
TO_UNSIGNED(1452, 12), TO_UNSIGNED(1548, 12),
TO_UNSIGNED(27, 12), TO_UNSIGNED(98, 12),
TO_UNSIGNED(642, 12), TO_UNSIGNED(780, 12),
TO_UNSIGNED(1455, 12), TO_UNSIGNED(1530, 12),
TO_UNSIGNED(30, 12), TO_UNSIGNED(100, 12),
TO_UNSIGNED(645, 12), TO_UNSIGNED(786, 12),
TO_UNSIGNED(1461, 12), TO_UNSIGNED(1536, 12),
TO_UNSIGNED(33, 12), TO_UNSIGNED(102, 12),
TO_UNSIGNED(648, 12), TO_UNSIGNED(792, 12),
TO_UNSIGNED(1458, 12), TO_UNSIGNED(1554, 12),
TO_UNSIGNED(36, 12), TO_UNSIGNED(104, 12),
TO_UNSIGNED(651, 12), TO_UNSIGNED(798, 12),
TO_UNSIGNED(1485, 12), TO_UNSIGNED(1560, 12),
TO_UNSIGNED(39, 12), TO_UNSIGNED(106, 12),
TO_UNSIGNED(654, 12), TO_UNSIGNED(804, 12),
TO_UNSIGNED(1572, 12), TO_UNSIGNED(1617, 12),
TO_UNSIGNED(42, 12), TO_UNSIGNED(108, 12),
TO_UNSIGNED(657, 12), TO_UNSIGNED(810, 12),
TO_UNSIGNED(1398, 12), TO_UNSIGNED(1566, 12),
TO_UNSIGNED(45, 12), TO_UNSIGNED(110, 12),
TO_UNSIGNED(660, 12), TO_UNSIGNED(816, 12),
TO_UNSIGNED(1401, 12), TO_UNSIGNED(1584, 12),
TO_UNSIGNED(48, 12), TO_UNSIGNED(112, 12),
TO_UNSIGNED(663, 12), TO_UNSIGNED(822, 12),
TO_UNSIGNED(1404, 12), TO_UNSIGNED(1578, 12),
TO_UNSIGNED(51, 12), TO_UNSIGNED(114, 12),
TO_UNSIGNED(666, 12), TO_UNSIGNED(828, 12),
TO_UNSIGNED(1413, 12), TO_UNSIGNED(1596, 12),
TO_UNSIGNED(54, 12), TO_UNSIGNED(116, 12),
TO_UNSIGNED(669, 12), TO_UNSIGNED(690, 12),
TO_UNSIGNED(1416, 12), TO_UNSIGNED(1602, 12),
TO_UNSIGNED(57, 12), TO_UNSIGNED(118, 12),
TO_UNSIGNED(672, 12), TO_UNSIGNED(696, 12),
TO_UNSIGNED(1419, 12), TO_UNSIGNED(1590, 12),
TO_UNSIGNED(0, 12), TO_UNSIGNED(60, 12),
TO_UNSIGNED(120, 12), TO_UNSIGNED(675, 12),
TO_UNSIGNED(702, 12), TO_UNSIGNED(1407, 12),
TO_UNSIGNED(63, 12), TO_UNSIGNED(122, 12),
TO_UNSIGNED(678, 12), TO_UNSIGNED(708, 12),
TO_UNSIGNED(1410, 12), TO_UNSIGNED(1476, 12),
TO_UNSIGNED(66, 12), TO_UNSIGNED(124, 12),
TO_UNSIGNED(681, 12), TO_UNSIGNED(714, 12),
TO_UNSIGNED(1434, 12), TO_UNSIGNED(1464, 12),
TO_UNSIGNED(69, 12), TO_UNSIGNED(126, 12),
TO_UNSIGNED(684, 12), TO_UNSIGNED(720, 12),
TO_UNSIGNED(1431, 12), TO_UNSIGNED(1470, 12),
TO_UNSIGNED(72, 12), TO_UNSIGNED(128, 12),
TO_UNSIGNED(687, 12), TO_UNSIGNED(726, 12),
TO_UNSIGNED(1422, 12), TO_UNSIGNED(1494, 12),
TO_UNSIGNED(186, 12), TO_UNSIGNED(234, 12),
TO_UNSIGNED(775, 12), TO_UNSIGNED(906, 12),
TO_UNSIGNED(1435, 12), TO_UNSIGNED(1585, 12),
TO_UNSIGNED(188, 12), TO_UNSIGNED(236, 12),
TO_UNSIGNED(781, 12), TO_UNSIGNED(909, 12),
TO_UNSIGNED(1432, 12), TO_UNSIGNED(1579, 12),
TO_UNSIGNED(190, 12), TO_UNSIGNED(238, 12),
TO_UNSIGNED(787, 12), TO_UNSIGNED(912, 12),
TO_UNSIGNED(1423, 12), TO_UNSIGNED(1597, 12),
TO_UNSIGNED(192, 12), TO_UNSIGNED(240, 12),
TO_UNSIGNED(793, 12), TO_UNSIGNED(915, 12),
TO_UNSIGNED(1426, 12), TO_UNSIGNED(1603, 12),
TO_UNSIGNED(194, 12), TO_UNSIGNED(242, 12),
TO_UNSIGNED(799, 12), TO_UNSIGNED(918, 12),
TO_UNSIGNED(1429, 12), TO_UNSIGNED(1591, 12),
TO_UNSIGNED(1, 12), TO_UNSIGNED(196, 12),
TO_UNSIGNED(244, 12), TO_UNSIGNED(805, 12),
TO_UNSIGNED(921, 12), TO_UNSIGNED(1438, 12),
TO_UNSIGNED(198, 12), TO_UNSIGNED(246, 12),
TO_UNSIGNED(811, 12), TO_UNSIGNED(924, 12),
TO_UNSIGNED(1441, 12), TO_UNSIGNED(1477, 12),
TO_UNSIGNED(200, 12), TO_UNSIGNED(248, 12),
TO_UNSIGNED(817, 12), TO_UNSIGNED(927, 12),
TO_UNSIGNED(1444, 12), TO_UNSIGNED(1465, 12),
TO_UNSIGNED(202, 12), TO_UNSIGNED(250, 12),
TO_UNSIGNED(823, 12), TO_UNSIGNED(930, 12),
TO_UNSIGNED(1447, 12), TO_UNSIGNED(1471, 12),
TO_UNSIGNED(204, 12), TO_UNSIGNED(252, 12),
TO_UNSIGNED(829, 12), TO_UNSIGNED(933, 12),
TO_UNSIGNED(1450, 12), TO_UNSIGNED(1495, 12),
TO_UNSIGNED(206, 12), TO_UNSIGNED(254, 12),
TO_UNSIGNED(691, 12), TO_UNSIGNED(936, 12),
TO_UNSIGNED(1453, 12), TO_UNSIGNED(1501, 12),
TO_UNSIGNED(208, 12), TO_UNSIGNED(256, 12),
TO_UNSIGNED(697, 12), TO_UNSIGNED(939, 12),
TO_UNSIGNED(1456, 12), TO_UNSIGNED(1507, 12),
TO_UNSIGNED(210, 12), TO_UNSIGNED(258, 12),
TO_UNSIGNED(703, 12), TO_UNSIGNED(942, 12),
TO_UNSIGNED(1462, 12), TO_UNSIGNED(1489, 12),
TO_UNSIGNED(212, 12), TO_UNSIGNED(260, 12),
TO_UNSIGNED(709, 12), TO_UNSIGNED(945, 12),
TO_UNSIGNED(1459, 12), TO_UNSIGNED(1513, 12),
TO_UNSIGNED(214, 12), TO_UNSIGNED(262, 12),
TO_UNSIGNED(715, 12), TO_UNSIGNED(948, 12),
TO_UNSIGNED(1486, 12), TO_UNSIGNED(1525, 12),
TO_UNSIGNED(216, 12), TO_UNSIGNED(264, 12),
TO_UNSIGNED(721, 12), TO_UNSIGNED(951, 12),
TO_UNSIGNED(1519, 12), TO_UNSIGNED(1618, 12),
TO_UNSIGNED(218, 12), TO_UNSIGNED(266, 12),
TO_UNSIGNED(727, 12), TO_UNSIGNED(954, 12),
TO_UNSIGNED(1399, 12), TO_UNSIGNED(1543, 12),
TO_UNSIGNED(220, 12), TO_UNSIGNED(268, 12),
TO_UNSIGNED(733, 12), TO_UNSIGNED(957, 12),
TO_UNSIGNED(1402, 12), TO_UNSIGNED(1549, 12),
TO_UNSIGNED(222, 12), TO_UNSIGNED(270, 12),
TO_UNSIGNED(739, 12), TO_UNSIGNED(960, 12),
TO_UNSIGNED(1405, 12), TO_UNSIGNED(1531, 12),
TO_UNSIGNED(224, 12), TO_UNSIGNED(272, 12),
TO_UNSIGNED(745, 12), TO_UNSIGNED(963, 12),
TO_UNSIGNED(1414, 12), TO_UNSIGNED(1537, 12),
TO_UNSIGNED(226, 12), TO_UNSIGNED(274, 12),
TO_UNSIGNED(751, 12), TO_UNSIGNED(966, 12),
TO_UNSIGNED(1417, 12), TO_UNSIGNED(1555, 12),
TO_UNSIGNED(228, 12), TO_UNSIGNED(276, 12),
TO_UNSIGNED(757, 12), TO_UNSIGNED(969, 12),
TO_UNSIGNED(1420, 12), TO_UNSIGNED(1561, 12),
TO_UNSIGNED(230, 12), TO_UNSIGNED(278, 12),
TO_UNSIGNED(763, 12), TO_UNSIGNED(972, 12),
TO_UNSIGNED(1408, 12), TO_UNSIGNED(1573, 12),
TO_UNSIGNED(232, 12), TO_UNSIGNED(280, 12),
TO_UNSIGNED(769, 12), TO_UNSIGNED(975, 12),
TO_UNSIGNED(1411, 12), TO_UNSIGNED(1567, 12),
TO_UNSIGNED(235, 12), TO_UNSIGNED(282, 12),
TO_UNSIGNED(788, 12), TO_UNSIGNED(1206, 12),
TO_UNSIGNED(1556, 12), TO_UNSIGNED(1635, 12),
TO_UNSIGNED(237, 12), TO_UNSIGNED(284, 12),
TO_UNSIGNED(794, 12), TO_UNSIGNED(1209, 12),
TO_UNSIGNED(1562, 12), TO_UNSIGNED(1629, 12),
TO_UNSIGNED(239, 12), TO_UNSIGNED(286, 12),
TO_UNSIGNED(800, 12), TO_UNSIGNED(1194, 12),
TO_UNSIGNED(1574, 12), TO_UNSIGNED(1632, 12),
TO_UNSIGNED(241, 12), TO_UNSIGNED(288, 12),
TO_UNSIGNED(806, 12), TO_UNSIGNED(1215, 12),
TO_UNSIGNED(1568, 12), TO_UNSIGNED(1641, 12),
TO_UNSIGNED(243, 12), TO_UNSIGNED(290, 12),
TO_UNSIGNED(812, 12), TO_UNSIGNED(1212, 12),
TO_UNSIGNED(1586, 12), TO_UNSIGNED(1638, 12),
TO_UNSIGNED(245, 12), TO_UNSIGNED(292, 12),
TO_UNSIGNED(818, 12), TO_UNSIGNED(1221, 12),
TO_UNSIGNED(1580, 12), TO_UNSIGNED(1644, 12),
TO_UNSIGNED(247, 12), TO_UNSIGNED(294, 12),
TO_UNSIGNED(824, 12), TO_UNSIGNED(1224, 12),
TO_UNSIGNED(1598, 12), TO_UNSIGNED(1650, 12),
TO_UNSIGNED(249, 12), TO_UNSIGNED(296, 12),
TO_UNSIGNED(830, 12), TO_UNSIGNED(1227, 12),
TO_UNSIGNED(1604, 12), TO_UNSIGNED(1647, 12),
TO_UNSIGNED(251, 12), TO_UNSIGNED(298, 12),
TO_UNSIGNED(692, 12), TO_UNSIGNED(1218, 12),
TO_UNSIGNED(1592, 12), TO_UNSIGNED(1653, 12),
TO_UNSIGNED(2, 12), TO_UNSIGNED(253, 12),
TO_UNSIGNED(300, 12), TO_UNSIGNED(698, 12),
TO_UNSIGNED(1233, 12), TO_UNSIGNED(1656, 12),
TO_UNSIGNED(255, 12), TO_UNSIGNED(302, 12),
TO_UNSIGNED(704, 12), TO_UNSIGNED(1236, 12),
TO_UNSIGNED(1478, 12), TO_UNSIGNED(1659, 12),
TO_UNSIGNED(257, 12), TO_UNSIGNED(304, 12),
TO_UNSIGNED(710, 12), TO_UNSIGNED(1239, 12),
TO_UNSIGNED(1466, 12), TO_UNSIGNED(1662, 12),
TO_UNSIGNED(259, 12), TO_UNSIGNED(306, 12),
TO_UNSIGNED(716, 12), TO_UNSIGNED(1230, 12),
TO_UNSIGNED(1472, 12), TO_UNSIGNED(1665, 12),
TO_UNSIGNED(261, 12), TO_UNSIGNED(308, 12),
TO_UNSIGNED(722, 12), TO_UNSIGNED(1251, 12),
TO_UNSIGNED(1496, 12), TO_UNSIGNED(1671, 12),
TO_UNSIGNED(263, 12), TO_UNSIGNED(310, 12),
TO_UNSIGNED(728, 12), TO_UNSIGNED(1242, 12),
TO_UNSIGNED(1502, 12), TO_UNSIGNED(1668, 12),
TO_UNSIGNED(265, 12), TO_UNSIGNED(312, 12),
TO_UNSIGNED(734, 12), TO_UNSIGNED(1245, 12),
TO_UNSIGNED(1508, 12), TO_UNSIGNED(1674, 12),
TO_UNSIGNED(267, 12), TO_UNSIGNED(314, 12),
TO_UNSIGNED(740, 12), TO_UNSIGNED(1248, 12),
TO_UNSIGNED(1490, 12), TO_UNSIGNED(1677, 12),
TO_UNSIGNED(269, 12), TO_UNSIGNED(316, 12),
TO_UNSIGNED(746, 12), TO_UNSIGNED(1257, 12),
TO_UNSIGNED(1514, 12), TO_UNSIGNED(1680, 12),
TO_UNSIGNED(271, 12), TO_UNSIGNED(318, 12),
TO_UNSIGNED(752, 12), TO_UNSIGNED(1254, 12),
TO_UNSIGNED(1482, 12), TO_UNSIGNED(1526, 12),
TO_UNSIGNED(273, 12), TO_UNSIGNED(320, 12),
TO_UNSIGNED(758, 12), TO_UNSIGNED(1263, 12),
TO_UNSIGNED(1520, 12), TO_UNSIGNED(1608, 12),
TO_UNSIGNED(275, 12), TO_UNSIGNED(322, 12),
TO_UNSIGNED(764, 12), TO_UNSIGNED(1260, 12),
TO_UNSIGNED(1544, 12), TO_UNSIGNED(1611, 12),
TO_UNSIGNED(277, 12), TO_UNSIGNED(324, 12),
TO_UNSIGNED(770, 12), TO_UNSIGNED(1197, 12),
TO_UNSIGNED(1550, 12), TO_UNSIGNED(1620, 12),
TO_UNSIGNED(279, 12), TO_UNSIGNED(326, 12),
TO_UNSIGNED(776, 12), TO_UNSIGNED(1200, 12),
TO_UNSIGNED(1532, 12), TO_UNSIGNED(1623, 12),
TO_UNSIGNED(281, 12), TO_UNSIGNED(328, 12),
TO_UNSIGNED(782, 12), TO_UNSIGNED(1203, 12),
TO_UNSIGNED(1538, 12), TO_UNSIGNED(1626, 12),
TO_UNSIGNED(330, 12), TO_UNSIGNED(378, 12),
TO_UNSIGNED(705, 12), TO_UNSIGNED(885, 12),
TO_UNSIGNED(1605, 12), TO_UNSIGNED(1669, 12),
TO_UNSIGNED(332, 12), TO_UNSIGNED(380, 12),
TO_UNSIGNED(711, 12), TO_UNSIGNED(888, 12),
TO_UNSIGNED(1593, 12), TO_UNSIGNED(1675, 12),
TO_UNSIGNED(3, 12), TO_UNSIGNED(334, 12),
TO_UNSIGNED(382, 12), TO_UNSIGNED(717, 12),
TO_UNSIGNED(891, 12), TO_UNSIGNED(1678, 12),
TO_UNSIGNED(336, 12), TO_UNSIGNED(384, 12),
TO_UNSIGNED(723, 12), TO_UNSIGNED(894, 12),
TO_UNSIGNED(1479, 12), TO_UNSIGNED(1681, 12),
TO_UNSIGNED(338, 12), TO_UNSIGNED(386, 12),
TO_UNSIGNED(729, 12), TO_UNSIGNED(897, 12),
TO_UNSIGNED(1467, 12), TO_UNSIGNED(1483, 12),
TO_UNSIGNED(340, 12), TO_UNSIGNED(388, 12),
TO_UNSIGNED(735, 12), TO_UNSIGNED(900, 12),
TO_UNSIGNED(1473, 12), TO_UNSIGNED(1609, 12),
TO_UNSIGNED(342, 12), TO_UNSIGNED(390, 12),
TO_UNSIGNED(741, 12), TO_UNSIGNED(903, 12),
TO_UNSIGNED(1497, 12), TO_UNSIGNED(1612, 12),
TO_UNSIGNED(344, 12), TO_UNSIGNED(392, 12),
TO_UNSIGNED(747, 12), TO_UNSIGNED(834, 12),
TO_UNSIGNED(1503, 12), TO_UNSIGNED(1621, 12),
TO_UNSIGNED(346, 12), TO_UNSIGNED(394, 12),
TO_UNSIGNED(753, 12), TO_UNSIGNED(837, 12),
TO_UNSIGNED(1509, 12), TO_UNSIGNED(1624, 12),
TO_UNSIGNED(348, 12), TO_UNSIGNED(396, 12),
TO_UNSIGNED(759, 12), TO_UNSIGNED(840, 12),
TO_UNSIGNED(1491, 12), TO_UNSIGNED(1627, 12),
TO_UNSIGNED(350, 12), TO_UNSIGNED(398, 12),
TO_UNSIGNED(765, 12), TO_UNSIGNED(843, 12),
TO_UNSIGNED(1515, 12), TO_UNSIGNED(1636, 12),
TO_UNSIGNED(352, 12), TO_UNSIGNED(400, 12),
TO_UNSIGNED(771, 12), TO_UNSIGNED(846, 12),
TO_UNSIGNED(1527, 12), TO_UNSIGNED(1630, 12),
TO_UNSIGNED(354, 12), TO_UNSIGNED(402, 12),
TO_UNSIGNED(777, 12), TO_UNSIGNED(849, 12),
TO_UNSIGNED(1521, 12), TO_UNSIGNED(1633, 12),
TO_UNSIGNED(356, 12), TO_UNSIGNED(404, 12),
TO_UNSIGNED(783, 12), TO_UNSIGNED(852, 12),
TO_UNSIGNED(1545, 12), TO_UNSIGNED(1642, 12),
TO_UNSIGNED(358, 12), TO_UNSIGNED(406, 12),
TO_UNSIGNED(789, 12), TO_UNSIGNED(855, 12),
TO_UNSIGNED(1551, 12), TO_UNSIGNED(1639, 12),
TO_UNSIGNED(360, 12), TO_UNSIGNED(408, 12),
TO_UNSIGNED(795, 12), TO_UNSIGNED(858, 12),
TO_UNSIGNED(1533, 12), TO_UNSIGNED(1645, 12),
TO_UNSIGNED(362, 12), TO_UNSIGNED(410, 12),
TO_UNSIGNED(801, 12), TO_UNSIGNED(861, 12),
TO_UNSIGNED(1539, 12), TO_UNSIGNED(1651, 12),
TO_UNSIGNED(364, 12), TO_UNSIGNED(412, 12),
TO_UNSIGNED(807, 12), TO_UNSIGNED(864, 12),
TO_UNSIGNED(1557, 12), TO_UNSIGNED(1648, 12),
TO_UNSIGNED(366, 12), TO_UNSIGNED(414, 12),
TO_UNSIGNED(813, 12), TO_UNSIGNED(867, 12),
TO_UNSIGNED(1563, 12), TO_UNSIGNED(1654, 12),
TO_UNSIGNED(368, 12), TO_UNSIGNED(416, 12),
TO_UNSIGNED(819, 12), TO_UNSIGNED(870, 12),
TO_UNSIGNED(1575, 12), TO_UNSIGNED(1657, 12),
TO_UNSIGNED(370, 12), TO_UNSIGNED(418, 12),
TO_UNSIGNED(825, 12), TO_UNSIGNED(873, 12),
TO_UNSIGNED(1569, 12), TO_UNSIGNED(1660, 12),
TO_UNSIGNED(372, 12), TO_UNSIGNED(420, 12),
TO_UNSIGNED(831, 12), TO_UNSIGNED(876, 12),
TO_UNSIGNED(1587, 12), TO_UNSIGNED(1663, 12),
TO_UNSIGNED(374, 12), TO_UNSIGNED(422, 12),
TO_UNSIGNED(693, 12), TO_UNSIGNED(879, 12),
TO_UNSIGNED(1581, 12), TO_UNSIGNED(1666, 12),
TO_UNSIGNED(376, 12), TO_UNSIGNED(424, 12),
TO_UNSIGNED(699, 12), TO_UNSIGNED(882, 12),
TO_UNSIGNED(1599, 12), TO_UNSIGNED(1672, 12),
TO_UNSIGNED(379, 12), TO_UNSIGNED(426, 12),
TO_UNSIGNED(682, 12), TO_UNSIGNED(736, 12),
TO_UNSIGNED(1198, 12), TO_UNSIGNED(1540, 12),
TO_UNSIGNED(381, 12), TO_UNSIGNED(428, 12),
TO_UNSIGNED(685, 12), TO_UNSIGNED(742, 12),
TO_UNSIGNED(1201, 12), TO_UNSIGNED(1558, 12),
TO_UNSIGNED(383, 12), TO_UNSIGNED(430, 12),
TO_UNSIGNED(688, 12), TO_UNSIGNED(748, 12),
TO_UNSIGNED(1204, 12), TO_UNSIGNED(1564, 12),
TO_UNSIGNED(385, 12), TO_UNSIGNED(432, 12),
TO_UNSIGNED(619, 12), TO_UNSIGNED(754, 12),
TO_UNSIGNED(1207, 12), TO_UNSIGNED(1576, 12),
TO_UNSIGNED(387, 12), TO_UNSIGNED(434, 12),
TO_UNSIGNED(622, 12), TO_UNSIGNED(760, 12),
TO_UNSIGNED(1210, 12), TO_UNSIGNED(1570, 12),
TO_UNSIGNED(389, 12), TO_UNSIGNED(436, 12),
TO_UNSIGNED(625, 12), TO_UNSIGNED(766, 12),
TO_UNSIGNED(1195, 12), TO_UNSIGNED(1588, 12),
TO_UNSIGNED(391, 12), TO_UNSIGNED(438, 12),
TO_UNSIGNED(628, 12), TO_UNSIGNED(772, 12),
TO_UNSIGNED(1216, 12), TO_UNSIGNED(1582, 12),
TO_UNSIGNED(393, 12), TO_UNSIGNED(440, 12),
TO_UNSIGNED(631, 12), TO_UNSIGNED(778, 12),
TO_UNSIGNED(1213, 12), TO_UNSIGNED(1600, 12),
TO_UNSIGNED(395, 12), TO_UNSIGNED(442, 12),
TO_UNSIGNED(634, 12), TO_UNSIGNED(784, 12),
TO_UNSIGNED(1222, 12), TO_UNSIGNED(1606, 12),
TO_UNSIGNED(397, 12), TO_UNSIGNED(444, 12),
TO_UNSIGNED(637, 12), TO_UNSIGNED(790, 12),
TO_UNSIGNED(1225, 12), TO_UNSIGNED(1594, 12),
TO_UNSIGNED(4, 12), TO_UNSIGNED(399, 12),
TO_UNSIGNED(446, 12), TO_UNSIGNED(640, 12),
TO_UNSIGNED(796, 12), TO_UNSIGNED(1228, 12),
TO_UNSIGNED(401, 12), TO_UNSIGNED(448, 12),
TO_UNSIGNED(643, 12), TO_UNSIGNED(802, 12),
TO_UNSIGNED(1219, 12), TO_UNSIGNED(1480, 12),
TO_UNSIGNED(403, 12), TO_UNSIGNED(450, 12),
TO_UNSIGNED(646, 12), TO_UNSIGNED(808, 12),
TO_UNSIGNED(1234, 12), TO_UNSIGNED(1468, 12),
TO_UNSIGNED(405, 12), TO_UNSIGNED(452, 12),
TO_UNSIGNED(649, 12), TO_UNSIGNED(814, 12),
TO_UNSIGNED(1237, 12), TO_UNSIGNED(1474, 12),
TO_UNSIGNED(407, 12), TO_UNSIGNED(454, 12),
TO_UNSIGNED(652, 12), TO_UNSIGNED(820, 12),
TO_UNSIGNED(1240, 12), TO_UNSIGNED(1498, 12),
TO_UNSIGNED(409, 12), TO_UNSIGNED(456, 12),
TO_UNSIGNED(655, 12), TO_UNSIGNED(826, 12),
TO_UNSIGNED(1231, 12), TO_UNSIGNED(1504, 12),
TO_UNSIGNED(411, 12), TO_UNSIGNED(458, 12),
TO_UNSIGNED(658, 12), TO_UNSIGNED(832, 12),
TO_UNSIGNED(1252, 12), TO_UNSIGNED(1510, 12),
TO_UNSIGNED(413, 12), TO_UNSIGNED(460, 12),
TO_UNSIGNED(661, 12), TO_UNSIGNED(694, 12),
TO_UNSIGNED(1243, 12), TO_UNSIGNED(1492, 12),
TO_UNSIGNED(415, 12), TO_UNSIGNED(462, 12),
TO_UNSIGNED(664, 12), TO_UNSIGNED(700, 12),
TO_UNSIGNED(1246, 12), TO_UNSIGNED(1516, 12),
TO_UNSIGNED(417, 12), TO_UNSIGNED(464, 12),
TO_UNSIGNED(667, 12), TO_UNSIGNED(706, 12),
TO_UNSIGNED(1249, 12), TO_UNSIGNED(1528, 12),
TO_UNSIGNED(419, 12), TO_UNSIGNED(466, 12),
TO_UNSIGNED(670, 12), TO_UNSIGNED(712, 12),
TO_UNSIGNED(1258, 12), TO_UNSIGNED(1522, 12),
TO_UNSIGNED(421, 12), TO_UNSIGNED(468, 12),
TO_UNSIGNED(673, 12), TO_UNSIGNED(718, 12),
TO_UNSIGNED(1255, 12), TO_UNSIGNED(1546, 12),
TO_UNSIGNED(423, 12), TO_UNSIGNED(470, 12),
TO_UNSIGNED(676, 12), TO_UNSIGNED(724, 12),
TO_UNSIGNED(1264, 12), TO_UNSIGNED(1552, 12),
TO_UNSIGNED(425, 12), TO_UNSIGNED(472, 12),
TO_UNSIGNED(679, 12), TO_UNSIGNED(730, 12),
TO_UNSIGNED(1261, 12), TO_UNSIGNED(1534, 12),
TO_UNSIGNED(474, 12), TO_UNSIGNED(522, 12),
TO_UNSIGNED(1062, 12), TO_UNSIGNED(1332, 12),
TO_UNSIGNED(1631, 12), TO_UNSIGNED(1729, 12),
TO_UNSIGNED(476, 12), TO_UNSIGNED(524, 12),
TO_UNSIGNED(1068, 12), TO_UNSIGNED(1326, 12),
TO_UNSIGNED(1634, 12), TO_UNSIGNED(1723, 12),
TO_UNSIGNED(478, 12), TO_UNSIGNED(526, 12),
TO_UNSIGNED(1074, 12), TO_UNSIGNED(1350, 12),
TO_UNSIGNED(1643, 12), TO_UNSIGNED(1735, 12),
TO_UNSIGNED(480, 12), TO_UNSIGNED(528, 12),
TO_UNSIGNED(1080, 12), TO_UNSIGNED(1356, 12),
TO_UNSIGNED(1640, 12), TO_UNSIGNED(1771, 12),
TO_UNSIGNED(482, 12), TO_UNSIGNED(530, 12),
TO_UNSIGNED(1086, 12), TO_UNSIGNED(1338, 12),
TO_UNSIGNED(1646, 12), TO_UNSIGNED(1777, 12),
TO_UNSIGNED(484, 12), TO_UNSIGNED(532, 12),
TO_UNSIGNED(1092, 12), TO_UNSIGNED(1344, 12),
TO_UNSIGNED(1652, 12), TO_UNSIGNED(1741, 12),
TO_UNSIGNED(486, 12), TO_UNSIGNED(534, 12),
TO_UNSIGNED(1098, 12), TO_UNSIGNED(1368, 12),
TO_UNSIGNED(1649, 12), TO_UNSIGNED(1747, 12),
TO_UNSIGNED(488, 12), TO_UNSIGNED(536, 12),
TO_UNSIGNED(1104, 12), TO_UNSIGNED(1374, 12),
TO_UNSIGNED(1655, 12), TO_UNSIGNED(1753, 12),
TO_UNSIGNED(490, 12), TO_UNSIGNED(538, 12),
TO_UNSIGNED(1110, 12), TO_UNSIGNED(1362, 12),
TO_UNSIGNED(1658, 12), TO_UNSIGNED(1759, 12),
TO_UNSIGNED(492, 12), TO_UNSIGNED(540, 12),
TO_UNSIGNED(1116, 12), TO_UNSIGNED(1392, 12),
TO_UNSIGNED(1661, 12), TO_UNSIGNED(1765, 12),
TO_UNSIGNED(494, 12), TO_UNSIGNED(542, 12),
TO_UNSIGNED(978, 12), TO_UNSIGNED(1380, 12),
TO_UNSIGNED(1664, 12), TO_UNSIGNED(1801, 12),
TO_UNSIGNED(496, 12), TO_UNSIGNED(544, 12),
TO_UNSIGNED(984, 12), TO_UNSIGNED(1386, 12),
TO_UNSIGNED(1667, 12), TO_UNSIGNED(1789, 12),
TO_UNSIGNED(130, 12), TO_UNSIGNED(498, 12),
TO_UNSIGNED(546, 12), TO_UNSIGNED(990, 12),
TO_UNSIGNED(1673, 12), TO_UNSIGNED(1795, 12),
TO_UNSIGNED(78, 12), TO_UNSIGNED(500, 12),
TO_UNSIGNED(548, 12), TO_UNSIGNED(996, 12),
TO_UNSIGNED(1670, 12), TO_UNSIGNED(1807, 12),
TO_UNSIGNED(502, 12), TO_UNSIGNED(550, 12),
TO_UNSIGNED(1002, 12), TO_UNSIGNED(1272, 12),
TO_UNSIGNED(1676, 12), TO_UNSIGNED(1783, 12),
TO_UNSIGNED(6, 12), TO_UNSIGNED(504, 12),
TO_UNSIGNED(552, 12), TO_UNSIGNED(1008, 12),
TO_UNSIGNED(1278, 12), TO_UNSIGNED(1679, 12),
TO_UNSIGNED(506, 12), TO_UNSIGNED(554, 12),
TO_UNSIGNED(1014, 12), TO_UNSIGNED(1284, 12),
TO_UNSIGNED(1682, 12), TO_UNSIGNED(1813, 12),
TO_UNSIGNED(136, 12), TO_UNSIGNED(508, 12),
TO_UNSIGNED(556, 12), TO_UNSIGNED(1020, 12),
TO_UNSIGNED(1266, 12), TO_UNSIGNED(1484, 12),
TO_UNSIGNED(510, 12), TO_UNSIGNED(558, 12),
TO_UNSIGNED(1026, 12), TO_UNSIGNED(1296, 12),
TO_UNSIGNED(1610, 12), TO_UNSIGNED(1687, 12),
TO_UNSIGNED(512, 12), TO_UNSIGNED(560, 12),
TO_UNSIGNED(1032, 12), TO_UNSIGNED(1290, 12),
TO_UNSIGNED(1613, 12), TO_UNSIGNED(1711, 12),
TO_UNSIGNED(514, 12), TO_UNSIGNED(562, 12),
TO_UNSIGNED(1038, 12), TO_UNSIGNED(1308, 12),
TO_UNSIGNED(1622, 12), TO_UNSIGNED(1699, 12),
TO_UNSIGNED(516, 12), TO_UNSIGNED(564, 12),
TO_UNSIGNED(1044, 12), TO_UNSIGNED(1302, 12),
TO_UNSIGNED(1625, 12), TO_UNSIGNED(1705, 12),
TO_UNSIGNED(518, 12), TO_UNSIGNED(566, 12),
TO_UNSIGNED(1050, 12), TO_UNSIGNED(1314, 12),
TO_UNSIGNED(1628, 12), TO_UNSIGNED(1693, 12),
TO_UNSIGNED(520, 12), TO_UNSIGNED(568, 12),
TO_UNSIGNED(1056, 12), TO_UNSIGNED(1320, 12),
TO_UNSIGNED(1637, 12), TO_UNSIGNED(1717, 12),
TO_UNSIGNED(523, 12), TO_UNSIGNED(570, 12),
TO_UNSIGNED(695, 12), TO_UNSIGNED(877, 12),
TO_UNSIGNED(1445, 12), TO_UNSIGNED(1535, 12),
TO_UNSIGNED(525, 12), TO_UNSIGNED(572, 12),
TO_UNSIGNED(701, 12), TO_UNSIGNED(880, 12),
TO_UNSIGNED(1448, 12), TO_UNSIGNED(1541, 12),
TO_UNSIGNED(527, 12), TO_UNSIGNED(574, 12),
TO_UNSIGNED(707, 12), TO_UNSIGNED(883, 12),
TO_UNSIGNED(1451, 12), TO_UNSIGNED(1559, 12),
TO_UNSIGNED(529, 12), TO_UNSIGNED(576, 12),
TO_UNSIGNED(713, 12), TO_UNSIGNED(886, 12),
TO_UNSIGNED(1454, 12), TO_UNSIGNED(1565, 12),
TO_UNSIGNED(531, 12), TO_UNSIGNED(578, 12),
TO_UNSIGNED(719, 12), TO_UNSIGNED(889, 12),
TO_UNSIGNED(1457, 12), TO_UNSIGNED(1577, 12),
TO_UNSIGNED(533, 12), TO_UNSIGNED(580, 12),
TO_UNSIGNED(725, 12), TO_UNSIGNED(892, 12),
TO_UNSIGNED(1463, 12), TO_UNSIGNED(1571, 12),
TO_UNSIGNED(535, 12), TO_UNSIGNED(582, 12),
TO_UNSIGNED(731, 12), TO_UNSIGNED(895, 12),
TO_UNSIGNED(1460, 12), TO_UNSIGNED(1589, 12),
TO_UNSIGNED(537, 12), TO_UNSIGNED(584, 12),
TO_UNSIGNED(737, 12), TO_UNSIGNED(898, 12),
TO_UNSIGNED(1487, 12), TO_UNSIGNED(1583, 12),
TO_UNSIGNED(539, 12), TO_UNSIGNED(586, 12),
TO_UNSIGNED(743, 12), TO_UNSIGNED(901, 12),
TO_UNSIGNED(1601, 12), TO_UNSIGNED(1619, 12),
TO_UNSIGNED(541, 12), TO_UNSIGNED(588, 12),
TO_UNSIGNED(749, 12), TO_UNSIGNED(904, 12),
TO_UNSIGNED(1400, 12), TO_UNSIGNED(1607, 12),
TO_UNSIGNED(543, 12), TO_UNSIGNED(590, 12),
TO_UNSIGNED(755, 12), TO_UNSIGNED(835, 12),
TO_UNSIGNED(1403, 12), TO_UNSIGNED(1595, 12),
TO_UNSIGNED(5, 12), TO_UNSIGNED(545, 12),
TO_UNSIGNED(592, 12), TO_UNSIGNED(761, 12),
TO_UNSIGNED(838, 12), TO_UNSIGNED(1406, 12),
TO_UNSIGNED(547, 12), TO_UNSIGNED(594, 12),
TO_UNSIGNED(767, 12), TO_UNSIGNED(841, 12),
TO_UNSIGNED(1415, 12), TO_UNSIGNED(1481, 12),
TO_UNSIGNED(549, 12), TO_UNSIGNED(596, 12),
TO_UNSIGNED(773, 12), TO_UNSIGNED(844, 12),
TO_UNSIGNED(1418, 12), TO_UNSIGNED(1469, 12),
TO_UNSIGNED(551, 12), TO_UNSIGNED(598, 12),
TO_UNSIGNED(779, 12), TO_UNSIGNED(847, 12),
TO_UNSIGNED(1421, 12), TO_UNSIGNED(1475, 12),
TO_UNSIGNED(553, 12), TO_UNSIGNED(600, 12),
TO_UNSIGNED(785, 12), TO_UNSIGNED(850, 12),
TO_UNSIGNED(1409, 12), TO_UNSIGNED(1499, 12),
TO_UNSIGNED(555, 12), TO_UNSIGNED(602, 12),
TO_UNSIGNED(791, 12), TO_UNSIGNED(853, 12),
TO_UNSIGNED(1412, 12), TO_UNSIGNED(1505, 12),
TO_UNSIGNED(557, 12), TO_UNSIGNED(604, 12),
TO_UNSIGNED(797, 12), TO_UNSIGNED(856, 12),
TO_UNSIGNED(1436, 12), TO_UNSIGNED(1511, 12),
TO_UNSIGNED(559, 12), TO_UNSIGNED(606, 12),
TO_UNSIGNED(803, 12), TO_UNSIGNED(859, 12),
TO_UNSIGNED(1433, 12), TO_UNSIGNED(1493, 12),
TO_UNSIGNED(561, 12), TO_UNSIGNED(608, 12),
TO_UNSIGNED(809, 12), TO_UNSIGNED(862, 12),
TO_UNSIGNED(1424, 12), TO_UNSIGNED(1517, 12),
TO_UNSIGNED(563, 12), TO_UNSIGNED(610, 12),
TO_UNSIGNED(815, 12), TO_UNSIGNED(865, 12),
TO_UNSIGNED(1427, 12), TO_UNSIGNED(1529, 12),
TO_UNSIGNED(565, 12), TO_UNSIGNED(612, 12),
TO_UNSIGNED(821, 12), TO_UNSIGNED(868, 12),
TO_UNSIGNED(1430, 12), TO_UNSIGNED(1523, 12),
TO_UNSIGNED(567, 12), TO_UNSIGNED(614, 12),
TO_UNSIGNED(827, 12), TO_UNSIGNED(871, 12),
TO_UNSIGNED(1439, 12), TO_UNSIGNED(1547, 12),
TO_UNSIGNED(569, 12), TO_UNSIGNED(616, 12),
TO_UNSIGNED(833, 12), TO_UNSIGNED(874, 12),
TO_UNSIGNED(1442, 12), TO_UNSIGNED(1553, 12),
TO_UNSIGNED(76, 12), TO_UNSIGNED(571, 12),
TO_UNSIGNED(922, 12), TO_UNSIGNED(1105, 12),
TO_UNSIGNED(1339, 12), TO_UNSIGNED(1796, 12),
TO_UNSIGNED(573, 12), TO_UNSIGNED(925, 12),
TO_UNSIGNED(1111, 12), TO_UNSIGNED(1345, 12),
TO_UNSIGNED(1615, 12), TO_UNSIGNED(1808, 12),
TO_UNSIGNED(575, 12), TO_UNSIGNED(928, 12),
TO_UNSIGNED(1117, 12), TO_UNSIGNED(1369, 12),
TO_UNSIGNED(1784, 12), TO_UNSIGNED(1820, 12),
TO_UNSIGNED(7, 12), TO_UNSIGNED(13, 12),
TO_UNSIGNED(577, 12), TO_UNSIGNED(931, 12),
TO_UNSIGNED(979, 12), TO_UNSIGNED(1375, 12),
TO_UNSIGNED(16, 12), TO_UNSIGNED(579, 12),
TO_UNSIGNED(934, 12), TO_UNSIGNED(985, 12),
TO_UNSIGNED(1363, 12), TO_UNSIGNED(1814, 12),
TO_UNSIGNED(19, 12), TO_UNSIGNED(137, 12),
TO_UNSIGNED(581, 12), TO_UNSIGNED(937, 12),
TO_UNSIGNED(991, 12), TO_UNSIGNED(1393, 12),
TO_UNSIGNED(22, 12), TO_UNSIGNED(583, 12),
TO_UNSIGNED(940, 12), TO_UNSIGNED(997, 12),
TO_UNSIGNED(1381, 12), TO_UNSIGNED(1688, 12),
TO_UNSIGNED(25, 12), TO_UNSIGNED(585, 12),
TO_UNSIGNED(943, 12), TO_UNSIGNED(1003, 12),
TO_UNSIGNED(1387, 12), TO_UNSIGNED(1712, 12),
TO_UNSIGNED(28, 12), TO_UNSIGNED(131, 12),
TO_UNSIGNED(587, 12), TO_UNSIGNED(946, 12),
TO_UNSIGNED(1009, 12), TO_UNSIGNED(1700, 12),
TO_UNSIGNED(31, 12), TO_UNSIGNED(79, 12),
TO_UNSIGNED(589, 12), TO_UNSIGNED(949, 12),
TO_UNSIGNED(1015, 12), TO_UNSIGNED(1706, 12),
TO_UNSIGNED(34, 12), TO_UNSIGNED(591, 12),
TO_UNSIGNED(952, 12), TO_UNSIGNED(1021, 12),
TO_UNSIGNED(1273, 12), TO_UNSIGNED(1694, 12),
TO_UNSIGNED(37, 12), TO_UNSIGNED(593, 12),
TO_UNSIGNED(955, 12), TO_UNSIGNED(1027, 12),
TO_UNSIGNED(1279, 12), TO_UNSIGNED(1718, 12),
TO_UNSIGNED(40, 12), TO_UNSIGNED(595, 12),
TO_UNSIGNED(958, 12), TO_UNSIGNED(1033, 12),
TO_UNSIGNED(1285, 12), TO_UNSIGNED(1730, 12),
TO_UNSIGNED(43, 12), TO_UNSIGNED(597, 12),
TO_UNSIGNED(961, 12), TO_UNSIGNED(1039, 12),
TO_UNSIGNED(1267, 12), TO_UNSIGNED(1724, 12),
TO_UNSIGNED(46, 12), TO_UNSIGNED(599, 12),
TO_UNSIGNED(964, 12), TO_UNSIGNED(1045, 12),
TO_UNSIGNED(1297, 12), TO_UNSIGNED(1736, 12),
TO_UNSIGNED(49, 12), TO_UNSIGNED(601, 12),
TO_UNSIGNED(967, 12), TO_UNSIGNED(1051, 12),
TO_UNSIGNED(1291, 12), TO_UNSIGNED(1772, 12),
TO_UNSIGNED(52, 12), TO_UNSIGNED(603, 12),
TO_UNSIGNED(970, 12), TO_UNSIGNED(1057, 12),
TO_UNSIGNED(1309, 12), TO_UNSIGNED(1778, 12),
TO_UNSIGNED(55, 12), TO_UNSIGNED(605, 12),
TO_UNSIGNED(973, 12), TO_UNSIGNED(1063, 12),
TO_UNSIGNED(1303, 12), TO_UNSIGNED(1742, 12),
TO_UNSIGNED(58, 12), TO_UNSIGNED(607, 12),
TO_UNSIGNED(976, 12), TO_UNSIGNED(1069, 12),
TO_UNSIGNED(1315, 12), TO_UNSIGNED(1748, 12),
TO_UNSIGNED(61, 12), TO_UNSIGNED(609, 12),
TO_UNSIGNED(907, 12), TO_UNSIGNED(1075, 12),
TO_UNSIGNED(1321, 12), TO_UNSIGNED(1754, 12),
TO_UNSIGNED(64, 12), TO_UNSIGNED(611, 12),
TO_UNSIGNED(910, 12), TO_UNSIGNED(1081, 12),
TO_UNSIGNED(1333, 12), TO_UNSIGNED(1760, 12),
TO_UNSIGNED(67, 12), TO_UNSIGNED(613, 12),
TO_UNSIGNED(913, 12), TO_UNSIGNED(1087, 12),
TO_UNSIGNED(1327, 12), TO_UNSIGNED(1766, 12),
TO_UNSIGNED(70, 12), TO_UNSIGNED(615, 12),
TO_UNSIGNED(916, 12), TO_UNSIGNED(1093, 12),
TO_UNSIGNED(1351, 12), TO_UNSIGNED(1802, 12),
TO_UNSIGNED(73, 12), TO_UNSIGNED(617, 12),
TO_UNSIGNED(919, 12), TO_UNSIGNED(1099, 12),
TO_UNSIGNED(1357, 12), TO_UNSIGNED(1790, 12),
TO_UNSIGNED(8, 12), TO_UNSIGNED(132, 12),
TO_UNSIGNED(671, 12), TO_UNSIGNED(1028, 12),
TO_UNSIGNED(1196, 12), TO_UNSIGNED(1684, 12),
TO_UNSIGNED(1685, 12), TO_UNSIGNED(80, 12),
TO_UNSIGNED(85, 12), TO_UNSIGNED(674, 12),
TO_UNSIGNED(1034, 12), TO_UNSIGNED(1217, 12),
TO_UNSIGNED(1815, 12), TO_UNSIGNED(1822, 12),
TO_UNSIGNED(87, 12), TO_UNSIGNED(138, 12),
TO_UNSIGNED(142, 12), TO_UNSIGNED(677, 12),
TO_UNSIGNED(1040, 12), TO_UNSIGNED(1214, 12),
TO_UNSIGNED(1274, 12), TO_UNSIGNED(89, 12),
TO_UNSIGNED(144, 12), TO_UNSIGNED(680, 12),
TO_UNSIGNED(1046, 12), TO_UNSIGNED(1223, 12),
TO_UNSIGNED(1280, 12), TO_UNSIGNED(1689, 12),
TO_UNSIGNED(91, 12), TO_UNSIGNED(146, 12),
TO_UNSIGNED(683, 12), TO_UNSIGNED(1052, 12),
TO_UNSIGNED(1226, 12), TO_UNSIGNED(1286, 12),
TO_UNSIGNED(1713, 12), TO_UNSIGNED(93, 12),
TO_UNSIGNED(148, 12), TO_UNSIGNED(686, 12),
TO_UNSIGNED(1058, 12), TO_UNSIGNED(1229, 12),
TO_UNSIGNED(1268, 12), TO_UNSIGNED(1701, 12),
TO_UNSIGNED(95, 12), TO_UNSIGNED(150, 12),
TO_UNSIGNED(689, 12), TO_UNSIGNED(1064, 12),
TO_UNSIGNED(1220, 12), TO_UNSIGNED(1298, 12),
TO_UNSIGNED(1707, 12), TO_UNSIGNED(97, 12),
TO_UNSIGNED(152, 12), TO_UNSIGNED(620, 12),
TO_UNSIGNED(1070, 12), TO_UNSIGNED(1235, 12),
TO_UNSIGNED(1292, 12), TO_UNSIGNED(1695, 12),
TO_UNSIGNED(99, 12), TO_UNSIGNED(154, 12),
TO_UNSIGNED(623, 12), TO_UNSIGNED(1076, 12),
TO_UNSIGNED(1238, 12), TO_UNSIGNED(1310, 12),
TO_UNSIGNED(1719, 12), TO_UNSIGNED(101, 12),
TO_UNSIGNED(156, 12), TO_UNSIGNED(626, 12),
TO_UNSIGNED(1082, 12), TO_UNSIGNED(1241, 12),
TO_UNSIGNED(1304, 12), TO_UNSIGNED(1731, 12),
TO_UNSIGNED(103, 12), TO_UNSIGNED(158, 12),
TO_UNSIGNED(629, 12), TO_UNSIGNED(1088, 12),
TO_UNSIGNED(1232, 12), TO_UNSIGNED(1316, 12),
TO_UNSIGNED(1725, 12), TO_UNSIGNED(105, 12),
TO_UNSIGNED(160, 12), TO_UNSIGNED(632, 12),
TO_UNSIGNED(1094, 12), TO_UNSIGNED(1253, 12),
TO_UNSIGNED(1322, 12), TO_UNSIGNED(1737, 12),
TO_UNSIGNED(107, 12), TO_UNSIGNED(162, 12),
TO_UNSIGNED(635, 12), TO_UNSIGNED(1100, 12),
TO_UNSIGNED(1244, 12), TO_UNSIGNED(1334, 12),
TO_UNSIGNED(1773, 12), TO_UNSIGNED(109, 12),
TO_UNSIGNED(164, 12), TO_UNSIGNED(638, 12),
TO_UNSIGNED(1106, 12), TO_UNSIGNED(1247, 12),
TO_UNSIGNED(1328, 12), TO_UNSIGNED(1779, 12),
TO_UNSIGNED(111, 12), TO_UNSIGNED(166, 12),
TO_UNSIGNED(641, 12), TO_UNSIGNED(1112, 12),
TO_UNSIGNED(1250, 12), TO_UNSIGNED(1352, 12),
TO_UNSIGNED(1743, 12), TO_UNSIGNED(113, 12),
TO_UNSIGNED(168, 12), TO_UNSIGNED(644, 12),
TO_UNSIGNED(1118, 12), TO_UNSIGNED(1259, 12),
TO_UNSIGNED(1358, 12), TO_UNSIGNED(1749, 12),
TO_UNSIGNED(115, 12), TO_UNSIGNED(170, 12),
TO_UNSIGNED(647, 12), TO_UNSIGNED(980, 12),
TO_UNSIGNED(1256, 12), TO_UNSIGNED(1340, 12),
TO_UNSIGNED(1755, 12), TO_UNSIGNED(117, 12),
TO_UNSIGNED(172, 12), TO_UNSIGNED(650, 12),
TO_UNSIGNED(986, 12), TO_UNSIGNED(1265, 12),
TO_UNSIGNED(1346, 12), TO_UNSIGNED(1761, 12),
TO_UNSIGNED(119, 12), TO_UNSIGNED(174, 12),
TO_UNSIGNED(653, 12), TO_UNSIGNED(992, 12),
TO_UNSIGNED(1262, 12), TO_UNSIGNED(1370, 12),
TO_UNSIGNED(1767, 12), TO_UNSIGNED(121, 12),
TO_UNSIGNED(176, 12), TO_UNSIGNED(656, 12),
TO_UNSIGNED(998, 12), TO_UNSIGNED(1199, 12),
TO_UNSIGNED(1376, 12), TO_UNSIGNED(1803, 12),
TO_UNSIGNED(123, 12), TO_UNSIGNED(178, 12),
TO_UNSIGNED(659, 12), TO_UNSIGNED(1004, 12),
TO_UNSIGNED(1202, 12), TO_UNSIGNED(1364, 12),
TO_UNSIGNED(1791, 12), TO_UNSIGNED(125, 12),
TO_UNSIGNED(180, 12), TO_UNSIGNED(662, 12),
TO_UNSIGNED(1010, 12), TO_UNSIGNED(1205, 12),
TO_UNSIGNED(1394, 12), TO_UNSIGNED(1797, 12),
TO_UNSIGNED(127, 12), TO_UNSIGNED(182, 12),
TO_UNSIGNED(665, 12), TO_UNSIGNED(1016, 12),
TO_UNSIGNED(1208, 12), TO_UNSIGNED(1382, 12),
TO_UNSIGNED(1809, 12), TO_UNSIGNED(129, 12),
TO_UNSIGNED(184, 12), TO_UNSIGNED(668, 12),
TO_UNSIGNED(1022, 12), TO_UNSIGNED(1211, 12),
TO_UNSIGNED(1388, 12), TO_UNSIGNED(1785, 12),
TO_UNSIGNED(187, 12), TO_UNSIGNED(836, 12),
TO_UNSIGNED(1083, 12), TO_UNSIGNED(1140, 12),
TO_UNSIGNED(1371, 12), TO_UNSIGNED(1686, 12),
TO_UNSIGNED(1690, 12), TO_UNSIGNED(189, 12),
TO_UNSIGNED(839, 12), TO_UNSIGNED(1089, 12),
TO_UNSIGNED(1143, 12), TO_UNSIGNED(1377, 12),
TO_UNSIGNED(1714, 12), TO_UNSIGNED(1823, 12),
TO_UNSIGNED(143, 12), TO_UNSIGNED(191, 12),
TO_UNSIGNED(842, 12), TO_UNSIGNED(1095, 12),
TO_UNSIGNED(1146, 12), TO_UNSIGNED(1365, 12),
TO_UNSIGNED(1702, 12), TO_UNSIGNED(145, 12),
TO_UNSIGNED(193, 12), TO_UNSIGNED(845, 12),
TO_UNSIGNED(1101, 12), TO_UNSIGNED(1149, 12),
TO_UNSIGNED(1395, 12), TO_UNSIGNED(1708, 12),
TO_UNSIGNED(147, 12), TO_UNSIGNED(195, 12),
TO_UNSIGNED(848, 12), TO_UNSIGNED(1107, 12),
TO_UNSIGNED(1152, 12), TO_UNSIGNED(1383, 12),
TO_UNSIGNED(1696, 12), TO_UNSIGNED(149, 12),
TO_UNSIGNED(197, 12), TO_UNSIGNED(851, 12),
TO_UNSIGNED(1113, 12), TO_UNSIGNED(1155, 12),
TO_UNSIGNED(1389, 12), TO_UNSIGNED(1720, 12),
TO_UNSIGNED(133, 12), TO_UNSIGNED(151, 12),
TO_UNSIGNED(199, 12), TO_UNSIGNED(854, 12),
TO_UNSIGNED(1119, 12), TO_UNSIGNED(1158, 12),
TO_UNSIGNED(1732, 12), TO_UNSIGNED(81, 12),
TO_UNSIGNED(153, 12), TO_UNSIGNED(201, 12),
TO_UNSIGNED(857, 12), TO_UNSIGNED(981, 12),
TO_UNSIGNED(1161, 12), TO_UNSIGNED(1726, 12),
TO_UNSIGNED(155, 12), TO_UNSIGNED(203, 12),
TO_UNSIGNED(860, 12), TO_UNSIGNED(987, 12),
TO_UNSIGNED(1164, 12), TO_UNSIGNED(1275, 12),
TO_UNSIGNED(1738, 12), TO_UNSIGNED(157, 12),
TO_UNSIGNED(205, 12), TO_UNSIGNED(863, 12),
TO_UNSIGNED(993, 12), TO_UNSIGNED(1167, 12),
TO_UNSIGNED(1281, 12), TO_UNSIGNED(1774, 12),
TO_UNSIGNED(159, 12), TO_UNSIGNED(207, 12),
TO_UNSIGNED(866, 12), TO_UNSIGNED(999, 12),
TO_UNSIGNED(1170, 12), TO_UNSIGNED(1287, 12),
TO_UNSIGNED(1780, 12), TO_UNSIGNED(161, 12),
TO_UNSIGNED(209, 12), TO_UNSIGNED(869, 12),
TO_UNSIGNED(1005, 12), TO_UNSIGNED(1173, 12),
TO_UNSIGNED(1269, 12), TO_UNSIGNED(1744, 12),
TO_UNSIGNED(163, 12), TO_UNSIGNED(211, 12),
TO_UNSIGNED(872, 12), TO_UNSIGNED(1011, 12),
TO_UNSIGNED(1176, 12), TO_UNSIGNED(1299, 12),
TO_UNSIGNED(1750, 12), TO_UNSIGNED(165, 12),
TO_UNSIGNED(213, 12), TO_UNSIGNED(875, 12),
TO_UNSIGNED(1017, 12), TO_UNSIGNED(1179, 12),
TO_UNSIGNED(1293, 12), TO_UNSIGNED(1756, 12),
TO_UNSIGNED(167, 12), TO_UNSIGNED(215, 12),
TO_UNSIGNED(878, 12), TO_UNSIGNED(1023, 12),
TO_UNSIGNED(1182, 12), TO_UNSIGNED(1311, 12),
TO_UNSIGNED(1762, 12), TO_UNSIGNED(169, 12),
TO_UNSIGNED(217, 12), TO_UNSIGNED(881, 12),
TO_UNSIGNED(1029, 12), TO_UNSIGNED(1185, 12),
TO_UNSIGNED(1305, 12), TO_UNSIGNED(1768, 12),
TO_UNSIGNED(171, 12), TO_UNSIGNED(219, 12),
TO_UNSIGNED(884, 12), TO_UNSIGNED(1035, 12),
TO_UNSIGNED(1188, 12), TO_UNSIGNED(1317, 12),
TO_UNSIGNED(1804, 12), TO_UNSIGNED(173, 12),
TO_UNSIGNED(221, 12), TO_UNSIGNED(887, 12),
TO_UNSIGNED(1041, 12), TO_UNSIGNED(1191, 12),
TO_UNSIGNED(1323, 12), TO_UNSIGNED(1792, 12),
TO_UNSIGNED(175, 12), TO_UNSIGNED(223, 12),
TO_UNSIGNED(890, 12), TO_UNSIGNED(1047, 12),
TO_UNSIGNED(1122, 12), TO_UNSIGNED(1335, 12),
TO_UNSIGNED(1798, 12), TO_UNSIGNED(177, 12),
TO_UNSIGNED(225, 12), TO_UNSIGNED(893, 12),
TO_UNSIGNED(1053, 12), TO_UNSIGNED(1125, 12),
TO_UNSIGNED(1329, 12), TO_UNSIGNED(1810, 12),
TO_UNSIGNED(179, 12), TO_UNSIGNED(227, 12),
TO_UNSIGNED(896, 12), TO_UNSIGNED(1059, 12),
TO_UNSIGNED(1128, 12), TO_UNSIGNED(1353, 12),
TO_UNSIGNED(1786, 12), TO_UNSIGNED(9, 12),
TO_UNSIGNED(181, 12), TO_UNSIGNED(229, 12),
TO_UNSIGNED(899, 12), TO_UNSIGNED(1065, 12),
TO_UNSIGNED(1131, 12), TO_UNSIGNED(1359, 12),
TO_UNSIGNED(183, 12), TO_UNSIGNED(231, 12),
TO_UNSIGNED(902, 12), TO_UNSIGNED(1071, 12),
TO_UNSIGNED(1134, 12), TO_UNSIGNED(1341, 12),
TO_UNSIGNED(1816, 12), TO_UNSIGNED(139, 12),
TO_UNSIGNED(185, 12), TO_UNSIGNED(233, 12),
TO_UNSIGNED(905, 12), TO_UNSIGNED(1077, 12),
TO_UNSIGNED(1137, 12), TO_UNSIGNED(1347, 12),
TO_UNSIGNED(283, 12), TO_UNSIGNED(331, 12),
TO_UNSIGNED(1000, 12), TO_UNSIGNED(1123, 12),
TO_UNSIGNED(1300, 12), TO_UNSIGNED(1616, 12),
TO_UNSIGNED(1721, 12), TO_UNSIGNED(285, 12),
TO_UNSIGNED(333, 12), TO_UNSIGNED(1006, 12),
TO_UNSIGNED(1126, 12), TO_UNSIGNED(1294, 12),
TO_UNSIGNED(1733, 12), TO_UNSIGNED(1821, 12),
TO_UNSIGNED(14, 12), TO_UNSIGNED(287, 12),
TO_UNSIGNED(335, 12), TO_UNSIGNED(1012, 12),
TO_UNSIGNED(1129, 12), TO_UNSIGNED(1312, 12),
TO_UNSIGNED(1727, 12), TO_UNSIGNED(17, 12),
TO_UNSIGNED(289, 12), TO_UNSIGNED(337, 12),
TO_UNSIGNED(1018, 12), TO_UNSIGNED(1132, 12),
TO_UNSIGNED(1306, 12), TO_UNSIGNED(1739, 12),
TO_UNSIGNED(20, 12), TO_UNSIGNED(291, 12),
TO_UNSIGNED(339, 12), TO_UNSIGNED(1024, 12),
TO_UNSIGNED(1135, 12), TO_UNSIGNED(1318, 12),
TO_UNSIGNED(1775, 12), TO_UNSIGNED(23, 12),
TO_UNSIGNED(293, 12), TO_UNSIGNED(341, 12),
TO_UNSIGNED(1030, 12), TO_UNSIGNED(1138, 12),
TO_UNSIGNED(1324, 12), TO_UNSIGNED(1781, 12),
TO_UNSIGNED(26, 12), TO_UNSIGNED(295, 12),
TO_UNSIGNED(343, 12), TO_UNSIGNED(1036, 12),
TO_UNSIGNED(1141, 12), TO_UNSIGNED(1336, 12),
TO_UNSIGNED(1745, 12), TO_UNSIGNED(29, 12),
TO_UNSIGNED(297, 12), TO_UNSIGNED(345, 12),
TO_UNSIGNED(1042, 12), TO_UNSIGNED(1144, 12),
TO_UNSIGNED(1330, 12), TO_UNSIGNED(1751, 12),
TO_UNSIGNED(32, 12), TO_UNSIGNED(299, 12),
TO_UNSIGNED(347, 12), TO_UNSIGNED(1048, 12),
TO_UNSIGNED(1147, 12), TO_UNSIGNED(1354, 12),
TO_UNSIGNED(1757, 12), TO_UNSIGNED(35, 12),
TO_UNSIGNED(301, 12), TO_UNSIGNED(349, 12),
TO_UNSIGNED(1054, 12), TO_UNSIGNED(1150, 12),
TO_UNSIGNED(1360, 12), TO_UNSIGNED(1763, 12),
TO_UNSIGNED(38, 12), TO_UNSIGNED(303, 12),
TO_UNSIGNED(351, 12), TO_UNSIGNED(1060, 12),
TO_UNSIGNED(1153, 12), TO_UNSIGNED(1342, 12),
TO_UNSIGNED(1769, 12), TO_UNSIGNED(41, 12),
TO_UNSIGNED(305, 12), TO_UNSIGNED(353, 12),
TO_UNSIGNED(1066, 12), TO_UNSIGNED(1156, 12),
TO_UNSIGNED(1348, 12), TO_UNSIGNED(1805, 12),
TO_UNSIGNED(44, 12), TO_UNSIGNED(307, 12),
TO_UNSIGNED(355, 12), TO_UNSIGNED(1072, 12),
TO_UNSIGNED(1159, 12), TO_UNSIGNED(1372, 12),
TO_UNSIGNED(1793, 12), TO_UNSIGNED(47, 12),
TO_UNSIGNED(309, 12), TO_UNSIGNED(357, 12),
TO_UNSIGNED(1078, 12), TO_UNSIGNED(1162, 12),
TO_UNSIGNED(1378, 12), TO_UNSIGNED(1799, 12),
TO_UNSIGNED(50, 12), TO_UNSIGNED(311, 12),
TO_UNSIGNED(359, 12), TO_UNSIGNED(1084, 12),
TO_UNSIGNED(1165, 12), TO_UNSIGNED(1366, 12),
TO_UNSIGNED(1811, 12), TO_UNSIGNED(53, 12),
TO_UNSIGNED(313, 12), TO_UNSIGNED(361, 12),
TO_UNSIGNED(1090, 12), TO_UNSIGNED(1168, 12),
TO_UNSIGNED(1396, 12), TO_UNSIGNED(1787, 12),
TO_UNSIGNED(10, 12), TO_UNSIGNED(56, 12),
TO_UNSIGNED(315, 12), TO_UNSIGNED(363, 12),
TO_UNSIGNED(1096, 12), TO_UNSIGNED(1171, 12),
TO_UNSIGNED(1384, 12), TO_UNSIGNED(59, 12),
TO_UNSIGNED(317, 12), TO_UNSIGNED(365, 12),
TO_UNSIGNED(1102, 12), TO_UNSIGNED(1174, 12),
TO_UNSIGNED(1390, 12), TO_UNSIGNED(1817, 12),
TO_UNSIGNED(62, 12), TO_UNSIGNED(134, 12),
TO_UNSIGNED(140, 12), TO_UNSIGNED(319, 12),
TO_UNSIGNED(367, 12), TO_UNSIGNED(1108, 12),
TO_UNSIGNED(1177, 12), TO_UNSIGNED(65, 12),
TO_UNSIGNED(82, 12), TO_UNSIGNED(321, 12),
TO_UNSIGNED(369, 12), TO_UNSIGNED(1114, 12),
TO_UNSIGNED(1180, 12), TO_UNSIGNED(1691, 12),
TO_UNSIGNED(68, 12), TO_UNSIGNED(323, 12),
TO_UNSIGNED(371, 12), TO_UNSIGNED(1120, 12),
TO_UNSIGNED(1183, 12), TO_UNSIGNED(1276, 12),
TO_UNSIGNED(1715, 12), TO_UNSIGNED(71, 12),
TO_UNSIGNED(325, 12), TO_UNSIGNED(373, 12),
TO_UNSIGNED(982, 12), TO_UNSIGNED(1186, 12),
TO_UNSIGNED(1282, 12), TO_UNSIGNED(1703, 12),
TO_UNSIGNED(74, 12), TO_UNSIGNED(327, 12),
TO_UNSIGNED(375, 12), TO_UNSIGNED(988, 12),
TO_UNSIGNED(1189, 12), TO_UNSIGNED(1288, 12),
TO_UNSIGNED(1709, 12), TO_UNSIGNED(77, 12),
TO_UNSIGNED(329, 12), TO_UNSIGNED(377, 12),
TO_UNSIGNED(994, 12), TO_UNSIGNED(1192, 12),
TO_UNSIGNED(1270, 12), TO_UNSIGNED(1697, 12),
TO_UNSIGNED(427, 12), TO_UNSIGNED(475, 12),
TO_UNSIGNED(944, 12), TO_UNSIGNED(1025, 12),
TO_UNSIGNED(1169, 12), TO_UNSIGNED(1343, 12),
TO_UNSIGNED(1752, 12), TO_UNSIGNED(429, 12),
TO_UNSIGNED(477, 12), TO_UNSIGNED(947, 12),
TO_UNSIGNED(1031, 12), TO_UNSIGNED(1172, 12),
TO_UNSIGNED(1349, 12), TO_UNSIGNED(1758, 12),
TO_UNSIGNED(431, 12), TO_UNSIGNED(479, 12),
TO_UNSIGNED(950, 12), TO_UNSIGNED(1037, 12),
TO_UNSIGNED(1175, 12), TO_UNSIGNED(1373, 12),
TO_UNSIGNED(1764, 12), TO_UNSIGNED(433, 12),
TO_UNSIGNED(481, 12), TO_UNSIGNED(953, 12),
TO_UNSIGNED(1043, 12), TO_UNSIGNED(1178, 12),
TO_UNSIGNED(1379, 12), TO_UNSIGNED(1770, 12),
TO_UNSIGNED(435, 12), TO_UNSIGNED(483, 12),
TO_UNSIGNED(956, 12), TO_UNSIGNED(1049, 12),
TO_UNSIGNED(1181, 12), TO_UNSIGNED(1367, 12),
TO_UNSIGNED(1806, 12), TO_UNSIGNED(437, 12),
TO_UNSIGNED(485, 12), TO_UNSIGNED(959, 12),
TO_UNSIGNED(1055, 12), TO_UNSIGNED(1184, 12),
TO_UNSIGNED(1397, 12), TO_UNSIGNED(1794, 12),
TO_UNSIGNED(439, 12), TO_UNSIGNED(487, 12),
TO_UNSIGNED(962, 12), TO_UNSIGNED(1061, 12),
TO_UNSIGNED(1187, 12), TO_UNSIGNED(1385, 12),
TO_UNSIGNED(1800, 12), TO_UNSIGNED(441, 12),
TO_UNSIGNED(489, 12), TO_UNSIGNED(965, 12),
TO_UNSIGNED(1067, 12), TO_UNSIGNED(1190, 12),
TO_UNSIGNED(1391, 12), TO_UNSIGNED(1812, 12),
TO_UNSIGNED(135, 12), TO_UNSIGNED(443, 12),
TO_UNSIGNED(491, 12), TO_UNSIGNED(968, 12),
TO_UNSIGNED(1073, 12), TO_UNSIGNED(1193, 12),
TO_UNSIGNED(1788, 12), TO_UNSIGNED(11, 12),
TO_UNSIGNED(83, 12), TO_UNSIGNED(445, 12),
TO_UNSIGNED(493, 12), TO_UNSIGNED(971, 12),
TO_UNSIGNED(1079, 12), TO_UNSIGNED(1124, 12),
TO_UNSIGNED(447, 12), TO_UNSIGNED(495, 12),
TO_UNSIGNED(974, 12), TO_UNSIGNED(1085, 12),
TO_UNSIGNED(1127, 12), TO_UNSIGNED(1277, 12),
TO_UNSIGNED(1818, 12), TO_UNSIGNED(141, 12),
TO_UNSIGNED(449, 12), TO_UNSIGNED(497, 12),
TO_UNSIGNED(977, 12), TO_UNSIGNED(1091, 12),
TO_UNSIGNED(1130, 12), TO_UNSIGNED(1283, 12),
TO_UNSIGNED(451, 12), TO_UNSIGNED(499, 12),
TO_UNSIGNED(908, 12), TO_UNSIGNED(1097, 12),
TO_UNSIGNED(1133, 12), TO_UNSIGNED(1289, 12),
TO_UNSIGNED(1692, 12), TO_UNSIGNED(453, 12),
TO_UNSIGNED(501, 12), TO_UNSIGNED(911, 12),
TO_UNSIGNED(1103, 12), TO_UNSIGNED(1136, 12),
TO_UNSIGNED(1271, 12), TO_UNSIGNED(1716, 12),
TO_UNSIGNED(455, 12), TO_UNSIGNED(503, 12),
TO_UNSIGNED(914, 12), TO_UNSIGNED(1109, 12),
TO_UNSIGNED(1139, 12), TO_UNSIGNED(1301, 12),
TO_UNSIGNED(1704, 12), TO_UNSIGNED(457, 12),
TO_UNSIGNED(505, 12), TO_UNSIGNED(917, 12),
TO_UNSIGNED(1115, 12), TO_UNSIGNED(1142, 12),
TO_UNSIGNED(1295, 12), TO_UNSIGNED(1710, 12),
TO_UNSIGNED(459, 12), TO_UNSIGNED(507, 12),
TO_UNSIGNED(920, 12), TO_UNSIGNED(1121, 12),
TO_UNSIGNED(1145, 12), TO_UNSIGNED(1313, 12),
TO_UNSIGNED(1698, 12), TO_UNSIGNED(461, 12),
TO_UNSIGNED(509, 12), TO_UNSIGNED(923, 12),
TO_UNSIGNED(983, 12), TO_UNSIGNED(1148, 12),
TO_UNSIGNED(1307, 12), TO_UNSIGNED(1722, 12),
TO_UNSIGNED(463, 12), TO_UNSIGNED(511, 12),
TO_UNSIGNED(926, 12), TO_UNSIGNED(989, 12),
TO_UNSIGNED(1151, 12), TO_UNSIGNED(1319, 12),
TO_UNSIGNED(1734, 12), TO_UNSIGNED(465, 12),
TO_UNSIGNED(513, 12), TO_UNSIGNED(929, 12),
TO_UNSIGNED(995, 12), TO_UNSIGNED(1154, 12),
TO_UNSIGNED(1325, 12), TO_UNSIGNED(1728, 12),
TO_UNSIGNED(467, 12), TO_UNSIGNED(515, 12),
TO_UNSIGNED(932, 12), TO_UNSIGNED(1001, 12),
TO_UNSIGNED(1157, 12), TO_UNSIGNED(1337, 12),
TO_UNSIGNED(1740, 12), TO_UNSIGNED(469, 12),
TO_UNSIGNED(517, 12), TO_UNSIGNED(935, 12),
TO_UNSIGNED(1007, 12), TO_UNSIGNED(1160, 12),
TO_UNSIGNED(1331, 12), TO_UNSIGNED(1776, 12),
TO_UNSIGNED(471, 12), TO_UNSIGNED(519, 12),
TO_UNSIGNED(938, 12), TO_UNSIGNED(1013, 12),
TO_UNSIGNED(1163, 12), TO_UNSIGNED(1355, 12),
TO_UNSIGNED(1782, 12), TO_UNSIGNED(473, 12),
TO_UNSIGNED(521, 12), TO_UNSIGNED(941, 12),
TO_UNSIGNED(1019, 12), TO_UNSIGNED(1166, 12),
TO_UNSIGNED(1361, 12), TO_UNSIGNED(1746, 12)
);
BEGIN
-------------------------------------------------------------------------
-- synthesis translate_off
PROCESS
BEGIN
WAIT FOR 1 ns;
printmsg("(IMS) Q16_8_IndexLUT : ALLOCATION OK !");
WAIT;
END PROCESS;
-- synthesis translate_on
-------------------------------------------------------------------------
-------------------------------------------------------------------------
PROCESS ( INPUT_1 )
VARIABLE OP1 : UNSIGNED(10 downto 0);
BEGIN
OP1 := UNSIGNED( INPUT_1(10 downto 0) );
-- synthesis translate_off
if ( OP1 > TO_UNSIGNED(1823, 11) ) THEN
OP1 := TO_UNSIGNED(1823, 11);
END IF;
-- synthesis translate_on
OUTPUT_1 <= "00000000000000000000" & STD_LOGIC_VECTOR(ROM( TO_integer( OP1 ) ));
END PROCESS;
-------------------------------------------------------------------------
END ROM;
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter10/example10_1_dir/example10_1/example10_1.vhd
|
1
|
1064
|
--!
--! @file: example10_1.vhd
--! @brief: writing values to a file
--! @author: Antonio Gutierrez
--! @date: 2013-11-27
--!
--!
--------------------------------------
use std.textio.all;
--------------------------------------
entity write_to_file is
end entity write_to_file;
--------------------------------------
architecture circuit of write_to_file is
constant period: time := 100 ns;
signal clk: bit := '0';
file f: text open write_mode is "test_file.txt";
begin
proc: process
constant str1: string(1 to 2) := "t=";
constant str2: string(1 to 3) := " i=";
variable l: line;
variable t: time := 0 ns;
variable i: natural range 0 to 7 := 0;
begin
wait for period/2;
clk <= '1';
t := period/2 + i * period;
write(l, str1);
write(l, t);
write(l, str2);
write(l, i);
writeline(f, l);
i := i + 1;
wait for period/2;
clk <= '0';
end process proc;
end architecture circuit;
--------------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/coprocessor/coprocessor.vhd
|
2
|
5791
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2010, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: arith
-- File: arith.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Declaration of mul/div components
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
package coprocessor is
type sequential32_in_type is record
op1 : std_logic_vector(32 downto 0); -- operand 1
op2 : std_logic_vector(32 downto 0); -- operand 2
flush : std_logic;
signed : std_logic;
start : std_logic;
dInstr : std_logic_vector(31 downto 0);
aInstr : std_logic_vector(31 downto 0);
eInstr : std_logic_vector(31 downto 0);
mInstr : std_logic_vector(31 downto 0);
xInstr : std_logic_vector(31 downto 0);
end record;
type sequential32_out_type is record
ready : std_logic;
nready : std_logic;
icc : std_logic_vector(3 downto 0);
result : std_logic_vector(31 downto 0);
mResult : std_logic_vector(31 downto 0);
end record;
type async32_in_type is record
op1 : std_logic_vector(32 downto 0); -- operand 1
op2 : std_logic_vector(32 downto 0); -- operand 2
signed : std_logic;
write_data : std_logic;
read_data : std_logic;
end record;
type async32_out_type is record
ready : std_logic;
nready : std_logic;
icc : std_logic_vector(3 downto 0);
result : std_logic_vector(31 downto 0);
end record;
-- definition d'un type de base pour les operateurs arithmetiques pouvant se
-- realiser en un cycle d'horloge
type custom32_in_type is record
op1 : std_logic_vector(32 downto 0); -- operand 1
op2 : std_logic_vector(32 downto 0); -- operand 2
instr : std_logic_vector(31 downto 0); -- operand 2
end record;
type custom32_out_type is record
result : std_logic_vector(31 downto 0);
end record;
-- fin de declaration
component RESOURCE_CUSTOM_1
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end component;
component RESOURCE_CUSTOM_2
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end component;
component RESOURCE_CUSTOM_3
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end component;
component RESOURCE_CUSTOM_4
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end component;
component RESOURCE_CUSTOM_5
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end component;
component RESOURCE_CUSTOM_6
port (
rst : in std_ulogic;
clk : in std_ulogic;
holdn : in std_ulogic;
inp : in async32_in_type;
outp : out async32_out_type
);
end component;
component RESOURCE_CUSTOM_7
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end component;
component RESOURCE_CUSTOM_8
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end component;
component RESOURCE_CUSTOM_A
port (
rst : in std_ulogic;
clk : in std_ulogic;
holdn : in std_ulogic;
inp : in sequential32_in_type;
outp : out sequential32_out_type
);
end component;
component RESOURCE_CUSTOM_B
port (
rst : in std_ulogic;
clk : in std_ulogic;
holdn : in std_ulogic;
inp : in sequential32_in_type;
outp : out sequential32_out_type
);
end component;
COMPONENT INTERFACE_COMB_1
PORT (
inp : IN custom32_in_type;
outp : OUT custom32_out_type
);
END COMPONENT;
COMPONENT INTERFACE_COMB_2
PORT (
inp : IN custom32_in_type;
outp : OUT custom32_out_type
);
END COMPONENT;
COMPONENT INTERFACE_COMB_3
PORT (
inp : IN custom32_in_type;
outp : OUT custom32_out_type
);
END COMPONENT;
COMPONENT INTERFACE_COMB_4
PORT (
rst : in std_ulogic;
clk : in std_ulogic;
holdn : in std_ulogic;
cancel : IN std_ulogic;
inp : IN custom32_in_type;
outp : OUT custom32_out_type
);
END COMPONENT;
COMPONENT INTERFACE_SEQU_1
PORT (
rst : in std_ulogic;
clk : in std_ulogic;
holdn : in std_ulogic;
inp : in sequential32_in_type;
outp : out sequential32_out_type
);
END COMPONENT;
COMPONENT INTERFACE_ASYN_1
PORT (
rst : in std_ulogic;
clk : in std_ulogic;
holdn : in std_ulogic;
inp : in async32_in_type;
outp : out async32_out_type
);
END COMPONENT;
-- synthesis translate_off
procedure printmsg(s : string);
-- synthesis translate_on
end;
package body coprocessor is
-- synthesis translate_off
PROCEDURE printmsg(s : string) is
variable L : line;
BEGIN
L := new string'(s);
writeline(output, L);
END;
-- synthesis translate_on
END;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ram_boot_for_simu.vhd
|
1
|
6637
|
-- The libraries ieee.std_logic_unsigned and std.textio will need to be included
-- with this example
-- The following code will infer a Single port Block RAM and initialize it using a FILE
-- Place the following code before the begin of the architecture
---------------------------------------------------------------------
-- TITLE: Random Access Memory for Xilinx
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 11/06/05
-- FILENAME: ram_xilinx.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements Plasma internal RAM as RAMB for Spartan 3x
--
-- Compile the MIPS C and assembly code into "test.axf".
-- Run convert.exe to change "test.axf" to "code.txt" which
-- will contain the hex values of the opcodes.
-- Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd",
-- to create the "ram_image.vhd" file that will have the opcodes
-- correctly placed inside the INIT_00 => strings.
-- Then include ram_image.vhd in the simulation/synthesis.
--
-- Warning: Addresses 0x1000 - 0x1FFF are reserved for the cache
-- if the DDR cache is enabled.
---------------------------------------------------------------------
-- UPDATED: 09/07/10 Olivier Rinaudo ([email protected])
-- new behaviour: 8KB expandable to 64KB of internal RAM
--
-- MEMORY MAP
-- 0000..1FFF : 8KB 8KB block0 (upper 4KB used as DDR cache)
-- 2000..3FFF : 8KB 16KB block1
-- 4000..5FFF : 8KB 24KB block2
-- 6000..7FFF : 8KB 32KB block3
-- 8000..9FFF : 8KB 40KB block4
-- A000..BFFF : 8KB 48KB block5
-- C000..DFFF : 8KB 56KB block6
-- E000..FFFF : 8KB 64KB block7
---------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE std.textio.ALL;
ENTITY RAM IS
GENERIC(
memory_type : string := "DEFAULT";
block_count : integer := 03);
PORT(
clk : IN std_logic;
enable : IN std_logic;
write_byte_enable : IN std_logic_vector(3 DOWNTO 0);
address : IN std_logic_vector(31 DOWNTO 2);
data_write : IN std_logic_vector(31 DOWNTO 0);
data_read : OUT std_logic_vector(31 DOWNTO 0)
);
END;
ARCHITECTURE logic OF RAM IS
CONSTANT TAILLE_LOADER : INTEGER := 64*1024; -- TAILLE EN OCTETS (NORMALEMENT)
TYPE memoire IS ARRAY(0 TO TAILLE_LOADER-1) OF bit_vector(7 DOWNTO 0);
IMPURE FUNCTION load_memoire (filename : IN string; byte : IN integer) RETURN memoire IS
FILE ram_file : text IS IN filename;
VARIABLE line_name : line;
VARIABLE line_temp : bit_vector(31 DOWNTO 0);
VARIABLE ram_name : memoire;
BEGIN
FOR I IN memoire'range LOOP
IF (NOT endfile(ram_file)) THEN
readline(ram_file, line_name);
read (line_name, line_temp);
IF(byte = 1) THEN
ram_name(I) := line_temp(31 DOWNTO 24);
ELSIF(byte = 2) THEN
ram_name(I) := line_temp(23 DOWNTO 16);
ELSIF(byte = 3) THEN
ram_name(I) := line_temp(15 DOWNTO 8);
ELSIF(byte = 4) THEN
ram_name(I) := line_temp(7 DOWNTO 0);
END IF;
END IF;
END LOOP;
RETURN ram_name;
END FUNCTION;
SIGNAL laRAM1 : memoire := load_memoire("../code_bin.txt", 1);
SIGNAL laRAM2 : memoire := load_memoire("../code_bin.txt", 2);
SIGNAL laRAM3 : memoire := load_memoire("../code_bin.txt", 3);
SIGNAL laRAM4 : memoire := load_memoire("../code_bin.txt", 4);
--
-- CETTE MEMOIRE EST MICROSCOPIQUE... PAS LA PEINE D'UTILISER UN BLOC RAM POUR
-- SON IMPLANTATION...
--
-- attribute RAM_STYLE : string;
-- attribute RAM_STYLE of laRAM1: signal is "PIPE_DISTRIBUTED";
-- attribute RAM_STYLE of laRAM2: signal is "PIPE_DISTRIBUTED";
-- attribute RAM_STYLE of laRAM3: signal is "PIPE_DISTRIBUTED";
-- attribute RAM_STYLE of laRAM4: signal is "PIPE_DISTRIBUTED";
BEGIN
--
-- ON GERE LES BITS (31 => 24)
--
PROCESS (clk)
BEGIN
IF clk'event AND clk = '1' THEN
IF enable = '1' THEN
IF write_byte_enable(3) = '1' THEN
laRAM1(conv_integer(address(16 DOWNTO 2))) <= to_bitvector(data_write(31 DOWNTO 24));
data_read(31 DOWNTO 24) <= data_write(31 DOWNTO 24);
ELSE
data_read(31 DOWNTO 24) <= to_stdlogicvector(laRAM1(conv_integer(address(16 DOWNTO 2))));
END IF;
END IF;
END IF;
END PROCESS;
--
-- ON GERE LES BITS (23 => 16)
--
PROCESS (clk)
BEGIN
IF clk'event AND clk = '1' THEN
IF enable = '1' THEN
IF write_byte_enable(2) = '1' THEN
laRAM2(conv_integer(address(16 DOWNTO 2))) <= to_bitvector(data_write(23 DOWNTO 16));
data_read(23 DOWNTO 16) <= data_write(23 DOWNTO 16);
ELSE
data_read(23 DOWNTO 16) <= to_stdlogicvector(laRAM2(conv_integer(address(16 DOWNTO 2))));
END IF;
END IF;
END IF;
END PROCESS;
--
-- ON GERE LES BITS (15 => 8)
--
PROCESS (clk)
BEGIN
IF clk'event AND clk = '1' THEN
IF enable = '1' THEN
IF write_byte_enable(1) = '1' THEN
laRAM3(conv_integer(address(16 DOWNTO 2))) <= to_bitvector(data_write(15 DOWNTO 8));
data_read(15 DOWNTO 8) <= data_write(15 DOWNTO 8);
ELSE
data_read(15 DOWNTO 8) <= to_stdlogicvector(laRAM3(conv_integer(address(16 DOWNTO 2))));
END IF;
END IF;
END IF;
END PROCESS;
--
-- ON GERE LES BITS (7 => 0)
--
PROCESS (clk)
BEGIN
IF clk'event AND clk = '1' THEN
IF enable = '1' THEN
IF write_byte_enable(0) = '1' THEN
laRAM4(conv_integer(address(16 DOWNTO 2))) <= to_bitvector(data_write(7 DOWNTO 0));
data_read(7 DOWNTO 0) <= data_write(7 DOWNTO 0);
ELSE
data_read(7 DOWNTO 0) <= to_stdlogicvector(laRAM4(conv_integer(address(16 DOWNTO 2))));
END IF;
END IF;
END IF;
END PROCESS;
END; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/top_sp605.vhd
|
1
|
12496
|
-- Top-level design for ipbus demo
--
-- This version is for xc6vlx240t on Xilinx ML605 eval board
-- Uses the v6 hard EMAC core with GMII interface to an external Gb PHY
--
-- You must edit this file to set the IP and MAC addresses
--
-- Dave Newbold, May 2011
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use work.ipbus.ALL;
--use work.bus_arb_decl.all;
--use work.mac_arbiter_decl.all;
library unisim;
use unisim.VComponents.all;
entity top_ml605_extphy is port(
clk100: in std_logic;
rst: in std_logic;
sw : in std_logic;
--led: out std_logic_vector(7 downto 0);
led: out std_logic;
i_uart : in std_logic;
o_uart : out std_logic;
o_uart2 : out std_logic;
buttons : in std_logic_vector( 2 downto 0 );
BTNU : in std_logic;
BTNC : in std_logic;
BTND : in std_logic;
BTNL : in std_logic;
BTNR : in std_logic;
VGA_hs : out std_logic; -- horisontal vga syncr.
VGA_vs : out std_logic; -- vertical vga syncr.
VGA_red : out std_logic_vector(3 downto 0); -- red output
VGA_green : out std_logic_vector(3 downto 0); -- green output
VGA_blue : out std_logic_vector(3 downto 0) -- blue output
);
end top_ml605_extphy;
architecture rtl of top_ml605_extphy is
component pulse_filter
Generic ( DEBNC_CLOCKS : INTEGER range 2 to (INTEGER'high) := 2**16);
Port (
SIGNAL_I : in STD_LOGIC;
CLK_I : in STD_LOGIC;
SIGNAL_O : out STD_LOGIC
);
end component;
component Colorgen
Port ( iter : in STD_LOGIC_VECTOR (11 downto 0);
VGA_red : out std_logic_vector(3 downto 0); -- red output
VGA_green : out std_logic_vector(3 downto 0); -- green output
VGA_blue : out std_logic_vector(3 downto 0)); -- blue output
end component;
component VGA_bitmap_640x480
port(clk : in std_logic;
clk_vga : in std_logic;
reset : in std_logic;
VGA_hs : out std_logic; -- horisontal vga syncr.
VGA_vs : out std_logic; -- vertical vga syncr.
iter : out std_logic_vector(11 downto 0); -- iter output
ADDR1 : in std_logic_vector(16 downto 0);
data_in1 : in std_logic_vector(11 downto 0);
data_write1 : in std_logic;
ADDR2 : in std_logic_vector(16 downto 0);
data_in2 : in std_logic_vector(11 downto 0);
data_write2 : in std_logic;
ADDR3 : in std_logic_vector(16 downto 0);
data_in3 : in std_logic_vector(11 downto 0);
data_write3 : in std_logic;
ADDR4 : in std_logic_vector(16 downto 0);
data_in4 : in std_logic_vector(11 downto 0);
data_write4 : in std_logic);
end component;
signal BTNUB, BTNCB, BTNDB, BTNRB, BTNLB, data_write1, data_write2,data_write3, data_write4,data_write5, data_write6,data_write7, data_write8, clk50, clk100_sig: std_logic;
signal iterS, data_out1,data_out2, data_out3 ,data_out4, data_out5,data_out6, data_out7 ,data_out8 : std_logic_vector(11 downto 0);
signal ADDR1, ADDR2, ADDR3, ADDR4, ADDR5, ADDR6, ADDR7, ADDR8 : std_logic_vector(16 downto 0);
--component clk_wiz_0 is -- vivado
-- component clkgen is --ise
-- port
-- (-- Clock in ports
-- clk_in1 : in std_logic;
-- -- Clock out ports
-- clk_out1 : out std_logic;
-- clk_out2 : out std_logic
-- );
-- end component;
begin
process(rst, clk50)
begin
if(rst='1') then
--o_uart <= '0';
led <= '0';
elsif(clk50'event and clk50='1') then
--o_uart <= i_uart;
led <= sw;
end if;
end process;
clk_div : process(clk100, rst)
begin
if(rst='1') then
clk50 <= '0';
elsif(clk100'event and clk100 = '1') then
clk50 <= not(clk50);
end if;
end process;
-- leds(7 downto 0) <= ('0','0','0','0','0','0', locked, onehz);
-- Inst_plasma8: entity work.plasma
-- GENERIC MAP (
-- memory_type => "XILINX_16X",
-- log_file => "UNUSED",
-- ethernet => '0',
-- eUart => '1',
-- use_cache => '0',
-- plasma_code => "../code_bin8.txt"
-- )
-- PORT MAP(
-- clk => clk50,
---- clk_VGA => clk100,
-- reset => rst,
-- uart_write => open,
-- uart_read => i_uart,
-- fifo_1_out_data => x"00000000",
-- fifo_1_read_en => open,
-- fifo_1_empty => '0',
-- fifo_2_in_data => open,
-- fifo_1_write_en => open,
-- fifo_2_full => '0',
--
-- fifo_1_full => '0',
-- fifo_1_valid => '0',
-- fifo_2_empty => '0',
-- fifo_2_valid => '0',
-- fifo_1_compteur => x"00000000",
-- fifo_2_compteur => x"00000000",
--
-- data_enable => data_write8,
-- ADDR => ADDR8,
-- data_out => data_out8,
--
-- gpio0_out => open,
-- gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB
-- );
--Inst_plasma7: entity work.plasma
-- GENERIC MAP (
-- memory_type => "XILINX_16X",
-- log_file => "UNUSED",
-- ethernet => '0',
-- eUart => '1',
-- use_cache => '0',
-- plasma_code => "../code_bin7.txt"
-- )
-- PORT MAP(
-- clk => clk50,
---- clk_VGA => clk100,
-- reset => rst,
-- uart_write => open,
-- uart_read => i_uart,
-- fifo_1_out_data => x"00000000",
-- fifo_1_read_en => open,
-- fifo_1_empty => '0',
-- fifo_2_in_data => open,
-- fifo_1_write_en => open,
-- fifo_2_full => '0',
--
-- fifo_1_full => '0',
-- fifo_1_valid => '0',
-- fifo_2_empty => '0',
-- fifo_2_valid => '0',
-- fifo_1_compteur => x"00000000",
-- fifo_2_compteur => x"00000000",
--
-- data_enable => data_write7,
-- ADDR => ADDR7,
-- data_out => data_out7,
--
-- gpio0_out => open,
-- gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB --open
-- );
--
--
-- Inst_plasma5: entity work.plasma
-- GENERIC MAP (
-- memory_type => "XILINX_16X",
-- log_file => "UNUSED",
-- ethernet => '0',
-- eUart => '1',
-- use_cache => '0',
-- plasma_code => "../code_bin5.txt"
-- )
-- PORT MAP(
-- clk => clk50,
-- -- clk_VGA => clk100,
-- reset => rst,
-- uart_write => open,
-- uart_read => i_uart,
-- fifo_1_out_data => x"00000000",
-- fifo_1_read_en => open,
-- fifo_1_empty => '0',
-- fifo_2_in_data => open,
-- fifo_1_write_en => open,
-- fifo_2_full => '0',
--
-- fifo_1_full => '0',
-- fifo_1_valid => '0',
-- fifo_2_empty => '0',
-- fifo_2_valid => '0',
-- fifo_1_compteur => x"00000000",
-- fifo_2_compteur => x"00000000",
--
-- data_enable => data_write5,
-- ADDR => ADDR5,
-- data_out => data_out5,
--
-- gpio0_out => open,
-- gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB--open
-- );
Inst_plasma4: entity work.plasma
GENERIC MAP (
memory_type => "XILINX_16X",
log_file => "UNUSED",
ethernet => '0',
eUart => '1',
use_cache => '0',
plasma_code => "../code_bin4.txt"
)
PORT MAP(
clk => clk50,
-- clk_VGA => clk100,
reset => rst,
uart_write => open,
uart_read => i_uart,
fifo_1_out_data => x"00000000",
fifo_1_read_en => open,
fifo_1_empty => '0',
fifo_2_in_data => open,
fifo_1_write_en => open,
fifo_2_full => '0',
fifo_1_full => '0',
fifo_1_valid => '0',
fifo_2_empty => '0',
fifo_2_valid => '0',
fifo_1_compteur => x"00000000",
fifo_2_compteur => x"00000000",
data_enable => data_write4,
ADDR => ADDR4,
data_out => data_out4,
gpio0_out => open,
gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB
);
--
Inst_plasma3: entity work.plasma
GENERIC MAP (
memory_type => "XILINX_16X",
log_file => "UNUSED",
ethernet => '0',
eUart => '1',
use_cache => '0',
plasma_code => "../code_bin3.txt"
)
PORT MAP(
clk => clk50,
-- clk_VGA => clk100,
reset => rst,
uart_write => open,
uart_read => i_uart,
fifo_1_out_data => x"00000000",
fifo_1_read_en => open,
fifo_1_empty => '0',
fifo_2_in_data => open,
fifo_1_write_en => open,
fifo_2_full => '0',
fifo_1_full => '0',
fifo_1_valid => '0',
fifo_2_empty => '0',
fifo_2_valid => '0',
fifo_1_compteur => x"00000000",
fifo_2_compteur => x"00000000",
data_enable => data_write3,
ADDR => ADDR3,
data_out => data_out3,
gpio0_out => open,
gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB --open
);
-- Inst_plasma6: entity work.plasma
-- GENERIC MAP (
-- memory_type => "XILINX_16X",
-- log_file => "UNUSED",
-- ethernet => '0',
-- eUart => '1',
-- use_cache => '0',
-- plasma_code => "../code_bin6.txt"
-- )
-- PORT MAP(
-- clk => clk50,
---- clk_VGA => clk100,
-- reset => rst,
-- uart_write => open,
-- uart_read => i_uart,
-- fifo_1_out_data => x"00000000",
-- fifo_1_read_en => open,
-- fifo_1_empty => '0',
-- fifo_2_in_data => open,
-- fifo_1_write_en => open,
-- fifo_2_full => '0',
--
-- fifo_1_full => '0',
-- fifo_1_valid => '0',
-- fifo_2_empty => '0',
-- fifo_2_valid => '0',
-- fifo_1_compteur => x"00000000",
-- fifo_2_compteur => x"00000000",
--
-- data_enable => data_write6,
-- ADDR => ADDR6,
-- data_out => data_out6,
--
-- gpio0_out => open,
-- gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB --open
-- );
Inst_plasma2: entity work.plasma
GENERIC MAP (
memory_type => "XILINX_16X",
log_file => "UNUSED",
ethernet => '0',
eUart => '1',
use_cache => '0',
plasma_code => "../code_bin2.txt"
)
PORT MAP(
clk => clk50,
-- clk_VGA => clk100,
reset => rst,
uart_write => o_uart,
uart_read => i_uart,
fifo_1_out_data => x"00000000",
fifo_1_read_en => open,
fifo_1_empty => '0',
fifo_2_in_data => open,
fifo_1_write_en => open,
fifo_2_full => '0',
fifo_1_full => '0',
fifo_1_valid => '0',
fifo_2_empty => '0',
fifo_2_valid => '0',
fifo_1_compteur => x"00000000",
fifo_2_compteur => x"00000000",
data_enable => data_write2,
ADDR => ADDR2,
data_out => data_out2,
gpio0_out => open,
gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB --open
);
Inst_plasma1: entity work.plasma
GENERIC MAP (
memory_type => "XILINX_16X",
log_file => "UNUSED",
ethernet => '0',
eUart => '1',
use_cache => '0',
plasma_code => "../code_bin1.txt"
)
PORT MAP(
clk => clk50,
-- clk_VGA => clk100,
reset => rst,
uart_write => o_uart2,
uart_read => i_uart,
fifo_1_out_data => x"00000000",
fifo_1_read_en => open,
fifo_1_empty => '0',
fifo_2_in_data => open,
fifo_1_write_en => open,
fifo_2_full => '0',
fifo_1_full => '0',
fifo_1_valid => '0',
fifo_2_empty => '0',
fifo_2_valid => '0',
fifo_1_compteur => x"00000000",
fifo_2_compteur => x"00000000",
data_enable => data_write1,
ADDR => ADDR1,
data_out => data_out1,
gpio0_out => open,
gpioA_in => x"000000" & buttons & BTNDB & BTNRB & BTNLB & BTNUB & BTNCB--open
);
InstVGA: VGA_bitmap_640x480
port map(clk50,
clk100,
rst,
VGA_hs,
VGA_vs,
iterS,
ADDR1,
data_out1,
data_write1,
ADDR2,
data_out2,
data_write2,
ADDR3,
data_out3,
data_write3,
ADDR4,
data_out4,
data_write4
);
InstColorgen: Colorgen
port map(iterS,VGA_red,VGA_green,VGA_blue);
InstancepulsBTNU: pulse_filter
port map(BTNU,
clk50,
BTNUB);
InstancepulsBTND: pulse_filter
port map(BTND,
clk50,
BTNDB);
InstancepulsBTNL: pulse_filter
port map(BTNL,
clk50,
BTNLB);
InstancepulsBTNR: pulse_filter
port map(BTNR,
clk50,
BTNRB);
InstancepulsBTNC: pulse_filter
port map(BTNC,
clk50,
BTNCB);
end rtl;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/function_8.vhd
|
5
|
1334
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_8 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_8 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : UNSIGNED(31 downto 0);
variable rTemp2 : UNSIGNED(31 downto 0);
variable rTemp3 : UNSIGNED(31 downto 0);
begin
rTemp1 := UNSIGNED( INPUT_1 );
rTemp2 := UNSIGNED( INPUT_2 );
rTemp3 := rTemp1 + rTemp2 + TO_UNSIGNED( 8, 32);
OUTPUT_1 <= STD_LOGIC_VECTOR( rTemp3 );
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/mandelbrot/function_16.vhd
|
5
|
1182
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_16 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_16 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : STD_LOGIC_VECTOR(7 downto 0);
begin
rTemp1 := INPUT_1( 7 downto 0);
OUTPUT_1 <= (rTemp1 & rTemp1 & rTemp1 & rTemp1);
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter6/exercise6_7_dir/exercise6_7.vhd
|
1
|
1351
|
--!
--! @file: exercise6_7.vhd
--! @brief: Binary Sorter with Loop
--! @author: Antonio Gutierrez
--! @date: 2013-10-27
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity binary_sorter is
generic (N: integer := 5);
port (
input: in bit_vector(N-1 downto 0);
output: out bit_vector(N-1 downto 0));
end entity binary_sorter;
--------------------------------------
architecture circuit of binary_sorter is
begin
proc: process (input)
variable count: integer range 0 to N := 0;
variable output_buffer: std_logic_vector(N-1 downto 0);
begin
-- first loop: counts the number of 1's there are in the vector
forloop: for i in 0 to N-1 loop
if (input(i) = '1') then
count = count + 1;
end if;
end loop forloop;
-- second loop: assigns 1's at the beginning and then the rest is 0
forloop1: for i in N-1 downto (N-1)-count loop
if (count = 0) then
output(i) <= '0';
else
output(i) <= '1';
end if;
count = count - 1;
end loop forloop1;
end process proc;
end architecture circuit;
--------------------------------------
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter5/exercise5_10_dir/exercise5_10.vhd
|
1
|
1600
|
--!
--! @file: exercise5_10.vhd
--! @brief: arithmetic circuit with integer
--! @author: Antonio Gutierrez
--! @date: 2013-10-23
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity mini_alu is
generic (N: integer := 3;);
port (
a, b: in integer range -2**(N-1) to 2**(N-1)-1;
cin: in integer range 0 to 1;
opcode: in integer range 0 to 7;
y: out integer range -2**(N-1) to 2**(N-1)-1;
end entity mini_alu;
--------------------------------------
architecture circuit of mini_alu is
signal a_sig, b_sig: signed(N-1 downto 0);
signal a_unsig, b_unsig: unsigned(N-1 downto 0);
signal y_sig: signed(N-1 downto 0);
signal y_unsig: unsigned(N-1 downto 0);
begin
a_sig <= to_signed(a, a_sig'length);
b_sig <= to_signed(b, b_sig'length);
a_unsig <= to_unsigned(a, a_unsig'length);
b_unsig <= to_unsigned(b, b_unsig'length);
-- signed
with opcode(1 downto 0) select
y_sig <= a_sig + b_sig when 0,
a_sig - b_sig when 1.
b_sig - a_sig when 2,
a_sig + b_sig + cin when others;
-- unsigned
with opcode(1 downto 0) select
y_unsig <= a_unsig + b_unsig when 0,
a_unsig - b_unsig when 1.
b_unsig - a_unsig when 2,
a_unsig + b_unsig + cin when others;
-- mux
with opcode(2) select
y <= y_unsig when 0,
y_sig when others;
end architecture circuit;
--------------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/filtre/function_1.vhd
|
2
|
1274
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_1 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_1 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable rTemp1 : SIGNED(63 downto 0);
variable rTemp2 : SIGNED(31 downto 0);
variable rTemp3 : SIGNED(31 downto 0);
begin
rTemp1 := (signed(INPUT_1) * signed(INPUT_2));
OUTPUT_1 <= std_logic_vector(rTemp1(43 downto 12));
end process;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
src_vhd/ClockManager.vhd
|
1
|
711
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library WORK;
use WORK.CONSTANTS.ALL;
entity ClockManager is
Port ( clock : in std_logic;
reset : in std_logic;
ce_param : out std_logic);
end ClockManager;
architecture Behavioral of ClockManager is
signal cpt : integer := 0;
begin
process (clock, reset)
begin
if (reset = '1') then
cpt<=0;
elsif (rising_edge(clock)) then
if (cpt< PARAM_DELAY) then
cpt<= cpt + 1;
ce_param<='0';
else
cpt<=0;
ce_param<='1';
end if;
end if;
end process;
end Behavioral;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/plasma_config.vhd
|
1
|
7099
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
package asip_config is
-- INSTRUCTION TYPE (000000)
constant ENABLE_SLL : integer := 1 ; -- "000000";
constant ENABLE_SRL : integer := 1 ; -- "000010";
constant ENABLE_SRA : integer := 1 ; -- "000011";
constant ENABLE_SLLV : integer := 1 ; -- "000100";
constant ENABLE_SRLV : integer := 1 ; -- "000110";
constant ENABLE_SRAV : integer := 1 ; -- "000111";
constant ENABLE_JR : integer := 1 ; -- "001000";
constant ENABLE_JALR : integer := 1 ; -- "001001";
constant ENABLE_MOVZ : integer := 1 ; -- "001010";
constant ENABLE_MOVN : integer := 1 ; -- "001011";
constant ENABLE_SYSCALL : integer := 1 ; -- "001100";
constant ENABLE_BREAK : integer := 1 ; -- "001101";
constant ENABLE_SYNC : integer := 1 ; -- "001111";
constant ENABLE_MFHI : integer := 1 ; -- "010000";
constant ENABLE_MTHI : integer := 1 ; -- "010001";
constant ENABLE_MFLO : integer := 1 ; -- "010010";
constant ENABLE_MTLO : integer := 1 ; -- "010011";
constant ENABLE_MULT : integer := 1 ; -- "011000";
constant ENABLE_MULTU : integer := 1 ; -- "011001";
constant ENABLE_DIV : integer := 1 ; -- "011010";
constant ENABLE_DIVU : integer := 1 ; -- "011011";
constant ENABLE_ADD : integer := 1 ; -- "100000";
constant ENABLE_ADDU : integer := 1 ; -- "100001";
constant ENABLE_SUB : integer := 1 ; -- "100010";
constant ENABLE_SUBU : integer := 1 ; -- "100011";
constant ENABLE_AND : integer := 1 ; -- "100100";
constant ENABLE_OR : integer := 1 ; -- "100101";
constant ENABLE_XOR : integer := 1 ; -- "100110";
constant ENABLE_NOR : integer := 1 ; -- "100111";
constant ENABLE_SLT : integer := 1 ; -- "101010";
constant ENABLE_SLTU : integer := 1 ; -- "101011";
constant ENABLE_DADDU : integer := 1 ; -- "101101";
constant ENABLE_TGEU : integer := 1 ; -- "110001";
constant ENABLE_TLT : integer := 1 ; -- "110010";
constant ENABLE_TLTU : integer := 1 ; -- "110011";
constant ENABLE_TEQ : integer := 1 ; -- "110100";
constant ENABLE_TNE : integer := 1 ; -- "110110";
-- INSTRUCTION TYPE (000001)
constant ENABLE_BLTZ : integer := 1 ; -- "00000";
constant ENABLE_BGEZ : integer := 1 ; -- "00001";
constant ENABLE_BLTZL : integer := 1 ; -- "00010";
constant ENABLE_BGEZL : integer := 1 ; -- "00011";
constant ENABLE_BLTZAL : integer := 1 ; -- "10000";
constant ENABLE_BGEZAL : integer := 1 ; -- "10001";
constant ENABLE_BLTZALL : integer := 1 ; -- "10010";
constant ENABLE_BGEZALL : integer := 1 ; -- "10011";
-- INSTRUCTION TYPE (000010)
constant ENABLE_J : integer := 1 ; -- "";
-- INSTRUCTION TYPE (000011)
constant ENABLE_JAL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (000100)
constant ENABLE_BEQ : integer := 1 ; -- "";
-- INSTRUCTION TYPE (000101)
constant ENABLE_BNE : integer := 1 ; -- "";
-- INSTRUCTION TYPE (000110)
constant ENABLE_BLEZ : integer := 1 ; -- "";
-- INSTRUCTION TYPE (000111)
constant ENABLE_BGTZ : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001000)
constant ENABLE_ADDI : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001001)
constant ENABLE_ADDIU : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001010)
constant ENABLE_SLTI : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001011)
constant ENABLE_SLTIU : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001100)
constant ENABLE_ANDI : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001101)
constant ENABLE_ORI : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001110)
constant ENABLE_XORI : integer := 1 ; -- "";
-- INSTRUCTION TYPE (001111)
constant ENABLE_LUI : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010000)
constant ENABLE_COP0 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010001)
constant ENABLE_COP1 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010010)
constant ENABLE_COP2 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010011)
constant ENABLE_COP3 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010100)
constant ENABLE_BEQL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010101)
constant ENABLE_BNEL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010110)
constant ENABLE_BLEZL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (010111)
constant ENABLE_BGTZL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (100000)
constant ENABLE_LB : integer := 1 ; -- "";
-- INSTRUCTION TYPE (100001)
constant ENABLE_LH : integer := 1 ; -- "";
-- INSTRUCTION TYPE (100010)
constant ENABLE_LWL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (100011)
constant ENABLE_LW : integer := 1 ; -- "";
-- INSTRUCTION TYPE (100100)
constant ENABLE_LBU : integer := 1 ; -- "";
-- INSTRUCTION TYPE (100101)
constant ENABLE_LHU : integer := 1 ; -- "";
-- INSTRUCTION TYPE (100110)
constant ENABLE_LWR : integer := 1 ; -- "";
-- INSTRUCTION TYPE (101000)
constant ENABLE_SB : integer := 1 ; -- "";
-- INSTRUCTION TYPE (101001)
constant ENABLE_SH : integer := 1 ; -- "";
-- INSTRUCTION TYPE (101010)
constant ENABLE_SWL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (101011)
constant ENABLE_SW : integer := 1 ; -- "";
-- INSTRUCTION TYPE (101110)
constant ENABLE_SWR : integer := 1 ; -- "";
-- INSTRUCTION TYPE (101111)
constant ENABLE_CACHE : integer := 1 ; -- "";
-- INSTRUCTION TYPE (110000)
constant ENABLE_LL : integer := 1 ; -- "";
-- INSTRUCTION TYPE (110001)
constant ENABLE_LWC1 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (110010)
constant ENABLE_LWC2 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (110011)
constant ENABLE_LWC3 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (110101)
constant ENABLE_LDC1 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (110110)
constant ENABLE_LDC2 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (110111)
constant ENABLE_LDC3 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (111000)
constant ENABLE_SC : integer := 1 ; -- "";
-- INSTRUCTION TYPE (111001)
constant ENABLE_SWC1 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (111010)
constant ENABLE_SWC2 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (111011)
constant ENABLE_SWC3 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (111101)
constant ENABLE_SDC1 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (111110)
constant ENABLE_SDC2 : integer := 1 ; -- "";
-- INSTRUCTION TYPE (111111)
constant ENABLE_SDC3 : integer := 1 ; -- "";
end;
package body asip_config is
-- NOTHING FOR NOW ;-)
end;
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter6/exercise6_1_dir/exercise6_1.vhd
|
1
|
1021
|
-- author: Antonio Gutierrez
-- date: 09/10/13
-- description: exercise 1: Latch and Flip Flop
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--------------------------------------
entity flip_flop_latch is
--generic declarations
port (
d: in std_logic;
clk: in std_logic;
q1, q2: out std_logic);
end entity flip_flop_latch;
--------------------------------------
architecture circuit of flip_flop_latch is
--signals and declarations
begin
-------------------
---- flip flop
-------------------
flip_flop: process (clk)
--declarativepart
begin
if (clk'event and clk = '1') then
q1 <= d;
end if;
end process flip_flop;
-------------------
---- latch
-------------------
latch: process (clk, d)
--declarativepart
begin
if (clk = '1') then
q2 <= d;
end if;
end process latch;
end architecture circuit;
--------------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/synthese/IPBus_ml605_ASIP/RAM_single_port.vhd
|
1
|
1796
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:46:34 05/19/2016
-- Design Name:
-- Module Name: RAM_single_port - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity RAM_single_port is
Port ( clk : in STD_LOGIC;
data_write : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR(11 downto 0);
ADDR : in STD_LOGIC_VECTOR (16 downto 0);
data_out : out STD_LOGIC_VECTOR (11 downto 0));
end RAM_single_port;
architecture Behavioral of RAM_single_port is
constant ADDR_WIDTH : integer := 16;
constant DATA_WIDTH : integer := 12;
-- Graphic RAM type. this object is the content of the displayed image
type GRAM is array (0 to 76799) of std_logic_vector(DATA_WIDTH-1 downto 0);
signal screen : GRAM;-- := ram_function_name("../mandelbrot.bin"); -- the memory representation of the image
begin
process (clk)
begin
if (clk'event and clk = '1') then
if (data_write = '1') then
screen(to_integer(unsigned(ADDR))) <= data_in;
data_out <= data_in;
else
data_out <= screen(to_integer(unsigned(ADDR)));
end if;
end if;
end process;
end Behavioral;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/xilinx/RAM32X1D.vhd
|
1
|
2686
|
-- $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/vhdsclibs/data/unisims/unisim/VITAL/RAM32X1D.vhd,v 1.1 2008/06/19 16:59:25 vandanad Exp $
-------------------------------------------------------------------------------
-- Copyright (c) 1995/2004 Xilinx, Inc.
-- All Right Reserved.
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 11.1
-- \ \ Description : Xilinx Functional Simulation Library Component
-- / / Static Dual Port Synchronous RAM 32-Deep by 1-Wide
-- /___/ /\ Filename : RAM32X1D.vhd
-- \ \ / \ Timestamp : Thu Apr 8 10:56:48 PDT 2004
-- \___\/\___\
--
-- Revision:
-- 03/23/04 - Initial version.
----- CELL RAM32X1D -----
library IEEE;
use IEEE.STD_LOGIC_1164.all;
library UNISIM;
use UNISIM.VPKG.all;
entity RAM32X1D is
generic (
INIT : bit_vector(31 downto 0) := X"00000000"
);
port (
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
DPRA4 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end RAM32X1D;
architecture RAM32X1D_V of RAM32X1D is
signal MEM : std_logic_vector( 32 downto 0 ) := ('X' & To_StdLogicVector(INIT) );
begin
VITALReadBehavior : process(A0, A1, A2, A3, A4, DPRA4, DPRA3, DPRA2, DPRA1, DPRA0, MEM)
Variable Index_SP : integer := 32 ;
Variable Index_DP : integer := 32 ;
variable Raddress : std_logic_vector (4 downto 0);
variable Waddress : std_logic_vector (4 downto 0);
begin
Waddress := (A4, A3, A2, A1, A0);
Raddress := (DPRA4, DPRA3, DPRA2, DPRA1, DPRA0);
Index_SP := SLV_TO_INT(SLV => Waddress);
Index_DP := SLV_TO_INT(SLV => Raddress);
SPO <= MEM(Index_SP);
DPO <= MEM(Index_DP);
end process VITALReadBehavior;
VITALWriteBehavior : process(WCLK)
variable Index_SP : integer := 32;
variable Index_DP : integer := 32;
variable Address : std_logic_vector( 4 downto 0);
begin
Address := (A4, A3, A2, A1, A0);
Index_SP := SLV_TO_INT(SLV => Address );
if ((WE = '1') and (wclk'event) and (wclk'last_value = '0') and (wclk = '1')) then
MEM(Index_SP) <= D after 100 ps;
end if;
end process VITALWriteBehavior;
end RAM32X1D_V;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/TRIGO/COSINUS_32b.vhd
|
1
|
30123
|
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Cordic Top --
-- --
-- Simple SIN/COS Cordic example --
-- 32 bits fixed format Sign,2^0, 2^-1,2^-2 etc. --
-- angle input +/-0.5phi --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity COSINUS_32b is
port(clk : in std_logic;
reset : in std_logic; -- Active low reset
angle : in std_logic_vector(31 downto 0); -- input radian
sin : out std_logic_vector(31 downto 0); -- THIS OUTPUT ¨PROVIDES THE SINUS RESULT
cos : out std_logic_vector(31 downto 0);
start : in std_logic;
done : out std_logic);
end COSINUS_32b;
architecture synthesis of COSINUS_32b is
constant xinit_c : std_logic_vector(31 downto 0):=X"26dd3b44";
constant yinit_c : std_logic_vector(31 downto 0):=X"00000000";
component addsub is
port(abus : in std_logic_vector(31 downto 0);
bbus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
as : in std_logic); --add=1, subtract=0
end component;
component shiftn is
port(ibus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
n : in std_logic_vector(4 downto 0)); --shift by n
end component;
component atan32 is --ARCTAN(x) lut
port (ZA : in Std_Logic_Vector(4 downto 0);
ZData : out Std_Logic_Vector(31 downto 0));
end component;
component fsm is
port(clk : in std_logic;
reset : in std_logic; -- Active low reset
start : in std_logic;
cnt : in std_logic_vector(4 downto 0);
init : out std_logic;
load : out std_logic;
done : out std_logic);
end component;
signal cnt_s : std_logic_vector(4 downto 0); -- bit counter, 2^5
signal newx_s : std_logic_vector(31 downto 0);
signal newy_s : std_logic_vector(31 downto 0);
signal newz_s : std_logic_vector(31 downto 0);
signal xreg_s : std_logic_vector(31 downto 0);
signal yreg_s : std_logic_vector(31 downto 0);
signal zreg_s : std_logic_vector(31 downto 0);
signal sxreg_s: std_logic_vector(31 downto 0);
signal syreg_s: std_logic_vector(31 downto 0);
signal atan_s : std_logic_vector(31 downto 0); -- arctan LUT
signal init_s : std_logic;
signal load_s : std_logic;
signal as_s : std_logic;
signal nas_s : std_logic;
begin
SHIFT1: shiftn port map (xreg_s,sxreg_s,cnt_s);
SHIFT2: shiftn port map (yreg_s,syreg_s,cnt_s);
nas_s <= not as_s;
ADD1 : addsub port map (xreg_s,syreg_s,newx_s,as_s); -- xreg
ADD2 : addsub port map (yreg_s,sxreg_s,newy_s,nas_s); -- yreg
LUT : atan32 port map(cnt_s,atan_s);
ADD3 : addsub port map (zreg_s,atan_s(31 downto 0),newz_s,as_s); -- zreg
FSM1 : fsm port map (clk,reset,start,cnt_s,init_s,load_s,done);
-- COS(X) Register
process (clk,newx_s)
begin
if (rising_edge(clk)) then
if init_s='1' then xreg_s(31 downto 0) <= xinit_c; -- fails in vh2sc xinit_c(31 downto 0); -- 0.607
elsif load_s='1' then xreg_s <= newx_s;
end if;
end if;
end process;
-- SIN(Y) Register
process (clk,newy_s)
begin
if (rising_edge(clk)) then
if init_s='1' then yreg_s <= yinit_c; -- 0.0000 fails in vh2sc yinit_c(31 downto 0)
elsif load_s='1' then yreg_s <= newy_s;
end if;
end if;
end process;
-- Z Register
process (clk,newz_s,angle)
begin
if (rising_edge(clk)) then
if init_s='1' then zreg_s <= angle; -- x
elsif load_s='1' then zreg_s <= newz_s;
end if;
end if;
end process;
as_s <= zreg_s(31); -- MSB=Sign bit
process (clk,load_s,init_s) -- bit counter
begin
if (rising_edge(clk)) then
if init_s='1' then cnt_s<=(others=> '0');
elsif (load_s='1') then cnt_s <= cnt_s + '1';
end if;
end if;
end process;
sin <= yreg_s;
cos <= xreg_s;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Adder/Subtracter --
-- no overflow. --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity addsub is
port (abus : in std_logic_vector(31 downto 0);
bbus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
as : in std_logic); --add=1, subtract=0
end addsub;
architecture synthesis of addsub is
begin
process(as,abus,bbus)
begin
if as='1' then
obus <= abus + bbus;
else
obus <= abus - bbus;
end if;
end process;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity atan32 is
port ( za : in std_logic_vector(4 downto 0);
zdata : out std_logic_vector(31 downto 0));
end atan32;
Architecture synthesis of atan32 Is
Begin
process(ZA)
begin
Case ZA is
when "00000" => ZData <= X"3243f6a8";
when "00001" => ZData <= X"1dac6705";
when "00010" => ZData <= X"0fadbafc";
when "00011" => ZData <= X"07f56ea6";
when "00100" => ZData <= X"03feab76";
when "00101" => ZData <= X"01ffd55b";
when "00110" => ZData <= X"00fffaaa";
when "00111" => ZData <= X"007fff55";
when "01000" => ZData <= X"003fffea";
when "01001" => ZData <= X"001ffffd";
when "01010" => ZData <= X"000fffff";
when "01011" => ZData <= X"0007ffff";
when "01100" => ZData <= X"0003ffff";
when "01101" => ZData <= X"0001ffff";
when "01110" => ZData <= X"0000ffff";
when "01111" => ZData <= X"00007fff";
when "10000" => ZData <= X"00003fff";
when "10001" => ZData <= X"00001fff";
when "10010" => ZData <= X"00000fff";
when "10011" => ZData <= X"000007ff";
when "10100" => ZData <= X"000003ff";
when "10101" => ZData <= X"000001ff";
when "10110" => ZData <= X"000000ff";
when "10111" => ZData <= X"0000007f";
when "11000" => ZData <= X"0000003f";
when "11001" => ZData <= X"0000001f";
when "11010" => ZData <= X"0000000f";
when "11011" => ZData <= X"00000007";
when "11100" => ZData <= X"00000003";
when "11101" => ZData <= X"00000001";
when "11110" => ZData <= X"00000000";
when "11111" => ZData <= X"00000000";
When others => ZData <= "--------------------------------";
end case;
end process;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY fsm IS
PORT(
clk : IN std_logic;
reset : IN std_logic; -- Active low reset
start : IN std_logic;
cnt : IN std_logic_vector (4 DOWNTO 0);
init : OUT std_logic;
load : OUT std_logic;
done : OUT std_logic);
END fsm ;
architecture synthesis of fsm is
type states is (s0,s1,s2,s3);
signal state,nextstate : states;
begin
Process (clk,reset) -- Process to create current state variables
begin
if (reset='0') then -- Reset State
state <= s0;
elsif (rising_edge(clk)) then
state <= nextstate; -- Set Current state
end if;
end process;
process(state,start,cnt)
begin
case state is
when s0 => -- Step 1 load regs
if start='1' then nextstate <= s1;
else nextstate <= s0; -- Wait for start signal
end if;
when s1 => -- latch result register
if cnt="11111" then nextstate <= s2; -- done
else nextstate <= s1; -- wait
end if;
when s2 =>
if start='0' then nextstate <= s0;
else nextstate <= s2; -- Wait for start signal
end if;
when others => nextstate <= s0;
end case;
end process;
process(state)
begin
case state is
when s0 =>done <= '0'; init <= '1'; load <= '0';
when s1 =>done <= '0'; init <= '0'; load <= '1';
when s2 =>done <= '1'; init <= '0'; load <= '0';
when others => done <= '-'; init <= '-'; load <= '-';
end case;
end process;
end synthesis;
-------------------------------------------------------------------------------
-- --
-- Simple Cordic --
-- Copyright (C) 1999 HT-LAB --
-- --
-- Contact/Feedback : http://www.ht-lab.com/feedback.htm --
-- Web: http://www.ht-lab.com --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Shift Right preserving sign bit --
-- --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shiftn is
port (ibus : in std_logic_vector(31 downto 0);
obus : out std_logic_vector(31 downto 0);
n : in std_logic_vector(4 downto 0)); --shift by n
end shiftn;
architecture synthesis of shiftn is
begin
process(n,ibus)
begin
case n is
when "00000" => obus <= ibus(31)&ibus(30 downto 0); -- ibus
when "00001" => obus <= ibus(31)&ibus(31)&ibus(30 downto 1);
when "00010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 2);
when "00011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 3);
when "00100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 4);
when "00101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 5);
when "00110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 6);
when "00111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(30 downto 7);
when "01000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(30 downto 8);
when "01001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 9);
when "01010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 10);
when "01011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 11);
when "01100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 12);
when "01101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 13);
when "01110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 14);
when "01111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 15);
when "10000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(30 downto 16);
when "10001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(30 downto 17);
when "10010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(30 downto 18);
when "10011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 19);
when "10100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 20);
when "10101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 21);
when "10110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 22);
when "10111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 23);
when "11000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 24);
when "11001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 25);
when "11010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(30 downto 26);
when "11011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(30 downto 27);
when "11100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(30 downto 28);
when "11101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 29);
when "11110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30);
when others => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&
ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31);
end case;
end process;
end synthesis;
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter8/example8_5_dir/example8_5.vhd
|
1
|
1314
|
--!
--! @file: example8_5.vhd
--! @brief: adder with compoenet and generate
--! @author: Antonio Gutierrez
--! @date: 2013-11-27
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
library work;
use work.pkg_adder.all;
--------------------------------------
entity adder is
generic (N: integer := 8);
port (
a, b: in std_logic_vector(N-1 downto 0);
cin: in std_logic;
s: out std_logic_vector(N-1 downto 0);
cout: out std_logic);
end entity adder;
--------------------------------------
architecture circuit of adder is
signal cin_cout: std_logic_vector(N-1 downto 0);
begin
-- first adder has cin as cin of entity
add0: full_adder port map (
a => a(0),
b => b(0),
cin => cin,
s => s(0)
cout => cin_cout(0)
);
-- middle adder have cin as cout of previous and cout as next cin
gen1: for i in 1 to N-1 generate
add1: full_adder port map (
a => a(i),
b => b(i),
cin => cin_cout(i-1),
s => s(i)
cout => cout_cout(i)
);
end generate gen1;
-- last adder's cout is cout of entity
cout <= cout_cout(N-1);
end architecture circuit;
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/filtre/function_4.vhd
|
4
|
2074
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity function_4 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_4 is
signal val0, val1, val2, val3, min, max , max_out, min_out: std_logic_vector(7 downto 0);
signal max01, max23, max0123, min01, min23, min0123: std_logic_vector(7 downto 0);
begin
val0 <= INPUT_1(31 downto 24 );
val1 <= INPUT_1(23 downto 16 );
val2 <= INPUT_1(15 downto 8 );
val3 <= INPUT_1(7 downto 0 );
min <= INPUT_2(15 downto 8);
max <= INPUT_2(7 downto 0);
compute_max : process(max, val0, val1, val2, val3, max01, max23, max0123)
begin
if(val0 > val1) then
max01 <= val0;
else
max01 <= val1;
end if;
if(val2 > val3) then
max23 <= val2;
else
max23 <= val3;
end if;
if(max01 > max23) then
max0123 <= max01;
else
max0123 <= max23;
end if;
if(max0123 > max) then
max_out <= max0123;
else
max_out <= max;
end if;
end process;
compute_min : process(min, val0, val1, val2, val3, min01, min23, min0123)
begin
if(val0 < val1) then
min01 <= val0;
else
min01 <= val1;
end if;
if(val2 < val3) then
min23 <= val2;
else
min23 <= val3;
end if;
if(min01 < min23) then
min0123 <= min01;
else
min0123 <= min23;
end if;
if(min0123 < min) then
min_out <= min0123;
else
min_out <= min;
end if;
end process;
OUTPUT_1 <= "0000000000000000"&min_out&max_out;
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/mandelbrot/coproc_3.vhd
|
5
|
1408
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity coproc_3 is
port(
clock : in std_logic;
reset : in std_logic;
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_1_valid : in std_logic;
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of coproc_3 is
SIGNAL mem : UNSIGNED(31 downto 0);
begin
-------------------------------------------------------------------------
process (clock, reset)
begin
IF clock'event AND clock = '1' THEN
IF reset = '1' THEN
mem <= TO_UNSIGNED( 0, 32);
ELSE
IF INPUT_1_valid = '1' THEN
mem <= UNSIGNED(INPUT_1) + TO_UNSIGNED( 3, 32);
ELSE
mem <= mem;
END IF;
END IF;
END IF;
end process;
-------------------------------------------------------------------------
OUTPUT_1 <= STD_LOGIC_VECTOR( mem );
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/custom/filtre/function_5.vhd
|
4
|
2143
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
use work.cam_pkg.all;
entity function_5 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_5 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable data1, data2, data3, data4 : UNSIGNED(7 downto 0);
variable mini : UNSIGNED(7 downto 0);
variable diff1, diff2, diff3, diff4 : UNSIGNED(7 downto 0);
variable mult1, mult2, mult3, mult4 : UNSIGNED(23 downto 0);
variable beta : UNSIGNED(15 downto 0);
begin
data1 := UNSIGNED( INPUT_1(7 downto 0) );
data2 := UNSIGNED( INPUT_1(15 downto 8) );
data3 := UNSIGNED( INPUT_1(23 downto 16) );
data4 := UNSIGNED( INPUT_1(31 downto 24) );
mini := UNSIGNED( INPUT_2(7 downto 0) );
beta := UNSIGNED( INPUT_2(31 downto 16) );
diff1 := data1 - mini; -- 8
diff2 := data2 - mini; -- 8
diff3 := data3 - mini; -- 8
diff4 := data4 - mini; -- 8
mult1 := diff1 * beta; -- 24
mult2 := diff2 * beta; -- 24
mult3 := diff3 * beta; -- 24
mult4 := diff4 * beta; -- 24
OUTPUT_1(7 downto 0) <= std_logic_vector(mult1(15 downto 8));
OUTPUT_1(15 downto 8) <= std_logic_vector(mult2(15 downto 8));
OUTPUT_1(23 downto 16) <= std_logic_vector(mult3(15 downto 8));
OUTPUT_1(31 downto 24) <= std_logic_vector(mult4(15 downto 8));
end process;
--OUTPUT_1 <= INPUT_1;
-------------------------------------------------------------------------
end; --architecture logic
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/video/RGB_2_YUV.vhd
|
1
|
6656
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library ims;
use ims.coprocessor.all;
use ims.conversion.all;
--
-- LES DONNEES ARRIVENT SOUS LA FORME (0x00 & B & G & R)
-- ET ELLES RESSORTENT SOUS LA FORME (0x00 & V & U & Y)
--
entity RGB_2_YUV is
port(
rst : in STD_LOGIC;
clk : in STD_LOGIC;
start : in STD_LOGIC;
flush : in std_logic;
holdn : in std_ulogic;
INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0);
ready : out std_logic;
nready : out std_logic;
icc : out std_logic_vector(3 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0)
);
end RGB_2_YUV;
architecture rtl of RGB_2_YUV is
constant s_rgb_30 : UNSIGNED(24 downto 0) := "0010011001000101101000011";
constant s_rgb_59 : UNSIGNED(24 downto 0) := "0100101100100010110100001";
constant s_rgb_11 : UNSIGNED(24 downto 0) := "0000111010010111100011010";
constant s_rgb_17 : UNSIGNED(24 downto 0) := "0001010110011001010001011";
constant s_rgb_33 : UNSIGNED(24 downto 0) := "0010101001100110101110100";
constant s_rgb_50 : UNSIGNED(24 downto 0) := "0100000000000000000000000";
constant s_rgb_42 : UNSIGNED(24 downto 0) := "0011010110010111101000100";
constant s_rgb_08 : UNSIGNED(24 downto 0) := "0000101001101000010111011";
constant s_rgb_128 : UNSIGNED(31 downto 0) := "10000000000000000000000000000000"; -- TO_UNSIGNED(128, 32); --"10000000000000000000000000000000";
signal PIPE_START : STD_LOGIC_VECTOR(3 downto 0);
SIGNAL INPUT_R : STD_LOGIC_VECTOR(7 downto 0);
SIGNAL INPUT_G : STD_LOGIC_VECTOR(7 downto 0);
SIGNAL INPUT_B : STD_LOGIC_VECTOR(7 downto 0);
SIGNAL INPUT_Y : STD_LOGIC_VECTOR(7 downto 0);
SIGNAL INPUT_U : STD_LOGIC_VECTOR(7 downto 0);
SIGNAL INPUT_V : STD_LOGIC_VECTOR(7 downto 0);
SIGNAL s_rgb_out_y : UNSIGNED(32 downto 0) := (others => '0');
SIGNAL s_rgb_out_cb : UNSIGNED(32 downto 0) := (others => '0');
SIGNAL s_rgb_out_cr : UNSIGNED(32 downto 0) := (others => '0');
SIGNAL rgb_in_r_reg_Y : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_g_reg_Y : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_b_reg_Y : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_r_reg_Cb : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_g_reg_Cb : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_b_reg_Cb : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_r_reg_Cr : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_g_reg_Cr : UNSIGNED(32 downto 0):= (others => '0');
SIGNAL rgb_in_b_reg_Cr : UNSIGNED(32 downto 0):= (others => '0');
begin
INPUT_R <= INPUT_1( 7 downto 0);
INPUT_G <= INPUT_1(15 downto 8);
INPUT_B <= INPUT_1(23 downto 16);
OUTPUT_1 <= "00000000" & INPUT_V & INPUT_U & INPUT_Y;
-- NOUS NECESSITONS N CYCLES MAIS LE SIGNAL nREADY PEUT
-- ETRE SOUMIS 2 CYCLES AVANT LA FIN ;-)
nready <= PIPE_START(1);
ready <= PIPE_START(1) OR PIPE_START(0) OR start;
icc <= "0000";
process(rst, clk)
begin
if rst = '0' then
INPUT_Y <= (others => '0');
INPUT_U <= (others => '0');
INPUT_V <= (others => '0');
PIPE_START <= "0000";
elsif rising_edge(clk) then
if (flush = '1') then
PIPE_START <= "0000";
elsif (holdn = '0') then
PIPE_START <= PIPE_START;
else
-- ON NE REAGIT PAS IMMEDIATEMENT LORSQUE L'ON RECOIT LE SIGNAL
-- START CAR IL EST DELIVRE PAR L'ETAGE DE DECODAGE (LES ENTREES
-- DU CALCUL NE SERONT DISPONIBLES QU'UN CYCLE APRES).
PIPE_START <= PIPE_START(2 downto 0) & start;
--if start = '1' then
--REPORT "COMPUTATION START...";
--printmsg("(RGB) ===> (000) COMPUTATION START...");
--end if;
-- ON MULTIPLIE LES DONNEES ENTRANTES PAR LES CONSTANTES
-- CODEES EN VIRGULE FIXE
if PIPE_START(0) = '1' then
--REPORT "RUNNING FIRST SLICE...";
--printmsg("(RGB) ===> (001) RUNNING FIRST SLICE...");
--printmsg("(RGB) ===> (001) DATA ARE (" & to_int_str(INPUT_B,6) & ", " & to_int_str(INPUT_G,6) & ", " & to_int_str(INPUT_R,6) & ")");
rgb_in_r_reg_Y <= s_rgb_30 * UNSIGNED(INPUT_R);
rgb_in_g_reg_Y <= s_rgb_59 * UNSIGNED(INPUT_G);
rgb_in_b_reg_Y <= s_rgb_11 * UNSIGNED(INPUT_B);
rgb_in_r_reg_Cb <= s_rgb_17 * UNSIGNED(INPUT_R);
rgb_in_g_reg_Cb <= s_rgb_33 * UNSIGNED(INPUT_G);
rgb_in_b_reg_Cb <= s_rgb_50 * UNSIGNED(INPUT_B);
rgb_in_r_reg_Cr <= s_rgb_50 * UNSIGNED(INPUT_R);
rgb_in_g_reg_Cr <= s_rgb_42 * UNSIGNED(INPUT_G);
rgb_in_b_reg_Cr <= s_rgb_08 * UNSIGNED(INPUT_B);
end if;
if PIPE_START(1) = '1' then
--REPORT "RUNNING SECOND SLICE...";
--printmsg("(RGB) ===> (010) RUNNING SECOND SLICE...");
s_rgb_out_y <= rgb_in_r_reg_Y + (rgb_in_g_reg_Y + rgb_in_b_reg_Y);
s_rgb_out_cb <= (s_rgb_128 - rgb_in_r_reg_Cb) - (rgb_in_g_reg_Cb + rgb_in_b_reg_Cb);
s_rgb_out_cr <= (s_rgb_128 + rgb_in_r_reg_Cr) - (rgb_in_g_reg_Cr - rgb_in_b_reg_Cr);
end if;
if PIPE_START(2) = '1' then
--REPORT "RUNNING THIRD SLICE...";
--printmsg("(RGB) ===> (011) RUNNING THIRD SLICE...");
if (s_rgb_out_y(23)='1') then
INPUT_Y <= STD_LOGIC_VECTOR(s_rgb_out_y(31 downto 24) + 1);
else
INPUT_Y <= STD_LOGIC_VECTOR(s_rgb_out_y(31 downto 24));
end if;
if (s_rgb_out_cb(23)='1') then
INPUT_U <= STD_LOGIC_VECTOR(s_rgb_out_cb(31 downto 24) + 1);
else
INPUT_U <= STD_LOGIC_VECTOR(s_rgb_out_cb(31 downto 24));
end if;
if (s_rgb_out_cr(23)='1') then
INPUT_V <= STD_LOGIC_VECTOR(s_rgb_out_cr(31 downto 24) + 1);
else
INPUT_V <= STD_LOGIC_VECTOR(s_rgb_out_cr(31 downto 24));
end if;
--printmsg("(PGDC) ===> (011) DATA Y = (" & to_int_str( STD_LOGIC_VECTOR(s_rgb_out_y (31 downto 24)),6) & ")");
--printmsg("(PGDC) ===> (011) DATA U = (" & to_int_str( STD_LOGIC_VECTOR(s_rgb_out_cb(31 downto 24)),6) & ")");
--printmsg("(PGDC) ===> (011) DATA V = (" & to_int_str( STD_LOGIC_VECTOR(s_rgb_out_cr(31 downto 24)),6) & ")");
else
INPUT_Y <= INPUT_Y;
INPUT_U <= INPUT_U;
INPUT_V <= INPUT_V;
end if;
end if;
end if;
end process;
--process(INPUT_Y, INPUT_U, INPUT_V)
--BEGIN
-- printmsg("(PGDC) ===> (111) DATA ARE (" & to_int_str(INPUT_V,6) & ", " & to_int_str(INPUT_U,6) & ", " & to_int_str(INPUT_Y,6) & ")");
--END PROCESS;
end rtl;
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter6/exercise6_11_dir/exercise6_11.vhd
|
1
|
3949
|
--!
--! @file: exercise6_11.vhd
--! @brief: Frequency Meter with SSDs
--! @author: Antonio Gutierrez
--! @date: 2013-10-28
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity frequency_meter is
generic (fclk: positive := 50_000_000;
clk_divider: positive := 50_000);
port (
input: in std_logic; -- signal to measure
clk: in std_logic; -- clk
ssd1: out std_logic_vector(6 downto 0);
ssd2: out std_logic_vector(6 downto 0);
ssd3: out std_logic_vector(6 downto 0));
end entity frequency_meter;
--------------------------------------
architecture circuit of frequency_meter is
signal one_sec: std_logic;
signal output1: integer range 0 to 10;
signal output2: integer range 0 to 10;
signal output3: integer range 0 to 10;
begin
-- create one second window
proc: process (clk)
variable one_sec: integer range 0 to fclk+1 := 0;
begin
if (clk'event and clk = '1') then
count := count + 1;
if (count = fclk + 1) then
count := 0;
one_sec <= '1';
else
one_sec <= '0';
end if;
end if;
end process proc;
-- count number of input pulses in that time window
proc1: process (input, one_sec)
variable count1: integer range 0 to 10;
variable count2: integer range 0 to 10;
variable count3: integer range 0 to 10;
variable count4: integer range 0 to 10;
variable count5: integer range 0 to 10;
begin
if (one_sec = '1') then
count1 := 0;
count2 := 0;
count3 := 0;
count4 := 0;
count5 := 0;
elsif (input'event and input = '1') then
count1 := count1 + 1;
if (count1 = 10) then
count1 := 0;
count2 := count2 + 1;
if (count2 = 10) then
count2 := 0;
count3 := count3 + 1;
if (count3 = 10) then
count3 := 0;
count4 := count4 + 1;
if (count4 = 10) then
count4 := 0;
count5 := count5 + 1;
end if;
end if;
end if;
end if;
end if;
-- store outputs to SSD
if (one_sec'event and one_sec = '1') then
output1 <= count5;
output2 <= count4;
output3 <= count3;
end if;
end process proc1;
-- SSD circuits
with output1 select
ssd1 <= '0000000' when 0,
'0000001' when 1,
'0000001' when 2,
'0000001' when 3,
'0000001' when 4,
'0000001' when 5,
'0000001' when 6,
'0000001' when 7,
'0000001' when 8,
'0000001' when others;
with output2 select
ssd2 <= '0000000' when 0,
'0000001' when 1,
'0000001' when 2,
'0000001' when 3,
'0000001' when 4,
'0000001' when 5,
'0000001' when 6,
'0000001' when 7,
'0000001' when 8,
'0000001' when others;
with output3 select
ssd3 <= '0000000' when 0,
'0000001' when 1,
'0000001' when 2,
'0000001' when 3,
'0000001' when 4,
'0000001' when 5,
'0000001' when 6,
'0000001' when 7,
'0000001' when 8,
'0000001' when others;
end architecture circuit;
--------------------------------------
|
gpl-3.0
|
karvonz/Mandelbrot
|
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/OTHERS/DIVIDER_32b.vhd
|
1
|
4818
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use IEEE.STD_LOGIC_ARITH.all;
--library ims;
--use ims.coprocessor.all;
--use ims.conversion.all;
entity DIVIDER_32b is
port(
rst : in STD_LOGIC;
clk : in STD_LOGIC;
start : in STD_LOGIC;
flush : in std_logic;
holdn : in std_ulogic;
INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0);
INPUT_2 : in STD_LOGIC_VECTOR(31 downto 0);
ready : out std_logic;
nready : out std_logic;
icc : out std_logic_vector(3 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0)
);
end DIVIDER_32b;
-- ready = 0 indique que le circuit est pret a calculer
-- 1 signifie que le circuit est occupe
-- nready = 1 indique que le calcul est termine (1 cycle suffit)
architecture behav of DIVIDER_32b is
signal buf : STD_LOGIC_VECTOR(63 downto 0);
signal dbuf : STD_LOGIC_VECTOR(31 downto 0);
signal sm : INTEGER range 0 to 32;
alias buf1 is buf(63 downto 32);
alias buf2 is buf(31 downto 0);
signal start_delay : std_logic;
begin
-------------------------------------------------------------------------
-- synthesis translate_off
-- process
-- begin
-- wait for 1 ns;
-- ASSERT false REPORT "(IMS) DIVIDER_32b : ALLOCATION OK !";
-- wait;
-- end process;
-- synthesis translate_on
-------------------------------------------------------------------------
-------------------------------------------------------------------------
reg : process(rst, clk)
variable sready, snready : std_logic;
begin
sready := '0';
snready := '0';
-- Si l'on recoit une demande de reset alors on reinitialise
if rst = '0' then
OUTPUT_1 <= (others => '0');
sm <= 0;
ready <= '0';
ready <= sready;
nready <= snready;
start_delay <= '0';
-- En cas de front montant de l'horloge alors on calcule
elsif rising_edge(clk) then
-- Si Flush alors on reset le composant
if (flush = '1') then
sm <= 0;
start_delay <= '0';
-- Si le signal de maintient est actif alors on gel l'execution
elsif (holdn = '0') then
sm <= sm;
start_delay <= start_delay;
-- Sinon on déroule l'execution de la division
else
start_delay <= start;
case sm is
-- Etat d'attente du signal start
when 0 =>
--buf1 <= (others => '0');
--buf2 <= INPUT_1;
--dbuf <= INPUT_2;
OUTPUT_1 <= buf2;
if start_delay = '1' then
buf1 <= (others => '0');
buf2 <= INPUT_1;
dbuf <= INPUT_2;
sm <= sm + 1; -- le calcul est en cours
-- printmsg("(mDIV) ===> (000) THE START SIGNAL HAS BEEN RECEIVED !");
-- printmsg("(mDIV) ===> (OP1) MEMORISATION PROCESS (" & to_int_str(INPUT_1,6) & ")");
-- printmsg("(mDIV) ===> (OP2) MEMORISATION PROCESS (" & to_int_str(INPUT_2,6) & ")");
else
--printmsg("(mDIV) ===> (000) WAITING FOR THE START SIGNAL...");
sm <= sm;
end if;
-- when 1 =>
-- printmsg("(mDIV) ===> (001) READING THE INPUT DATA");
-- buf1 <= (others => '0');
-- buf2 <= INPUT_1;
-- dbuf <= INPUT_2;
-- sm <= sm + 1; -- le calcul est en cours
-- if ( (INPUT_1(30) /= '-') AND (INPUT_1(30) /= 'X') AND (INPUT_1(30) /= 'U')) then
-- printmsg("(mDIV) ===> (OP1) MEMORISATION PROCESS (" & to_int_str(INPUT_1,6) & ")");
-- end if;
-- if ( (INPUT_2(30) /= '-') AND (INPUT_2(30) /= 'X') AND (INPUT_2(30) /= 'U')) then
-- printmsg("(mDIV) ===> (OP2) MEMORISATION PROCESS (" & to_int_str(INPUT_2,6) & ")");
-- end if;
-- when 34 =>
-- OUTPUT_1 <= buf2;
-- snready := '1'; -- le resultat du calcul est disponible
-- sm <= 0;
-- printmsg("(mDIV) ===> (010) THE COMPUTATION IS FINISHED :-)");
-- Tous les autres états sont utiles au calcul
when others =>
sready := '1'; -- le calcul est en cours
sm <= 0;
if buf(62 downto 31) >= dbuf then
buf1 <= '0' & (buf(61 downto 31) - dbuf(30 downto 0));
buf2 <= buf2(30 downto 0) & '1';
else
buf <= buf(62 downto 0) & '0';
end if;
if sm /= 32 then
sm <= sm + 1;
snready := '0'; -- le resultat n'est pas disponible
else
--OUTPUT_1 <= buf2(30 downto 0) & '1';
snready := '1'; -- le resultat du calcul est disponible
sm <= 0;
-- printmsg("(mDIV) ===> (OP1) MEMORISATION PROCESS (" & to_int_str(buf2(30 downto 0) & '1',6) & ")");
end if;
end case;
-- On transmet les signaux au systeme
ready <= sready;
nready <= snready;
end if; -- Fin du process de calcul
end if;
end process;
end behav;
|
gpl-3.0
|
chibby0ne/vhdl-book
|
Chapter11/exercise2_dir/exercise2.vhd
|
12226531
|
0
|
gpl-3.0
|
|
grwlf/vsim
|
vhdl_ct/ct00433.vhd
|
1
|
5120
|
-- NEED RESULT: ARCH00433.Chk_s3: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00433.Chk_s2: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00433.Chk_s1: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00433.Chk_gs3: Guarded assignment controlled by explicit guard passed
-- NEED RESULT: ARCH00433.Chk_gs2: Guarded assignment controlled by explicit guard passed
-- NEED RESULT: ARCH00433.Chk_gs1: Guarded assignment controlled by explicit guard passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00433
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (4)
-- 9.5 (5)
-- 9.5 (9)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00433)
-- ENT00433_Test_Bench(ARCH00433_Test_Bench)
--
-- REVISION HISTORY:
--
-- 4-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00433 of E00000 is
signal Control, s1, s2, s3 : boolean := false ;
signal Guard, gs1, gs2, gs3 : boolean := false ;
begin
B1 :
block ( Control ) -- Implicit Guard Signal
begin
s1 <= guarded transport Not s1 after 5 ns ;
s2 <= guarded transport False after 5 ns when s2 else
True after 5 ns ;
with s3 select
s3 <= guarded transport False after 5 ns when True,
True after 5 ns when others ;
end block B1 ;
Control <= transport True after 10 ns, False after 11 ns ;
Chk_s1 :
process ( s1 )
variable SavTime : Time ;
variable First_Time : boolean := true ;
begin
if First_Time then
First_Time := false ;
SavTime := Std.Standard.Now ;
else
test_report ( "ARCH00433.Chk_s1" ,
"Guarded assignment controlled by implicit guard" ,
s1 and ((SavTime+15 ns) = Std.Standard.Now) ) ;
end if ;
end process Chk_s1 ;
Chk_s2 :
process ( s2 )
variable SavTime : Time ;
variable First_Time : boolean := true ;
begin
if First_Time then
First_Time := false ;
SavTime := Std.Standard.Now ;
else
test_report ( "ARCH00433.Chk_s2" ,
"Guarded assignment controlled by implicit guard" ,
s2 and ((SavTime+15 ns) = Std.Standard.Now) ) ;
end if ;
end process Chk_s2 ;
Chk_s3 :
process ( s3 )
variable SavTime : Time ;
variable First_Time : boolean := true ;
begin
if First_Time then
First_Time := false ;
SavTime := Std.Standard.Now ;
else
test_report ( "ARCH00433.Chk_s3" ,
"Guarded assignment controlled by implicit guard" ,
s3 and ((SavTime+15 ns) = Std.Standard.Now) ) ;
end if ;
end process Chk_s3 ;
-- The following depend on the explicit signal Guard
gs1 <= guarded transport Not gs1 after 5 ns ;
gs2 <= guarded transport False after 5 ns when gs2 else
True after 5 ns ;
with gs3 select
gs3 <= guarded transport False after 5 ns when True,
True after 5 ns when others ;
Guard <= transport True after 100 ns, False after 101 ns ;
Chk_gs1 :
process ( gs1 )
variable SavTime : Time ;
variable First_Time : boolean := true ;
begin
if First_Time then
First_Time := false ;
SavTime := Std.Standard.Now ;
else
test_report ( "ARCH00433.Chk_gs1" ,
"Guarded assignment controlled by explicit guard" ,
gs1 and ((SavTime+105 ns) = Std.Standard.Now) ) ;
end if ;
end process Chk_gs1 ;
Chk_gs2 :
process ( gs2 )
variable SavTime : Time ;
variable First_Time : boolean := true ;
begin
if First_Time then
First_Time := false ;
SavTime := Std.Standard.Now ;
else
test_report ( "ARCH00433.Chk_gs2" ,
"Guarded assignment controlled by explicit guard" ,
gs2 and ((SavTime+105 ns) = Std.Standard.Now) ) ;
end if ;
end process Chk_gs2 ;
Chk_gs3 :
process ( gs3 )
variable SavTime : Time ;
variable First_Time : boolean := true ;
begin
if First_Time then
First_Time := false ;
SavTime := Std.Standard.Now ;
else
test_report ( "ARCH00433.Chk_gs3" ,
"Guarded assignment controlled by explicit guard" ,
gs3 and ((SavTime+105 ns) = Std.Standard.Now) ) ;
end if ;
end process Chk_gs3 ;
end ARCH00433 ;
entity ENT00433_Test_Bench is
end ENT00433_Test_Bench ;
architecture ARCH00433_Test_Bench of ENT00433_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00433 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00433_Test_Bench ;
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/fifo_generator_ramfifo.vhd
|
9
|
77825
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Gk/mKDmQ+4m4WeL/VwvsPAUh/FDtRllrInp3JZL3GnDrAFuOAao/AUuiK+UTgtNiRQ68y6JvB6f6
KILIssebFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Mj8v7QJ/Ray88Q4+G83GG2wc8tDWwtQvSmEdfgcDpgJ7b3nDmA8ZcSJrW+oDEu3P5tMfWiLfTQ1f
/Cno7pK2OYKrMdINeRwpnA1SFN5/QPS3nTK0Fy/YJq+uyqIvHXupa5DbSJp5cetfSZ53fa60lRpB
lbZNWz1QxQXv83Dvz4A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tm0SMwvWSXm7XZceY4yxMXR1IzMFbf/snVzftrcA3zXHp2w7X7+gIHVhXz1O11cDOlyX1Anmi6Z/
v7WOX5+yD/F0LSUbL7vIbp62eFDDR6TbptfvdGAdGaJ7O36tdFdMDQsAmv791EkO0N6jUyYnIQaO
+Dnb8zcSlw0Q0ZfliSiihksz/o5yVt7tAMiiY/zrtqpZJpUKUOZieLgwdc9e6TZrsCe3k4PfAQZq
pBehtWMxlRXURvLpz0iA7F25Vw/rBtHe+reEdlAxrgSHujAXiKQoABZ/w77k7h3VeYjZsF3MN+54
Ik4bxiFc1q1gCRT+X4er6+mGP7BQWGSd5NTZkA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hXOCv8/N1dY5rgE4gSKedwm/Hj1aUQDF8jpMRwStrpxbIzBN24zdoJ/2jhR+KFfEazT6jc8Yuj72
ryax22Mluz8gD16M6j5wnJj4qo1p7XfsyoETMIBTFLS1UblhPjp5bmuP88xwNpkfDGqU+tNmFQTO
3lL/tKOLwmmj5Po4MyI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s/y4xZc8ocTMDDKVT/5Dthx0A7Wk/25ZkdAr9ECI4VuMaN86muIjHPBjz73NHZXOmuFWHbNp4b1Y
CcOB/lMyjj6FmHUkkjAIX6p+RnC8OFC9y6Xo2OMPP2VJNgb1ivxeqikBSJ2aju3uUf+Y00kWImtB
xyH8CwjW8FyrrQOfOdmBSychfnMHCeu6h+SYCGIkI4o/t/cCVunxfaOYAKw0VO7NH+ie4o2m0mc2
Ymo1D5r+6Vu1C176GelZJbYLDg4+t3Q2obumV4FG76CviCEkT0oVnQlZtK5F27Ec0nh3QgjPhtym
2hPFBVPaa7RxQB/64toB7zpH5awn1xH68s0Q0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 55872)
`protect data_block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`protect end_protected
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00088.vhd
|
1
|
72595
|
-- NEED RESULT: ARCH00088.P1: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P2: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P3: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P4: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P5: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P6: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P7: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P8: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P9: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P10: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P11: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P12: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P13: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P14: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P15: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P16: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088.P17: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00088: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: P17: Transport transactions entirely completed passed
-- NEED RESULT: P16: Transport transactions entirely completed passed
-- NEED RESULT: P15: Transport transactions entirely completed passed
-- NEED RESULT: P14: Transport transactions entirely completed passed
-- NEED RESULT: P13: Transport transactions entirely completed passed
-- NEED RESULT: P12: Transport transactions entirely completed passed
-- NEED RESULT: P11: Transport transactions entirely completed passed
-- NEED RESULT: P10: Transport transactions entirely completed passed
-- NEED RESULT: P9: Transport transactions entirely completed passed
-- NEED RESULT: P8: Transport transactions entirely completed passed
-- NEED RESULT: P7: Transport transactions entirely completed passed
-- NEED RESULT: P6: Transport transactions entirely completed passed
-- NEED RESULT: P5: Transport transactions entirely completed passed
-- NEED RESULT: P4: Transport transactions entirely completed passed
-- NEED RESULT: P3: Transport transactions entirely completed passed
-- NEED RESULT: P2: Transport transactions entirely completed passed
-- NEED RESULT: P1: Transport transactions entirely completed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00088
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (2)
-- 8.3 (3)
-- 8.3 (5)
-- 8.3.1 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00088(ARCH00088)
-- ENT00088_Test_Bench(ARCH00088_Test_Bench)
--
-- REVISION HISTORY:
--
-- 07-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00088 is
port (
s_st_boolean_vector : inout st_boolean_vector
; s_st_bit_vector : inout st_bit_vector
; s_st_severity_level_vector : inout st_severity_level_vector
; s_st_string : inout st_string
; s_st_enum1_vector : inout st_enum1_vector
; s_st_integer_vector : inout st_integer_vector
; s_st_int1_vector : inout st_int1_vector
; s_st_time_vector : inout st_time_vector
; s_st_phys1_vector : inout st_phys1_vector
; s_st_real_vector : inout st_real_vector
; s_st_real1_vector : inout st_real1_vector
; s_st_rec1_vector : inout st_rec1_vector
; s_st_rec2_vector : inout st_rec2_vector
; s_st_rec3_vector : inout st_rec3_vector
; s_st_arr1_vector : inout st_arr1_vector
; s_st_arr2_vector : inout st_arr2_vector
; s_st_arr3_vector : inout st_arr3_vector
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_boolean_vector : chk_sig_type := -1 ;
signal chk_st_bit_vector : chk_sig_type := -1 ;
signal chk_st_severity_level_vector : chk_sig_type := -1 ;
signal chk_st_string : chk_sig_type := -1 ;
signal chk_st_enum1_vector : chk_sig_type := -1 ;
signal chk_st_integer_vector : chk_sig_type := -1 ;
signal chk_st_int1_vector : chk_sig_type := -1 ;
signal chk_st_time_vector : chk_sig_type := -1 ;
signal chk_st_phys1_vector : chk_sig_type := -1 ;
signal chk_st_real_vector : chk_sig_type := -1 ;
signal chk_st_real1_vector : chk_sig_type := -1 ;
signal chk_st_rec1_vector : chk_sig_type := -1 ;
signal chk_st_rec2_vector : chk_sig_type := -1 ;
signal chk_st_rec3_vector : chk_sig_type := -1 ;
signal chk_st_arr1_vector : chk_sig_type := -1 ;
signal chk_st_arr2_vector : chk_sig_type := -1 ;
signal chk_st_arr3_vector : chk_sig_type := -1 ;
--
--
procedure Proc1 (
signal s_st_boolean_vector : inout st_boolean_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_boolean_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_boolean_vector (lowb+1 to lowb+3) <= transport
c_st_boolean_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P1" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_boolean_vector (lowb+1 to lowb+3) <= transport
c_st_boolean_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_boolean_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_boolean_vector (lowb+1 to lowb+3) <= transport
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_boolean_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
procedure Proc2 (
signal s_st_bit_vector : inout st_bit_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_bit_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_bit_vector (lowb+1 to lowb+3) <= transport
c_st_bit_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_bit_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P2" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_bit_vector (lowb+1 to lowb+3) <= transport
c_st_bit_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_bit_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_bit_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_bit_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_bit_vector (lowb+1 to lowb+3) <= transport
c_st_bit_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_bit_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc2 ;
--
procedure Proc3 (
signal s_st_severity_level_vector : inout st_severity_level_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_severity_level_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_severity_level_vector (lowb+1 to lowb+3) <= transport
c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P3" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_severity_level_vector (lowb+1 to lowb+3) <= transport
c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_severity_level_vector (lowb+1 to lowb+3) <= transport
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_severity_level_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc3 ;
--
procedure Proc4 (
signal s_st_string : inout st_string ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_string : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_string (lowb+1 to lowb+3) <= transport
c_st_string_2 (lowb+1 to lowb+3) after 10 ns,
c_st_string_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_string (lowb+1 to lowb+3) =
c_st_string_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_string (lowb+1 to lowb+3) =
c_st_string_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P4" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_string (lowb+1 to lowb+3) <= transport
c_st_string_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_string_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_string_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_string_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_string (lowb+1 to lowb+3) =
c_st_string_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_string (lowb+1 to lowb+3) <= transport
c_st_string_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_string (lowb+1 to lowb+3) =
c_st_string_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_string <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc4 ;
--
procedure Proc5 (
signal s_st_enum1_vector : inout st_enum1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_enum1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_enum1_vector (lowb+1 to lowb+3) <= transport
c_st_enum1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P5" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_enum1_vector (lowb+1 to lowb+3) <= transport
c_st_enum1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_enum1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_enum1_vector (lowb+1 to lowb+3) <= transport
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_enum1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc5 ;
--
procedure Proc6 (
signal s_st_integer_vector : inout st_integer_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_integer_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_integer_vector (lowb+1 to lowb+3) <= transport
c_st_integer_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_integer_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P6" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_integer_vector (lowb+1 to lowb+3) <= transport
c_st_integer_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_integer_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_integer_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_integer_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_integer_vector (lowb+1 to lowb+3) <= transport
c_st_integer_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_integer_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc6 ;
--
procedure Proc7 (
signal s_st_int1_vector : inout st_int1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_int1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_int1_vector (lowb+1 to lowb+3) <= transport
c_st_int1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_int1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P7" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_int1_vector (lowb+1 to lowb+3) <= transport
c_st_int1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_int1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_int1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_int1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_int1_vector (lowb+1 to lowb+3) <= transport
c_st_int1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_int1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc7 ;
--
procedure Proc8 (
signal s_st_time_vector : inout st_time_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_time_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_time_vector (lowb+1 to lowb+3) <= transport
c_st_time_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_time_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P8" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_time_vector (lowb+1 to lowb+3) <= transport
c_st_time_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_time_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_time_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_time_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_time_vector (lowb+1 to lowb+3) <= transport
c_st_time_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_time_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc8 ;
--
procedure Proc9 (
signal s_st_phys1_vector : inout st_phys1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_phys1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_phys1_vector (lowb+1 to lowb+3) <= transport
c_st_phys1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P9" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_phys1_vector (lowb+1 to lowb+3) <= transport
c_st_phys1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_phys1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_phys1_vector (lowb+1 to lowb+3) <= transport
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_phys1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc9 ;
--
procedure Proc10 (
signal s_st_real_vector : inout st_real_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_real_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_real_vector (lowb+1 to lowb+3) <= transport
c_st_real_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_real_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P10" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_real_vector (lowb+1 to lowb+3) <= transport
c_st_real_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_real_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_real_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_real_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_real_vector (lowb+1 to lowb+3) <= transport
c_st_real_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_real_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc10 ;
--
procedure Proc11 (
signal s_st_real1_vector : inout st_real1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_real1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_real1_vector (lowb+1 to lowb+3) <= transport
c_st_real1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_real1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P11" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_real1_vector (lowb+1 to lowb+3) <= transport
c_st_real1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_real1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_real1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_real1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_real1_vector (lowb+1 to lowb+3) <= transport
c_st_real1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_real1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc11 ;
--
procedure Proc12 (
signal s_st_rec1_vector : inout st_rec1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_rec1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_rec1_vector (lowb+1 to lowb+3) <= transport
c_st_rec1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P12" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_rec1_vector (lowb+1 to lowb+3) <= transport
c_st_rec1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_rec1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec1_vector (lowb+1 to lowb+3) <= transport
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc12 ;
--
procedure Proc13 (
signal s_st_rec2_vector : inout st_rec2_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_rec2_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_rec2_vector (lowb+1 to lowb+3) <= transport
c_st_rec2_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P13" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_rec2_vector (lowb+1 to lowb+3) <= transport
c_st_rec2_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_rec2_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec2_vector (lowb+1 to lowb+3) <= transport
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc13 ;
--
procedure Proc14 (
signal s_st_rec3_vector : inout st_rec3_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_rec3_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_rec3_vector (lowb+1 to lowb+3) <= transport
c_st_rec3_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P14" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_rec3_vector (lowb+1 to lowb+3) <= transport
c_st_rec3_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_rec3_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec3_vector (lowb+1 to lowb+3) <= transport
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc14 ;
--
procedure Proc15 (
signal s_st_arr1_vector : inout st_arr1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_arr1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_arr1_vector (lowb+1 to lowb+3) <= transport
c_st_arr1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P15" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_arr1_vector (lowb+1 to lowb+3) <= transport
c_st_arr1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_arr1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr1_vector (lowb+1 to lowb+3) <= transport
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc15 ;
--
procedure Proc16 (
signal s_st_arr2_vector : inout st_arr2_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_arr2_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_arr2_vector (lowb+1 to lowb+3) <= transport
c_st_arr2_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P16" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_arr2_vector (lowb+1 to lowb+3) <= transport
c_st_arr2_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_arr2_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr2_vector (lowb+1 to lowb+3) <= transport
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc16 ;
--
procedure Proc17 (
signal s_st_arr3_vector : inout st_arr3_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_arr3_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_arr3_vector (lowb+1 to lowb+3) <= transport
c_st_arr3_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00088.P17" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_arr3_vector (lowb+1 to lowb+3) <= transport
c_st_arr3_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_arr3_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr3_vector (lowb+1 to lowb+3) <= transport
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00088" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00088" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc17 ;
--
--
end ENT00088 ;
--
architecture ARCH00088 of ENT00088 is
begin
PGEN_CHKP_1 :
process ( chk_st_boolean_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions entirely completed",
chk_st_boolean_vector = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
P1 :
process ( s_st_boolean_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc1 (
s_st_boolean_vector,
counter,
correct,
savtime,
chk_st_boolean_vector
) ;
end process P1 ;
--
PGEN_CHKP_2 :
process ( chk_st_bit_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions entirely completed",
chk_st_bit_vector = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
P2 :
process ( s_st_bit_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc2 (
s_st_bit_vector,
counter,
correct,
savtime,
chk_st_bit_vector
) ;
end process P2 ;
--
PGEN_CHKP_3 :
process ( chk_st_severity_level_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Transport transactions entirely completed",
chk_st_severity_level_vector = 4 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
P3 :
process ( s_st_severity_level_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc3 (
s_st_severity_level_vector,
counter,
correct,
savtime,
chk_st_severity_level_vector
) ;
end process P3 ;
--
PGEN_CHKP_4 :
process ( chk_st_string )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Transport transactions entirely completed",
chk_st_string = 4 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
P4 :
process ( s_st_string )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc4 (
s_st_string,
counter,
correct,
savtime,
chk_st_string
) ;
end process P4 ;
--
PGEN_CHKP_5 :
process ( chk_st_enum1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Transport transactions entirely completed",
chk_st_enum1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
P5 :
process ( s_st_enum1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc5 (
s_st_enum1_vector,
counter,
correct,
savtime,
chk_st_enum1_vector
) ;
end process P5 ;
--
PGEN_CHKP_6 :
process ( chk_st_integer_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Transport transactions entirely completed",
chk_st_integer_vector = 4 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
P6 :
process ( s_st_integer_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc6 (
s_st_integer_vector,
counter,
correct,
savtime,
chk_st_integer_vector
) ;
end process P6 ;
--
PGEN_CHKP_7 :
process ( chk_st_int1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P7" ,
"Transport transactions entirely completed",
chk_st_int1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_7 ;
--
P7 :
process ( s_st_int1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc7 (
s_st_int1_vector,
counter,
correct,
savtime,
chk_st_int1_vector
) ;
end process P7 ;
--
PGEN_CHKP_8 :
process ( chk_st_time_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P8" ,
"Transport transactions entirely completed",
chk_st_time_vector = 4 ) ;
end if ;
end process PGEN_CHKP_8 ;
--
P8 :
process ( s_st_time_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc8 (
s_st_time_vector,
counter,
correct,
savtime,
chk_st_time_vector
) ;
end process P8 ;
--
PGEN_CHKP_9 :
process ( chk_st_phys1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P9" ,
"Transport transactions entirely completed",
chk_st_phys1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_9 ;
--
P9 :
process ( s_st_phys1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc9 (
s_st_phys1_vector,
counter,
correct,
savtime,
chk_st_phys1_vector
) ;
end process P9 ;
--
PGEN_CHKP_10 :
process ( chk_st_real_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P10" ,
"Transport transactions entirely completed",
chk_st_real_vector = 4 ) ;
end if ;
end process PGEN_CHKP_10 ;
--
P10 :
process ( s_st_real_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc10 (
s_st_real_vector,
counter,
correct,
savtime,
chk_st_real_vector
) ;
end process P10 ;
--
PGEN_CHKP_11 :
process ( chk_st_real1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P11" ,
"Transport transactions entirely completed",
chk_st_real1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_11 ;
--
P11 :
process ( s_st_real1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc11 (
s_st_real1_vector,
counter,
correct,
savtime,
chk_st_real1_vector
) ;
end process P11 ;
--
PGEN_CHKP_12 :
process ( chk_st_rec1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P12" ,
"Transport transactions entirely completed",
chk_st_rec1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_12 ;
--
P12 :
process ( s_st_rec1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc12 (
s_st_rec1_vector,
counter,
correct,
savtime,
chk_st_rec1_vector
) ;
end process P12 ;
--
PGEN_CHKP_13 :
process ( chk_st_rec2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P13" ,
"Transport transactions entirely completed",
chk_st_rec2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_13 ;
--
P13 :
process ( s_st_rec2_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc13 (
s_st_rec2_vector,
counter,
correct,
savtime,
chk_st_rec2_vector
) ;
end process P13 ;
--
PGEN_CHKP_14 :
process ( chk_st_rec3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P14" ,
"Transport transactions entirely completed",
chk_st_rec3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_14 ;
--
P14 :
process ( s_st_rec3_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc14 (
s_st_rec3_vector,
counter,
correct,
savtime,
chk_st_rec3_vector
) ;
end process P14 ;
--
PGEN_CHKP_15 :
process ( chk_st_arr1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P15" ,
"Transport transactions entirely completed",
chk_st_arr1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_15 ;
--
P15 :
process ( s_st_arr1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc15 (
s_st_arr1_vector,
counter,
correct,
savtime,
chk_st_arr1_vector
) ;
end process P15 ;
--
PGEN_CHKP_16 :
process ( chk_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P16" ,
"Transport transactions entirely completed",
chk_st_arr2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_16 ;
--
P16 :
process ( s_st_arr2_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc16 (
s_st_arr2_vector,
counter,
correct,
savtime,
chk_st_arr2_vector
) ;
end process P16 ;
--
PGEN_CHKP_17 :
process ( chk_st_arr3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P17" ,
"Transport transactions entirely completed",
chk_st_arr3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_17 ;
--
P17 :
process ( s_st_arr3_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc17 (
s_st_arr3_vector,
counter,
correct,
savtime,
chk_st_arr3_vector
) ;
end process P17 ;
--
--
end ARCH00088 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00088_Test_Bench is
signal s_st_boolean_vector : st_boolean_vector
:= c_st_boolean_vector_1 ;
signal s_st_bit_vector : st_bit_vector
:= c_st_bit_vector_1 ;
signal s_st_severity_level_vector : st_severity_level_vector
:= c_st_severity_level_vector_1 ;
signal s_st_string : st_string
:= c_st_string_1 ;
signal s_st_enum1_vector : st_enum1_vector
:= c_st_enum1_vector_1 ;
signal s_st_integer_vector : st_integer_vector
:= c_st_integer_vector_1 ;
signal s_st_int1_vector : st_int1_vector
:= c_st_int1_vector_1 ;
signal s_st_time_vector : st_time_vector
:= c_st_time_vector_1 ;
signal s_st_phys1_vector : st_phys1_vector
:= c_st_phys1_vector_1 ;
signal s_st_real_vector : st_real_vector
:= c_st_real_vector_1 ;
signal s_st_real1_vector : st_real1_vector
:= c_st_real1_vector_1 ;
signal s_st_rec1_vector : st_rec1_vector
:= c_st_rec1_vector_1 ;
signal s_st_rec2_vector : st_rec2_vector
:= c_st_rec2_vector_1 ;
signal s_st_rec3_vector : st_rec3_vector
:= c_st_rec3_vector_1 ;
signal s_st_arr1_vector : st_arr1_vector
:= c_st_arr1_vector_1 ;
signal s_st_arr2_vector : st_arr2_vector
:= c_st_arr2_vector_1 ;
signal s_st_arr3_vector : st_arr3_vector
:= c_st_arr3_vector_1 ;
--
end ENT00088_Test_Bench ;
--
architecture ARCH00088_Test_Bench of ENT00088_Test_Bench is
begin
L1:
block
component UUT
port (
s_st_boolean_vector : inout st_boolean_vector
; s_st_bit_vector : inout st_bit_vector
; s_st_severity_level_vector : inout st_severity_level_vector
; s_st_string : inout st_string
; s_st_enum1_vector : inout st_enum1_vector
; s_st_integer_vector : inout st_integer_vector
; s_st_int1_vector : inout st_int1_vector
; s_st_time_vector : inout st_time_vector
; s_st_phys1_vector : inout st_phys1_vector
; s_st_real_vector : inout st_real_vector
; s_st_real1_vector : inout st_real1_vector
; s_st_rec1_vector : inout st_rec1_vector
; s_st_rec2_vector : inout st_rec2_vector
; s_st_rec3_vector : inout st_rec3_vector
; s_st_arr1_vector : inout st_arr1_vector
; s_st_arr2_vector : inout st_arr2_vector
; s_st_arr3_vector : inout st_arr3_vector
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00088 ( ARCH00088 ) ;
begin
CIS1 : UUT
port map (
s_st_boolean_vector
, s_st_bit_vector
, s_st_severity_level_vector
, s_st_string
, s_st_enum1_vector
, s_st_integer_vector
, s_st_int1_vector
, s_st_time_vector
, s_st_phys1_vector
, s_st_real_vector
, s_st_real1_vector
, s_st_rec1_vector
, s_st_rec2_vector
, s_st_rec3_vector
, s_st_arr1_vector
, s_st_arr2_vector
, s_st_arr3_vector
) ;
end block L1 ;
end ARCH00088_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00305.vhd
|
1
|
3753
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00305
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.1 (9)
-- 9.1 (10)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00305_1(ARCH00305_1)
-- E00000(ARCH00305)
-- ENT00305_Test_Bench(ARCH00305_Test_Bench)
--
-- REVISION HISTORY:
--
-- 27-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
entity ENT00305_1 is
generic ( G : Integer ) ;
port ( Pout : out Integer := 1 ) ;
end ENT00305_1 ;
architecture ARCH00305_1 of ENT00305_1 is
begin
Pout <= transport G after 10 ns ;
end ARCH00305_1 ;
use WORK.STANDARD_TYPES.all ;
architecture ARCH00305 of E00000 is
begin
L1 :
block
type T is (e1,e2,e3,e4) ;
subtype ST is T;
subtype st_int1 is Integer range 0 to 3 ;
type UA is array ( Integer range <> ) of T ;
subtype CA is UA ( st_int1 ) ;
constant C : st_int1 := st_int1'Low ; -- e1
signal SA : CA := (e2, e2, e3, e3) ;
alias S0 : ST is SA(C) ;
alias S1 : ST is SA(1) ;
alias S2 : ST is SA(2) ;
alias S3 : ST is SA(st_int1'High) ;
component Comp
generic ( G : Integer ) ;
port ( Pout : out Integer := 1 ) ;
end component ;
for all : Comp use entity WORK.ENT00305_1 ( ARCH00305_1 );
attribute Attr : boolean ;
attribute Attr of SA : signal is True ;
procedure Proc ( Did_Proc : out boolean ) ;
function To_ST ( constant P : Integer ) return ST is
begin
return ST'Val (P) ;
end To_ST ;
procedure Proc ( Did_Proc : out boolean ) is
begin
Did_Proc := True ;
end Proc ;
signal Check_it, Woke_Up : boolean := false ;
begin
A_CIS : Comp
generic map ( C ) -- e1
port map ( To_ST (Pout) => S1 ) ; -- SA(1)
A_SigAsg : Check_it <= transport True after 100 ns ;
A_Process :
process ( Check_it )
variable first_time : boolean := true ;
begin
if Not first_time then
test_report ( "ARCH00305" ,
"Test Completed" ,
Woke_Up ) ;
else
first_time := false ;
end if ;
end process A_Process ;
A_Block :
block
begin
P :
process ( SA )
variable first_time : boolean := true ;
variable Did_Proc : boolean := false ;
begin
if Not first_time then
Proc (Did_Proc) ;
test_report ( "ARCH00305" ,
"Block declarations/statements test" ,
Did_Proc and
(SA(0) = e2) and
(SA(1) = e1) and
(SA(2) = e3) and
(SA(3) = e3) ) ;
Woke_Up <= transport True ;
else
first_time := false ;
end if ;
end process P ;
end block A_Block ;
A_Generate :
for I in 1 to 1 generate
process
begin
test_report ( "ARCH00305" ,
"Generate Statement" ,
True ) ;
wait ;
end process ;
end generate A_Generate ;
A_Assert : assert Not SA'Attr
report "Concurrent Assertion Passed"
severity Note ;
end block L1 ;
end ARCH00305 ;
entity ENT00305_Test_Bench is
end ENT00305_Test_Bench ;
architecture ARCH00305_Test_Bench of ENT00305_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00305 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00305_Test_Bench ;
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_v10_0_defaults.vhd
|
9
|
30146
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gH4Vbi8hW99nuQx448ptZeS2ZNcs1874T3pJToly6dPSggmO3JNGxV5GgpvjS/will00zaKJ5HfB
1w+feXbi3Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
POx9TT45+OvduzfJ7Vfd379upZoztWxLfIrsEXCup5sYi6Y3MNM82QD2G8H06hTpNx1UFtDwI2lS
l08rClgWIl4/ULGVtTfdVHia6Hf45apwsJYzxWjkMbD+ynFZceb3Kb52wCf/Zg7yfEPsjCOgdxud
G04vOcgth1kjU9E9CF0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iNDVV0N2imbNawb5AdUWEQY6DOcNn7DPOEWLCVkwMUlktnS0+o/DpqAUlRVzQLO5bvlD9UNMPdhY
5MsIbGfi9knfu3NrTkrMa0Ssyl56kXuUSBr3Ni4anEXN41Ztn0dhZMlZIhCCKKOfG1l/sqgJujGx
MNFYca68XNdYuV23bGvqcDFRxPU+jlk0AOnagw3wtjhCX9LwxxQj05MYmCa/EdT/toslI7RXjopf
mLSbJT9rHz3eg8j26aS/x1nPFw8f2xHVxdrqjQ+HjxZoll3oMfhHYICxDhS4Wlk9HGQF28w1/ng/
heNBtcU4QC0JinoWC2BwCIRJNvP7tgmPJF5qgw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1qRKwEWFKUiTz2D27N0NU/Yn5pECTmQ5LfdR1SiQpebZuXHHRy9DcuiTzldF4WRYXuRdAzMqf096
DKj+PoC2UfB1ZsJZrLO0LvIFFBZFlTVXpfHMc2XV+Rp0z6i1AW584L2el1AlevcpPoeeol2F72h7
e88rYeY7d/BYkh+9BFQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DomRC8GfxwTc/sGANO1qaw54YWFiK7/d+kZ8mF9bS4DN2iLIOtOtOA4Acb+d2UT9EyUVhx3q8eil
q6isob0nByWki+I+vVo75OmZbI7+ALk5L5XrHkYf9+j8hxx3LT9djA7qAc5GwlG3T8RlCSlNjXa9
eKiNT3VFU6Qia7mf914sZshJKf83W9CL2NCHzCdsTMIdMPFcWVUuCyfc3PdndKQFvg7wAnR21cgq
5+gL3i56/ESN4bevSYGRWDvVRrxxcuaaiL73UKIjf9O9xLDvo0LupYZJsk06kLiWmHe1p97w00zF
jotIhbWSNjgRLw9Tjx2DkrCVTnH0EpvioVEANA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576)
`protect data_block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`protect end_protected
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/dc_ss.vhd
|
9
|
8726
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Swj+wTEC1o3iQ9g+3ut4LlrwOvF86ePmdejwf2cl9eKar3XozVQMIgMwKSpwqzDg/1lIBWkVtymD
KO0KFKUg1g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
f/qpmI5Panhe/S+WIJAvjca+TNoflnO5O7r/ZGq5NFU0+i1mr4azDPsw0moekRGLQQ+9UZzR5+se
svZEpzU0J54BaEumRHT00UyzSQnysI/hejcT1M+3aAGuloKDNV/LQyaM0ku4Ij289OwMEdRw+24z
gifL3YEfWBDwmDaSv8w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wR1xEX0I28KlMuEk3BghZ1m4UZCzYdjZHyKPsoO7f3kfQi37fjytOYg4PoKOMgdbLIOfc710hOOd
FMKbQBuMUhc3xmY7JWPo//gi7b+Bs2c7N53rCNYjEkhduA0TjTYvtTZkF0C5X6TwCyPp9LZ2Fu1C
McXK0JO3jLMh62xAWIJityvnmd7Rp9nbKyBVyEJUuWH7RcmUcfC/yjRp/TfvcusXv1Cs1XHy2Xqa
xLb/vfZ4pg3+EZSYtX/m6k0Wn1qv8oByRnPfAmHSuD18x2SRLWgqy4FDP+xFAitnTfjYJFydD8K9
RuXhkZ1q3zcJ33mFPkntlEu0maiSkbWfCUVonQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DqMFSkGrbBIKED8Hm2Wm9R5V2Ap0Tx3pxFGZS70HHhNHTmsxriVT5lLnQ2fgcdnwtpPUvl3LsIfH
D5TbFXTo3ULzdsxmYSnODAhfSpLEa00zF17BhqcHEa/j7eEn3+UUlbZgCMg+7QOZj1GX6zgiGZ2p
+r/s9ffeJUdRuOqy2p4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UgGqJSYV0IsZ+OoUhbSm8sFfiVWJOMqyPnPLJpWOIp6OkIZvEHvFfQbKDF4uMZG35sh8veWQ/tKk
MHfy6e48ms8CfxY/BggraaOKPyvyU3u/FTJPSYM/6op4GnSihUBDlVvhwCvuRf2Rjdbu2rWey6uT
GjxRTCy0DYliNq2BsySB99raQabM1L0RTU+4SDf7HlfIqb2OtoFXay4Z3f1Gny4vgxXVs1XynlZ8
9g5aBf6hNne9D044pfn2VD79Vy2COFC+yeQsaYuihNt0lVfhPOeOeUrUvvMK5uocyYqKkMmTvakv
GzlQRXTdBlP5OeUsS+hVYkGD6v/IlNqp3C/L6A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720)
`protect data_block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==
`protect end_protected
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/dc_ss.vhd
|
9
|
8726
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Swj+wTEC1o3iQ9g+3ut4LlrwOvF86ePmdejwf2cl9eKar3XozVQMIgMwKSpwqzDg/1lIBWkVtymD
KO0KFKUg1g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
f/qpmI5Panhe/S+WIJAvjca+TNoflnO5O7r/ZGq5NFU0+i1mr4azDPsw0moekRGLQQ+9UZzR5+se
svZEpzU0J54BaEumRHT00UyzSQnysI/hejcT1M+3aAGuloKDNV/LQyaM0ku4Ij289OwMEdRw+24z
gifL3YEfWBDwmDaSv8w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wR1xEX0I28KlMuEk3BghZ1m4UZCzYdjZHyKPsoO7f3kfQi37fjytOYg4PoKOMgdbLIOfc710hOOd
FMKbQBuMUhc3xmY7JWPo//gi7b+Bs2c7N53rCNYjEkhduA0TjTYvtTZkF0C5X6TwCyPp9LZ2Fu1C
McXK0JO3jLMh62xAWIJityvnmd7Rp9nbKyBVyEJUuWH7RcmUcfC/yjRp/TfvcusXv1Cs1XHy2Xqa
xLb/vfZ4pg3+EZSYtX/m6k0Wn1qv8oByRnPfAmHSuD18x2SRLWgqy4FDP+xFAitnTfjYJFydD8K9
RuXhkZ1q3zcJ33mFPkntlEu0maiSkbWfCUVonQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DqMFSkGrbBIKED8Hm2Wm9R5V2Ap0Tx3pxFGZS70HHhNHTmsxriVT5lLnQ2fgcdnwtpPUvl3LsIfH
D5TbFXTo3ULzdsxmYSnODAhfSpLEa00zF17BhqcHEa/j7eEn3+UUlbZgCMg+7QOZj1GX6zgiGZ2p
+r/s9ffeJUdRuOqy2p4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UgGqJSYV0IsZ+OoUhbSm8sFfiVWJOMqyPnPLJpWOIp6OkIZvEHvFfQbKDF4uMZG35sh8veWQ/tKk
MHfy6e48ms8CfxY/BggraaOKPyvyU3u/FTJPSYM/6op4GnSihUBDlVvhwCvuRf2Rjdbu2rWey6uT
GjxRTCy0DYliNq2BsySB99raQabM1L0RTU+4SDf7HlfIqb2OtoFXay4Z3f1Gny4vgxXVs1XynlZ8
9g5aBf6hNne9D044pfn2VD79Vy2COFC+yeQsaYuihNt0lVfhPOeOeUrUvvMK5uocyYqKkMmTvakv
GzlQRXTdBlP5OeUsS+hVYkGD6v/IlNqp3C/L6A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720)
`protect data_block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==
`protect end_protected
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00384.vhd
|
1
|
104055
|
-- NEED RESULT: ARCH00384.P1: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P2: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P3: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P4: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P5: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P6: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P7: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P8: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P9: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P10: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P11: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P12: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P13: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P14: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P15: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P16: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384.P17: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00384: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: P17: Inertial transactions completed entirely passed
-- NEED RESULT: P16: Inertial transactions completed entirely passed
-- NEED RESULT: P15: Inertial transactions completed entirely passed
-- NEED RESULT: P14: Inertial transactions completed entirely passed
-- NEED RESULT: P13: Inertial transactions completed entirely passed
-- NEED RESULT: P12: Inertial transactions completed entirely passed
-- NEED RESULT: P11: Inertial transactions completed entirely passed
-- NEED RESULT: P10: Inertial transactions completed entirely passed
-- NEED RESULT: P9: Inertial transactions completed entirely passed
-- NEED RESULT: P8: Inertial transactions completed entirely passed
-- NEED RESULT: P7: Inertial transactions completed entirely passed
-- NEED RESULT: P6: Inertial transactions completed entirely passed
-- NEED RESULT: P5: Inertial transactions completed entirely passed
-- NEED RESULT: P4: Inertial transactions completed entirely passed
-- NEED RESULT: P3: Inertial transactions completed entirely passed
-- NEED RESULT: P2: Inertial transactions completed entirely passed
-- NEED RESULT: P1: Inertial transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00384
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (3)
-- 9.5.1 (1)
-- 9.5.1 (2)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00384(ARCH00384)
-- ENT00384_Test_Bench(ARCH00384_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00384 is
port (
s_boolean : inout boolean
; s_bit : inout bit
; s_severity_level : inout severity_level
; s_character : inout character
; s_st_enum1 : inout st_enum1
; s_integer : inout integer
; s_st_int1 : inout st_int1
; s_time : inout time
; s_st_phys1 : inout st_phys1
; s_real : inout real
; s_st_real1 : inout st_real1
; s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
; s_st_arr1 : inout st_arr1
; s_st_arr2 : inout st_arr2
; s_st_arr3 : inout st_arr3
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_boolean : chk_sig_type := -1 ;
signal chk_bit : chk_sig_type := -1 ;
signal chk_severity_level : chk_sig_type := -1 ;
signal chk_character : chk_sig_type := -1 ;
signal chk_st_enum1 : chk_sig_type := -1 ;
signal chk_integer : chk_sig_type := -1 ;
signal chk_st_int1 : chk_sig_type := -1 ;
signal chk_time : chk_sig_type := -1 ;
signal chk_st_phys1 : chk_sig_type := -1 ;
signal chk_real : chk_sig_type := -1 ;
signal chk_st_real1 : chk_sig_type := -1 ;
signal chk_st_rec1 : chk_sig_type := -1 ;
signal chk_st_rec2 : chk_sig_type := -1 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
signal chk_st_arr1 : chk_sig_type := -1 ;
signal chk_st_arr2 : chk_sig_type := -1 ;
signal chk_st_arr3 : chk_sig_type := -1 ;
--
end ENT00384 ;
--
--
architecture ARCH00384 of ENT00384 is
subtype chk_time_type is Time ;
signal s_boolean_savt : chk_time_type := 0 ns ;
signal s_bit_savt : chk_time_type := 0 ns ;
signal s_severity_level_savt : chk_time_type := 0 ns ;
signal s_character_savt : chk_time_type := 0 ns ;
signal s_st_enum1_savt : chk_time_type := 0 ns ;
signal s_integer_savt : chk_time_type := 0 ns ;
signal s_st_int1_savt : chk_time_type := 0 ns ;
signal s_time_savt : chk_time_type := 0 ns ;
signal s_st_phys1_savt : chk_time_type := 0 ns ;
signal s_real_savt : chk_time_type := 0 ns ;
signal s_st_real1_savt : chk_time_type := 0 ns ;
signal s_st_rec1_savt : chk_time_type := 0 ns ;
signal s_st_rec2_savt : chk_time_type := 0 ns ;
signal s_st_rec3_savt : chk_time_type := 0 ns ;
signal s_st_arr1_savt : chk_time_type := 0 ns ;
signal s_st_arr2_savt : chk_time_type := 0 ns ;
signal s_st_arr3_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_boolean_cnt : chk_cnt_type := 0 ;
signal s_bit_cnt : chk_cnt_type := 0 ;
signal s_severity_level_cnt : chk_cnt_type := 0 ;
signal s_character_cnt : chk_cnt_type := 0 ;
signal s_st_enum1_cnt : chk_cnt_type := 0 ;
signal s_integer_cnt : chk_cnt_type := 0 ;
signal s_st_int1_cnt : chk_cnt_type := 0 ;
signal s_time_cnt : chk_cnt_type := 0 ;
signal s_st_phys1_cnt : chk_cnt_type := 0 ;
signal s_real_cnt : chk_cnt_type := 0 ;
signal s_st_real1_cnt : chk_cnt_type := 0 ;
signal s_st_rec1_cnt : chk_cnt_type := 0 ;
signal s_st_rec2_cnt : chk_cnt_type := 0 ;
signal s_st_rec3_cnt : chk_cnt_type := 0 ;
signal s_st_arr1_cnt : chk_cnt_type := 0 ;
signal s_st_arr2_cnt : chk_cnt_type := 0 ;
signal s_st_arr3_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 6 ;
signal boolean_select : select_type := 1 ;
signal bit_select : select_type := 1 ;
signal severity_level_select : select_type := 1 ;
signal character_select : select_type := 1 ;
signal st_enum1_select : select_type := 1 ;
signal integer_select : select_type := 1 ;
signal st_int1_select : select_type := 1 ;
signal time_select : select_type := 1 ;
signal st_phys1_select : select_type := 1 ;
signal real_select : select_type := 1 ;
signal st_real1_select : select_type := 1 ;
signal st_rec1_select : select_type := 1 ;
signal st_rec2_select : select_type := 1 ;
signal st_rec3_select : select_type := 1 ;
signal st_arr1_select : select_type := 1 ;
signal st_arr2_select : select_type := 1 ;
signal st_arr3_select : select_type := 1 ;
--
begin
CHG1 :
process
variable correct : boolean ;
begin
case s_boolean_cnt is
when 0
=> null ;
-- s_boolean <=
-- c_boolean_2 after 10 ns,
-- c_boolean_1 after 20 ns ;
--
when 1
=> correct :=
s_boolean =
c_boolean_2 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P1" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
boolean_select <= transport 2 ;
-- s_boolean <=
-- c_boolean_2 after 10 ns ,
-- c_boolean_1 after 20 ns ,
-- c_boolean_2 after 30 ns ,
-- c_boolean_1 after 40 ns ;
--
when 3
=> correct :=
s_boolean =
c_boolean_2 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
boolean_select <= transport 3 ;
-- s_boolean <=
-- c_boolean_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
boolean_select <= transport 4 ;
-- s_boolean <=
-- c_boolean_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
boolean_select <= transport 5 ;
-- s_boolean <=
-- c_boolean_2 after 10 ns ,
-- c_boolean_1 after 20 ns ,
-- c_boolean_2 after 30 ns ,
-- c_boolean_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_boolean =
c_boolean_2 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
boolean_select <= transport 6 ;
-- Last transaction above is marked
-- s_boolean <=
-- c_boolean_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_boolean_savt <= transport Std.Standard.Now ;
chk_boolean <= transport s_boolean_cnt
after (1 us - Std.Standard.Now) ;
s_boolean_cnt <= transport s_boolean_cnt + 1 ;
wait until (not s_boolean'Quiet) and
(s_boolean_savt /= Std.Standard.Now) ;
--
end process CHG1 ;
--
PGEN_CHKP_1 :
process ( chk_boolean )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions completed entirely",
chk_boolean = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
s_boolean <=
c_boolean_2 after 10 ns,
c_boolean_1 after 20 ns
when boolean_select = 1 else
--
c_boolean_2 after 10 ns ,
c_boolean_1 after 20 ns ,
c_boolean_2 after 30 ns ,
c_boolean_1 after 40 ns
when boolean_select = 2 else
--
c_boolean_1 after 5 ns
when boolean_select = 3 else
--
c_boolean_1 after 100 ns
when boolean_select = 4 else
--
c_boolean_2 after 10 ns ,
c_boolean_1 after 20 ns ,
c_boolean_2 after 30 ns ,
c_boolean_1 after 40 ns
when boolean_select = 5 else
--
-- Last transaction above is marked
c_boolean_1 after 40 ns ;
--
CHG2 :
process
variable correct : boolean ;
begin
case s_bit_cnt is
when 0
=> null ;
-- s_bit <=
-- c_bit_2 after 10 ns,
-- c_bit_1 after 20 ns ;
--
when 1
=> correct :=
s_bit =
c_bit_2 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P2" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
bit_select <= transport 2 ;
-- s_bit <=
-- c_bit_2 after 10 ns ,
-- c_bit_1 after 20 ns ,
-- c_bit_2 after 30 ns ,
-- c_bit_1 after 40 ns ;
--
when 3
=> correct :=
s_bit =
c_bit_2 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
bit_select <= transport 3 ;
-- s_bit <=
-- c_bit_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
bit_select <= transport 4 ;
-- s_bit <=
-- c_bit_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
bit_select <= transport 5 ;
-- s_bit <=
-- c_bit_2 after 10 ns ,
-- c_bit_1 after 20 ns ,
-- c_bit_2 after 30 ns ,
-- c_bit_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_bit =
c_bit_2 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
bit_select <= transport 6 ;
-- Last transaction above is marked
-- s_bit <=
-- c_bit_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_bit_savt <= transport Std.Standard.Now ;
chk_bit <= transport s_bit_cnt
after (1 us - Std.Standard.Now) ;
s_bit_cnt <= transport s_bit_cnt + 1 ;
wait until (not s_bit'Quiet) and
(s_bit_savt /= Std.Standard.Now) ;
--
end process CHG2 ;
--
PGEN_CHKP_2 :
process ( chk_bit )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Inertial transactions completed entirely",
chk_bit = 8 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
s_bit <=
c_bit_2 after 10 ns,
c_bit_1 after 20 ns
when bit_select = 1 else
--
c_bit_2 after 10 ns ,
c_bit_1 after 20 ns ,
c_bit_2 after 30 ns ,
c_bit_1 after 40 ns
when bit_select = 2 else
--
c_bit_1 after 5 ns
when bit_select = 3 else
--
c_bit_1 after 100 ns
when bit_select = 4 else
--
c_bit_2 after 10 ns ,
c_bit_1 after 20 ns ,
c_bit_2 after 30 ns ,
c_bit_1 after 40 ns
when bit_select = 5 else
--
-- Last transaction above is marked
c_bit_1 after 40 ns ;
--
CHG3 :
process
variable correct : boolean ;
begin
case s_severity_level_cnt is
when 0
=> null ;
-- s_severity_level <=
-- c_severity_level_2 after 10 ns,
-- c_severity_level_1 after 20 ns ;
--
when 1
=> correct :=
s_severity_level =
c_severity_level_2 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P3" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
severity_level_select <= transport 2 ;
-- s_severity_level <=
-- c_severity_level_2 after 10 ns ,
-- c_severity_level_1 after 20 ns ,
-- c_severity_level_2 after 30 ns ,
-- c_severity_level_1 after 40 ns ;
--
when 3
=> correct :=
s_severity_level =
c_severity_level_2 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
severity_level_select <= transport 3 ;
-- s_severity_level <=
-- c_severity_level_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
severity_level_select <= transport 4 ;
-- s_severity_level <=
-- c_severity_level_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
severity_level_select <= transport 5 ;
-- s_severity_level <=
-- c_severity_level_2 after 10 ns ,
-- c_severity_level_1 after 20 ns ,
-- c_severity_level_2 after 30 ns ,
-- c_severity_level_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_severity_level =
c_severity_level_2 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
severity_level_select <= transport 6 ;
-- Last transaction above is marked
-- s_severity_level <=
-- c_severity_level_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_severity_level_savt <= transport Std.Standard.Now ;
chk_severity_level <= transport s_severity_level_cnt
after (1 us - Std.Standard.Now) ;
s_severity_level_cnt <= transport s_severity_level_cnt + 1 ;
wait until (not s_severity_level'Quiet) and
(s_severity_level_savt /= Std.Standard.Now) ;
--
end process CHG3 ;
--
PGEN_CHKP_3 :
process ( chk_severity_level )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Inertial transactions completed entirely",
chk_severity_level = 8 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
--
s_severity_level <=
c_severity_level_2 after 10 ns,
c_severity_level_1 after 20 ns
when severity_level_select = 1 else
--
c_severity_level_2 after 10 ns ,
c_severity_level_1 after 20 ns ,
c_severity_level_2 after 30 ns ,
c_severity_level_1 after 40 ns
when severity_level_select = 2 else
--
c_severity_level_1 after 5 ns
when severity_level_select = 3 else
--
c_severity_level_1 after 100 ns
when severity_level_select = 4 else
--
c_severity_level_2 after 10 ns ,
c_severity_level_1 after 20 ns ,
c_severity_level_2 after 30 ns ,
c_severity_level_1 after 40 ns
when severity_level_select = 5 else
--
-- Last transaction above is marked
c_severity_level_1 after 40 ns ;
--
CHG4 :
process
variable correct : boolean ;
begin
case s_character_cnt is
when 0
=> null ;
-- s_character <=
-- c_character_2 after 10 ns,
-- c_character_1 after 20 ns ;
--
when 1
=> correct :=
s_character =
c_character_2 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P4" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
character_select <= transport 2 ;
-- s_character <=
-- c_character_2 after 10 ns ,
-- c_character_1 after 20 ns ,
-- c_character_2 after 30 ns ,
-- c_character_1 after 40 ns ;
--
when 3
=> correct :=
s_character =
c_character_2 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
character_select <= transport 3 ;
-- s_character <=
-- c_character_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
character_select <= transport 4 ;
-- s_character <=
-- c_character_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
character_select <= transport 5 ;
-- s_character <=
-- c_character_2 after 10 ns ,
-- c_character_1 after 20 ns ,
-- c_character_2 after 30 ns ,
-- c_character_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_character =
c_character_2 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
character_select <= transport 6 ;
-- Last transaction above is marked
-- s_character <=
-- c_character_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_character_savt <= transport Std.Standard.Now ;
chk_character <= transport s_character_cnt
after (1 us - Std.Standard.Now) ;
s_character_cnt <= transport s_character_cnt + 1 ;
wait until (not s_character'Quiet) and
(s_character_savt /= Std.Standard.Now) ;
--
end process CHG4 ;
--
PGEN_CHKP_4 :
process ( chk_character )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Inertial transactions completed entirely",
chk_character = 8 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
--
s_character <=
c_character_2 after 10 ns,
c_character_1 after 20 ns
when character_select = 1 else
--
c_character_2 after 10 ns ,
c_character_1 after 20 ns ,
c_character_2 after 30 ns ,
c_character_1 after 40 ns
when character_select = 2 else
--
c_character_1 after 5 ns
when character_select = 3 else
--
c_character_1 after 100 ns
when character_select = 4 else
--
c_character_2 after 10 ns ,
c_character_1 after 20 ns ,
c_character_2 after 30 ns ,
c_character_1 after 40 ns
when character_select = 5 else
--
-- Last transaction above is marked
c_character_1 after 40 ns ;
--
CHG5 :
process
variable correct : boolean ;
begin
case s_st_enum1_cnt is
when 0
=> null ;
-- s_st_enum1 <=
-- c_st_enum1_2 after 10 ns,
-- c_st_enum1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_enum1 =
c_st_enum1_2 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P5" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_enum1_select <= transport 2 ;
-- s_st_enum1 <=
-- c_st_enum1_2 after 10 ns ,
-- c_st_enum1_1 after 20 ns ,
-- c_st_enum1_2 after 30 ns ,
-- c_st_enum1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_enum1 =
c_st_enum1_2 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
st_enum1_select <= transport 3 ;
-- s_st_enum1 <=
-- c_st_enum1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_enum1_select <= transport 4 ;
-- s_st_enum1 <=
-- c_st_enum1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_enum1_select <= transport 5 ;
-- s_st_enum1 <=
-- c_st_enum1_2 after 10 ns ,
-- c_st_enum1_1 after 20 ns ,
-- c_st_enum1_2 after 30 ns ,
-- c_st_enum1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_2 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_enum1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_enum1 <=
-- c_st_enum1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_enum1_savt <= transport Std.Standard.Now ;
chk_st_enum1 <= transport s_st_enum1_cnt
after (1 us - Std.Standard.Now) ;
s_st_enum1_cnt <= transport s_st_enum1_cnt + 1 ;
wait until (not s_st_enum1'Quiet) and
(s_st_enum1_savt /= Std.Standard.Now) ;
--
end process CHG5 ;
--
PGEN_CHKP_5 :
process ( chk_st_enum1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Inertial transactions completed entirely",
chk_st_enum1 = 8 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
--
s_st_enum1 <=
c_st_enum1_2 after 10 ns,
c_st_enum1_1 after 20 ns
when st_enum1_select = 1 else
--
c_st_enum1_2 after 10 ns ,
c_st_enum1_1 after 20 ns ,
c_st_enum1_2 after 30 ns ,
c_st_enum1_1 after 40 ns
when st_enum1_select = 2 else
--
c_st_enum1_1 after 5 ns
when st_enum1_select = 3 else
--
c_st_enum1_1 after 100 ns
when st_enum1_select = 4 else
--
c_st_enum1_2 after 10 ns ,
c_st_enum1_1 after 20 ns ,
c_st_enum1_2 after 30 ns ,
c_st_enum1_1 after 40 ns
when st_enum1_select = 5 else
--
-- Last transaction above is marked
c_st_enum1_1 after 40 ns ;
--
CHG6 :
process
variable correct : boolean ;
begin
case s_integer_cnt is
when 0
=> null ;
-- s_integer <=
-- c_integer_2 after 10 ns,
-- c_integer_1 after 20 ns ;
--
when 1
=> correct :=
s_integer =
c_integer_2 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P6" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
integer_select <= transport 2 ;
-- s_integer <=
-- c_integer_2 after 10 ns ,
-- c_integer_1 after 20 ns ,
-- c_integer_2 after 30 ns ,
-- c_integer_1 after 40 ns ;
--
when 3
=> correct :=
s_integer =
c_integer_2 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
integer_select <= transport 3 ;
-- s_integer <=
-- c_integer_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
integer_select <= transport 4 ;
-- s_integer <=
-- c_integer_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
integer_select <= transport 5 ;
-- s_integer <=
-- c_integer_2 after 10 ns ,
-- c_integer_1 after 20 ns ,
-- c_integer_2 after 30 ns ,
-- c_integer_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_integer =
c_integer_2 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
integer_select <= transport 6 ;
-- Last transaction above is marked
-- s_integer <=
-- c_integer_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_integer_savt <= transport Std.Standard.Now ;
chk_integer <= transport s_integer_cnt
after (1 us - Std.Standard.Now) ;
s_integer_cnt <= transport s_integer_cnt + 1 ;
wait until (not s_integer'Quiet) and
(s_integer_savt /= Std.Standard.Now) ;
--
end process CHG6 ;
--
PGEN_CHKP_6 :
process ( chk_integer )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Inertial transactions completed entirely",
chk_integer = 8 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
--
s_integer <=
c_integer_2 after 10 ns,
c_integer_1 after 20 ns
when integer_select = 1 else
--
c_integer_2 after 10 ns ,
c_integer_1 after 20 ns ,
c_integer_2 after 30 ns ,
c_integer_1 after 40 ns
when integer_select = 2 else
--
c_integer_1 after 5 ns
when integer_select = 3 else
--
c_integer_1 after 100 ns
when integer_select = 4 else
--
c_integer_2 after 10 ns ,
c_integer_1 after 20 ns ,
c_integer_2 after 30 ns ,
c_integer_1 after 40 ns
when integer_select = 5 else
--
-- Last transaction above is marked
c_integer_1 after 40 ns ;
--
CHG7 :
process
variable correct : boolean ;
begin
case s_st_int1_cnt is
when 0
=> null ;
-- s_st_int1 <=
-- c_st_int1_2 after 10 ns,
-- c_st_int1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_int1 =
c_st_int1_2 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P7" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_int1_select <= transport 2 ;
-- s_st_int1 <=
-- c_st_int1_2 after 10 ns ,
-- c_st_int1_1 after 20 ns ,
-- c_st_int1_2 after 30 ns ,
-- c_st_int1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_int1 =
c_st_int1_2 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
st_int1_select <= transport 3 ;
-- s_st_int1 <=
-- c_st_int1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_int1_select <= transport 4 ;
-- s_st_int1 <=
-- c_st_int1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_int1_select <= transport 5 ;
-- s_st_int1 <=
-- c_st_int1_2 after 10 ns ,
-- c_st_int1_1 after 20 ns ,
-- c_st_int1_2 after 30 ns ,
-- c_st_int1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_int1 =
c_st_int1_2 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_int1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_int1 <=
-- c_st_int1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_int1_savt <= transport Std.Standard.Now ;
chk_st_int1 <= transport s_st_int1_cnt
after (1 us - Std.Standard.Now) ;
s_st_int1_cnt <= transport s_st_int1_cnt + 1 ;
wait until (not s_st_int1'Quiet) and
(s_st_int1_savt /= Std.Standard.Now) ;
--
end process CHG7 ;
--
PGEN_CHKP_7 :
process ( chk_st_int1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P7" ,
"Inertial transactions completed entirely",
chk_st_int1 = 8 ) ;
end if ;
end process PGEN_CHKP_7 ;
--
--
s_st_int1 <=
c_st_int1_2 after 10 ns,
c_st_int1_1 after 20 ns
when st_int1_select = 1 else
--
c_st_int1_2 after 10 ns ,
c_st_int1_1 after 20 ns ,
c_st_int1_2 after 30 ns ,
c_st_int1_1 after 40 ns
when st_int1_select = 2 else
--
c_st_int1_1 after 5 ns
when st_int1_select = 3 else
--
c_st_int1_1 after 100 ns
when st_int1_select = 4 else
--
c_st_int1_2 after 10 ns ,
c_st_int1_1 after 20 ns ,
c_st_int1_2 after 30 ns ,
c_st_int1_1 after 40 ns
when st_int1_select = 5 else
--
-- Last transaction above is marked
c_st_int1_1 after 40 ns ;
--
CHG8 :
process
variable correct : boolean ;
begin
case s_time_cnt is
when 0
=> null ;
-- s_time <=
-- c_time_2 after 10 ns,
-- c_time_1 after 20 ns ;
--
when 1
=> correct :=
s_time =
c_time_2 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P8" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
time_select <= transport 2 ;
-- s_time <=
-- c_time_2 after 10 ns ,
-- c_time_1 after 20 ns ,
-- c_time_2 after 30 ns ,
-- c_time_1 after 40 ns ;
--
when 3
=> correct :=
s_time =
c_time_2 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
time_select <= transport 3 ;
-- s_time <=
-- c_time_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
time_select <= transport 4 ;
-- s_time <=
-- c_time_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
time_select <= transport 5 ;
-- s_time <=
-- c_time_2 after 10 ns ,
-- c_time_1 after 20 ns ,
-- c_time_2 after 30 ns ,
-- c_time_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_time =
c_time_2 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
time_select <= transport 6 ;
-- Last transaction above is marked
-- s_time <=
-- c_time_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_time_savt <= transport Std.Standard.Now ;
chk_time <= transport s_time_cnt
after (1 us - Std.Standard.Now) ;
s_time_cnt <= transport s_time_cnt + 1 ;
wait until (not s_time'Quiet) and
(s_time_savt /= Std.Standard.Now) ;
--
end process CHG8 ;
--
PGEN_CHKP_8 :
process ( chk_time )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P8" ,
"Inertial transactions completed entirely",
chk_time = 8 ) ;
end if ;
end process PGEN_CHKP_8 ;
--
--
s_time <=
c_time_2 after 10 ns,
c_time_1 after 20 ns
when time_select = 1 else
--
c_time_2 after 10 ns ,
c_time_1 after 20 ns ,
c_time_2 after 30 ns ,
c_time_1 after 40 ns
when time_select = 2 else
--
c_time_1 after 5 ns
when time_select = 3 else
--
c_time_1 after 100 ns
when time_select = 4 else
--
c_time_2 after 10 ns ,
c_time_1 after 20 ns ,
c_time_2 after 30 ns ,
c_time_1 after 40 ns
when time_select = 5 else
--
-- Last transaction above is marked
c_time_1 after 40 ns ;
--
CHG9 :
process
variable correct : boolean ;
begin
case s_st_phys1_cnt is
when 0
=> null ;
-- s_st_phys1 <=
-- c_st_phys1_2 after 10 ns,
-- c_st_phys1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_phys1 =
c_st_phys1_2 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P9" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_phys1_select <= transport 2 ;
-- s_st_phys1 <=
-- c_st_phys1_2 after 10 ns ,
-- c_st_phys1_1 after 20 ns ,
-- c_st_phys1_2 after 30 ns ,
-- c_st_phys1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_phys1 =
c_st_phys1_2 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
st_phys1_select <= transport 3 ;
-- s_st_phys1 <=
-- c_st_phys1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_phys1_select <= transport 4 ;
-- s_st_phys1 <=
-- c_st_phys1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_phys1_select <= transport 5 ;
-- s_st_phys1 <=
-- c_st_phys1_2 after 10 ns ,
-- c_st_phys1_1 after 20 ns ,
-- c_st_phys1_2 after 30 ns ,
-- c_st_phys1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_2 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_phys1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_phys1 <=
-- c_st_phys1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_phys1_savt <= transport Std.Standard.Now ;
chk_st_phys1 <= transport s_st_phys1_cnt
after (1 us - Std.Standard.Now) ;
s_st_phys1_cnt <= transport s_st_phys1_cnt + 1 ;
wait until (not s_st_phys1'Quiet) and
(s_st_phys1_savt /= Std.Standard.Now) ;
--
end process CHG9 ;
--
PGEN_CHKP_9 :
process ( chk_st_phys1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P9" ,
"Inertial transactions completed entirely",
chk_st_phys1 = 8 ) ;
end if ;
end process PGEN_CHKP_9 ;
--
--
s_st_phys1 <=
c_st_phys1_2 after 10 ns,
c_st_phys1_1 after 20 ns
when st_phys1_select = 1 else
--
c_st_phys1_2 after 10 ns ,
c_st_phys1_1 after 20 ns ,
c_st_phys1_2 after 30 ns ,
c_st_phys1_1 after 40 ns
when st_phys1_select = 2 else
--
c_st_phys1_1 after 5 ns
when st_phys1_select = 3 else
--
c_st_phys1_1 after 100 ns
when st_phys1_select = 4 else
--
c_st_phys1_2 after 10 ns ,
c_st_phys1_1 after 20 ns ,
c_st_phys1_2 after 30 ns ,
c_st_phys1_1 after 40 ns
when st_phys1_select = 5 else
--
-- Last transaction above is marked
c_st_phys1_1 after 40 ns ;
--
CHG10 :
process
variable correct : boolean ;
begin
case s_real_cnt is
when 0
=> null ;
-- s_real <=
-- c_real_2 after 10 ns,
-- c_real_1 after 20 ns ;
--
when 1
=> correct :=
s_real =
c_real_2 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P10" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
real_select <= transport 2 ;
-- s_real <=
-- c_real_2 after 10 ns ,
-- c_real_1 after 20 ns ,
-- c_real_2 after 30 ns ,
-- c_real_1 after 40 ns ;
--
when 3
=> correct :=
s_real =
c_real_2 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
real_select <= transport 3 ;
-- s_real <=
-- c_real_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
real_select <= transport 4 ;
-- s_real <=
-- c_real_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
real_select <= transport 5 ;
-- s_real <=
-- c_real_2 after 10 ns ,
-- c_real_1 after 20 ns ,
-- c_real_2 after 30 ns ,
-- c_real_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_real =
c_real_2 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
real_select <= transport 6 ;
-- Last transaction above is marked
-- s_real <=
-- c_real_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_real_savt <= transport Std.Standard.Now ;
chk_real <= transport s_real_cnt
after (1 us - Std.Standard.Now) ;
s_real_cnt <= transport s_real_cnt + 1 ;
wait until (not s_real'Quiet) and
(s_real_savt /= Std.Standard.Now) ;
--
end process CHG10 ;
--
PGEN_CHKP_10 :
process ( chk_real )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P10" ,
"Inertial transactions completed entirely",
chk_real = 8 ) ;
end if ;
end process PGEN_CHKP_10 ;
--
--
s_real <=
c_real_2 after 10 ns,
c_real_1 after 20 ns
when real_select = 1 else
--
c_real_2 after 10 ns ,
c_real_1 after 20 ns ,
c_real_2 after 30 ns ,
c_real_1 after 40 ns
when real_select = 2 else
--
c_real_1 after 5 ns
when real_select = 3 else
--
c_real_1 after 100 ns
when real_select = 4 else
--
c_real_2 after 10 ns ,
c_real_1 after 20 ns ,
c_real_2 after 30 ns ,
c_real_1 after 40 ns
when real_select = 5 else
--
-- Last transaction above is marked
c_real_1 after 40 ns ;
--
CHG11 :
process
variable correct : boolean ;
begin
case s_st_real1_cnt is
when 0
=> null ;
-- s_st_real1 <=
-- c_st_real1_2 after 10 ns,
-- c_st_real1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_real1 =
c_st_real1_2 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P11" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_real1_select <= transport 2 ;
-- s_st_real1 <=
-- c_st_real1_2 after 10 ns ,
-- c_st_real1_1 after 20 ns ,
-- c_st_real1_2 after 30 ns ,
-- c_st_real1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_real1 =
c_st_real1_2 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
st_real1_select <= transport 3 ;
-- s_st_real1 <=
-- c_st_real1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_real1_select <= transport 4 ;
-- s_st_real1 <=
-- c_st_real1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_real1_select <= transport 5 ;
-- s_st_real1 <=
-- c_st_real1_2 after 10 ns ,
-- c_st_real1_1 after 20 ns ,
-- c_st_real1_2 after 30 ns ,
-- c_st_real1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_real1 =
c_st_real1_2 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_real1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_real1 <=
-- c_st_real1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_real1_savt <= transport Std.Standard.Now ;
chk_st_real1 <= transport s_st_real1_cnt
after (1 us - Std.Standard.Now) ;
s_st_real1_cnt <= transport s_st_real1_cnt + 1 ;
wait until (not s_st_real1'Quiet) and
(s_st_real1_savt /= Std.Standard.Now) ;
--
end process CHG11 ;
--
PGEN_CHKP_11 :
process ( chk_st_real1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P11" ,
"Inertial transactions completed entirely",
chk_st_real1 = 8 ) ;
end if ;
end process PGEN_CHKP_11 ;
--
--
s_st_real1 <=
c_st_real1_2 after 10 ns,
c_st_real1_1 after 20 ns
when st_real1_select = 1 else
--
c_st_real1_2 after 10 ns ,
c_st_real1_1 after 20 ns ,
c_st_real1_2 after 30 ns ,
c_st_real1_1 after 40 ns
when st_real1_select = 2 else
--
c_st_real1_1 after 5 ns
when st_real1_select = 3 else
--
c_st_real1_1 after 100 ns
when st_real1_select = 4 else
--
c_st_real1_2 after 10 ns ,
c_st_real1_1 after 20 ns ,
c_st_real1_2 after 30 ns ,
c_st_real1_1 after 40 ns
when st_real1_select = 5 else
--
-- Last transaction above is marked
c_st_real1_1 after 40 ns ;
--
CHG12 :
process
variable correct : boolean ;
begin
case s_st_rec1_cnt is
when 0
=> null ;
-- s_st_rec1 <=
-- c_st_rec1_2 after 10 ns,
-- c_st_rec1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec1 =
c_st_rec1_2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P12" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec1_select <= transport 2 ;
-- s_st_rec1 <=
-- c_st_rec1_2 after 10 ns ,
-- c_st_rec1_1 after 20 ns ,
-- c_st_rec1_2 after 30 ns ,
-- c_st_rec1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec1 =
c_st_rec1_2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
st_rec1_select <= transport 3 ;
-- s_st_rec1 <=
-- c_st_rec1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec1_select <= transport 4 ;
-- s_st_rec1 <=
-- c_st_rec1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec1_select <= transport 5 ;
-- s_st_rec1 <=
-- c_st_rec1_2 after 10 ns ,
-- c_st_rec1_1 after 20 ns ,
-- c_st_rec1_2 after 30 ns ,
-- c_st_rec1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec1 <=
-- c_st_rec1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec1_savt <= transport Std.Standard.Now ;
chk_st_rec1 <= transport s_st_rec1_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec1_cnt <= transport s_st_rec1_cnt + 1 ;
wait until (not s_st_rec1'Quiet) and
(s_st_rec1_savt /= Std.Standard.Now) ;
--
end process CHG12 ;
--
PGEN_CHKP_12 :
process ( chk_st_rec1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P12" ,
"Inertial transactions completed entirely",
chk_st_rec1 = 8 ) ;
end if ;
end process PGEN_CHKP_12 ;
--
--
s_st_rec1 <=
c_st_rec1_2 after 10 ns,
c_st_rec1_1 after 20 ns
when st_rec1_select = 1 else
--
c_st_rec1_2 after 10 ns ,
c_st_rec1_1 after 20 ns ,
c_st_rec1_2 after 30 ns ,
c_st_rec1_1 after 40 ns
when st_rec1_select = 2 else
--
c_st_rec1_1 after 5 ns
when st_rec1_select = 3 else
--
c_st_rec1_1 after 100 ns
when st_rec1_select = 4 else
--
c_st_rec1_2 after 10 ns ,
c_st_rec1_1 after 20 ns ,
c_st_rec1_2 after 30 ns ,
c_st_rec1_1 after 40 ns
when st_rec1_select = 5 else
--
-- Last transaction above is marked
c_st_rec1_1 after 40 ns ;
--
CHG13 :
process
variable correct : boolean ;
begin
case s_st_rec2_cnt is
when 0
=> null ;
-- s_st_rec2 <=
-- c_st_rec2_2 after 10 ns,
-- c_st_rec2_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec2 =
c_st_rec2_2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P13" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec2_select <= transport 2 ;
-- s_st_rec2 <=
-- c_st_rec2_2 after 10 ns ,
-- c_st_rec2_1 after 20 ns ,
-- c_st_rec2_2 after 30 ns ,
-- c_st_rec2_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec2 =
c_st_rec2_2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
st_rec2_select <= transport 3 ;
-- s_st_rec2 <=
-- c_st_rec2_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec2_select <= transport 4 ;
-- s_st_rec2 <=
-- c_st_rec2_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec2_select <= transport 5 ;
-- s_st_rec2 <=
-- c_st_rec2_2 after 10 ns ,
-- c_st_rec2_1 after 20 ns ,
-- c_st_rec2_2 after 30 ns ,
-- c_st_rec2_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec2_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec2 <=
-- c_st_rec2_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec2_savt <= transport Std.Standard.Now ;
chk_st_rec2 <= transport s_st_rec2_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec2_cnt <= transport s_st_rec2_cnt + 1 ;
wait until (not s_st_rec2'Quiet) and
(s_st_rec2_savt /= Std.Standard.Now) ;
--
end process CHG13 ;
--
PGEN_CHKP_13 :
process ( chk_st_rec2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P13" ,
"Inertial transactions completed entirely",
chk_st_rec2 = 8 ) ;
end if ;
end process PGEN_CHKP_13 ;
--
--
s_st_rec2 <=
c_st_rec2_2 after 10 ns,
c_st_rec2_1 after 20 ns
when st_rec2_select = 1 else
--
c_st_rec2_2 after 10 ns ,
c_st_rec2_1 after 20 ns ,
c_st_rec2_2 after 30 ns ,
c_st_rec2_1 after 40 ns
when st_rec2_select = 2 else
--
c_st_rec2_1 after 5 ns
when st_rec2_select = 3 else
--
c_st_rec2_1 after 100 ns
when st_rec2_select = 4 else
--
c_st_rec2_2 after 10 ns ,
c_st_rec2_1 after 20 ns ,
c_st_rec2_2 after 30 ns ,
c_st_rec2_1 after 40 ns
when st_rec2_select = 5 else
--
-- Last transaction above is marked
c_st_rec2_1 after 40 ns ;
--
CHG14 :
process
variable correct : boolean ;
begin
case s_st_rec3_cnt is
when 0
=> null ;
-- s_st_rec3 <=
-- c_st_rec3_2 after 10 ns,
-- c_st_rec3_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec3 =
c_st_rec3_2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P14" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec3_select <= transport 2 ;
-- s_st_rec3 <=
-- c_st_rec3_2 after 10 ns ,
-- c_st_rec3_1 after 20 ns ,
-- c_st_rec3_2 after 30 ns ,
-- c_st_rec3_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec3 =
c_st_rec3_2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
st_rec3_select <= transport 3 ;
-- s_st_rec3 <=
-- c_st_rec3_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 4 ;
-- s_st_rec3 <=
-- c_st_rec3_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 5 ;
-- s_st_rec3 <=
-- c_st_rec3_2 after 10 ns ,
-- c_st_rec3_1 after 20 ns ,
-- c_st_rec3_2 after 30 ns ,
-- c_st_rec3_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec3 <=
-- c_st_rec3_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec3_savt <= transport Std.Standard.Now ;
chk_st_rec3 <= transport s_st_rec3_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ;
wait until (not s_st_rec3'Quiet) and
(s_st_rec3_savt /= Std.Standard.Now) ;
--
end process CHG14 ;
--
PGEN_CHKP_14 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P14" ,
"Inertial transactions completed entirely",
chk_st_rec3 = 8 ) ;
end if ;
end process PGEN_CHKP_14 ;
--
--
s_st_rec3 <=
c_st_rec3_2 after 10 ns,
c_st_rec3_1 after 20 ns
when st_rec3_select = 1 else
--
c_st_rec3_2 after 10 ns ,
c_st_rec3_1 after 20 ns ,
c_st_rec3_2 after 30 ns ,
c_st_rec3_1 after 40 ns
when st_rec3_select = 2 else
--
c_st_rec3_1 after 5 ns
when st_rec3_select = 3 else
--
c_st_rec3_1 after 100 ns
when st_rec3_select = 4 else
--
c_st_rec3_2 after 10 ns ,
c_st_rec3_1 after 20 ns ,
c_st_rec3_2 after 30 ns ,
c_st_rec3_1 after 40 ns
when st_rec3_select = 5 else
--
-- Last transaction above is marked
c_st_rec3_1 after 40 ns ;
--
CHG15 :
process
variable correct : boolean ;
begin
case s_st_arr1_cnt is
when 0
=> null ;
-- s_st_arr1 <=
-- c_st_arr1_2 after 10 ns,
-- c_st_arr1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr1 =
c_st_arr1_2 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P15" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr1_select <= transport 2 ;
-- s_st_arr1 <=
-- c_st_arr1_2 after 10 ns ,
-- c_st_arr1_1 after 20 ns ,
-- c_st_arr1_2 after 30 ns ,
-- c_st_arr1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr1 =
c_st_arr1_2 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
st_arr1_select <= transport 3 ;
-- s_st_arr1 <=
-- c_st_arr1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr1_select <= transport 4 ;
-- s_st_arr1 <=
-- c_st_arr1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_arr1_select <= transport 5 ;
-- s_st_arr1 <=
-- c_st_arr1_2 after 10 ns ,
-- c_st_arr1_1 after 20 ns ,
-- c_st_arr1_2 after 30 ns ,
-- c_st_arr1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_2 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_arr1 <=
-- c_st_arr1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_arr1_savt <= transport Std.Standard.Now ;
chk_st_arr1 <= transport s_st_arr1_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr1_cnt <= transport s_st_arr1_cnt + 1 ;
wait until (not s_st_arr1'Quiet) and
(s_st_arr1_savt /= Std.Standard.Now) ;
--
end process CHG15 ;
--
PGEN_CHKP_15 :
process ( chk_st_arr1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P15" ,
"Inertial transactions completed entirely",
chk_st_arr1 = 8 ) ;
end if ;
end process PGEN_CHKP_15 ;
--
--
s_st_arr1 <=
c_st_arr1_2 after 10 ns,
c_st_arr1_1 after 20 ns
when st_arr1_select = 1 else
--
c_st_arr1_2 after 10 ns ,
c_st_arr1_1 after 20 ns ,
c_st_arr1_2 after 30 ns ,
c_st_arr1_1 after 40 ns
when st_arr1_select = 2 else
--
c_st_arr1_1 after 5 ns
when st_arr1_select = 3 else
--
c_st_arr1_1 after 100 ns
when st_arr1_select = 4 else
--
c_st_arr1_2 after 10 ns ,
c_st_arr1_1 after 20 ns ,
c_st_arr1_2 after 30 ns ,
c_st_arr1_1 after 40 ns
when st_arr1_select = 5 else
--
-- Last transaction above is marked
c_st_arr1_1 after 40 ns ;
--
CHG16 :
process
variable correct : boolean ;
begin
case s_st_arr2_cnt is
when 0
=> null ;
-- s_st_arr2 <=
-- c_st_arr2_2 after 10 ns,
-- c_st_arr2_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr2 =
c_st_arr2_2 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P16" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr2_select <= transport 2 ;
-- s_st_arr2 <=
-- c_st_arr2_2 after 10 ns ,
-- c_st_arr2_1 after 20 ns ,
-- c_st_arr2_2 after 30 ns ,
-- c_st_arr2_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr2 =
c_st_arr2_2 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
st_arr2_select <= transport 3 ;
-- s_st_arr2 <=
-- c_st_arr2_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr2_select <= transport 4 ;
-- s_st_arr2 <=
-- c_st_arr2_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_arr2_select <= transport 5 ;
-- s_st_arr2 <=
-- c_st_arr2_2 after 10 ns ,
-- c_st_arr2_1 after 20 ns ,
-- c_st_arr2_2 after 30 ns ,
-- c_st_arr2_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_2 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr2_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_arr2 <=
-- c_st_arr2_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_arr2_savt <= transport Std.Standard.Now ;
chk_st_arr2 <= transport s_st_arr2_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr2_cnt <= transport s_st_arr2_cnt + 1 ;
wait until (not s_st_arr2'Quiet) and
(s_st_arr2_savt /= Std.Standard.Now) ;
--
end process CHG16 ;
--
PGEN_CHKP_16 :
process ( chk_st_arr2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P16" ,
"Inertial transactions completed entirely",
chk_st_arr2 = 8 ) ;
end if ;
end process PGEN_CHKP_16 ;
--
--
s_st_arr2 <=
c_st_arr2_2 after 10 ns,
c_st_arr2_1 after 20 ns
when st_arr2_select = 1 else
--
c_st_arr2_2 after 10 ns ,
c_st_arr2_1 after 20 ns ,
c_st_arr2_2 after 30 ns ,
c_st_arr2_1 after 40 ns
when st_arr2_select = 2 else
--
c_st_arr2_1 after 5 ns
when st_arr2_select = 3 else
--
c_st_arr2_1 after 100 ns
when st_arr2_select = 4 else
--
c_st_arr2_2 after 10 ns ,
c_st_arr2_1 after 20 ns ,
c_st_arr2_2 after 30 ns ,
c_st_arr2_1 after 40 ns
when st_arr2_select = 5 else
--
-- Last transaction above is marked
c_st_arr2_1 after 40 ns ;
--
CHG17 :
process
variable correct : boolean ;
begin
case s_st_arr3_cnt is
when 0
=> null ;
-- s_st_arr3 <=
-- c_st_arr3_2 after 10 ns,
-- c_st_arr3_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr3 =
c_st_arr3_2 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384.P17" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr3_select <= transport 2 ;
-- s_st_arr3 <=
-- c_st_arr3_2 after 10 ns ,
-- c_st_arr3_1 after 20 ns ,
-- c_st_arr3_2 after 30 ns ,
-- c_st_arr3_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr3 =
c_st_arr3_2 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
st_arr3_select <= transport 3 ;
-- s_st_arr3 <=
-- c_st_arr3_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr3_select <= transport 4 ;
-- s_st_arr3 <=
-- c_st_arr3_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_arr3_select <= transport 5 ;
-- s_st_arr3 <=
-- c_st_arr3_2 after 10 ns ,
-- c_st_arr3_1 after 20 ns ,
-- c_st_arr3_2 after 30 ns ,
-- c_st_arr3_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_2 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr3_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_arr3 <=
-- c_st_arr3_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00384" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_arr3_savt <= transport Std.Standard.Now ;
chk_st_arr3 <= transport s_st_arr3_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr3_cnt <= transport s_st_arr3_cnt + 1 ;
wait until (not s_st_arr3'Quiet) and
(s_st_arr3_savt /= Std.Standard.Now) ;
--
end process CHG17 ;
--
PGEN_CHKP_17 :
process ( chk_st_arr3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P17" ,
"Inertial transactions completed entirely",
chk_st_arr3 = 8 ) ;
end if ;
end process PGEN_CHKP_17 ;
--
--
s_st_arr3 <=
c_st_arr3_2 after 10 ns,
c_st_arr3_1 after 20 ns
when st_arr3_select = 1 else
--
c_st_arr3_2 after 10 ns ,
c_st_arr3_1 after 20 ns ,
c_st_arr3_2 after 30 ns ,
c_st_arr3_1 after 40 ns
when st_arr3_select = 2 else
--
c_st_arr3_1 after 5 ns
when st_arr3_select = 3 else
--
c_st_arr3_1 after 100 ns
when st_arr3_select = 4 else
--
c_st_arr3_2 after 10 ns ,
c_st_arr3_1 after 20 ns ,
c_st_arr3_2 after 30 ns ,
c_st_arr3_1 after 40 ns
when st_arr3_select = 5 else
--
-- Last transaction above is marked
c_st_arr3_1 after 40 ns ;
--
end ARCH00384 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00384_Test_Bench is
signal s_boolean : boolean
:= c_boolean_1 ;
signal s_bit : bit
:= c_bit_1 ;
signal s_severity_level : severity_level
:= c_severity_level_1 ;
signal s_character : character
:= c_character_1 ;
signal s_st_enum1 : st_enum1
:= c_st_enum1_1 ;
signal s_integer : integer
:= c_integer_1 ;
signal s_st_int1 : st_int1
:= c_st_int1_1 ;
signal s_time : time
:= c_time_1 ;
signal s_st_phys1 : st_phys1
:= c_st_phys1_1 ;
signal s_real : real
:= c_real_1 ;
signal s_st_real1 : st_real1
:= c_st_real1_1 ;
signal s_st_rec1 : st_rec1
:= c_st_rec1_1 ;
signal s_st_rec2 : st_rec2
:= c_st_rec2_1 ;
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
signal s_st_arr1 : st_arr1
:= c_st_arr1_1 ;
signal s_st_arr2 : st_arr2
:= c_st_arr2_1 ;
signal s_st_arr3 : st_arr3
:= c_st_arr3_1 ;
--
end ENT00384_Test_Bench ;
--
--
architecture ARCH00384_Test_Bench of ENT00384_Test_Bench is
begin
L1:
block
component UUT
port (
s_boolean : inout boolean
; s_bit : inout bit
; s_severity_level : inout severity_level
; s_character : inout character
; s_st_enum1 : inout st_enum1
; s_integer : inout integer
; s_st_int1 : inout st_int1
; s_time : inout time
; s_st_phys1 : inout st_phys1
; s_real : inout real
; s_st_real1 : inout st_real1
; s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
; s_st_arr1 : inout st_arr1
; s_st_arr2 : inout st_arr2
; s_st_arr3 : inout st_arr3
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00384 ( ARCH00384 ) ;
begin
CIS1 : UUT
port map (
s_boolean
, s_bit
, s_severity_level
, s_character
, s_st_enum1
, s_integer
, s_st_int1
, s_time
, s_st_phys1
, s_real
, s_st_real1
, s_st_rec1
, s_st_rec2
, s_st_rec3
, s_st_arr1
, s_st_arr2
, s_st_arr3
)
;
end block L1 ;
end ARCH00384_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00618.vhd
|
1
|
14674
|
-- NEED RESULT: ARCH00618: Concurrent proc call 1 passed
-- NEED RESULT: ARCH00618: Concurrent proc call 1 passed
-- NEED RESULT: ARCH00618: Concurrent proc call 1 passed
-- NEED RESULT: ARCH00618.P1: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00618.P2: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00618.P3: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00618: Concurrent proc call 2 passed
-- NEED RESULT: ARCH00618: Concurrent proc call 2 passed
-- NEED RESULT: ARCH00618: Concurrent proc call 2 passed
-- NEED RESULT: ARCH00618: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00618: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00618: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00618: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00618: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00618: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: P3: Transport transactions completed entirely passed
-- NEED RESULT: P2: Transport transactions completed entirely passed
-- NEED RESULT: P1: Transport transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00618
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.3 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00618(ARCH00618)
-- ENT00618_Test_Bench(ARCH00618_Test_Bench)
--
-- REVISION HISTORY:
--
-- 24-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00618 is
port (
s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_rec1 : chk_sig_type := -1 ;
signal chk_st_rec2 : chk_sig_type := -1 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
--
end ENT00618 ;
--
--
architecture ARCH00618 of ENT00618 is
subtype chk_time_type is Time ;
signal s_st_rec1_savt : chk_time_type := 0 ns ;
signal s_st_rec2_savt : chk_time_type := 0 ns ;
signal s_st_rec3_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_st_rec1_cnt : chk_cnt_type := 0 ;
signal s_st_rec2_cnt : chk_cnt_type := 0 ;
signal s_st_rec3_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 3 ;
signal st_rec1_select : select_type := 1 ;
signal st_rec2_select : select_type := 1 ;
signal st_rec3_select : select_type := 1 ;
--
procedure P1
(signal s_st_rec1 : in st_rec1 ;
signal select_sig : out Select_Type ;
signal savtime : out Chk_Time_Type ;
signal chk_sig : out Chk_Sig_Type ;
signal count : out Integer)
is
variable correct : boolean ;
begin
case s_st_rec1_cnt is
when 0
=> null ;
-- s_st_rec1.f2 <= transport
-- c_st_rec1_2.f2 after 10 ns,
-- c_st_rec1_1.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec1.f2 =
c_st_rec1_2.f2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"Concurrent proc call 1",
correct ) ;
--
when 2
=> correct :=
s_st_rec1.f2 =
c_st_rec1_1.f2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618.P1" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
select_sig <= transport 2 ;
-- s_st_rec1.f2 <= transport
-- c_st_rec1_2.f2 after 10 ns ,
-- c_st_rec1_1.f2 after 20 ns ,
-- c_st_rec1_2.f2 after 30 ns ,
-- c_st_rec1_1.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec1.f2 =
c_st_rec1_2.f2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"Concurrent proc call 2",
correct ) ;
select_sig <= transport 3 ;
-- s_st_rec1.f2 <= transport
-- c_st_rec1_1.f2 after 5 ns ;
--
when 4
=> correct :=
s_st_rec1.f2 =
c_st_rec1_1.f2 and
(s_st_rec1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00618" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00618" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
savtime <= transport Std.Standard.Now ;
chk_sig <= transport s_st_rec1_cnt
after (1 us - Std.Standard.Now) ;
count <= transport s_st_rec1_cnt + 1 ;
--
end ;
--
procedure P2
(signal s_st_rec2 : in st_rec2 ;
signal select_sig : out Select_Type ;
signal savtime : out Chk_Time_Type ;
signal chk_sig : out Chk_Sig_Type ;
signal count : out Integer)
is
variable correct : boolean ;
begin
case s_st_rec2_cnt is
when 0
=> null ;
-- s_st_rec2.f2 <= transport
-- c_st_rec2_2.f2 after 10 ns,
-- c_st_rec2_1.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec2.f2 =
c_st_rec2_2.f2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"Concurrent proc call 1",
correct ) ;
--
when 2
=> correct :=
s_st_rec2.f2 =
c_st_rec2_1.f2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618.P2" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
select_sig <= transport 2 ;
-- s_st_rec2.f2 <= transport
-- c_st_rec2_2.f2 after 10 ns ,
-- c_st_rec2_1.f2 after 20 ns ,
-- c_st_rec2_2.f2 after 30 ns ,
-- c_st_rec2_1.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec2.f2 =
c_st_rec2_2.f2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"Concurrent proc call 2",
correct ) ;
select_sig <= transport 3 ;
-- s_st_rec2.f2 <= transport
-- c_st_rec2_1.f2 after 5 ns ;
--
when 4
=> correct :=
s_st_rec2.f2 =
c_st_rec2_1.f2 and
(s_st_rec2_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00618" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00618" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
savtime <= transport Std.Standard.Now ;
chk_sig <= transport s_st_rec2_cnt
after (1 us - Std.Standard.Now) ;
count <= transport s_st_rec2_cnt + 1 ;
--
end ;
--
procedure P3
(signal s_st_rec3 : in st_rec3 ;
signal select_sig : out Select_Type ;
signal savtime : out Chk_Time_Type ;
signal chk_sig : out Chk_Sig_Type ;
signal count : out Integer)
is
variable correct : boolean ;
begin
case s_st_rec3_cnt is
when 0
=> null ;
-- s_st_rec3.f2 <= transport
-- c_st_rec3_2.f2 after 10 ns,
-- c_st_rec3_1.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec3.f2 =
c_st_rec3_2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"Concurrent proc call 1",
correct ) ;
--
when 2
=> correct :=
s_st_rec3.f2 =
c_st_rec3_1.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618.P3" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
select_sig <= transport 2 ;
-- s_st_rec3.f2 <= transport
-- c_st_rec3_2.f2 after 10 ns ,
-- c_st_rec3_1.f2 after 20 ns ,
-- c_st_rec3_2.f2 after 30 ns ,
-- c_st_rec3_1.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec3.f2 =
c_st_rec3_2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"Concurrent proc call 2",
correct ) ;
select_sig <= transport 3 ;
-- s_st_rec3.f2 <= transport
-- c_st_rec3_1.f2 after 5 ns ;
--
when 4
=> correct :=
s_st_rec3.f2 =
c_st_rec3_1.f2 and
(s_st_rec3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00618" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00618" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00618" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
savtime <= transport Std.Standard.Now ;
chk_sig <= transport s_st_rec3_cnt
after (1 us - Std.Standard.Now) ;
count <= transport s_st_rec3_cnt + 1 ;
--
end ;
--
begin
CHG1 :
P1(
s_st_rec1 ,
st_rec1_select ,
s_st_rec1_savt ,
chk_st_rec1 ,
s_st_rec1_cnt ) ;
--
PGEN_CHKP_1 :
process ( chk_st_rec1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions completed entirely",
chk_st_rec1 = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
with st_rec1_select select
s_st_rec1.f2 <= transport
c_st_rec1_2.f2 after 10 ns,
c_st_rec1_1.f2 after 20 ns
when 1,
--
c_st_rec1_2.f2 after 10 ns ,
c_st_rec1_1.f2 after 20 ns ,
c_st_rec1_2.f2 after 30 ns ,
c_st_rec1_1.f2 after 40 ns
when 2,
--
c_st_rec1_1.f2 after 5 ns when 3 ;
--
CHG2 :
P2(
s_st_rec2 ,
st_rec2_select ,
s_st_rec2_savt ,
chk_st_rec2 ,
s_st_rec2_cnt ) ;
--
PGEN_CHKP_2 :
process ( chk_st_rec2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions completed entirely",
chk_st_rec2 = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
with st_rec2_select select
s_st_rec2.f2 <= transport
c_st_rec2_2.f2 after 10 ns,
c_st_rec2_1.f2 after 20 ns
when 1,
--
c_st_rec2_2.f2 after 10 ns ,
c_st_rec2_1.f2 after 20 ns ,
c_st_rec2_2.f2 after 30 ns ,
c_st_rec2_1.f2 after 40 ns
when 2,
--
c_st_rec2_1.f2 after 5 ns when 3 ;
--
CHG3 :
P3(
s_st_rec3 ,
st_rec3_select ,
s_st_rec3_savt ,
chk_st_rec3 ,
s_st_rec3_cnt ) ;
--
PGEN_CHKP_3 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Transport transactions completed entirely",
chk_st_rec3 = 4 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
--
with st_rec3_select select
s_st_rec3.f2 <= transport
c_st_rec3_2.f2 after 10 ns,
c_st_rec3_1.f2 after 20 ns
when 1,
--
c_st_rec3_2.f2 after 10 ns ,
c_st_rec3_1.f2 after 20 ns ,
c_st_rec3_2.f2 after 30 ns ,
c_st_rec3_1.f2 after 40 ns
when 2,
--
c_st_rec3_1.f2 after 5 ns when 3 ;
--
end ARCH00618 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00618_Test_Bench is
signal s_st_rec1 : st_rec1
:= c_st_rec1_1 ;
signal s_st_rec2 : st_rec2
:= c_st_rec2_1 ;
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
--
end ENT00618_Test_Bench ;
--
--
architecture ARCH00618_Test_Bench of ENT00618_Test_Bench is
begin
L1:
block
component UUT
port (
s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00618 ( ARCH00618 ) ;
begin
CIS1 : UUT
port map (
s_st_rec1
, s_st_rec2
, s_st_rec3
)
;
end block L1 ;
end ARCH00618_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00323.vhd
|
1
|
1441
|
-- NEED RESULT: *** An assertion follows with severity level WARNING
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00323
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.4 (5)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00323)
-- ENT00323_Test_Bench(ARCH00323_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUL-1987 - initial revision
--
-- NOTES:
--
-- Verify that assertion messages match the comment messages output.
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00323 of E00000 is
signal Dummy : Boolean := false;
begin
P1 :
process ( Dummy )
begin
print ("*** An assertion follows with severity level WARNING") ;
end process P1 ;
assert Dummy
report "An assertion with severity WARNING"
severity Severity_Level'Succ (NOTE) ;
end ARCH00323 ;
entity ENT00323_Test_Bench is
end ENT00323_Test_Bench ;
architecture ARCH00323_Test_Bench of ENT00323_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00323 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00323_Test_Bench ;
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_v10_0_pkg.vhd
|
9
|
129958
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bvJN6dShMt6/M4FI1Aju7cIawEk4rn8Pd9LxuW0za8cEfJnIMvO6wSvhS7Cer+u4QZe6gPZutcXb
2V7LYKVTFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
We/ohqQ1qIofqD7waNEB4beVWFWkb/dXXmCiCj9AAXTXnz8aww9HV8/ZPukvA5qw3j1USG+Idi9B
pReRCd+RHzpGIlH8iDJesXEIO6aJzyf10QNKScgGZceiGwn6MzASZ4cedWDX0EvBRUOkyUve6OaW
IfxYnPnSH8wCLgasg/k=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DL2BWTjl4Wsw29qfKoh93y4POM5L1NGzNFuoDa0TKNWGL0IzaBwDkP1rybNgdfYenkHQ70xFMtzN
WDtfLM5i9aIhZ/xHnI9Itz387vkrUvm9rxp2sBqiNj2iDZxBM1lzozNpW0DF3NuZp8xJoZ324fH3
N5rjKab86pY8yiIksbt4q0Le6T9yMlVGQUWYHAOb/xvZu6cxH0pD9TryLraS8kzzNpJiyc6xyGny
B0r0CeulFCjXGJfkgB4tc2UQrxrmjkT3fVlhovC14yuDxfrwhVJzrCWB23mPTPQ4TAkfeO6qQrIf
hs0FworeqoH2g/wZUISTEXk1dBSluNl4sb2bYQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TleyQIRUX1v0OO2T9giA/2gOoXxVtRlAA/mfsEnkk7bOpB9Vx40EySGHMm5LQItvlnFvsc0eNtK1
5XqjuirUTpsCmitG5U5U1VNRtLCNpqN5jABlTZuOb4JwX87EWPYBPwa2tI9L2W9o/UNOzAvENgT4
6fHajaCxYZHwENAXjb8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZU66iDX2ouoHD1csQvBvMbzJDd59rXMax3BOWdzC2LeQJmGkJWM7iu+9AYiaepO4hmDptkLr+f3f
LU8rqeaWq03SCtToKbTJaDtvXdkRXM4bi+EjbX4baNx9MR2ml27Pe4biPEYno3meCKHzV2/v6hr5
HXKDVvfQIGzBBHii9fd6HQoAHa+DxXyqOJJ7604d1I9kbE7j69k0GHJO9HtNPHsOzCm53QCWuVix
RkhYlx0slOD9zRBsUvl3gd+aW5g0eQWp20iPL3eZs0poz71w7o0KhN8ArxnXoHbn1KKuZrM83lm0
tzyKIx6+HSHHRgrUvUh9INMeFsGbIEqHWVy25g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464)
`protect data_block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`protect end_protected
|
gpl-3.0
|
dcliche/mdsynth
|
rtl/src/timer.vhd
|
1
|
5653
|
--===========================================================================--
-- --
-- Synthesizable 8 bit Timer --
-- --
--===========================================================================--
--
-- File name : timer.vhd
--
-- Entity name : timer
--
-- Purpose : 8 bit timer module for System09
--
-- Dependencies : ieee.std_logic_1164
-- ieee.std_logic_unsigned
--
-- Uses : None
--
-- Author : John E. Kent
--
-- Email : [email protected]
--
-- Web : http://opencores.org/project,system09
--
-- Registers :
--
-- IO address + 0 Read - Down Count register
-- Bits[7..0] = Counter Value
--
-- IO address + 0 Write - Preset Count register
-- Bits[7..0] = Preset Value
--
-- IO address + 1 Read - Status register
-- Bit[7] = Interrupt Flag
-- Bits[6..0] = undefined
--
-- IO address + 1 Write - Control register
-- Bit[7] = Interrupt Enable
-- Bits[6..1] = Unedfined
-- Bit[0] = Counter enable
--
-- Operation :
--
-- Write count to counter register
-- Enable counter by setting bit 0 of the control register
-- Enable interrupts by setting bit 7 of the control register
-- Counter will count down to zero
-- When it reaches zero the terminal flag is set
-- If the interrupt is enabled an interrupt is generated
-- The interrupt may be disabled by writing a 0 to bit 7
-- of the control register or by loading a new down count
-- into the counter register.
--
-- Copyright (C) 2002 - 2010 John Kent
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
--===========================================================================--
-- --
-- Revision History --
-- --
--===========================================================================--
--
-- Version Date Author Changes
--
-- 0.1 2002-09-06 John Kent Converted to a single timer
-- Made synchronous with system clock
-- 1.0 2003-09-06 John Kent Changed Clock Edge
-- Released to opencores.org
-- 2.0 2008-02-05 John Kent Removed Timer inputs and outputs
-- Made into a simple 8 bit interrupt down counter
-- 2.1 2010-06-17 John Kent Updated header and added GPL
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity timer is
port (
clk : in std_logic;
rst : in std_logic;
cs : in std_logic;
addr : in std_logic;
rw : in std_logic;
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
irq : out std_logic
);
end;
architecture rtl of timer is
signal timer_ctrl : std_logic_vector(7 downto 0);
signal timer_stat : std_logic_vector(7 downto 0);
signal timer_count : std_logic_vector(7 downto 0);
signal timer_term : std_logic; -- Timer terminal count
--
-- control/status register bits
--
constant BIT_ENB : integer := 0; -- 0=disable, 1=enabled
constant BIT_IRQ : integer := 7; -- 0=disabled, 1-enabled
begin
--------------------------------
--
-- write control registers
--
--------------------------------
timer_control : process( clk, rst, cs, rw, addr, data_in,
timer_ctrl, timer_term, timer_count )
begin
if clk'event and clk = '0' then
if rst = '1' then
timer_count <= (others=>'0');
timer_ctrl <= (others=>'0');
timer_term <= '0';
elsif cs = '1' and rw = '0' then
if addr='0' then
timer_count <= data_in;
timer_term <= '0';
else
timer_ctrl <= data_in;
end if;
else
if (timer_ctrl(BIT_ENB) = '1') then
if (timer_count = "00000000" ) then
timer_term <= '1';
else
timer_count <= timer_count - 1;
end if;
end if;
end if;
end if;
end process;
--
-- timer status register
--
timer_status : process( timer_ctrl, timer_term )
begin
timer_stat(6 downto 0) <= timer_ctrl(6 downto 0);
timer_stat(BIT_IRQ) <= timer_term;
end process;
--
-- timer data output mux
--
timer_data_out : process( addr, timer_count, timer_stat )
begin
if addr = '0' then
data_out <= timer_count;
else
data_out <= timer_stat;
end if;
end process;
--
-- read timer strobe to reset interrupts
--
timer_interrupt : process( timer_term, timer_ctrl )
begin
irq <= timer_term and timer_ctrl(BIT_IRQ);
end process;
end rtl;
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_logic_pkt_fifo.vhd
|
9
|
31657
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ceM0ajQGyV4xEt0HrG/fuB+3NVFdwQkEyjC4haRoZWslKKs4yl4ILq7RT/jKXnsVkAWmSwMkAIVY
ybpeP1wARw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kukT7WQifxjY3WsecmUkERV4ZFv3OuhEihgSM4IB88HBbnFE5FquXt3wzdA0zFDlpG683lT2dqcQ
e8+DpghsVaFxyA0HhLpe+Uj3VPXCqAamsXiyfOV9FRW5tZT6n2RrABDrg190ZlCTDqzvDTosUPWF
LMSAKBUUZLDH1kIj7P0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dU1lj3wLYN2oBu3b76t4HEt238DNk5+Obmy1zgJeHU7rLBtTHV8UnHhRI8PwXXRPMJgrJSDjBzNQ
+ZLOT+PNgKe7NXaY01MJhUg5IzH9X6ZAbG3w3IL1/7gL7K6upxJUT61Am45EblqoUFtRFVJDxUNh
Bd8MVvlvXZZ66YB0ezm0hTdwdHAYwZ92l9kdTDjIOUN+Jrn85yeycl9Cxu8aIJaiJpiPjNggt0r7
W0kCE0hFF+swK8rZcxOqOLnQ5Uw2Ji8S+E4OYHjUu5yMJL7V5wNFfUHmF9Sc5jyP/mtan4mmu5J4
a/+rlOaidyY5SAZA+m4p3+hj+JN1qzj8TeLhtg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
awqcS4G1ayV64bhRxb2ad9Xg57ysH9KZCzgHZHu8Tmnl74kk+tHqUQBvhiolD+v8jr8AGMVo4blw
g75xmAibXafuL9Iv+WrFhYMVK6o+zPGZZLMkNtFS8zqdWka/9Q7TQ7QQbuzZUEZbJM/3vYY1iWRq
Y/oB/ixzA+Df5gDA5bY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JeYOat6TeNOn0Lwfj9kOs9eB1tXhm0apFaxmaQldY51fS0eKT90XxV+wEmwhre/Q9kRs/refblVV
DzXaATdPK7kvWKItPjzGkuwjoIEdIAYiZEyE5+ZwIqPH2W6BCpzMHIAHRXYo6tSScrR2uqBcPQGy
c8HaUqIW6z94Rr+QjtUESf9429NBJLRTbe5wnn4DHy20T/ChW4iPiERY98llpk4l8EtLJJsHABPK
yuTMFmtAnHva77c6Vi4OoiqkulSg5fyKN8MjtOlM/t3fozgQ4XTYiAOfp2kAU5dB96L6n1GJDuUa
UNpiYImHNxB5zlUsj9IoVRfTiotfmqiGSgaOMw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21696)
`protect data_block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`protect end_protected
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00381.vhd
|
1
|
101079
|
-- NEED RESULT: ARCH00381.P1: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P2: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P3: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P4: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P5: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P6: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P7: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P8: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P9: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P10: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P11: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P12: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P13: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P14: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P15: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P16: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381.P17: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00381: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: P17: Inertial transactions completed entirely passed
-- NEED RESULT: P16: Inertial transactions completed entirely passed
-- NEED RESULT: P15: Inertial transactions completed entirely passed
-- NEED RESULT: P14: Inertial transactions completed entirely passed
-- NEED RESULT: P13: Inertial transactions completed entirely passed
-- NEED RESULT: P12: Inertial transactions completed entirely passed
-- NEED RESULT: P11: Inertial transactions completed entirely passed
-- NEED RESULT: P10: Inertial transactions completed entirely passed
-- NEED RESULT: P9: Inertial transactions completed entirely passed
-- NEED RESULT: P8: Inertial transactions completed entirely passed
-- NEED RESULT: P7: Inertial transactions completed entirely passed
-- NEED RESULT: P6: Inertial transactions completed entirely passed
-- NEED RESULT: P5: Inertial transactions completed entirely passed
-- NEED RESULT: P4: Inertial transactions completed entirely passed
-- NEED RESULT: P3: Inertial transactions completed entirely passed
-- NEED RESULT: P2: Inertial transactions completed entirely passed
-- NEED RESULT: P1: Inertial transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00381
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (3)
-- 9.5.2 (1)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00381(ARCH00381)
-- ENT00381_Test_Bench(ARCH00381_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00381 is
end ENT00381 ;
--
--
architecture ARCH00381 of ENT00381 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_boolean : chk_sig_type := -1 ;
signal chk_bit : chk_sig_type := -1 ;
signal chk_severity_level : chk_sig_type := -1 ;
signal chk_character : chk_sig_type := -1 ;
signal chk_st_enum1 : chk_sig_type := -1 ;
signal chk_integer : chk_sig_type := -1 ;
signal chk_st_int1 : chk_sig_type := -1 ;
signal chk_time : chk_sig_type := -1 ;
signal chk_st_phys1 : chk_sig_type := -1 ;
signal chk_real : chk_sig_type := -1 ;
signal chk_st_real1 : chk_sig_type := -1 ;
signal chk_st_rec1 : chk_sig_type := -1 ;
signal chk_st_rec2 : chk_sig_type := -1 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
signal chk_st_arr1 : chk_sig_type := -1 ;
signal chk_st_arr2 : chk_sig_type := -1 ;
signal chk_st_arr3 : chk_sig_type := -1 ;
--
subtype chk_time_type is Time ;
signal s_boolean_savt : chk_time_type := 0 ns ;
signal s_bit_savt : chk_time_type := 0 ns ;
signal s_severity_level_savt : chk_time_type := 0 ns ;
signal s_character_savt : chk_time_type := 0 ns ;
signal s_st_enum1_savt : chk_time_type := 0 ns ;
signal s_integer_savt : chk_time_type := 0 ns ;
signal s_st_int1_savt : chk_time_type := 0 ns ;
signal s_time_savt : chk_time_type := 0 ns ;
signal s_st_phys1_savt : chk_time_type := 0 ns ;
signal s_real_savt : chk_time_type := 0 ns ;
signal s_st_real1_savt : chk_time_type := 0 ns ;
signal s_st_rec1_savt : chk_time_type := 0 ns ;
signal s_st_rec2_savt : chk_time_type := 0 ns ;
signal s_st_rec3_savt : chk_time_type := 0 ns ;
signal s_st_arr1_savt : chk_time_type := 0 ns ;
signal s_st_arr2_savt : chk_time_type := 0 ns ;
signal s_st_arr3_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_boolean_cnt : chk_cnt_type := 0 ;
signal s_bit_cnt : chk_cnt_type := 0 ;
signal s_severity_level_cnt : chk_cnt_type := 0 ;
signal s_character_cnt : chk_cnt_type := 0 ;
signal s_st_enum1_cnt : chk_cnt_type := 0 ;
signal s_integer_cnt : chk_cnt_type := 0 ;
signal s_st_int1_cnt : chk_cnt_type := 0 ;
signal s_time_cnt : chk_cnt_type := 0 ;
signal s_st_phys1_cnt : chk_cnt_type := 0 ;
signal s_real_cnt : chk_cnt_type := 0 ;
signal s_st_real1_cnt : chk_cnt_type := 0 ;
signal s_st_rec1_cnt : chk_cnt_type := 0 ;
signal s_st_rec2_cnt : chk_cnt_type := 0 ;
signal s_st_rec3_cnt : chk_cnt_type := 0 ;
signal s_st_arr1_cnt : chk_cnt_type := 0 ;
signal s_st_arr2_cnt : chk_cnt_type := 0 ;
signal s_st_arr3_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 6 ;
signal boolean_select : select_type := 1 ;
signal bit_select : select_type := 1 ;
signal severity_level_select : select_type := 1 ;
signal character_select : select_type := 1 ;
signal st_enum1_select : select_type := 1 ;
signal integer_select : select_type := 1 ;
signal st_int1_select : select_type := 1 ;
signal time_select : select_type := 1 ;
signal st_phys1_select : select_type := 1 ;
signal real_select : select_type := 1 ;
signal st_real1_select : select_type := 1 ;
signal st_rec1_select : select_type := 1 ;
signal st_rec2_select : select_type := 1 ;
signal st_rec3_select : select_type := 1 ;
signal st_arr1_select : select_type := 1 ;
signal st_arr2_select : select_type := 1 ;
signal st_arr3_select : select_type := 1 ;
--
signal s_boolean : boolean
:= c_boolean_1 ;
signal s_bit : bit
:= c_bit_1 ;
signal s_severity_level : severity_level
:= c_severity_level_1 ;
signal s_character : character
:= c_character_1 ;
signal s_st_enum1 : st_enum1
:= c_st_enum1_1 ;
signal s_integer : integer
:= c_integer_1 ;
signal s_st_int1 : st_int1
:= c_st_int1_1 ;
signal s_time : time
:= c_time_1 ;
signal s_st_phys1 : st_phys1
:= c_st_phys1_1 ;
signal s_real : real
:= c_real_1 ;
signal s_st_real1 : st_real1
:= c_st_real1_1 ;
signal s_st_rec1 : st_rec1
:= c_st_rec1_1 ;
signal s_st_rec2 : st_rec2
:= c_st_rec2_1 ;
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
signal s_st_arr1 : st_arr1
:= c_st_arr1_1 ;
signal s_st_arr2 : st_arr2
:= c_st_arr2_1 ;
signal s_st_arr3 : st_arr3
:= c_st_arr3_1 ;
--
begin
CHG1 :
process
variable correct : boolean ;
begin
case s_boolean_cnt is
when 0
=> null ;
-- s_boolean <=
-- c_boolean_2 after 10 ns,
-- c_boolean_1 after 20 ns ;
--
when 1
=> correct :=
s_boolean =
c_boolean_2 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P1" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
boolean_select <= transport 2 ;
-- s_boolean <=
-- c_boolean_2 after 10 ns ,
-- c_boolean_1 after 20 ns ,
-- c_boolean_2 after 30 ns ,
-- c_boolean_1 after 40 ns ;
--
when 3
=> correct :=
s_boolean =
c_boolean_2 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
boolean_select <= transport 3 ;
-- s_boolean <=
-- c_boolean_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
boolean_select <= transport 4 ;
-- s_boolean <=
-- c_boolean_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
boolean_select <= transport 5 ;
-- s_boolean <=
-- c_boolean_2 after 10 ns ,
-- c_boolean_1 after 20 ns ,
-- c_boolean_2 after 30 ns ,
-- c_boolean_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_boolean =
c_boolean_2 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
boolean_select <= transport 6 ;
-- Last transaction above is marked
-- s_boolean <=
-- c_boolean_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_boolean =
c_boolean_1 and
(s_boolean_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_boolean_savt <= transport Std.Standard.Now ;
chk_boolean <= transport s_boolean_cnt
after (1 us - Std.Standard.Now) ;
s_boolean_cnt <= transport s_boolean_cnt + 1 ;
wait until (not s_boolean'Quiet) and
(s_boolean_savt /= Std.Standard.Now) ;
--
end process CHG1 ;
--
PGEN_CHKP_1 :
process ( chk_boolean )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions completed entirely",
chk_boolean = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
with boolean_select select
s_boolean <=
c_boolean_2 after 10 ns,
c_boolean_1 after 20 ns
when 1,
--
c_boolean_2 after 10 ns ,
c_boolean_1 after 20 ns ,
c_boolean_2 after 30 ns ,
c_boolean_1 after 40 ns
when 2,
--
c_boolean_1 after 5 ns
when 3,
--
c_boolean_1 after 100 ns
when 4,
--
c_boolean_2 after 10 ns ,
c_boolean_1 after 20 ns ,
c_boolean_2 after 30 ns ,
c_boolean_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_boolean_1 after 40 ns when 6 ;
--
CHG2 :
process
variable correct : boolean ;
begin
case s_bit_cnt is
when 0
=> null ;
-- s_bit <=
-- c_bit_2 after 10 ns,
-- c_bit_1 after 20 ns ;
--
when 1
=> correct :=
s_bit =
c_bit_2 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P2" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
bit_select <= transport 2 ;
-- s_bit <=
-- c_bit_2 after 10 ns ,
-- c_bit_1 after 20 ns ,
-- c_bit_2 after 30 ns ,
-- c_bit_1 after 40 ns ;
--
when 3
=> correct :=
s_bit =
c_bit_2 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
bit_select <= transport 3 ;
-- s_bit <=
-- c_bit_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
bit_select <= transport 4 ;
-- s_bit <=
-- c_bit_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
bit_select <= transport 5 ;
-- s_bit <=
-- c_bit_2 after 10 ns ,
-- c_bit_1 after 20 ns ,
-- c_bit_2 after 30 ns ,
-- c_bit_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_bit =
c_bit_2 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
bit_select <= transport 6 ;
-- Last transaction above is marked
-- s_bit <=
-- c_bit_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_bit =
c_bit_1 and
(s_bit_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_bit_savt <= transport Std.Standard.Now ;
chk_bit <= transport s_bit_cnt
after (1 us - Std.Standard.Now) ;
s_bit_cnt <= transport s_bit_cnt + 1 ;
wait until (not s_bit'Quiet) and
(s_bit_savt /= Std.Standard.Now) ;
--
end process CHG2 ;
--
PGEN_CHKP_2 :
process ( chk_bit )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Inertial transactions completed entirely",
chk_bit = 8 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
with bit_select select
s_bit <=
c_bit_2 after 10 ns,
c_bit_1 after 20 ns
when 1,
--
c_bit_2 after 10 ns ,
c_bit_1 after 20 ns ,
c_bit_2 after 30 ns ,
c_bit_1 after 40 ns
when 2,
--
c_bit_1 after 5 ns
when 3,
--
c_bit_1 after 100 ns
when 4,
--
c_bit_2 after 10 ns ,
c_bit_1 after 20 ns ,
c_bit_2 after 30 ns ,
c_bit_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_bit_1 after 40 ns when 6 ;
--
CHG3 :
process
variable correct : boolean ;
begin
case s_severity_level_cnt is
when 0
=> null ;
-- s_severity_level <=
-- c_severity_level_2 after 10 ns,
-- c_severity_level_1 after 20 ns ;
--
when 1
=> correct :=
s_severity_level =
c_severity_level_2 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P3" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
severity_level_select <= transport 2 ;
-- s_severity_level <=
-- c_severity_level_2 after 10 ns ,
-- c_severity_level_1 after 20 ns ,
-- c_severity_level_2 after 30 ns ,
-- c_severity_level_1 after 40 ns ;
--
when 3
=> correct :=
s_severity_level =
c_severity_level_2 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
severity_level_select <= transport 3 ;
-- s_severity_level <=
-- c_severity_level_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
severity_level_select <= transport 4 ;
-- s_severity_level <=
-- c_severity_level_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
severity_level_select <= transport 5 ;
-- s_severity_level <=
-- c_severity_level_2 after 10 ns ,
-- c_severity_level_1 after 20 ns ,
-- c_severity_level_2 after 30 ns ,
-- c_severity_level_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_severity_level =
c_severity_level_2 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
severity_level_select <= transport 6 ;
-- Last transaction above is marked
-- s_severity_level <=
-- c_severity_level_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_severity_level =
c_severity_level_1 and
(s_severity_level_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_severity_level_savt <= transport Std.Standard.Now ;
chk_severity_level <= transport s_severity_level_cnt
after (1 us - Std.Standard.Now) ;
s_severity_level_cnt <= transport s_severity_level_cnt + 1 ;
wait until (not s_severity_level'Quiet) and
(s_severity_level_savt /= Std.Standard.Now) ;
--
end process CHG3 ;
--
PGEN_CHKP_3 :
process ( chk_severity_level )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Inertial transactions completed entirely",
chk_severity_level = 8 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
--
with severity_level_select select
s_severity_level <=
c_severity_level_2 after 10 ns,
c_severity_level_1 after 20 ns
when 1,
--
c_severity_level_2 after 10 ns ,
c_severity_level_1 after 20 ns ,
c_severity_level_2 after 30 ns ,
c_severity_level_1 after 40 ns
when 2,
--
c_severity_level_1 after 5 ns
when 3,
--
c_severity_level_1 after 100 ns
when 4,
--
c_severity_level_2 after 10 ns ,
c_severity_level_1 after 20 ns ,
c_severity_level_2 after 30 ns ,
c_severity_level_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_severity_level_1 after 40 ns when 6 ;
--
CHG4 :
process
variable correct : boolean ;
begin
case s_character_cnt is
when 0
=> null ;
-- s_character <=
-- c_character_2 after 10 ns,
-- c_character_1 after 20 ns ;
--
when 1
=> correct :=
s_character =
c_character_2 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P4" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
character_select <= transport 2 ;
-- s_character <=
-- c_character_2 after 10 ns ,
-- c_character_1 after 20 ns ,
-- c_character_2 after 30 ns ,
-- c_character_1 after 40 ns ;
--
when 3
=> correct :=
s_character =
c_character_2 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
character_select <= transport 3 ;
-- s_character <=
-- c_character_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
character_select <= transport 4 ;
-- s_character <=
-- c_character_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
character_select <= transport 5 ;
-- s_character <=
-- c_character_2 after 10 ns ,
-- c_character_1 after 20 ns ,
-- c_character_2 after 30 ns ,
-- c_character_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_character =
c_character_2 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
character_select <= transport 6 ;
-- Last transaction above is marked
-- s_character <=
-- c_character_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_character =
c_character_1 and
(s_character_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_character_savt <= transport Std.Standard.Now ;
chk_character <= transport s_character_cnt
after (1 us - Std.Standard.Now) ;
s_character_cnt <= transport s_character_cnt + 1 ;
wait until (not s_character'Quiet) and
(s_character_savt /= Std.Standard.Now) ;
--
end process CHG4 ;
--
PGEN_CHKP_4 :
process ( chk_character )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Inertial transactions completed entirely",
chk_character = 8 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
--
with character_select select
s_character <=
c_character_2 after 10 ns,
c_character_1 after 20 ns
when 1,
--
c_character_2 after 10 ns ,
c_character_1 after 20 ns ,
c_character_2 after 30 ns ,
c_character_1 after 40 ns
when 2,
--
c_character_1 after 5 ns
when 3,
--
c_character_1 after 100 ns
when 4,
--
c_character_2 after 10 ns ,
c_character_1 after 20 ns ,
c_character_2 after 30 ns ,
c_character_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_character_1 after 40 ns when 6 ;
--
CHG5 :
process
variable correct : boolean ;
begin
case s_st_enum1_cnt is
when 0
=> null ;
-- s_st_enum1 <=
-- c_st_enum1_2 after 10 ns,
-- c_st_enum1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_enum1 =
c_st_enum1_2 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P5" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_enum1_select <= transport 2 ;
-- s_st_enum1 <=
-- c_st_enum1_2 after 10 ns ,
-- c_st_enum1_1 after 20 ns ,
-- c_st_enum1_2 after 30 ns ,
-- c_st_enum1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_enum1 =
c_st_enum1_2 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
st_enum1_select <= transport 3 ;
-- s_st_enum1 <=
-- c_st_enum1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_enum1_select <= transport 4 ;
-- s_st_enum1 <=
-- c_st_enum1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_enum1_select <= transport 5 ;
-- s_st_enum1 <=
-- c_st_enum1_2 after 10 ns ,
-- c_st_enum1_1 after 20 ns ,
-- c_st_enum1_2 after 30 ns ,
-- c_st_enum1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_2 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_enum1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_enum1 <=
-- c_st_enum1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_enum1 =
c_st_enum1_1 and
(s_st_enum1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_enum1_savt <= transport Std.Standard.Now ;
chk_st_enum1 <= transport s_st_enum1_cnt
after (1 us - Std.Standard.Now) ;
s_st_enum1_cnt <= transport s_st_enum1_cnt + 1 ;
wait until (not s_st_enum1'Quiet) and
(s_st_enum1_savt /= Std.Standard.Now) ;
--
end process CHG5 ;
--
PGEN_CHKP_5 :
process ( chk_st_enum1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Inertial transactions completed entirely",
chk_st_enum1 = 8 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
--
with st_enum1_select select
s_st_enum1 <=
c_st_enum1_2 after 10 ns,
c_st_enum1_1 after 20 ns
when 1,
--
c_st_enum1_2 after 10 ns ,
c_st_enum1_1 after 20 ns ,
c_st_enum1_2 after 30 ns ,
c_st_enum1_1 after 40 ns
when 2,
--
c_st_enum1_1 after 5 ns
when 3,
--
c_st_enum1_1 after 100 ns
when 4,
--
c_st_enum1_2 after 10 ns ,
c_st_enum1_1 after 20 ns ,
c_st_enum1_2 after 30 ns ,
c_st_enum1_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_enum1_1 after 40 ns when 6 ;
--
CHG6 :
process
variable correct : boolean ;
begin
case s_integer_cnt is
when 0
=> null ;
-- s_integer <=
-- c_integer_2 after 10 ns,
-- c_integer_1 after 20 ns ;
--
when 1
=> correct :=
s_integer =
c_integer_2 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P6" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
integer_select <= transport 2 ;
-- s_integer <=
-- c_integer_2 after 10 ns ,
-- c_integer_1 after 20 ns ,
-- c_integer_2 after 30 ns ,
-- c_integer_1 after 40 ns ;
--
when 3
=> correct :=
s_integer =
c_integer_2 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
integer_select <= transport 3 ;
-- s_integer <=
-- c_integer_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
integer_select <= transport 4 ;
-- s_integer <=
-- c_integer_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
integer_select <= transport 5 ;
-- s_integer <=
-- c_integer_2 after 10 ns ,
-- c_integer_1 after 20 ns ,
-- c_integer_2 after 30 ns ,
-- c_integer_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_integer =
c_integer_2 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
integer_select <= transport 6 ;
-- Last transaction above is marked
-- s_integer <=
-- c_integer_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_integer =
c_integer_1 and
(s_integer_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_integer_savt <= transport Std.Standard.Now ;
chk_integer <= transport s_integer_cnt
after (1 us - Std.Standard.Now) ;
s_integer_cnt <= transport s_integer_cnt + 1 ;
wait until (not s_integer'Quiet) and
(s_integer_savt /= Std.Standard.Now) ;
--
end process CHG6 ;
--
PGEN_CHKP_6 :
process ( chk_integer )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Inertial transactions completed entirely",
chk_integer = 8 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
--
with integer_select select
s_integer <=
c_integer_2 after 10 ns,
c_integer_1 after 20 ns
when 1,
--
c_integer_2 after 10 ns ,
c_integer_1 after 20 ns ,
c_integer_2 after 30 ns ,
c_integer_1 after 40 ns
when 2,
--
c_integer_1 after 5 ns
when 3,
--
c_integer_1 after 100 ns
when 4,
--
c_integer_2 after 10 ns ,
c_integer_1 after 20 ns ,
c_integer_2 after 30 ns ,
c_integer_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_integer_1 after 40 ns when 6 ;
--
CHG7 :
process
variable correct : boolean ;
begin
case s_st_int1_cnt is
when 0
=> null ;
-- s_st_int1 <=
-- c_st_int1_2 after 10 ns,
-- c_st_int1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_int1 =
c_st_int1_2 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P7" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_int1_select <= transport 2 ;
-- s_st_int1 <=
-- c_st_int1_2 after 10 ns ,
-- c_st_int1_1 after 20 ns ,
-- c_st_int1_2 after 30 ns ,
-- c_st_int1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_int1 =
c_st_int1_2 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
st_int1_select <= transport 3 ;
-- s_st_int1 <=
-- c_st_int1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_int1_select <= transport 4 ;
-- s_st_int1 <=
-- c_st_int1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_int1_select <= transport 5 ;
-- s_st_int1 <=
-- c_st_int1_2 after 10 ns ,
-- c_st_int1_1 after 20 ns ,
-- c_st_int1_2 after 30 ns ,
-- c_st_int1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_int1 =
c_st_int1_2 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_int1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_int1 <=
-- c_st_int1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_int1 =
c_st_int1_1 and
(s_st_int1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_int1_savt <= transport Std.Standard.Now ;
chk_st_int1 <= transport s_st_int1_cnt
after (1 us - Std.Standard.Now) ;
s_st_int1_cnt <= transport s_st_int1_cnt + 1 ;
wait until (not s_st_int1'Quiet) and
(s_st_int1_savt /= Std.Standard.Now) ;
--
end process CHG7 ;
--
PGEN_CHKP_7 :
process ( chk_st_int1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P7" ,
"Inertial transactions completed entirely",
chk_st_int1 = 8 ) ;
end if ;
end process PGEN_CHKP_7 ;
--
--
with st_int1_select select
s_st_int1 <=
c_st_int1_2 after 10 ns,
c_st_int1_1 after 20 ns
when 1,
--
c_st_int1_2 after 10 ns ,
c_st_int1_1 after 20 ns ,
c_st_int1_2 after 30 ns ,
c_st_int1_1 after 40 ns
when 2,
--
c_st_int1_1 after 5 ns
when 3,
--
c_st_int1_1 after 100 ns
when 4,
--
c_st_int1_2 after 10 ns ,
c_st_int1_1 after 20 ns ,
c_st_int1_2 after 30 ns ,
c_st_int1_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_int1_1 after 40 ns when 6 ;
--
CHG8 :
process
variable correct : boolean ;
begin
case s_time_cnt is
when 0
=> null ;
-- s_time <=
-- c_time_2 after 10 ns,
-- c_time_1 after 20 ns ;
--
when 1
=> correct :=
s_time =
c_time_2 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P8" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
time_select <= transport 2 ;
-- s_time <=
-- c_time_2 after 10 ns ,
-- c_time_1 after 20 ns ,
-- c_time_2 after 30 ns ,
-- c_time_1 after 40 ns ;
--
when 3
=> correct :=
s_time =
c_time_2 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
time_select <= transport 3 ;
-- s_time <=
-- c_time_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
time_select <= transport 4 ;
-- s_time <=
-- c_time_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
time_select <= transport 5 ;
-- s_time <=
-- c_time_2 after 10 ns ,
-- c_time_1 after 20 ns ,
-- c_time_2 after 30 ns ,
-- c_time_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_time =
c_time_2 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
time_select <= transport 6 ;
-- Last transaction above is marked
-- s_time <=
-- c_time_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_time =
c_time_1 and
(s_time_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_time_savt <= transport Std.Standard.Now ;
chk_time <= transport s_time_cnt
after (1 us - Std.Standard.Now) ;
s_time_cnt <= transport s_time_cnt + 1 ;
wait until (not s_time'Quiet) and
(s_time_savt /= Std.Standard.Now) ;
--
end process CHG8 ;
--
PGEN_CHKP_8 :
process ( chk_time )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P8" ,
"Inertial transactions completed entirely",
chk_time = 8 ) ;
end if ;
end process PGEN_CHKP_8 ;
--
--
with time_select select
s_time <=
c_time_2 after 10 ns,
c_time_1 after 20 ns
when 1,
--
c_time_2 after 10 ns ,
c_time_1 after 20 ns ,
c_time_2 after 30 ns ,
c_time_1 after 40 ns
when 2,
--
c_time_1 after 5 ns
when 3,
--
c_time_1 after 100 ns
when 4,
--
c_time_2 after 10 ns ,
c_time_1 after 20 ns ,
c_time_2 after 30 ns ,
c_time_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_time_1 after 40 ns when 6 ;
--
CHG9 :
process
variable correct : boolean ;
begin
case s_st_phys1_cnt is
when 0
=> null ;
-- s_st_phys1 <=
-- c_st_phys1_2 after 10 ns,
-- c_st_phys1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_phys1 =
c_st_phys1_2 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P9" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_phys1_select <= transport 2 ;
-- s_st_phys1 <=
-- c_st_phys1_2 after 10 ns ,
-- c_st_phys1_1 after 20 ns ,
-- c_st_phys1_2 after 30 ns ,
-- c_st_phys1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_phys1 =
c_st_phys1_2 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
st_phys1_select <= transport 3 ;
-- s_st_phys1 <=
-- c_st_phys1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_phys1_select <= transport 4 ;
-- s_st_phys1 <=
-- c_st_phys1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_phys1_select <= transport 5 ;
-- s_st_phys1 <=
-- c_st_phys1_2 after 10 ns ,
-- c_st_phys1_1 after 20 ns ,
-- c_st_phys1_2 after 30 ns ,
-- c_st_phys1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_2 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_phys1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_phys1 <=
-- c_st_phys1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_phys1 =
c_st_phys1_1 and
(s_st_phys1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_phys1_savt <= transport Std.Standard.Now ;
chk_st_phys1 <= transport s_st_phys1_cnt
after (1 us - Std.Standard.Now) ;
s_st_phys1_cnt <= transport s_st_phys1_cnt + 1 ;
wait until (not s_st_phys1'Quiet) and
(s_st_phys1_savt /= Std.Standard.Now) ;
--
end process CHG9 ;
--
PGEN_CHKP_9 :
process ( chk_st_phys1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P9" ,
"Inertial transactions completed entirely",
chk_st_phys1 = 8 ) ;
end if ;
end process PGEN_CHKP_9 ;
--
--
with st_phys1_select select
s_st_phys1 <=
c_st_phys1_2 after 10 ns,
c_st_phys1_1 after 20 ns
when 1,
--
c_st_phys1_2 after 10 ns ,
c_st_phys1_1 after 20 ns ,
c_st_phys1_2 after 30 ns ,
c_st_phys1_1 after 40 ns
when 2,
--
c_st_phys1_1 after 5 ns
when 3,
--
c_st_phys1_1 after 100 ns
when 4,
--
c_st_phys1_2 after 10 ns ,
c_st_phys1_1 after 20 ns ,
c_st_phys1_2 after 30 ns ,
c_st_phys1_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_phys1_1 after 40 ns when 6 ;
--
CHG10 :
process
variable correct : boolean ;
begin
case s_real_cnt is
when 0
=> null ;
-- s_real <=
-- c_real_2 after 10 ns,
-- c_real_1 after 20 ns ;
--
when 1
=> correct :=
s_real =
c_real_2 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P10" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
real_select <= transport 2 ;
-- s_real <=
-- c_real_2 after 10 ns ,
-- c_real_1 after 20 ns ,
-- c_real_2 after 30 ns ,
-- c_real_1 after 40 ns ;
--
when 3
=> correct :=
s_real =
c_real_2 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
real_select <= transport 3 ;
-- s_real <=
-- c_real_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
real_select <= transport 4 ;
-- s_real <=
-- c_real_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
real_select <= transport 5 ;
-- s_real <=
-- c_real_2 after 10 ns ,
-- c_real_1 after 20 ns ,
-- c_real_2 after 30 ns ,
-- c_real_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_real =
c_real_2 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
real_select <= transport 6 ;
-- Last transaction above is marked
-- s_real <=
-- c_real_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_real =
c_real_1 and
(s_real_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_real_savt <= transport Std.Standard.Now ;
chk_real <= transport s_real_cnt
after (1 us - Std.Standard.Now) ;
s_real_cnt <= transport s_real_cnt + 1 ;
wait until (not s_real'Quiet) and
(s_real_savt /= Std.Standard.Now) ;
--
end process CHG10 ;
--
PGEN_CHKP_10 :
process ( chk_real )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P10" ,
"Inertial transactions completed entirely",
chk_real = 8 ) ;
end if ;
end process PGEN_CHKP_10 ;
--
--
with real_select select
s_real <=
c_real_2 after 10 ns,
c_real_1 after 20 ns
when 1,
--
c_real_2 after 10 ns ,
c_real_1 after 20 ns ,
c_real_2 after 30 ns ,
c_real_1 after 40 ns
when 2,
--
c_real_1 after 5 ns
when 3,
--
c_real_1 after 100 ns
when 4,
--
c_real_2 after 10 ns ,
c_real_1 after 20 ns ,
c_real_2 after 30 ns ,
c_real_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_real_1 after 40 ns when 6 ;
--
CHG11 :
process
variable correct : boolean ;
begin
case s_st_real1_cnt is
when 0
=> null ;
-- s_st_real1 <=
-- c_st_real1_2 after 10 ns,
-- c_st_real1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_real1 =
c_st_real1_2 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P11" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_real1_select <= transport 2 ;
-- s_st_real1 <=
-- c_st_real1_2 after 10 ns ,
-- c_st_real1_1 after 20 ns ,
-- c_st_real1_2 after 30 ns ,
-- c_st_real1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_real1 =
c_st_real1_2 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
st_real1_select <= transport 3 ;
-- s_st_real1 <=
-- c_st_real1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_real1_select <= transport 4 ;
-- s_st_real1 <=
-- c_st_real1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_real1_select <= transport 5 ;
-- s_st_real1 <=
-- c_st_real1_2 after 10 ns ,
-- c_st_real1_1 after 20 ns ,
-- c_st_real1_2 after 30 ns ,
-- c_st_real1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_real1 =
c_st_real1_2 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_real1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_real1 <=
-- c_st_real1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_real1 =
c_st_real1_1 and
(s_st_real1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_real1_savt <= transport Std.Standard.Now ;
chk_st_real1 <= transport s_st_real1_cnt
after (1 us - Std.Standard.Now) ;
s_st_real1_cnt <= transport s_st_real1_cnt + 1 ;
wait until (not s_st_real1'Quiet) and
(s_st_real1_savt /= Std.Standard.Now) ;
--
end process CHG11 ;
--
PGEN_CHKP_11 :
process ( chk_st_real1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P11" ,
"Inertial transactions completed entirely",
chk_st_real1 = 8 ) ;
end if ;
end process PGEN_CHKP_11 ;
--
--
with st_real1_select select
s_st_real1 <=
c_st_real1_2 after 10 ns,
c_st_real1_1 after 20 ns
when 1,
--
c_st_real1_2 after 10 ns ,
c_st_real1_1 after 20 ns ,
c_st_real1_2 after 30 ns ,
c_st_real1_1 after 40 ns
when 2,
--
c_st_real1_1 after 5 ns
when 3,
--
c_st_real1_1 after 100 ns
when 4,
--
c_st_real1_2 after 10 ns ,
c_st_real1_1 after 20 ns ,
c_st_real1_2 after 30 ns ,
c_st_real1_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_real1_1 after 40 ns when 6 ;
--
CHG12 :
process
variable correct : boolean ;
begin
case s_st_rec1_cnt is
when 0
=> null ;
-- s_st_rec1 <=
-- c_st_rec1_2 after 10 ns,
-- c_st_rec1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec1 =
c_st_rec1_2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P12" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec1_select <= transport 2 ;
-- s_st_rec1 <=
-- c_st_rec1_2 after 10 ns ,
-- c_st_rec1_1 after 20 ns ,
-- c_st_rec1_2 after 30 ns ,
-- c_st_rec1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec1 =
c_st_rec1_2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
st_rec1_select <= transport 3 ;
-- s_st_rec1 <=
-- c_st_rec1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec1_select <= transport 4 ;
-- s_st_rec1 <=
-- c_st_rec1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec1_select <= transport 5 ;
-- s_st_rec1 <=
-- c_st_rec1_2 after 10 ns ,
-- c_st_rec1_1 after 20 ns ,
-- c_st_rec1_2 after 30 ns ,
-- c_st_rec1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_2 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec1 <=
-- c_st_rec1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec1 =
c_st_rec1_1 and
(s_st_rec1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec1_savt <= transport Std.Standard.Now ;
chk_st_rec1 <= transport s_st_rec1_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec1_cnt <= transport s_st_rec1_cnt + 1 ;
wait until (not s_st_rec1'Quiet) and
(s_st_rec1_savt /= Std.Standard.Now) ;
--
end process CHG12 ;
--
PGEN_CHKP_12 :
process ( chk_st_rec1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P12" ,
"Inertial transactions completed entirely",
chk_st_rec1 = 8 ) ;
end if ;
end process PGEN_CHKP_12 ;
--
--
with st_rec1_select select
s_st_rec1 <=
c_st_rec1_2 after 10 ns,
c_st_rec1_1 after 20 ns
when 1,
--
c_st_rec1_2 after 10 ns ,
c_st_rec1_1 after 20 ns ,
c_st_rec1_2 after 30 ns ,
c_st_rec1_1 after 40 ns
when 2,
--
c_st_rec1_1 after 5 ns
when 3,
--
c_st_rec1_1 after 100 ns
when 4,
--
c_st_rec1_2 after 10 ns ,
c_st_rec1_1 after 20 ns ,
c_st_rec1_2 after 30 ns ,
c_st_rec1_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_rec1_1 after 40 ns when 6 ;
--
CHG13 :
process
variable correct : boolean ;
begin
case s_st_rec2_cnt is
when 0
=> null ;
-- s_st_rec2 <=
-- c_st_rec2_2 after 10 ns,
-- c_st_rec2_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec2 =
c_st_rec2_2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P13" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec2_select <= transport 2 ;
-- s_st_rec2 <=
-- c_st_rec2_2 after 10 ns ,
-- c_st_rec2_1 after 20 ns ,
-- c_st_rec2_2 after 30 ns ,
-- c_st_rec2_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec2 =
c_st_rec2_2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
st_rec2_select <= transport 3 ;
-- s_st_rec2 <=
-- c_st_rec2_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec2_select <= transport 4 ;
-- s_st_rec2 <=
-- c_st_rec2_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec2_select <= transport 5 ;
-- s_st_rec2 <=
-- c_st_rec2_2 after 10 ns ,
-- c_st_rec2_1 after 20 ns ,
-- c_st_rec2_2 after 30 ns ,
-- c_st_rec2_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_2 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec2_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec2 <=
-- c_st_rec2_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec2 =
c_st_rec2_1 and
(s_st_rec2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec2_savt <= transport Std.Standard.Now ;
chk_st_rec2 <= transport s_st_rec2_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec2_cnt <= transport s_st_rec2_cnt + 1 ;
wait until (not s_st_rec2'Quiet) and
(s_st_rec2_savt /= Std.Standard.Now) ;
--
end process CHG13 ;
--
PGEN_CHKP_13 :
process ( chk_st_rec2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P13" ,
"Inertial transactions completed entirely",
chk_st_rec2 = 8 ) ;
end if ;
end process PGEN_CHKP_13 ;
--
--
with st_rec2_select select
s_st_rec2 <=
c_st_rec2_2 after 10 ns,
c_st_rec2_1 after 20 ns
when 1,
--
c_st_rec2_2 after 10 ns ,
c_st_rec2_1 after 20 ns ,
c_st_rec2_2 after 30 ns ,
c_st_rec2_1 after 40 ns
when 2,
--
c_st_rec2_1 after 5 ns
when 3,
--
c_st_rec2_1 after 100 ns
when 4,
--
c_st_rec2_2 after 10 ns ,
c_st_rec2_1 after 20 ns ,
c_st_rec2_2 after 30 ns ,
c_st_rec2_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_rec2_1 after 40 ns when 6 ;
--
CHG14 :
process
variable correct : boolean ;
begin
case s_st_rec3_cnt is
when 0
=> null ;
-- s_st_rec3 <=
-- c_st_rec3_2 after 10 ns,
-- c_st_rec3_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec3 =
c_st_rec3_2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P14" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec3_select <= transport 2 ;
-- s_st_rec3 <=
-- c_st_rec3_2 after 10 ns ,
-- c_st_rec3_1 after 20 ns ,
-- c_st_rec3_2 after 30 ns ,
-- c_st_rec3_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec3 =
c_st_rec3_2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
st_rec3_select <= transport 3 ;
-- s_st_rec3 <=
-- c_st_rec3_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 4 ;
-- s_st_rec3 <=
-- c_st_rec3_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 5 ;
-- s_st_rec3 <=
-- c_st_rec3_2 after 10 ns ,
-- c_st_rec3_1 after 20 ns ,
-- c_st_rec3_2 after 30 ns ,
-- c_st_rec3_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec3 <=
-- c_st_rec3_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec3 =
c_st_rec3_1 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec3_savt <= transport Std.Standard.Now ;
chk_st_rec3 <= transport s_st_rec3_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ;
wait until (not s_st_rec3'Quiet) and
(s_st_rec3_savt /= Std.Standard.Now) ;
--
end process CHG14 ;
--
PGEN_CHKP_14 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P14" ,
"Inertial transactions completed entirely",
chk_st_rec3 = 8 ) ;
end if ;
end process PGEN_CHKP_14 ;
--
--
with st_rec3_select select
s_st_rec3 <=
c_st_rec3_2 after 10 ns,
c_st_rec3_1 after 20 ns
when 1,
--
c_st_rec3_2 after 10 ns ,
c_st_rec3_1 after 20 ns ,
c_st_rec3_2 after 30 ns ,
c_st_rec3_1 after 40 ns
when 2,
--
c_st_rec3_1 after 5 ns
when 3,
--
c_st_rec3_1 after 100 ns
when 4,
--
c_st_rec3_2 after 10 ns ,
c_st_rec3_1 after 20 ns ,
c_st_rec3_2 after 30 ns ,
c_st_rec3_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_rec3_1 after 40 ns when 6 ;
--
CHG15 :
process
variable correct : boolean ;
begin
case s_st_arr1_cnt is
when 0
=> null ;
-- s_st_arr1 <=
-- c_st_arr1_2 after 10 ns,
-- c_st_arr1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr1 =
c_st_arr1_2 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P15" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr1_select <= transport 2 ;
-- s_st_arr1 <=
-- c_st_arr1_2 after 10 ns ,
-- c_st_arr1_1 after 20 ns ,
-- c_st_arr1_2 after 30 ns ,
-- c_st_arr1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr1 =
c_st_arr1_2 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
st_arr1_select <= transport 3 ;
-- s_st_arr1 <=
-- c_st_arr1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr1_select <= transport 4 ;
-- s_st_arr1 <=
-- c_st_arr1_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_arr1_select <= transport 5 ;
-- s_st_arr1 <=
-- c_st_arr1_2 after 10 ns ,
-- c_st_arr1_1 after 20 ns ,
-- c_st_arr1_2 after 30 ns ,
-- c_st_arr1_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_2 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr1_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_arr1 <=
-- c_st_arr1_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_arr1 =
c_st_arr1_1 and
(s_st_arr1_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_arr1_savt <= transport Std.Standard.Now ;
chk_st_arr1 <= transport s_st_arr1_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr1_cnt <= transport s_st_arr1_cnt + 1 ;
wait until (not s_st_arr1'Quiet) and
(s_st_arr1_savt /= Std.Standard.Now) ;
--
end process CHG15 ;
--
PGEN_CHKP_15 :
process ( chk_st_arr1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P15" ,
"Inertial transactions completed entirely",
chk_st_arr1 = 8 ) ;
end if ;
end process PGEN_CHKP_15 ;
--
--
with st_arr1_select select
s_st_arr1 <=
c_st_arr1_2 after 10 ns,
c_st_arr1_1 after 20 ns
when 1,
--
c_st_arr1_2 after 10 ns ,
c_st_arr1_1 after 20 ns ,
c_st_arr1_2 after 30 ns ,
c_st_arr1_1 after 40 ns
when 2,
--
c_st_arr1_1 after 5 ns
when 3,
--
c_st_arr1_1 after 100 ns
when 4,
--
c_st_arr1_2 after 10 ns ,
c_st_arr1_1 after 20 ns ,
c_st_arr1_2 after 30 ns ,
c_st_arr1_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_arr1_1 after 40 ns when 6 ;
--
CHG16 :
process
variable correct : boolean ;
begin
case s_st_arr2_cnt is
when 0
=> null ;
-- s_st_arr2 <=
-- c_st_arr2_2 after 10 ns,
-- c_st_arr2_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr2 =
c_st_arr2_2 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P16" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr2_select <= transport 2 ;
-- s_st_arr2 <=
-- c_st_arr2_2 after 10 ns ,
-- c_st_arr2_1 after 20 ns ,
-- c_st_arr2_2 after 30 ns ,
-- c_st_arr2_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr2 =
c_st_arr2_2 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
st_arr2_select <= transport 3 ;
-- s_st_arr2 <=
-- c_st_arr2_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr2_select <= transport 4 ;
-- s_st_arr2 <=
-- c_st_arr2_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_arr2_select <= transport 5 ;
-- s_st_arr2 <=
-- c_st_arr2_2 after 10 ns ,
-- c_st_arr2_1 after 20 ns ,
-- c_st_arr2_2 after 30 ns ,
-- c_st_arr2_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_2 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr2_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_arr2 <=
-- c_st_arr2_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_arr2 =
c_st_arr2_1 and
(s_st_arr2_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_arr2_savt <= transport Std.Standard.Now ;
chk_st_arr2 <= transport s_st_arr2_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr2_cnt <= transport s_st_arr2_cnt + 1 ;
wait until (not s_st_arr2'Quiet) and
(s_st_arr2_savt /= Std.Standard.Now) ;
--
end process CHG16 ;
--
PGEN_CHKP_16 :
process ( chk_st_arr2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P16" ,
"Inertial transactions completed entirely",
chk_st_arr2 = 8 ) ;
end if ;
end process PGEN_CHKP_16 ;
--
--
with st_arr2_select select
s_st_arr2 <=
c_st_arr2_2 after 10 ns,
c_st_arr2_1 after 20 ns
when 1,
--
c_st_arr2_2 after 10 ns ,
c_st_arr2_1 after 20 ns ,
c_st_arr2_2 after 30 ns ,
c_st_arr2_1 after 40 ns
when 2,
--
c_st_arr2_1 after 5 ns
when 3,
--
c_st_arr2_1 after 100 ns
when 4,
--
c_st_arr2_2 after 10 ns ,
c_st_arr2_1 after 20 ns ,
c_st_arr2_2 after 30 ns ,
c_st_arr2_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_arr2_1 after 40 ns when 6 ;
--
CHG17 :
process
variable correct : boolean ;
begin
case s_st_arr3_cnt is
when 0
=> null ;
-- s_st_arr3 <=
-- c_st_arr3_2 after 10 ns,
-- c_st_arr3_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr3 =
c_st_arr3_2 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381.P17" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr3_select <= transport 2 ;
-- s_st_arr3 <=
-- c_st_arr3_2 after 10 ns ,
-- c_st_arr3_1 after 20 ns ,
-- c_st_arr3_2 after 30 ns ,
-- c_st_arr3_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr3 =
c_st_arr3_2 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
st_arr3_select <= transport 3 ;
-- s_st_arr3 <=
-- c_st_arr3_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr3_select <= transport 4 ;
-- s_st_arr3 <=
-- c_st_arr3_1 after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_arr3_select <= transport 5 ;
-- s_st_arr3 <=
-- c_st_arr3_2 after 10 ns ,
-- c_st_arr3_1 after 20 ns ,
-- c_st_arr3_2 after 30 ns ,
-- c_st_arr3_1 after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_2 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr3_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_arr3 <=
-- c_st_arr3_1 after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_arr3 =
c_st_arr3_1 and
(s_st_arr3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00381" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_arr3_savt <= transport Std.Standard.Now ;
chk_st_arr3 <= transport s_st_arr3_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr3_cnt <= transport s_st_arr3_cnt + 1 ;
wait until (not s_st_arr3'Quiet) and
(s_st_arr3_savt /= Std.Standard.Now) ;
--
end process CHG17 ;
--
PGEN_CHKP_17 :
process ( chk_st_arr3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P17" ,
"Inertial transactions completed entirely",
chk_st_arr3 = 8 ) ;
end if ;
end process PGEN_CHKP_17 ;
--
--
with st_arr3_select select
s_st_arr3 <=
c_st_arr3_2 after 10 ns,
c_st_arr3_1 after 20 ns
when 1,
--
c_st_arr3_2 after 10 ns ,
c_st_arr3_1 after 20 ns ,
c_st_arr3_2 after 30 ns ,
c_st_arr3_1 after 40 ns
when 2,
--
c_st_arr3_1 after 5 ns
when 3,
--
c_st_arr3_1 after 100 ns
when 4,
--
c_st_arr3_2 after 10 ns ,
c_st_arr3_1 after 20 ns ,
c_st_arr3_2 after 30 ns ,
c_st_arr3_1 after 40 ns
when 5,
--
-- Last transaction above is marked
c_st_arr3_1 after 40 ns when 6 ;
--
end ARCH00381 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00381_Test_Bench is
end ENT00381_Test_Bench ;
--
--
architecture ARCH00381_Test_Bench of ENT00381_Test_Bench is
begin
L1:
block
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00381 ( ARCH00381 ) ;
begin
CIS1 : UUT
;
end block L1 ;
end ARCH00381_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00443.vhd
|
1
|
5114
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00443
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.2.4 (2)
-- 7.2.4 (11)
-- 7.2.4 (12)
-- 7.2.4 (13)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00443(ARCH00443)
-- ENT00443_Test_Bench(ARCH00443_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.ARITHMETIC.ALL ;
entity ENT00443 is
generic (
i_real_1 : real := c_real_1 ;
i_real_2 : real := c_real_2 ;
i_t_real_1 : t_real := c_t_real_1 ;
i_t_real_2 : t_real := c_t_real_2 ;
i_st_real_1 : st_real := c_st_real_1 ;
i_st_real_2 : st_real := c_st_real_2
) ;
port ( locally_static_correct : out boolean ;
globally_static_correct : out boolean ;
dynamic_correct : out boolean ) ;
end ENT00443 ;
architecture ARCH00443 of ENT00443 is
constant c2_real_1 : real :=
(-10.4) / i_real_1 + i_real_1 / i_real_2 + i_real_1 / i_real_1 -
i_real_2 / c_real_2 ;
constant c2_t_real_1 : t_real :=
(1.0) / i_t_real_1 + (i_t_real_1) / i_t_real_2 +
(-i_t_real_1) / 2.0 -
c_t_real_2 / i_t_real_2 ;
constant c2_st_real_1 : st_real :=
(-0.0) / i_st_real_2 + i_t_real_1 / (i_st_real_1) +
(i_st_real_1 / i_t_real_2) - (c_st_real_2) / i_t_real_2 ;
begin
process
variable bool : boolean := true ;
variable cons_correct, gen_correct, dyn_correct : boolean := true ;
--
variable v_real_1, v2_real_1 : real := i_real_1 ;
variable v_real_2, v2_real_2 : real := i_real_2 ;
variable v_t_real_1, v2_t_real_1 : t_real := i_t_real_1 ;
variable v_t_real_2, v2_t_real_2 : t_real := i_t_real_2 ;
variable v_st_real_1, v2_st_real_1 : st_real := i_st_real_1 ;
variable v_st_real_2, v2_st_real_2 : st_real := i_st_real_2 ;
--
begin
-- static expression
case bool is
when (
abs(
(-10.4) / c_real_1 + c_real_1 / c_real_2 + c_real_1 / c_real_1 -
c_real_2 / c_real_2
- (-2.4288)) < acceptable_error and
abs(
(1.0) / c_t_real_1 + (c_t_real_1) / c_t_real_2 +
(-c_t_real_1) / 2.0 -
c_t_real_2 / c_t_real_2
- (-393.8552)) < t_acceptable_error and
abs(
(-0.0) / c_st_real_2 + c_t_real_1 / (c_st_real_1) +
(c_st_real_1 / c_t_real_2) - (c_st_real_2) / c_t_real_2
- (-31.2542)) < t_acceptable_error
) =>
null ;
when others =>
cons_correct := false ;
end case ;
-- generic expression
gen_correct := abs (c2_real_1 - (-2.4288)) < acceptable_error and
abs (c2_t_real_1 - (-393.8552)) < t_acceptable_error and
abs (c2_st_real_1 - (-31.2542)) < t_acceptable_error ;
-- dynamic expression
v2_real_1 :=
(-10.4) / v_real_1 + v_real_1 / v_real_2 + v_real_1 / v_real_1 -
v_real_2 / c_real_2 ;
v2_t_real_1 :=
(1.0) / v_t_real_1 + (v_t_real_1) / v_t_real_2 +
(-v_t_real_1) / 2.0 -
v_t_real_2 / i_t_real_2 ;
v2_st_real_1 :=
(-0.0) / v_st_real_2 + v_t_real_1 / (v_st_real_1) +
(v_st_real_1 / v_t_real_2) - (v_st_real_2) / i_t_real_2 ;
dyn_correct := abs (v2_real_1 - (-2.4288)) < acceptable_error and
abs (v2_t_real_1 - (-393.8552)) < t_acceptable_error and
abs (v2_st_real_1 - (-31.2542)) < t_acceptable_error ;
locally_static_correct <= cons_correct ;
globally_static_correct <= gen_correct ;
dynamic_correct <= dyn_correct ;
wait ;
end process ;
end ARCH00443 ;
use WORK.STANDARD_TYPES.all ;
entity ENT00443_Test_Bench is
end ENT00443_Test_Bench ;
architecture ARCH00443_Test_Bench of ENT00443_Test_Bench is
begin
L1:
block
signal locally_static_correct, globally_static_correct,
dynamic_correct : boolean := false ;
component UUT
port ( locally_static_correct : out boolean := false ;
globally_static_correct : out boolean := false ;
dynamic_correct : out boolean := false ) ;
end component ;
for CIS1 : UUT use entity WORK.ENT00443 ( ARCH00443 ) ;
begin
CIS1 : UUT
port map ( locally_static_correct,
globally_static_correct,
dynamic_correct ) ;
process ( locally_static_correct, globally_static_correct,
dynamic_correct )
begin
if locally_static_correct and globally_static_correct and
dynamic_correct then
test_report ( "ARCH00443" ,
"/ predefined for floating types" ,
true ) ;
end if ;
end process ;
end block L1 ;
end ARCH00443_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00093.vhd
|
1
|
11427
|
-- NEED RESULT: ARCH00093.P1: Multi transport transactions occurred on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093.P2: Multi transport transactions occurred on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093.P3: Multi transport transactions occurred on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093: One transport transaction occurred on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093: Old transactions were removed on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093: One transport transaction occurred on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093: Old transactions were removed on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093: One transport transaction occurred on signal asg with selected name on LHS passed
-- NEED RESULT: ARCH00093: Old transactions were removed on signal asg with selected name on LHS passed
-- NEED RESULT: P3: Transport transactions entirely completed passed
-- NEED RESULT: P2: Transport transactions entirely completed passed
-- NEED RESULT: P1: Transport transactions entirely completed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00093
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (2)
-- 8.3 (3)
-- 8.3 (5)
-- 8.3.1 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00093(ARCH00093)
-- ENT00093_Test_Bench(ARCH00093_Test_Bench)
--
-- REVISION HISTORY:
--
-- 07-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00093 is
port (
s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_rec1 : chk_sig_type := -1 ;
signal chk_st_rec2 : chk_sig_type := -1 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
--
end ENT00093 ;
--
architecture ARCH00093 of ENT00093 is
begin
PGEN_CHKP_1 :
process ( chk_st_rec1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions entirely completed",
chk_st_rec1 = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
P1 :
process ( s_st_rec1 )
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_rec1.f2 <= transport
c_st_rec1_2.f2 after 10 ns,
c_st_rec1_1.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec1.f2 = c_st_rec1_2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec1.f2 = c_st_rec1_1.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00093.P1" ,
"Multi transport transactions occurred on signal " &
"asg with selected name on LHS",
correct ) ;
s_st_rec1.f2 <= transport
c_st_rec1_2.f2 after 10 ns ,
c_st_rec1_1.f2 after 20 ns ,
c_st_rec1_2.f2 after 30 ns ,
c_st_rec1_1.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec1.f2 = c_st_rec1_2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec1.f2 <= transport c_st_rec1_1.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec1.f2 = c_st_rec1_1.f2 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00093" ,
"One transport transaction occurred on signal " &
"asg with selected name on LHS",
correct ) ;
test_report ( "ARCH00093" ,
"Old transactions were removed on signal " &
"asg with selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00093" ,
"Old transactions were removed on signal " &
"asg with selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
end process P1 ;
--
PGEN_CHKP_2 :
process ( chk_st_rec2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions entirely completed",
chk_st_rec2 = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
P2 :
process ( s_st_rec2 )
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_rec2.f2 <= transport
c_st_rec2_2.f2 after 10 ns,
c_st_rec2_1.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec2.f2 = c_st_rec2_2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec2.f2 = c_st_rec2_1.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00093.P2" ,
"Multi transport transactions occurred on signal " &
"asg with selected name on LHS",
correct ) ;
s_st_rec2.f2 <= transport
c_st_rec2_2.f2 after 10 ns ,
c_st_rec2_1.f2 after 20 ns ,
c_st_rec2_2.f2 after 30 ns ,
c_st_rec2_1.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec2.f2 = c_st_rec2_2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec2.f2 <= transport c_st_rec2_1.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec2.f2 = c_st_rec2_1.f2 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00093" ,
"One transport transaction occurred on signal " &
"asg with selected name on LHS",
correct ) ;
test_report ( "ARCH00093" ,
"Old transactions were removed on signal " &
"asg with selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00093" ,
"Old transactions were removed on signal " &
"asg with selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec2 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
end process P2 ;
--
PGEN_CHKP_3 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Transport transactions entirely completed",
chk_st_rec3 = 4 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
P3 :
process ( s_st_rec3 )
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_rec3.f2 <= transport
c_st_rec3_2.f2 after 10 ns,
c_st_rec3_1.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec3.f2 = c_st_rec3_2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3.f2 = c_st_rec3_1.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00093.P3" ,
"Multi transport transactions occurred on signal " &
"asg with selected name on LHS",
correct ) ;
s_st_rec3.f2 <= transport
c_st_rec3_2.f2 after 10 ns ,
c_st_rec3_1.f2 after 20 ns ,
c_st_rec3_2.f2 after 30 ns ,
c_st_rec3_1.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec3.f2 = c_st_rec3_2.f2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec3.f2 <= transport c_st_rec3_1.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3.f2 = c_st_rec3_1.f2 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00093" ,
"One transport transaction occurred on signal " &
"asg with selected name on LHS",
correct ) ;
test_report ( "ARCH00093" ,
"Old transactions were removed on signal " &
"asg with selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00093" ,
"Old transactions were removed on signal " &
"asg with selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec3 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
end process P3 ;
--
--
end ARCH00093 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00093_Test_Bench is
signal s_st_rec1 : st_rec1
:= c_st_rec1_1 ;
signal s_st_rec2 : st_rec2
:= c_st_rec2_1 ;
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
--
end ENT00093_Test_Bench ;
--
architecture ARCH00093_Test_Bench of ENT00093_Test_Bench is
begin
L1:
block
component UUT
port (
s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00093 ( ARCH00093 ) ;
begin
CIS1 : UUT
port map (
s_st_rec1
, s_st_rec2
, s_st_rec3
) ;
end block L1 ;
end ARCH00093_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00017.vhd
|
1
|
2196
|
-- NEED RESULT: ENT00017: An actual of any mode may be associated with a formal of mode linkage passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00017
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 1.1.1.2 (1)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00017(ARCH00017)
-- ENT00017_1(ARCH00017_1)
-- ENT00017_Test_Bench(ARCH00017_Test_Bench)
--
-- REVISION HISTORY:
--
-- 26-JUN-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES.all ;
entity ENT00017 is
port ( SIN, SINOUT, SOUT, SBUFFER, SLINK : linkage boolean ) ;
end ENT00017 ;
architecture ARCH00017 of ENT00017 is
begin
process
begin
test_report ( "ENT00017" ,
"An actual of any mode may be associated with a formal" &
" of mode linkage" ,
true ) ;
wait ;
end process ;
end ARCH00017 ;
entity ENT00017_1 is
port ( SIN : in boolean ;
SINOUT : inout boolean ;
SOUT : out boolean ;
SBUFFER : buffer boolean ;
SLINK : linkage boolean ) ;
end ENT00017_1 ;
architecture ARCH00017_1 of ENT00017_1 is
begin
L1:
block
component UUT
end component ;
signal SIN, SINOUT, SOUT, SBUFFER, SLINK : boolean ;
for CIS1 : UUT use entity WORK.ENT00017 ( ARCH00017 )
port map ( SIN, SINOUT, SOUT, SBUFFER, SLINK ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00017_1 ;
entity ENT00017_Test_Bench is
end ENT00017_Test_Bench ;
architecture ARCH00017_Test_Bench of ENT00017_Test_Bench is
begin
L1:
block
component UUT
end component ;
signal SIN, SINOUT, SOUT, SBUFFER, SLINK : boolean ;
for CIS1 : UUT use entity WORK.ENT00017_1 ( ARCH00017_1 )
port map ( SIN, SINOUT, SOUT, SBUFFER, SLINK ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00017_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00376.vhd
|
1
|
5197
|
-- NEED RESULT: ARCH00376.P1: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00376: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00376: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: P1: Transport transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00376
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (2)
-- 9.5.1 (1)
-- 9.5.1 (2)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00376(ARCH00376)
-- ENT00376_Test_Bench(ARCH00376_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00376 is
end ENT00376 ;
--
--
architecture ARCH00376 of ENT00376 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
--
subtype chk_time_type is Time ;
signal s_st_rec3_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_st_rec3_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 3 ;
signal st_rec3_select : select_type := 1 ;
--
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
--
begin
CHG1 :
process ( s_st_rec3 )
variable correct : boolean ;
begin
case s_st_rec3_cnt is
when 0
=> null ;
-- s_st_rec3.f2.f2 <= transport
-- c_st_rec3_2.f2.f2 after 10 ns,
-- c_st_rec3_1.f2.f2 after 20 ns ;
--
when 1
=> correct :=
s_st_rec3.f2.f2 =
c_st_rec3_2.f2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3.f2.f2 =
c_st_rec3_1.f2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00376.P1" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec3_select <= transport 2 ;
-- s_st_rec3.f2.f2 <= transport
-- c_st_rec3_2.f2.f2 after 10 ns ,
-- c_st_rec3_1.f2.f2 after 20 ns ,
-- c_st_rec3_2.f2.f2 after 30 ns ,
-- c_st_rec3_1.f2.f2 after 40 ns ;
--
when 3
=> correct :=
s_st_rec3.f2.f2 =
c_st_rec3_2.f2.f2 and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
st_rec3_select <= transport 3 ;
-- s_st_rec3.f2.f2 <= transport
-- c_st_rec3_1.f2.f2 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3.f2.f2 =
c_st_rec3_1.f2.f2 and
(s_st_rec3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00376" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00376" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00376" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
s_st_rec3_savt <= transport Std.Standard.Now ;
chk_st_rec3 <= transport s_st_rec3_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ;
--
end process CHG1 ;
--
PGEN_CHKP_1 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions completed entirely",
chk_st_rec3 = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
s_st_rec3.f2.f2 <= transport
c_st_rec3_2.f2.f2 after 10 ns,
c_st_rec3_1.f2.f2 after 20 ns
when st_rec3_select = 1 else
--
c_st_rec3_2.f2.f2 after 10 ns ,
c_st_rec3_1.f2.f2 after 20 ns ,
c_st_rec3_2.f2.f2 after 30 ns ,
c_st_rec3_1.f2.f2 after 40 ns
when st_rec3_select = 2 else
--
c_st_rec3_1.f2.f2 after 5 ns ;
--
end ARCH00376 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00376_Test_Bench is
end ENT00376_Test_Bench ;
--
--
architecture ARCH00376_Test_Bench of ENT00376_Test_Bench is
begin
L1:
block
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00376 ( ARCH00376 ) ;
begin
CIS1 : UUT
;
end block L1 ;
end ARCH00376_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00240.vhd
|
1
|
5405
|
-- NEED RESULT: ENT00240: Associated composite linkage ports with static subtypes passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00240
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 1.1.1.2 (4)
-- 1.1.1.2 (7)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00240(ARCH00240)
-- ENT00240_Test_Bench(ARCH00240_Test_Bench)
--
-- REVISION HISTORY:
--
-- 25-JUN-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00240 is
port (
i_bit_vector_1, i_bit_vector_2 : linkage bit_vector
;
i_string_1, i_string_2 : linkage string
;
i_t_rec1_1, i_t_rec1_2 : linkage t_rec1
;
i_st_rec1_1, i_st_rec1_2 : linkage st_rec1
;
i_t_rec2_1, i_t_rec2_2 : linkage t_rec2
;
i_st_rec2_1, i_st_rec2_2 : linkage st_rec2
;
i_t_rec3_1, i_t_rec3_2 : linkage t_rec3
;
i_st_rec3_1, i_st_rec3_2 : linkage st_rec3
;
i_t_arr1_1, i_t_arr1_2 : linkage t_arr1
;
i_st_arr1_1, i_st_arr1_2 : linkage st_arr1
;
i_t_arr2_1, i_t_arr2_2 : linkage t_arr2
;
i_st_arr2_1, i_st_arr2_2 : linkage st_arr2
;
i_t_arr3_1, i_t_arr3_2 : linkage t_arr3
;
i_st_arr3_1, i_st_arr3_2 : linkage st_arr3
) ;
begin
end ENT00240 ;
--
architecture ARCH00240 of ENT00240 is
begin
process
variable correct : boolean := true ;
begin
test_report ( "ENT00240" ,
"Associated composite linkage ports with static subtypes" ,
correct) ;
wait ;
end process ;
end ARCH00240 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00240_Test_Bench is
end ENT00240_Test_Bench ;
--
architecture ARCH00240_Test_Bench of ENT00240_Test_Bench is
begin
L1:
block
signal i_bit_vector_1, i_bit_vector_2 : st_bit_vector
:= c_st_bit_vector_1 ;
signal i_string_1, i_string_2 : st_string
:= c_st_string_1 ;
signal i_t_rec1_1, i_t_rec1_2 : st_rec1
:= c_st_rec1_1 ;
signal i_st_rec1_1, i_st_rec1_2 : st_rec1
:= c_st_rec1_1 ;
signal i_t_rec2_1, i_t_rec2_2 : st_rec2
:= c_st_rec2_1 ;
signal i_st_rec2_1, i_st_rec2_2 : st_rec2
:= c_st_rec2_1 ;
signal i_t_rec3_1, i_t_rec3_2 : st_rec3
:= c_st_rec3_1 ;
signal i_st_rec3_1, i_st_rec3_2 : st_rec3
:= c_st_rec3_1 ;
signal i_t_arr1_1, i_t_arr1_2 : st_arr1
:= c_st_arr1_1 ;
signal i_st_arr1_1, i_st_arr1_2 : st_arr1
:= c_st_arr1_1 ;
signal i_t_arr2_1, i_t_arr2_2 : st_arr2
:= c_st_arr2_1 ;
signal i_st_arr2_1, i_st_arr2_2 : st_arr2
:= c_st_arr2_1 ;
signal i_t_arr3_1, i_t_arr3_2 : st_arr3
:= c_st_arr3_1 ;
signal i_st_arr3_1, i_st_arr3_2 : st_arr3
:= c_st_arr3_1 ;
--
component UUT
port (
i_bit_vector_1, i_bit_vector_2 : linkage bit_vector
;
i_string_1, i_string_2 : linkage string
;
i_t_rec1_1, i_t_rec1_2 : linkage t_rec1
;
i_st_rec1_1, i_st_rec1_2 : linkage st_rec1
;
i_t_rec2_1, i_t_rec2_2 : linkage t_rec2
;
i_st_rec2_1, i_st_rec2_2 : linkage st_rec2
;
i_t_rec3_1, i_t_rec3_2 : linkage t_rec3
;
i_st_rec3_1, i_st_rec3_2 : linkage st_rec3
;
i_t_arr1_1, i_t_arr1_2 : linkage t_arr1
;
i_st_arr1_1, i_st_arr1_2 : linkage st_arr1
;
i_t_arr2_1, i_t_arr2_2 : linkage t_arr2
;
i_st_arr2_1, i_st_arr2_2 : linkage st_arr2
;
i_t_arr3_1, i_t_arr3_2 : linkage t_arr3
;
i_st_arr3_1, i_st_arr3_2 : linkage st_arr3
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00240 ( ARCH00240 ) ;
--
begin
CIS1 : UUT
port map (
i_bit_vector_1, i_bit_vector_2,
i_string_1, i_string_2,
i_t_rec1_1, i_t_rec1_2,
i_st_rec1_1, i_st_rec1_2,
i_t_rec2_1, i_t_rec2_2,
i_st_rec2_1, i_st_rec2_2,
i_t_rec3_1, i_t_rec3_2,
i_st_rec3_1, i_st_rec3_2,
i_t_arr1_1, i_t_arr1_2,
i_st_arr1_1, i_st_arr1_2,
i_t_arr2_1, i_t_arr2_2,
i_st_arr2_1, i_st_arr2_2,
i_t_arr3_1, i_t_arr3_2,
i_st_arr3_1, i_st_arr3_2
) ;
end block L1 ;
end ARCH00240_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00176.vhd
|
1
|
8508
|
-- NEED RESULT: ARCH00176.P1: Multi inertial transactions occurred on signal asg with indexed name prefixed by a selected name on LHS passed
-- NEED RESULT: ARCH00176: One inertial transaction occurred on signal asg with indexed name prefixed by a selected name on LHS passed
-- NEED RESULT: P1: Inertial transactions entirely completed failed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00176
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (1)
-- 8.3 (2)
-- 8.3 (4)
-- 8.3 (5)
-- 8.3.1 (4)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00176(ARCH00176)
-- ENT00176_Test_Bench(ARCH00176_Test_Bench)
--
-- REVISION HISTORY:
--
-- 08-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00176 is
port (
s_st_rec3 : inout st_rec3
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
--
end ENT00176 ;
--
architecture ARCH00176 of ENT00176 is
begin
P1 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0 =>
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <=
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns,
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns ;
--
when 1 =>
correct :=
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2 =>
correct :=
correct and
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00176.P1" ,
"Multi inertial transactions occurred on signal " &
"asg with indexed name prefixed by a selected name on LHS",
correct ) ;
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <=
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns,
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns,
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 30 ns,
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns ;
--
when 3 =>
correct :=
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <=
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 5 ns;
--
when 4 =>
correct :=
correct and
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00176" ,
"One inertial transaction occurred on signal " &
"asg with indexed name prefixed by a selected name on LHS",
correct ) ;
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 100 ns;
--
when 5 =>
correct :=
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00176" ,
"Old transactions were removed on signal " &
"asg with indexed name prefixed by a selected name on LHS",
correct ) ;
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <=
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns,
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns,
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 30 ns,
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns ;
--
when 6 =>
correct :=
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_2.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00176" ,
"One inertial transaction occurred on signal " &
"asg with indexed name prefixed by a selected name on LHS",
correct ) ;
-- The following will mark last transaction above
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <=
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns;
--
when 7 =>
correct :=
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8 =>
correct :=
correct and
s_st_rec3.f3 (
s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) =
c_st_rec3_1.f3 (
s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00176" ,
"Inertial semantics check on a signal " &
"asg with indexed name prefixed by a selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00176" ,
"Inertial semantics check on a signal " &
"asg with indexed name prefixed by a selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec3 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
wait until (not s_st_rec3'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P1 ;
--
PGEN_CHKP_1 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions entirely completed",
chk_st_rec3 = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
--
end ARCH00176 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00176_Test_Bench is
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
--
end ENT00176_Test_Bench ;
--
architecture ARCH00176_Test_Bench of ENT00176_Test_Bench is
begin
L1:
block
component UUT
port (
s_st_rec3 : inout st_rec3
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00176 ( ARCH00176 ) ;
begin
CIS1 : UUT
port map (
s_st_rec3
) ;
end block L1 ;
end ARCH00176_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00322.vhd
|
1
|
1471
|
-- NEED RESULT: *** An assertion follows with severity level NOTE
-- NEED RESULT: An assertion with severity NOTE
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00322
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.4 (5)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00322)
-- ENT00322_Test_Bench(ARCH00322_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUL-1987 - initial revision
--
-- NOTES:
--
-- Verify that assertion messages match the comment messages output.
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00322 of E00000 is
signal Dummy : Boolean := false;
begin
P1 :
process ( Dummy )
begin
print ("*** An assertion follows with severity level NOTE") ;
end process ;
assert Dummy
report "An assertion with severity NOTE"
severity Severity_Level'Left ;
end ARCH00322 ;
entity ENT00322_Test_Bench is
end ENT00322_Test_Bench ;
architecture ARCH00322_Test_Bench of ENT00322_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00322 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00322_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl/proc3.vhd
|
1
|
634
|
-- Subtypes
entity test is
end entity test;
architecture test_arch of test is
type vector is array (integer range <>) of integer;
subtype svec1 is vector (1 to 3);
subtype svec2 is vector (4 to 7);
procedure p1(variable v1 : inout svec2) is
begin
v1(4) := 4;
end procedure;
begin
main: process
variable v : svec1 := (0=>0, 1=>1, 2=>2, 3=>3);
begin
p1(v);
report integer'image(v(0));
report integer'image(v(1));
report integer'image(v(2));
report integer'image(v(3));
assert false report "end of simulation" severity failure;
end process;
end architecture test_arch;
|
gpl-3.0
|
grwlf/vsim
|
vhdl/IEEE/old/textio.vhd
|
1
|
18368
|
----------------------------------------------------------------------------
--
-- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
--
-- Package name: STD_LOGIC_TEXTIO
--
-- Purpose: This package overloads the standard TEXTIO procedures
-- READ and WRITE.
--
-- Author: CRC, TS
--
----------------------------------------------------------------------------
use STD.textio.all;
library IEEE;
use IEEE.std_logic_1164.all;
package STD_LOGIC_TEXTIO is
-- Read and Write procedures for STD_ULOGIC and STD_ULOGIC_VECTOR
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC; GOOD: out BOOLEAN);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN);
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN);
procedure WRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
--
-- Read and Write procedures for Hex and Octal values.
-- The values appear in the file as a series of characters
-- between 0-F (Hex), or 0-7 (Octal) respectively.
--
-- Hex
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN);
procedure HWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN);
procedure HWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
-- Octal
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN);
procedure OWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN);
procedure OWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
end STD_LOGIC_TEXTIO;
package body STD_LOGIC_TEXTIO is
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', ERROR);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of character;
type MVL9_indexed_by_char is array (character) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (character) of MVL9plus;
constant MVL9_to_char: char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9: MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus: MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => ERROR);
-- Overloaded procedures.
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC; GOOD:out BOOLEAN) is
variable c: character;
begin
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
if (char_to_MVL9plus(c) = ERROR) then
value := 'U';
good := FALSE;
else
value := char_to_MVL9(c);
good := TRUE;
end if;
end READ;
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD:out BOOLEAN) is
variable m: STD_ULOGIC;
variable c: character;
variable s: string(1 to value'length-1);
variable mv: STD_ULOGIC_VECTOR(0 to value'length-1);
begin
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
if (char_to_MVL9plus(c) = ERROR) then
value(value'range) := (others => 'U');
good := FALSE;
return;
end if;
read(l, s);
for i in 1 to value'length-1 loop
if (char_to_MVL9plus(s(i)) = ERROR) then
value(value'range) := (others => 'U');
good := FALSE;
return;
end if;
end loop;
mv(0) := char_to_MVL9(c);
for i in 1 to value'length-1 loop
mv(i) := char_to_MVL9(s(i));
end loop;
value := mv;
good := TRUE;
end READ;
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC) is
variable c: character;
begin
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
if (char_to_MVL9plus(c) = ERROR) then
value := 'U';
assert FALSE report "READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal.";
else
value := char_to_MVL9(c);
end if;
end READ;
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is
variable m: STD_ULOGIC;
variable c: character;
variable s: string(1 to value'length-1);
variable mv: STD_ULOGIC_VECTOR(0 to value'length-1);
begin
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
if (char_to_MVL9plus(c) = ERROR) then
value(value'range) := (others => 'U');
assert FALSE report
"READ(STD_ULOGIC_VECTOR) Error: Character '" &
c & "' read, expected STD_ULOGIC literal.";
return;
end if;
read(l, s);
for i in 1 to value'length-1 loop
if (char_to_MVL9plus(s(i)) = ERROR) then
value(value'range) := (others => 'U');
assert FALSE report
"READ(STD_ULOGIC_VECTOR) Error: Character '" &
s(i) & "' read, expected STD_ULOGIC literal.";
return;
end if;
end loop;
mv(0) := char_to_MVL9(c);
for i in 1 to value'length-1 loop
mv(i) := char_to_MVL9(s(i));
end loop;
value := mv;
end READ;
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
write(l, MVL9_to_char(value), justified, field);
end WRITE;
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable s: string(1 to value'length);
variable m: STD_ULOGIC_VECTOR(1 to value'length) := value;
begin
for i in 1 to value'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
READ(L, tmp);
VALUE := STD_LOGIC_VECTOR(tmp);
end READ;
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
READ(L, tmp, GOOD);
VALUE := STD_LOGIC_VECTOR(tmp);
end READ;
procedure WRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
WRITE(L, STD_ULOGIC_VECTOR(VALUE), JUSTIFIED, FIELD);
end WRITE;
--
-- Hex Read and Write procedures.
--
--
-- Hex, and Octal Read and Write procedures for BIT_VECTOR
-- (these procedures are not exported, they are only used
-- by the STD_ULOGIC hex/octal reads and writes below.
--
--
procedure Char2QuadBits(C: Character;
RESULT: out Bit_Vector(3 downto 0);
GOOD: out Boolean;
ISSUE_ERROR: in Boolean) is
begin
case c is
when '0' => result := x"0"; good := TRUE;
when '1' => result := x"1"; good := TRUE;
when '2' => result := x"2"; good := TRUE;
when '3' => result := x"3"; good := TRUE;
when '4' => result := x"4"; good := TRUE;
when '5' => result := x"5"; good := TRUE;
when '6' => result := x"6"; good := TRUE;
when '7' => result := x"7"; good := TRUE;
when '8' => result := x"8"; good := TRUE;
when '9' => result := x"9"; good := TRUE;
when 'A' => result := x"A"; good := TRUE;
when 'B' => result := x"B"; good := TRUE;
when 'C' => result := x"C"; good := TRUE;
when 'D' => result := x"D"; good := TRUE;
when 'E' => result := x"E"; good := TRUE;
when 'F' => result := x"F"; good := TRUE;
when 'a' => result := x"A"; good := TRUE;
when 'b' => result := x"B"; good := TRUE;
when 'c' => result := x"C"; good := TRUE;
when 'd' => result := x"D"; good := TRUE;
when 'e' => result := x"E"; good := TRUE;
when 'f' => result := x"F"; good := TRUE;
when others =>
if ISSUE_ERROR then
assert FALSE report
"HREAD Error: Read a '" & c &
"', expected a Hex character (0-F).";
end if;
good := FALSE;
end case;
end;
procedure HREAD(L:inout LINE; VALUE:out BIT_VECTOR) is
variable ok: boolean;
variable c: character;
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 4 /= 0 then
assert FALSE report
"HREAD Error: Trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2QuadBits(c, bv(0 to 3), ok, TRUE);
if not ok then
return;
end if;
read(L, s, ok);
if not ok then
assert FALSE
report "HREAD Error: Failed to read the STRING";
return;
end if;
for i in 1 to ne-1 loop
Char2QuadBits(s(i), bv(4*i to 4*i+3), ok, TRUE);
if not ok then
return;
end if;
end loop;
value := bv;
end HREAD;
procedure HREAD(L:inout LINE; VALUE:out BIT_VECTOR;GOOD: out BOOLEAN) is
variable ok: boolean;
variable c: character;
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 4 /= 0 then
good := FALSE;
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2QuadBits(c, bv(0 to 3), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
read(L, s, ok);
if not ok then
good := FALSE;
return;
end if;
for i in 1 to ne-1 loop
Char2QuadBits(s(i), bv(4*i to 4*i+3), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
end loop;
good := TRUE;
value := bv;
end HREAD;
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable quad: bit_vector(0 to 3);
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 4 /= 0 then
assert FALSE report
"HREAD Error: Trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
for i in 0 to ne-1 loop
quad := bv(4*i to 4*i+3);
case quad is
when x"0" => s(i+1) := '0';
when x"1" => s(i+1) := '1';
when x"2" => s(i+1) := '2';
when x"3" => s(i+1) := '3';
when x"4" => s(i+1) := '4';
when x"5" => s(i+1) := '5';
when x"6" => s(i+1) := '6';
when x"7" => s(i+1) := '7';
when x"8" => s(i+1) := '8';
when x"9" => s(i+1) := '9';
when x"A" => s(i+1) := 'A';
when x"B" => s(i+1) := 'B';
when x"C" => s(i+1) := 'C';
when x"D" => s(i+1) := 'D';
when x"E" => s(i+1) := 'E';
when x"F" => s(i+1) := 'F';
end case;
end loop;
write(L, s, JUSTIFIED, FIELD);
end HWRITE;
procedure Char2TriBits(C: Character;
RESULT: out bit_vector(2 downto 0);
GOOD: out Boolean;
ISSUE_ERROR: in Boolean) is
begin
case c is
when '0' => result := o"0"; good := TRUE;
when '1' => result := o"1"; good := TRUE;
when '2' => result := o"2"; good := TRUE;
when '3' => result := o"3"; good := TRUE;
when '4' => result := o"4"; good := TRUE;
when '5' => result := o"5"; good := TRUE;
when '6' => result := o"6"; good := TRUE;
when '7' => result := o"7"; good := TRUE;
when others =>
if ISSUE_ERROR then
assert FALSE report
"OREAD Error: Read a '" & c &
"', expected an Octal character (0-7).";
end if;
good := FALSE;
end case;
end;
procedure OREAD(L:inout LINE; VALUE:out BIT_VECTOR) is
variable c: character;
variable ok: boolean;
constant ne: integer := value'length/3;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 3 /= 0 then
assert FALSE report
"OREAD Error: Trying to read vector " &
"with an odd (non multiple of 3) length";
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2TriBits(c, bv(0 to 2), ok, TRUE);
if not ok then
return;
end if;
read(L, s, ok);
if not ok then
assert FALSE
report "OREAD Error: Failed to read the STRING";
return;
end if;
for i in 1 to ne-1 loop
Char2TriBits(s(i), bv(3*i to 3*i+2), ok, TRUE);
if not ok then
return;
end if;
end loop;
value := bv;
end OREAD;
procedure OREAD(L:inout LINE; VALUE:out BIT_VECTOR;GOOD: out BOOLEAN) is
variable ok: boolean;
variable c: character;
constant ne: integer := value'length/3;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 3 /= 0 then
good := FALSE;
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2TriBits(c, bv(0 to 2), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
read(L, s, ok);
if not ok then
good := FALSE;
return;
end if;
for i in 1 to ne-1 loop
Char2TriBits(s(i), bv(3*i to 3*i+2), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
end loop;
good := TRUE;
value := bv;
end OREAD;
procedure OWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable tri: bit_vector(0 to 2);
constant ne: integer := value'length/3;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 3 /= 0 then
assert FALSE report
"OREAD Error: Trying to read vector " &
"with an odd (non multiple of 3) length";
return;
end if;
for i in 0 to ne-1 loop
tri := bv(3*i to 3*i+2);
case tri is
when o"0" => s(i+1) := '0';
when o"1" => s(i+1) := '1';
when o"2" => s(i+1) := '2';
when o"3" => s(i+1) := '3';
when o"4" => s(i+1) := '4';
when o"5" => s(i+1) := '5';
when o"6" => s(i+1) := '6';
when o"7" => s(i+1) := '7';
end case;
end loop;
write(L, s, JUSTIFIED, FIELD);
end OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;GOOD:out BOOLEAN) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
HREAD(L, tmp, GOOD);
VALUE := To_X01(tmp);
end HREAD;
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
HREAD(L, tmp);
VALUE := To_X01(tmp);
end HREAD;
procedure HWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
HWRITE(L, To_bitvector(VALUE),JUSTIFIED, FIELD);
end HWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
HREAD(L, tmp);
VALUE := STD_LOGIC_VECTOR(tmp);
end HREAD;
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
HREAD(L, tmp, GOOD);
VALUE := STD_LOGIC_VECTOR(tmp);
end HREAD;
procedure HWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
HWRITE(L, To_bitvector(VALUE), JUSTIFIED, FIELD);
end HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;GOOD:out BOOLEAN) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
OREAD(L, tmp, GOOD);
VALUE := To_X01(tmp);
end OREAD;
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
OREAD(L, tmp);
VALUE := To_X01(tmp);
end OREAD;
procedure OWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
OWRITE(L, To_bitvector(VALUE),JUSTIFIED, FIELD);
end OWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
OREAD(L, tmp);
VALUE := STD_LOGIC_VECTOR(tmp);
end OREAD;
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
OREAD(L, tmp, GOOD);
VALUE := STD_LOGIC_VECTOR(tmp);
end OREAD;
procedure OWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
OWRITE(L, STD_ULOGIC_VECTOR(VALUE), JUSTIFIED, FIELD);
end OWRITE;
end STD_LOGIC_TEXTIO;
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_bindec.vhd
|
9
|
10044
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00218.vhd
|
1
|
5433
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00218
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.1 (5)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00218(ARCH00218)
-- ENT00218_Test_Bench(ARCH00218_Test_Bench)
--
-- REVISION HISTORY:
--
-- 10-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00218 is
generic (G : integer) ;
port (
s_st_arr1_vector : inout st_arr1_vector
) ;
--
constant CG : integer := G+1;
attribute attr : integer ;
attribute attr of CG : constant is CG+1;
--
end ENT00218 ;
--
--
architecture ARCH00218 of ENT00218 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_arr1_vector : chk_sig_type := -1 ;
--
procedure Proc1 (
signal s_st_arr1_vector : inout st_arr1_vector
; variable counter : inout integer
; variable correct : inout boolean
; variable savtime : inout time
; signal chk_st_arr1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=>
s_st_arr1_vector(1)(1) <= transport
c_st_arr1_vector_2(1)(1) ;
s_st_arr1_vector(2)(1 to 2) <= transport
c_st_arr1_vector_2(2)(1 to 2) after 10 ns ;
wait until s_st_arr1_vector(2)(1 to 2) =
c_st_arr1_vector_2(2)(1 to 2) ;
Test_Report (
"ENT00218",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_arr1_vector(2)(1 to 2) =
c_st_arr1_vector_2(2)(1 to 2) )) ;
--
when 1
=>
s_st_arr1_vector(1)(1) <= transport
c_st_arr1_vector_1(1)(1) ;
s_st_arr1_vector(G)(G-1 to G) <= transport
c_st_arr1_vector_2(G)(G-1 to G) after 10 ns ;
wait until s_st_arr1_vector(G)(G-1 to G) =
c_st_arr1_vector_2(G)(G-1 to G) ;
Test_Report (
"ENT00218",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_arr1_vector(G)(G-1 to G) =
c_st_arr1_vector_2(G)(G-1 to G) )) ;
--
when 2
=>
s_st_arr1_vector(1)(1) <= transport
c_st_arr1_vector_2(1)(1) ;
s_st_arr1_vector(CG)(CG-1 to CG) <= transport
c_st_arr1_vector_2(CG)(CG-1 to CG) after 10 ns ;
wait until s_st_arr1_vector(CG)(CG-1 to CG) =
c_st_arr1_vector_2(CG)(CG-1 to CG) ;
Test_Report (
"ENT00218",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_arr1_vector(CG)(CG-1 to CG) =
c_st_arr1_vector_2(CG)(CG-1 to CG) )) ;
--
when 3
=>
s_st_arr1_vector(1)(1) <= transport
c_st_arr1_vector_1(1)(1) ;
s_st_arr1_vector(CG'Attr)(CG'Attr-1 to CG'Attr) <= transport
c_st_arr1_vector_2(CG'Attr)(CG'Attr-1 to CG'Attr) after 10 ns ;
wait until s_st_arr1_vector(CG'Attr)(CG'Attr-1 to CG'Attr) =
c_st_arr1_vector_2(CG'Attr)(CG'Attr-1 to CG'Attr) ;
Test_Report (
"ENT00218",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_arr1_vector(CG'Attr)(CG'Attr-1 to CG'Attr) =
c_st_arr1_vector_2(CG'Attr)(CG'Attr-1 to CG'Attr) )) ;
--
when others
=> wait ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
P1 :
process
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time := 0 ns ;
begin
Proc1 (
s_st_arr1_vector
, counter
, correct
, savtime
, chk_st_arr1_vector
) ;
end process P1 ;
--
PGEN_CHKP_1 :
process ( chk_st_arr1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Wait longest static prefix test completed",
chk_st_arr1_vector = 3 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
end ARCH00218 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00218_Test_Bench is
end ENT00218_Test_Bench ;
--
--
architecture ARCH00218_Test_Bench of ENT00218_Test_Bench is
begin
L1:
block
signal s_st_arr1_vector : st_arr1_vector
:= c_st_arr1_vector_1 ;
--
component UUT
generic (G : integer) ;
port (
s_st_arr1_vector : inout st_arr1_vector
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00218 ( ARCH00218 ) ;
begin
CIS1 : UUT
generic map (lowb+2)
port map (
s_st_arr1_vector
)
;
end block L1 ;
end ARCH00218_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00355.vhd
|
1
|
10319
|
-- NEED RESULT: ARCH00355.P1: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00355.P2: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00355: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00355: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00355: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00355: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: P2: Transport transactions completed entirely passed
-- NEED RESULT: P1: Transport transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00355
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (2)
-- 9.5.2 (1)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00355(ARCH00355)
-- ENT00355_Test_Bench(ARCH00355_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00355 is
end ENT00355 ;
--
--
architecture ARCH00355 of ENT00355 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_arr2_vector : chk_sig_type := -1 ;
signal chk_st_arr3_vector : chk_sig_type := -1 ;
--
subtype chk_time_type is Time ;
signal s_st_arr2_vector_savt : chk_time_type := 0 ns ;
signal s_st_arr3_vector_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ;
signal s_st_arr3_vector_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 3 ;
signal st_arr2_vector_select : select_type := 1 ;
signal st_arr3_vector_select : select_type := 1 ;
--
signal s_st_arr2_vector : st_arr2_vector
:= c_st_arr2_vector_1 ;
signal s_st_arr3_vector : st_arr3_vector
:= c_st_arr3_vector_1 ;
--
begin
CHG1 :
process ( s_st_arr2_vector )
variable correct : boolean ;
begin
case s_st_arr2_vector_cnt is
when 0
=> null ;
-- s_st_arr2_vector(lowb)(highb,false) <= transport
-- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns,
-- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ;
--
when 1
=> correct :=
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_2(lowb)(highb,false) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_1(lowb)(highb,false) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00355.P1" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr2_vector_select <= transport 2 ;
-- s_st_arr2_vector(lowb)(highb,false) <= transport
-- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns ,
-- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ,
-- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns ,
-- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ;
--
when 3
=> correct :=
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_2(lowb)(highb,false) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
st_arr2_vector_select <= transport 3 ;
-- s_st_arr2_vector(lowb)(highb,false) <= transport
-- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_1(lowb)(highb,false) and
(s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00355" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00355" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00355" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
s_st_arr2_vector_savt <= transport Std.Standard.Now ;
chk_st_arr2_vector <= transport s_st_arr2_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr2_vector_cnt <= transport s_st_arr2_vector_cnt + 1 ;
--
end process CHG1 ;
--
PGEN_CHKP_1 :
process ( chk_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions completed entirely",
chk_st_arr2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
with st_arr2_vector_select select
s_st_arr2_vector(lowb)(highb,false) <= transport
c_st_arr2_vector_2(lowb)(highb,false) after 10 ns,
c_st_arr2_vector_1(lowb)(highb,false) after 20 ns
when 1,
--
c_st_arr2_vector_2(lowb)(highb,false) after 10 ns ,
c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ,
c_st_arr2_vector_2(lowb)(highb,false) after 30 ns ,
c_st_arr2_vector_1(lowb)(highb,false) after 40 ns
when 2,
--
c_st_arr2_vector_1(lowb)(highb,false) after 5 ns when 3 ;
--
CHG2 :
process ( s_st_arr3_vector )
variable correct : boolean ;
begin
case s_st_arr3_vector_cnt is
when 0
=> null ;
-- s_st_arr3_vector(highb)(lowb,true) <= transport
-- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns,
-- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ;
--
when 1
=> correct :=
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_2(highb)(lowb,true) and
(s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_1(highb)(lowb,true) and
(s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00355.P2" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr3_vector_select <= transport 2 ;
-- s_st_arr3_vector(highb)(lowb,true) <= transport
-- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns ,
-- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ,
-- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns ,
-- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ;
--
when 3
=> correct :=
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_2(highb)(lowb,true) and
(s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ;
st_arr3_vector_select <= transport 3 ;
-- s_st_arr3_vector(highb)(lowb,true) <= transport
-- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_1(highb)(lowb,true) and
(s_st_arr3_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00355" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00355" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00355" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
s_st_arr3_vector_savt <= transport Std.Standard.Now ;
chk_st_arr3_vector <= transport s_st_arr3_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr3_vector_cnt <= transport s_st_arr3_vector_cnt + 1 ;
--
end process CHG2 ;
--
PGEN_CHKP_2 :
process ( chk_st_arr3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions completed entirely",
chk_st_arr3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
with st_arr3_vector_select select
s_st_arr3_vector(highb)(lowb,true) <= transport
c_st_arr3_vector_2(highb)(lowb,true) after 10 ns,
c_st_arr3_vector_1(highb)(lowb,true) after 20 ns
when 1,
--
c_st_arr3_vector_2(highb)(lowb,true) after 10 ns ,
c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ,
c_st_arr3_vector_2(highb)(lowb,true) after 30 ns ,
c_st_arr3_vector_1(highb)(lowb,true) after 40 ns
when 2,
--
c_st_arr3_vector_1(highb)(lowb,true) after 5 ns when 3 ;
--
end ARCH00355 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00355_Test_Bench is
end ENT00355_Test_Bench ;
--
--
architecture ARCH00355_Test_Bench of ENT00355_Test_Bench is
begin
L1:
block
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00355 ( ARCH00355 ) ;
begin
CIS1 : UUT
;
end block L1 ;
end ARCH00355_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00690.vhd
|
1
|
5819
|
-- NEED RESULT: ARCH00690: Allocators with generic scalar qualified expression passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00690
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.3.6 (3)
-- 7.3.6 (6)
--
-- DESIGN UNIT ORDERING:
--
-- GENERIC_STANDARD_TYPES(ARCH00690)
-- ENT00690_Test_Bench(ARCH00690_Test_Bench)
--
-- REVISION HISTORY:
--
-- 08-SEP-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00690 of GENERIC_STANDARD_TYPES is
begin
process
variable correct : boolean := true ;
type a_boolean is access boolean ;
variable va_boolean_1, va_boolean_2 : a_boolean
:= new boolean ;
type a_bit is access bit ;
variable va_bit_1, va_bit_2 : a_bit
:= new bit ;
type a_severity_level is access severity_level ;
variable va_severity_level_1, va_severity_level_2 : a_severity_level
:= new severity_level ;
type a_character is access character ;
variable va_character_1, va_character_2 : a_character
:= new character ;
type a_t_enum1 is access t_enum1 ;
variable va_t_enum1_1, va_t_enum1_2 : a_t_enum1
:= new t_enum1 ;
type a_st_enum1 is access st_enum1 ;
variable va_st_enum1_1, va_st_enum1_2 : a_st_enum1
:= new st_enum1 ;
type a_integer is access integer ;
variable va_integer_1, va_integer_2 : a_integer
:= new integer ;
type a_t_int1 is access t_int1 ;
variable va_t_int1_1, va_t_int1_2 : a_t_int1
:= new t_int1 ;
type a_st_int1 is access st_int1 ;
variable va_st_int1_1, va_st_int1_2 : a_st_int1
:= new st_int1 ;
type a_time is access time ;
variable va_time_1, va_time_2 : a_time
:= new time ;
type a_t_phys1 is access t_phys1 ;
variable va_t_phys1_1, va_t_phys1_2 : a_t_phys1
:= new t_phys1 ;
type a_st_phys1 is access st_phys1 ;
variable va_st_phys1_1, va_st_phys1_2 : a_st_phys1
:= new st_phys1 ;
type a_real is access real ;
variable va_real_1, va_real_2 : a_real
:= new real ;
type a_t_real1 is access t_real1 ;
variable va_t_real1_1, va_t_real1_2 : a_t_real1
:= new t_real1 ;
type a_st_real1 is access st_real1 ;
variable va_st_real1_1, va_st_real1_2 : a_st_real1
:= new st_real1 ;
begin
va_boolean_1 := new boolean ' (c_boolean_1) ;
va_bit_1 := new bit ' (c_bit_1) ;
va_severity_level_1 := new severity_level ' (c_severity_level_1) ;
va_character_1 := new character ' (c_character_1) ;
va_t_enum1_1 := new t_enum1 ' (c_t_enum1_1) ;
va_st_enum1_1 := new st_enum1 ' (c_st_enum1_1) ;
va_integer_1 := new integer ' (c_integer_1) ;
va_t_int1_1 := new t_int1 ' (c_t_int1_1) ;
va_st_int1_1 := new st_int1 ' (c_st_int1_1) ;
va_time_1 := new time ' (c_time_1) ;
va_t_phys1_1 := new t_phys1 ' (c_t_phys1_1) ;
va_st_phys1_1 := new st_phys1 ' (c_st_phys1_1) ;
va_real_1 := new real ' (c_real_1) ;
va_t_real1_1 := new t_real1 ' (c_t_real1_1) ;
va_st_real1_1 := new st_real1 ' (c_st_real1_1) ;
correct := correct and
va_boolean_1.all = c_boolean_1 ;
correct := correct and
va_bit_1.all = c_bit_1 ;
correct := correct and
va_severity_level_1.all = c_severity_level_1 ;
correct := correct and
va_character_1.all = c_character_1 ;
correct := correct and
va_t_enum1_1.all = c_t_enum1_1 ;
correct := correct and
va_st_enum1_1.all = c_st_enum1_1 ;
correct := correct and
va_integer_1.all = c_integer_1 ;
correct := correct and
va_t_int1_1.all = c_t_int1_1 ;
correct := correct and
va_st_int1_1.all = c_st_int1_1 ;
correct := correct and
va_time_1.all = c_time_1 ;
correct := correct and
va_t_phys1_1.all = c_t_phys1_1 ;
correct := correct and
va_st_phys1_1.all = c_st_phys1_1 ;
correct := correct and
va_real_1.all = c_real_1 ;
correct := correct and
va_t_real1_1.all = c_t_real1_1 ;
correct := correct and
va_st_real1_1.all = c_st_real1_1 ;
test_report ( "ARCH00690" ,
"Allocators with generic scalar qualified expression" ,
correct) ;
wait ;
end process ;
end ARCH00690 ;
--
entity ENT00690_Test_Bench is
end ENT00690_Test_Bench ;
--
architecture ARCH00690_Test_Bench of ENT00690_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00690 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00690_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00476.vhd
|
1
|
3459
|
-- NEED RESULT: ARCH00476: Functions can return user defined types passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00476
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 2.1 (8)
-- 2.1 (10)
--
-- DESIGN UNIT ORDERING:
--
-- GENERIC_STANDARD_TYPES(ARCH00476)
-- ENT00476_Test_Bench(ARCH00476_Test_Bench)
--
-- REVISION HISTORY:
--
-- 6-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
-- The various types are taken from GENERIC_STANDARD_TYPES without the
-- explicit qualifier, as is the resolution function bf_rec3.
--
--
use WORK.STANDARD_TYPES.test_report ;
architecture ARCH00476 of GENERIC_STANDARD_TYPES is
function t_enum1_func ( i : integer ) return t_enum1 is
begin
return t_enum1'val(i) ;
end t_enum1_func ;
function st_enum1_func ( i : integer ) return st_enum1 is
begin
return st_enum1'val(i) ;
end st_enum1_func ;
function t_int1_func ( i : t_int1 ) return t_int1 is
begin
return i + 1 ;
end t_int1_func ;
function st_int1_func ( i : st_int1 ) return st_int1 is
begin
return i + 1 ;
end st_int1_func ;
function t_phys1_func ( i : integer ) return t_phys1 is
begin
return i * phys1_2;
end t_phys1_func ;
function st_phys1_func ( i : integer ) return st_phys1 is
begin
return i * phys1_2;
end st_phys1_func ;
function t_real1_func ( r : t_real1 ) return t_real1 is
begin
return r + 1.0;
end t_real1_func ;
function st_real1_func ( r : st_real1 ) return st_real1 is
begin
return r + 1.0;
end st_real1_func ;
function t_rec1_func ( r : real ) return t_rec1 is
variable rec : t_rec1 ;
begin
rec.f1 := lowb_i2 ;
rec.f2 := 0 ns ;
rec.f3 := true ;
rec.f4 := r + 1.0 ;
return rec ;
end t_rec1_func ;
function st_arr1_func ( i : integer ) return st_arr1 is
variable arr : st_arr1 ;
begin
for j in lowb to highb loop
arr(j) := t_int1(i + j);
end loop ;
return arr ;
end st_arr1_func ;
begin
P :
process
variable vec : rec3_vector (1 to 3) ;
begin
test_report ( "ARCH00476" ,
"Functions can return user defined types" ,
(t_enum1_func(1) = en2) and
(st_enum1_func(1) = en2) and
(t_int1_func(10) = 11 ) and
(st_int1_func(10) = 11 ) and
(t_phys1_func(2) = 2 phys1_2 ) and
(st_phys1_func(2) = 2 phys1_2 ) and
(t_real1_func(10.0) = 11.0 ) and
(st_real1_func(10.0) = 11.0 ) and
(t_rec1_func(10.0).f4 = 11.0 )
) ;
wait ;
end process P ;
end ARCH00476 ;
entity ENT00476_Test_Bench is
end ENT00476_Test_Bench ;
architecture ARCH00476_Test_Bench of ENT00476_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00476 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00476_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00456.vhd
|
1
|
4419
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00456
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.2.4 (8)
-- 7.2.4 (11)
-- 7.2.4 (12)
-- 7.2.4 (13)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00456(ARCH00456)
-- ENT00456_Test_Bench(ARCH00456_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES ;
use WORK.ARITHMETIC.ALL ;
entity ENT00456 is
generic (
i_real_1 : real := c_real_1 ;
i_real_2 : real := c_real_2 ;
i_realt_1 : realt := c_realt_1 ;
i_realt_2 : realt := c_realt_2 ;
i_realst_1 : realst := c_realst_1 ;
i_realst_2 : realst := c_realst_2 ;
i_time_1 : time := c_time_1 ;
i_time_2 : time := c_time_2 ;
i_t_phys_1 : t_phys := c_t_phys_1 ;
i_t_phys_2 : t_phys := c_t_phys_2 ;
i_st_phys_1 : st_phys := c_st_phys_1 ;
i_st_phys_2 : st_phys := c_st_phys_2
) ;
constant c2_time_1 : time :=
i_time_1 / i_realst_1 + i_time_2 / i_real_1 + 0 ns / i_real_2 ;
constant c2_t_phys_1 : t_phys :=
i_t_phys_1 / i_realst_1 + c_t_phys_2 / i_real_1 +
i_t_phys_1 / i_realt_2 + i_t_phys_2 / c_real_2 ;
constant c2_st_phys_1 : st_phys :=
i_st_phys_1 / i_realst_1 + c_t_phys_2 / i_real_1 +
i_t_phys_1 / i_realt_2 + i_st_phys_2 / c_real_2 ;
end ENT00456 ;
architecture ARCH00456 of ENT00456 is
begin
process
variable bool : boolean := true ;
variable cons_correct, gen_correct, dyn_correct : boolean := true ;
--
variable v_time_1, v2_time_1 : time := i_time_1 ;
variable v_time_2, v2_time_2 : time := i_time_2 ;
variable v_t_phys_1, v2_t_phys_1 : t_phys := i_t_phys_1 ;
variable v_t_phys_2, v2_t_phys_2 : t_phys := i_t_phys_2 ;
variable v_st_phys_1, v2_st_phys_1 : st_phys := i_st_phys_1 ;
variable v_st_phys_2, v2_st_phys_2 : st_phys := i_st_phys_2 ;
variable v_real_1 : real := i_real_1 ;
variable v_real_2 : real := i_real_2 ;
variable v_realt_1 : realt := i_realt_1 ;
variable v_realt_2 : realt := i_realt_2 ;
variable v_realst_1 : realst := i_realst_1 ;
variable v_realst_2 : realst := i_realst_2 ;
-- the following are temporary
constant p1_acceptable_error : time := 5 ns ;
constant p2_acceptable_error : t_phys := t_phys'left ;
--
begin
-- static expression
case bool is
when (
c_time_1 / c_realst_1 + c_time_2 / c_real_1 + 0 ns / c_real_2
= 169493 fs and
c_t_phys_1 / c_realst_1 + c_t_phys_2 / c_real_1 +
c_t_phys_1 / c_realt_2 + c_t_phys_2 / c_real_2
= - 58 ones and
c_st_phys_1 / c_realst_1 + c_t_phys_2 / c_real_1 +
c_t_phys_1 / c_realt_2 + c_st_phys_2 / c_real_2
= -137 ones
) =>
null ;
when others =>
cons_correct := false ;
end case ;
-- generic expression
gen_correct := c2_time_1 = 169493 fs and
c2_t_phys_1 = - 58 ones and
c2_st_phys_1 = - 137 ones ;
-- dynamic expression
v2_time_1 :=
i_time_1 / v_realst_1 + v_time_2 / v_real_1 + 0 ns / v_real_2 ;
v2_t_phys_1 :=
v_t_phys_1 / i_realst_1 + c_t_phys_2 / v_real_1 +
i_t_phys_1 / v_realt_2 + v_t_phys_2 / c_real_2 ;
v2_st_phys_1 :=
v_st_phys_1 / v_realst_1 + c_t_phys_2 / v_real_1 +
v_t_phys_1 / v_realt_2 + i_st_phys_2 / c_real_2 ;
dyn_correct := v2_time_1 = 169493 fs and
v2_t_phys_1 = - 58 ones and
v2_st_phys_1 = - 137 ones;
STANDARD_TYPES.test_report ( "ARCH00456" ,
"* predefined for physical and real types" ,
dyn_correct and cons_correct and gen_correct ) ;
wait ;
end process ;
end ARCH00456 ;
entity ENT00456_Test_Bench is
end ENT00456_Test_Bench ;
architecture ARCH00456_Test_Bench of ENT00456_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.ENT00456 ( ARCH00456 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00456_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00085.vhd
|
1
|
70077
|
-- NEED RESULT: ARCH00085.P1: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P2: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P3: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P4: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P5: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P6: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P7: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P8: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P9: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P10: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P11: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P12: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P13: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P14: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P15: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P16: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085.P17: Multi transport transactions occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: One transport transaction occurred on signal asg with slice name on LHS failed
-- NEED RESULT: ARCH00085: Old transactions were removed on signal asg with slice name on LHS failed
-- NEED RESULT: P17: Transport transactions entirely completed passed
-- NEED RESULT: P16: Transport transactions entirely completed passed
-- NEED RESULT: P15: Transport transactions entirely completed passed
-- NEED RESULT: P14: Transport transactions entirely completed passed
-- NEED RESULT: P13: Transport transactions entirely completed passed
-- NEED RESULT: P12: Transport transactions entirely completed passed
-- NEED RESULT: P11: Transport transactions entirely completed passed
-- NEED RESULT: P10: Transport transactions entirely completed passed
-- NEED RESULT: P9: Transport transactions entirely completed passed
-- NEED RESULT: P8: Transport transactions entirely completed passed
-- NEED RESULT: P7: Transport transactions entirely completed passed
-- NEED RESULT: P6: Transport transactions entirely completed passed
-- NEED RESULT: P5: Transport transactions entirely completed passed
-- NEED RESULT: P4: Transport transactions entirely completed passed
-- NEED RESULT: P3: Transport transactions entirely completed passed
-- NEED RESULT: P2: Transport transactions entirely completed passed
-- NEED RESULT: P1: Transport transactions entirely completed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00085
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (2)
-- 8.3 (3)
-- 8.3 (5)
-- 8.3.1 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00085(ARCH00085)
-- ENT00085_Test_Bench(ARCH00085_Test_Bench)
--
-- REVISION HISTORY:
--
-- 07-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00085 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_boolean_vector : chk_sig_type := -1 ;
signal chk_st_bit_vector : chk_sig_type := -1 ;
signal chk_st_severity_level_vector : chk_sig_type := -1 ;
signal chk_st_string : chk_sig_type := -1 ;
signal chk_st_enum1_vector : chk_sig_type := -1 ;
signal chk_st_integer_vector : chk_sig_type := -1 ;
signal chk_st_int1_vector : chk_sig_type := -1 ;
signal chk_st_time_vector : chk_sig_type := -1 ;
signal chk_st_phys1_vector : chk_sig_type := -1 ;
signal chk_st_real_vector : chk_sig_type := -1 ;
signal chk_st_real1_vector : chk_sig_type := -1 ;
signal chk_st_rec1_vector : chk_sig_type := -1 ;
signal chk_st_rec2_vector : chk_sig_type := -1 ;
signal chk_st_rec3_vector : chk_sig_type := -1 ;
signal chk_st_arr1_vector : chk_sig_type := -1 ;
signal chk_st_arr2_vector : chk_sig_type := -1 ;
signal chk_st_arr3_vector : chk_sig_type := -1 ;
--
procedure Proc1 (
signal s_st_boolean_vector : inout st_boolean_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_boolean_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_boolean_vector (lowb+1 to lowb+3) <= transport
c_st_boolean_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P1" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_boolean_vector (lowb+1 to lowb+3) <= transport
c_st_boolean_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_boolean_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_boolean_vector (lowb+1 to lowb+3) <= transport
c_st_boolean_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_boolean_vector (lowb+1 to lowb+3) =
c_st_boolean_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_boolean_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
procedure Proc2 (
signal s_st_bit_vector : inout st_bit_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_bit_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_bit_vector (lowb+1 to lowb+3) <= transport
c_st_bit_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_bit_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P2" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_bit_vector (lowb+1 to lowb+3) <= transport
c_st_bit_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_bit_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_bit_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_bit_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_bit_vector (lowb+1 to lowb+3) <= transport
c_st_bit_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_bit_vector (lowb+1 to lowb+3) =
c_st_bit_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_bit_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc2 ;
--
procedure Proc3 (
signal s_st_severity_level_vector : inout st_severity_level_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_severity_level_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_severity_level_vector (lowb+1 to lowb+3) <= transport
c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P3" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_severity_level_vector (lowb+1 to lowb+3) <= transport
c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_severity_level_vector (lowb+1 to lowb+3) <= transport
c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_severity_level_vector (lowb+1 to lowb+3) =
c_st_severity_level_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_severity_level_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc3 ;
--
procedure Proc4 (
signal s_st_string : inout st_string ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_string : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_string (lowb+1 to lowb+3) <= transport
c_st_string_2 (lowb+1 to lowb+3) after 10 ns,
c_st_string_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_string (lowb+1 to lowb+3) =
c_st_string_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_string (lowb+1 to lowb+3) =
c_st_string_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P4" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_string (lowb+1 to lowb+3) <= transport
c_st_string_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_string_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_string_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_string_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_string (lowb+1 to lowb+3) =
c_st_string_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_string (lowb+1 to lowb+3) <= transport
c_st_string_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_string (lowb+1 to lowb+3) =
c_st_string_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_string <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc4 ;
--
procedure Proc5 (
signal s_st_enum1_vector : inout st_enum1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_enum1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_enum1_vector (lowb+1 to lowb+3) <= transport
c_st_enum1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P5" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_enum1_vector (lowb+1 to lowb+3) <= transport
c_st_enum1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_enum1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_enum1_vector (lowb+1 to lowb+3) <= transport
c_st_enum1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_enum1_vector (lowb+1 to lowb+3) =
c_st_enum1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_enum1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc5 ;
--
procedure Proc6 (
signal s_st_integer_vector : inout st_integer_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_integer_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_integer_vector (lowb+1 to lowb+3) <= transport
c_st_integer_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_integer_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P6" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_integer_vector (lowb+1 to lowb+3) <= transport
c_st_integer_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_integer_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_integer_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_integer_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_integer_vector (lowb+1 to lowb+3) <= transport
c_st_integer_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_integer_vector (lowb+1 to lowb+3) =
c_st_integer_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_integer_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc6 ;
--
procedure Proc7 (
signal s_st_int1_vector : inout st_int1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_int1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_int1_vector (lowb+1 to lowb+3) <= transport
c_st_int1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_int1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P7" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_int1_vector (lowb+1 to lowb+3) <= transport
c_st_int1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_int1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_int1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_int1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_int1_vector (lowb+1 to lowb+3) <= transport
c_st_int1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_int1_vector (lowb+1 to lowb+3) =
c_st_int1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_int1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc7 ;
--
procedure Proc8 (
signal s_st_time_vector : inout st_time_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_time_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_time_vector (lowb+1 to lowb+3) <= transport
c_st_time_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_time_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P8" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_time_vector (lowb+1 to lowb+3) <= transport
c_st_time_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_time_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_time_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_time_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_time_vector (lowb+1 to lowb+3) <= transport
c_st_time_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_time_vector (lowb+1 to lowb+3) =
c_st_time_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_time_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc8 ;
--
procedure Proc9 (
signal s_st_phys1_vector : inout st_phys1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_phys1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_phys1_vector (lowb+1 to lowb+3) <= transport
c_st_phys1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P9" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_phys1_vector (lowb+1 to lowb+3) <= transport
c_st_phys1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_phys1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_phys1_vector (lowb+1 to lowb+3) <= transport
c_st_phys1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_phys1_vector (lowb+1 to lowb+3) =
c_st_phys1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_phys1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc9 ;
--
procedure Proc10 (
signal s_st_real_vector : inout st_real_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_real_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_real_vector (lowb+1 to lowb+3) <= transport
c_st_real_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_real_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P10" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_real_vector (lowb+1 to lowb+3) <= transport
c_st_real_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_real_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_real_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_real_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_real_vector (lowb+1 to lowb+3) <= transport
c_st_real_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real_vector (lowb+1 to lowb+3) =
c_st_real_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_real_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc10 ;
--
procedure Proc11 (
signal s_st_real1_vector : inout st_real1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_real1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_real1_vector (lowb+1 to lowb+3) <= transport
c_st_real1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_real1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P11" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_real1_vector (lowb+1 to lowb+3) <= transport
c_st_real1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_real1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_real1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_real1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_real1_vector (lowb+1 to lowb+3) <= transport
c_st_real1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real1_vector (lowb+1 to lowb+3) =
c_st_real1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_real1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc11 ;
--
procedure Proc12 (
signal s_st_rec1_vector : inout st_rec1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_rec1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_rec1_vector (lowb+1 to lowb+3) <= transport
c_st_rec1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P12" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_rec1_vector (lowb+1 to lowb+3) <= transport
c_st_rec1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_rec1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec1_vector (lowb+1 to lowb+3) <= transport
c_st_rec1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec1_vector (lowb+1 to lowb+3) =
c_st_rec1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc12 ;
--
procedure Proc13 (
signal s_st_rec2_vector : inout st_rec2_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_rec2_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_rec2_vector (lowb+1 to lowb+3) <= transport
c_st_rec2_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P13" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_rec2_vector (lowb+1 to lowb+3) <= transport
c_st_rec2_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_rec2_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec2_vector (lowb+1 to lowb+3) <= transport
c_st_rec2_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec2_vector (lowb+1 to lowb+3) =
c_st_rec2_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc13 ;
--
procedure Proc14 (
signal s_st_rec3_vector : inout st_rec3_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_rec3_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_rec3_vector (lowb+1 to lowb+3) <= transport
c_st_rec3_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P14" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_rec3_vector (lowb+1 to lowb+3) <= transport
c_st_rec3_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_rec3_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec3_vector (lowb+1 to lowb+3) <= transport
c_st_rec3_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3_vector (lowb+1 to lowb+3) =
c_st_rec3_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc14 ;
--
procedure Proc15 (
signal s_st_arr1_vector : inout st_arr1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_arr1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_arr1_vector (lowb+1 to lowb+3) <= transport
c_st_arr1_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P15" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_arr1_vector (lowb+1 to lowb+3) <= transport
c_st_arr1_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_arr1_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr1_vector (lowb+1 to lowb+3) <= transport
c_st_arr1_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr1_vector (lowb+1 to lowb+3) =
c_st_arr1_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc15 ;
--
procedure Proc16 (
signal s_st_arr2_vector : inout st_arr2_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_arr2_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_arr2_vector (lowb+1 to lowb+3) <= transport
c_st_arr2_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P16" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_arr2_vector (lowb+1 to lowb+3) <= transport
c_st_arr2_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_arr2_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr2_vector (lowb+1 to lowb+3) <= transport
c_st_arr2_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr2_vector (lowb+1 to lowb+3) =
c_st_arr2_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc16 ;
--
procedure Proc17 (
signal s_st_arr3_vector : inout st_arr3_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_st_arr3_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_st_arr3_vector (lowb+1 to lowb+3) <= transport
c_st_arr3_vector_2 (lowb+1 to lowb+3) after 10 ns,
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 20 ns ;
--
when 1
=> correct :=
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_1 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00085.P17" ,
"Multi transport transactions occurred on signal " &
"asg with slice name on LHS",
correct ) ;
s_st_arr3_vector (lowb+1 to lowb+3) <= transport
c_st_arr3_vector_2 (lowb+1 to lowb+3) after 10 ns ,
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 20 ns ,
c_st_arr3_vector_2 (lowb+1 to lowb+3) after 30 ns ,
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 40 ns ;
--
when 3
=> correct :=
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_2 (lowb+1 to lowb+3) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr3_vector (lowb+1 to lowb+3) <= transport
c_st_arr3_vector_1 (lowb+1 to lowb+3) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr3_vector (lowb+1 to lowb+3) =
c_st_arr3_vector_1 (lowb+1 to lowb+3) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00085" ,
"One transport transaction occurred on signal " &
"asg with slice name on LHS",
correct ) ;
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00085" ,
"Old transactions were removed on signal " &
"asg with slice name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc17 ;
--
--
end ENT00085 ;
--
architecture ARCH00085 of ENT00085 is
signal s_st_boolean_vector : st_boolean_vector
:= c_st_boolean_vector_1 ;
signal s_st_bit_vector : st_bit_vector
:= c_st_bit_vector_1 ;
signal s_st_severity_level_vector : st_severity_level_vector
:= c_st_severity_level_vector_1 ;
signal s_st_string : st_string
:= c_st_string_1 ;
signal s_st_enum1_vector : st_enum1_vector
:= c_st_enum1_vector_1 ;
signal s_st_integer_vector : st_integer_vector
:= c_st_integer_vector_1 ;
signal s_st_int1_vector : st_int1_vector
:= c_st_int1_vector_1 ;
signal s_st_time_vector : st_time_vector
:= c_st_time_vector_1 ;
signal s_st_phys1_vector : st_phys1_vector
:= c_st_phys1_vector_1 ;
signal s_st_real_vector : st_real_vector
:= c_st_real_vector_1 ;
signal s_st_real1_vector : st_real1_vector
:= c_st_real1_vector_1 ;
signal s_st_rec1_vector : st_rec1_vector
:= c_st_rec1_vector_1 ;
signal s_st_rec2_vector : st_rec2_vector
:= c_st_rec2_vector_1 ;
signal s_st_rec3_vector : st_rec3_vector
:= c_st_rec3_vector_1 ;
signal s_st_arr1_vector : st_arr1_vector
:= c_st_arr1_vector_1 ;
signal s_st_arr2_vector : st_arr2_vector
:= c_st_arr2_vector_1 ;
signal s_st_arr3_vector : st_arr3_vector
:= c_st_arr3_vector_1 ;
--
begin
PGEN_CHKP_1 :
process ( chk_st_boolean_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions entirely completed",
chk_st_boolean_vector = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
P1 :
process ( s_st_boolean_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc1 (
s_st_boolean_vector,
counter,
correct,
savtime,
chk_st_boolean_vector
) ;
end process P1 ;
--
PGEN_CHKP_2 :
process ( chk_st_bit_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions entirely completed",
chk_st_bit_vector = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
P2 :
process ( s_st_bit_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc2 (
s_st_bit_vector,
counter,
correct,
savtime,
chk_st_bit_vector
) ;
end process P2 ;
--
PGEN_CHKP_3 :
process ( chk_st_severity_level_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Transport transactions entirely completed",
chk_st_severity_level_vector = 4 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
P3 :
process ( s_st_severity_level_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc3 (
s_st_severity_level_vector,
counter,
correct,
savtime,
chk_st_severity_level_vector
) ;
end process P3 ;
--
PGEN_CHKP_4 :
process ( chk_st_string )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Transport transactions entirely completed",
chk_st_string = 4 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
P4 :
process ( s_st_string )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc4 (
s_st_string,
counter,
correct,
savtime,
chk_st_string
) ;
end process P4 ;
--
PGEN_CHKP_5 :
process ( chk_st_enum1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Transport transactions entirely completed",
chk_st_enum1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
P5 :
process ( s_st_enum1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc5 (
s_st_enum1_vector,
counter,
correct,
savtime,
chk_st_enum1_vector
) ;
end process P5 ;
--
PGEN_CHKP_6 :
process ( chk_st_integer_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Transport transactions entirely completed",
chk_st_integer_vector = 4 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
P6 :
process ( s_st_integer_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc6 (
s_st_integer_vector,
counter,
correct,
savtime,
chk_st_integer_vector
) ;
end process P6 ;
--
PGEN_CHKP_7 :
process ( chk_st_int1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P7" ,
"Transport transactions entirely completed",
chk_st_int1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_7 ;
--
P7 :
process ( s_st_int1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc7 (
s_st_int1_vector,
counter,
correct,
savtime,
chk_st_int1_vector
) ;
end process P7 ;
--
PGEN_CHKP_8 :
process ( chk_st_time_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P8" ,
"Transport transactions entirely completed",
chk_st_time_vector = 4 ) ;
end if ;
end process PGEN_CHKP_8 ;
--
P8 :
process ( s_st_time_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc8 (
s_st_time_vector,
counter,
correct,
savtime,
chk_st_time_vector
) ;
end process P8 ;
--
PGEN_CHKP_9 :
process ( chk_st_phys1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P9" ,
"Transport transactions entirely completed",
chk_st_phys1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_9 ;
--
P9 :
process ( s_st_phys1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc9 (
s_st_phys1_vector,
counter,
correct,
savtime,
chk_st_phys1_vector
) ;
end process P9 ;
--
PGEN_CHKP_10 :
process ( chk_st_real_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P10" ,
"Transport transactions entirely completed",
chk_st_real_vector = 4 ) ;
end if ;
end process PGEN_CHKP_10 ;
--
P10 :
process ( s_st_real_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc10 (
s_st_real_vector,
counter,
correct,
savtime,
chk_st_real_vector
) ;
end process P10 ;
--
PGEN_CHKP_11 :
process ( chk_st_real1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P11" ,
"Transport transactions entirely completed",
chk_st_real1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_11 ;
--
P11 :
process ( s_st_real1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc11 (
s_st_real1_vector,
counter,
correct,
savtime,
chk_st_real1_vector
) ;
end process P11 ;
--
PGEN_CHKP_12 :
process ( chk_st_rec1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P12" ,
"Transport transactions entirely completed",
chk_st_rec1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_12 ;
--
P12 :
process ( s_st_rec1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc12 (
s_st_rec1_vector,
counter,
correct,
savtime,
chk_st_rec1_vector
) ;
end process P12 ;
--
PGEN_CHKP_13 :
process ( chk_st_rec2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P13" ,
"Transport transactions entirely completed",
chk_st_rec2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_13 ;
--
P13 :
process ( s_st_rec2_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc13 (
s_st_rec2_vector,
counter,
correct,
savtime,
chk_st_rec2_vector
) ;
end process P13 ;
--
PGEN_CHKP_14 :
process ( chk_st_rec3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P14" ,
"Transport transactions entirely completed",
chk_st_rec3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_14 ;
--
P14 :
process ( s_st_rec3_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc14 (
s_st_rec3_vector,
counter,
correct,
savtime,
chk_st_rec3_vector
) ;
end process P14 ;
--
PGEN_CHKP_15 :
process ( chk_st_arr1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P15" ,
"Transport transactions entirely completed",
chk_st_arr1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_15 ;
--
P15 :
process ( s_st_arr1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc15 (
s_st_arr1_vector,
counter,
correct,
savtime,
chk_st_arr1_vector
) ;
end process P15 ;
--
PGEN_CHKP_16 :
process ( chk_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P16" ,
"Transport transactions entirely completed",
chk_st_arr2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_16 ;
--
P16 :
process ( s_st_arr2_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc16 (
s_st_arr2_vector,
counter,
correct,
savtime,
chk_st_arr2_vector
) ;
end process P16 ;
--
PGEN_CHKP_17 :
process ( chk_st_arr3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P17" ,
"Transport transactions entirely completed",
chk_st_arr3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_17 ;
--
P17 :
process ( s_st_arr3_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc17 (
s_st_arr3_vector,
counter,
correct,
savtime,
chk_st_arr3_vector
) ;
end process P17 ;
--
--
end ARCH00085 ;
--
entity ENT00085_Test_Bench is
end ENT00085_Test_Bench ;
--
architecture ARCH00085_Test_Bench of ENT00085_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.ENT00085 ( ARCH00085 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00085_Test_Bench ;
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.